diff --git a/analyzed_file_structure.txt b/analyzed_file_structure.txt index f1bacd3..075d990 100644 --- a/analyzed_file_structure.txt +++ b/analyzed_file_structure.txt @@ -1,4 +1,3 @@ - Each cell data contains multiple trials. Each trial has the structure diplayed below: > data_index (dict) diff --git a/notebooks/Ephys_StepAndHold.ipynb b/notebooks/Ephys_StepAndHold.ipynb index c96512c..b447e82 100644 --- a/notebooks/Ephys_StepAndHold.ipynb +++ b/notebooks/Ephys_StepAndHold.ipynb @@ -1,26 +1,10 @@ { - "nbformat": 4, - "nbformat_minor": 0, - "metadata": { - "colab": { - "provenance": [], - "authorship_tag": "ABX9TyNybtWoOmMYYmTwVlobsdhX", - "include_colab_link": true - }, - "kernelspec": { - "name": "python3", - "display_name": "Python 3" - }, - "language_info": { - "name": "python" - } - }, "cells": [ { "cell_type": "markdown", "metadata": { - "id": "view-in-github", - "colab_type": "text" + "colab_type": "text", + "id": "view-in-github" }, "source": [ "\"Open" @@ -28,7 +12,7 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 1, "metadata": { "id": "WfUbthn3jRWq" }, @@ -75,8 +59,8 @@ }, "outputs": [ { - "output_type": "stream", "name": "stdout", + "output_type": "stream", "text": [ "Mounted at /content/gdrive\n" ] @@ -90,10 +74,10 @@ }, { "cell_type": "code", - "execution_count": null, + "execution_count": 55, "metadata": { - "id": "ilDiIt0Tjlnp", - "cellView": "form" + "cellView": "form", + "id": "ilDiIt0Tjlnp" }, "outputs": [], "source": [ @@ -173,7 +157,7 @@ " dict[strg] = elem\n", " return dict\n", "def join_cond(lis_cond = None):\n", - " path = '/content/gdrive/MyDrive/lists/all_files_new.csv'\n", + " path = 'C:/Users/Nishant Joshi/Google Drive/lists/all_files_new.csv'\n", " a = pd.read_csv(path)\n", " cond= np.sort(np.unique(a['condition']))\n", " temp = []\n", @@ -320,30 +304,35 @@ }, { "cell_type": "code", - "source": [ - "getAllFilesInDir('/content/gdrive/MyDrive/StepAndHold Dataset/CC - Excitatory/Analyzed/')" - ], + "execution_count": 58, "metadata": { "id": "TjpLuD3IvCsy" }, - "execution_count": null, - "outputs": [] + "outputs": [], + "source": [ + "all_data = getAllFilesInDir('C:/Users/Nishant Joshi/Google Drive/StepAndHold Dataset/CC - Excitatory/Analyzed/')" + ] }, { "cell_type": "code", + "execution_count": 93, + "metadata": { + "id": "nWit9P846wNY" + }, + "outputs": [], "source": [ "#@title loading paths and getting all the files as lists\n", - "path_fn = '/content/gdrive/MyDrive/lists/all_files_new.csv'\n", - "metadata_path = '/content/gdrive/MyDrive/lists/Metadata.xlsx'\n", + "path_fn = 'C:/Users/Nishant Joshi/Google Drive/lists/all_files_new.csv'\n", + "metadata_path = 'C:/Users/Nishant Joshi/Google Drive/lists/Metadata.xlsx'\n", "all_files_fn = pd.read_csv(path_fn)['experimentname'] \n", "all_files_fn = np.unique(all_files_fn)\n", "count_fn =0\n", "count_cc =0\n", - "path_cc = '/content/gdrive/MyDrive/Step-and-Hold Protocol (Xuan, Asli, NC, Payam)/'\n", - "path_exc = '/content/gdrive/MyDrive/StepAndHold Dataset/CC - Excitatory/Raw CC/'\n", - "path_inh = '/content/gdrive/MyDrive/StepAndHold Dataset/CC - Inhibitory/Raw CC/'\n", - "path_exc_analyzed = '/content/gdrive/MyDrive/StepAndHold Dataset/CC - Excitatory/Analyzed/'\n", - "path_inh_analyzed = '/content/gdrive/MyDrive/StepAndHold Dataset/CC - Inhibitory/Analyzed/'\n", + "path_cc = 'C:/Users/Nishant Joshi/Google Drive/Step-and-Hold Protocol (Xuan, Asli, NC, Payam)/'\n", + "path_exc = 'C:/Users/Nishant Joshi/Google Drive/StepAndHold Dataset/CC - Excitatory/Raw CC/'\n", + "path_inh = 'C:/Users/Nishant Joshi/Google Drive/StepAndHold Dataset/CC - Inhibitory/Raw CC/'\n", + "path_exc_analyzed = 'C:/Users/Nishant Joshi/Google Drive/StepAndHold Dataset/CC - Excitatory/Analyzed/'\n", + "path_inh_analyzed = 'C:/Users/Nishant Joshi/Google Drive/StepAndHold Dataset/CC - Inhibitory/Analyzed/'\n", "\n", "all_files_cc,all_files_cc_dirs = getAllFilesInDir(path_cc)\n", "all_files_cc_exc,all_files_cc_exc_dirs = getAllFilesInDir(path_exc)\n", @@ -355,27 +344,11 @@ "all_cc_complete = np.concatenate((all_files_cc,np.concatenate((all_files_cc_inh,all_files_cc_exc))))\n", "all_cc_analyzed = np.concatenate((all_files_cc_exc_analyzed,all_files_cc_inh_analyzed))\n", "all_cc_raw = np.concatenate((all_files_cc_exc,all_files_cc_inh))" - ], - "metadata": { - "id": "nWit9P846wNY" - }, - "execution_count": null, - "outputs": [] + ] }, { "cell_type": "code", - "source": [ - "#@title loading the metadata excel sheet for comparison \n", - "meta = pd.read_excel(metadata_path)\n", - "meta = meta.dropna()\n", - "print(meta.columns)\n", - "def add_(data):\n", - " return '_'+data\n", - "def convert_to_string(data):\n", - " return str(int(data))\n", - "meta[['date (DD.MM.YY)','Experiment\\nnumber','prefix']]\n", - "join_meta = meta['prefix']+meta['date (DD.MM.YY)'].apply(convert_to_string).apply(add_)+meta['Experiment\\nnumber'].apply(add_)\n" - ], + "execution_count": 94, "metadata": { "colab": { "base_uri": "https://localhost:8080/" @@ -383,35 +356,55 @@ "id": "18UKtk1KuRjr", "outputId": "4f897da5-2685-4218-a3bd-bd4940c8a374" }, - "execution_count": null, "outputs": [ { - "output_type": "stream", "name": "stdout", + "output_type": "stream", "text": [ "Index(['date (DD.MM.YY)', 'Experiment\\nnumber', 'Animal \\nnumber', 'prefix',\n", - " 'Age(p)', 'sex', 'Experiment\\nprotocol', 'classification', 'Agonist'],\n", + " 'Age(p)', 'sex', 'Experiment\\nprotocol', 'classification', 'Agonist',\n", + " 'Unnamed: 9', 'Unnamed: 10', 'Unnamed: 11', 'Unnamed: 12',\n", + " 'Unnamed: 13', 'Unnamed: 14', 'Unnamed: 15', 'Unnamed: 16',\n", + " 'Unnamed: 17', 'Unnamed: 18', 'Unnamed: 19', 'Unnamed: 20',\n", + " 'Unnamed: 21', 'Unnamed: 22', 'Unnamed: 23'],\n", " dtype='object')\n" ] } + ], + "source": [ + "#@title loading the metadata excel sheet for comparison \n", + "meta = pd.read_excel(metadata_path)\n", + "meta = meta.dropna()\n", + "print(meta.columns)\n", + "def add_(data):\n", + " return '_'+data\n", + "def convert_to_string(data):\n", + " return str(int(data))\n", + "meta[['date (DD.MM.YY)','Experiment\\nnumber','prefix']]\n", + "join_meta = meta['prefix']+meta['date (DD.MM.YY)'].apply(convert_to_string).apply(add_)+meta['Experiment\\nnumber'].apply(add_)\n" ] }, { "cell_type": "code", + "execution_count": null, + "metadata": { + "id": "rUWccv9KR2rq" + }, + "outputs": [], "source": [ - "NC_data_translation = pd.read_excel('/content/gdrive/MyDrive/StepAndHold Dataset/CC Step Data Names Map Translationods.xlsx')\n", + "NC_data_translation = pd.read_excel('C:/Users/Nishant Joshi/Google Drive/StepAndHold Dataset/CC Step Data Names Map Translationods.xlsx')\n", "FN = NC_data_translation['FN'][[type(i)==str for i in NC_data_translation['FN']]]\n", "CC = NC_data_translation['CC'][[type(i)==str for i in NC_data_translation['FN']]]\n", "df_cc_fn = pd.DataFrame({'cc':pd.Series(CC),'fn':pd.Series(FN)})\n" - ], - "metadata": { - "id": "rUWccv9KR2rq" - }, - "execution_count": null, - "outputs": [] + ] }, { "cell_type": "code", + "execution_count": 63, + "metadata": { + "id": "_wGpx512f1eA" + }, + "outputs": [], "source": [ "def find_matches(list1,list2): \n", " matches = 0\n", @@ -432,15 +425,15 @@ "df, cond = return_df_with_info(pd.read_csv(path_fn),'NC',)\n", "\n", "D1_NC = df[df['condition']=='D1']['experimentname']" - ], - "metadata": { - "id": "_wGpx512f1eA" - }, - "execution_count": null, - "outputs": [] + ] }, { "cell_type": "code", + "execution_count": 77, + "metadata": { + "id": "MHMzBmkzYIiV" + }, + "outputs": [], "source": [ "def return_name_string_obj_NC(data):\n", " broken_str = data.split('_')\n", @@ -482,15 +475,29 @@ " elif 'xuan' in i:\n", " xuan.append(i)\n", "NC_analyzed = [return_name_string_obj_NC(i) for i in NC]\n" - ], - "metadata": { - "id": "MHMzBmkzYIiV" - }, - "execution_count": null, - "outputs": [] + ] }, { "cell_type": "code", + "execution_count": 82, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/" + }, + "id": "W1r7TOlqa1Xl", + "outputId": "40895a89-3ca3-466f-d420-b9e0304c2903" + }, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "['ACSF' 'AgoAnta' 'Cirazoline' 'D1' 'D1ago' 'D2' 'DOPA' 'DOPAMINE' 'Dop'\n", + " 'M1-ag' 'M1-ago' 'M1-ago+ant' 'M1-ant' 'M1-ant+ago' 'aCSF' 'aCSF_BIC'\n", + " 'sag']\n" + ] + } + ], "source": [ "def return_name_date_exp_fn(string):\n", " if 'NC' in string:\n", @@ -545,29 +552,15 @@ "nummatch,matches = find_matches(np.unique(all_fn_names_and_dates),np.unique(all_cc_names_and_dates))\n", "df_CC_exp = pd.DataFrame({'CC_files':all_files_cc,'all_cc_names_and_dates':all_cc_names_and_dates})\n", "df_CC_exp['drug'] =~np.array(['NODRUG' in i for i in df_CC_exp['CC_files']])\n" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "W1r7TOlqa1Xl", - "outputId": "40895a89-3ca3-466f-d420-b9e0304c2903" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "['ACSF' 'AgoAnta' 'Cirazoline' 'D1' 'D1ago' 'D2' 'DOPA' 'DOPAMINE' 'Dop'\n", - " 'M1-ag' 'M1-ago' 'M1-ago+ant' 'M1-ant' 'M1-ant+ago' 'aCSF' 'aCSF_BIC'\n", - " 'sag']\n" - ] - } ] }, { "cell_type": "code", + "execution_count": 80, + "metadata": { + "id": "EMABp-lF01gK" + }, + "outputs": [], "source": [ "def mod_meta(string):\n", " string_break = string.split('_')\n", @@ -591,15 +584,40 @@ "mod_join_meta = [mod_meta(i) for i in join_meta]\n", "# print(len(np.unique(mod_join_meta)),len(np.unique(all_cc_names_and_dates)))\n", "\n" + ] + }, + { + "cell_type": "code", + "execution_count": 86, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "[]" + ] + }, + "execution_count": 86, + "metadata": {}, + "output_type": "execute_result" + } ], - "metadata": { - "id": "EMABp-lF01gK" - }, - "execution_count": null, - "outputs": [] + "source": [] }, { "cell_type": "code", + "execution_count": 85, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "0\n", + "0 matches out of 320 files in CC database\n" + ] + } + ], "source": [ "#@title find matches between CC and metadata\n", "num,matches = find_matches(mod_join_meta,all_cc_names_and_dates)\n", @@ -619,35 +637,34 @@ " exp = meta[meta['Agonist']==i]['prefix']+'_'+meta[meta['Agonist']==i]['date (DD.MM.YY)'].apply(return_str)+'_'+meta[meta['Agonist']==i]['Experiment\\nnumber']\n", " all_cond_dict[i] = {'Agonist_num':sum(meta[meta['Agonist']==i]['infile']),'exp':exp}\n", "for key, vals in all_cond_dict.items():\n", - " print(key, vals['Agonist_num'])" - ], + " print(key, vals['Agonist_num'])\n", + " " + ] + }, + { + "cell_type": "code", + "execution_count": 69, "metadata": { "colab": { - "base_uri": "https://localhost:8080/" + "base_uri": "https://localhost:8080/", + "height": 235 }, - "id": "k_YR_ftdV6m7", - "outputId": "e9ad1080-f480-4b48-cd1f-7dfaeee1276d" + "id": "5gxTh9KlJICT", + "outputId": "4b25f976-fffc-4a4c-cd9e-2c887229746a" }, - "execution_count": null, "outputs": [ { - "output_type": "stream", - "name": "stdout", - "text": [ - "320 matches out of 320 files in CC database\n", - "5HT-1f(S1 cortex) 6\n", - "5HT1f(M1 cortex) 39\n", - "Alpha1 40\n", - "D1 23\n", - "D2 14\n", - "M1 42\n", - "dopamine 3\n" + "ename": "NameError", + "evalue": "name 'all_cond_dict' is not defined", + "output_type": "error", + "traceback": [ + "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[1;31mNameError\u001b[0m Traceback (most recent call last)", + "\u001b[1;32m\u001b[0m in \u001b[0;36m\u001b[1;34m\u001b[0m\n\u001b[0;32m 1\u001b[0m \u001b[0mdf_CC_exp\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'condition'\u001b[0m\u001b[1;33m]\u001b[0m \u001b[1;33m=\u001b[0m \u001b[1;34m''\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m----> 2\u001b[1;33m \u001b[1;32mfor\u001b[0m \u001b[0mi\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mall_cond_dict\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 3\u001b[0m \u001b[0mdf_CC_exp\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'condition'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m[\u001b[0m\u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0marray\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdf_CC_exp\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'all_cc_names_and_dates'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0misin\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mall_cond_dict\u001b[0m\u001b[1;33m[\u001b[0m\u001b[0mi\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'exp'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mapply\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mmod_meta\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m]\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mi\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 4\u001b[0m \u001b[1;31m# df_CC_exp[df_CC_exp['CC_files'].str.contains('NODRUG')]['condition']\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 5\u001b[0m \u001b[0mind\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mdf_CC_exp\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'drug'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m==\u001b[0m\u001b[1;32mFalse\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;31mNameError\u001b[0m: name 'all_cond_dict' is not defined" ] } - ] - }, - { - "cell_type": "code", + ], "source": [ "df_CC_exp['condition'] = ''\n", "for i in all_cond_dict:\n", @@ -658,32 +675,28 @@ " \n", "df_CC_exp.to_excel('/content/gdrive/MyDrive/lists/all_cc_with_condition.xls')\n", "df_CC_exp[df_CC_exp['condition'] =='5HT-1f(S1 cortex)'][ind]\n" - ], + ] + }, + { + "cell_type": "code", + "execution_count": 75, "metadata": { "colab": { - "base_uri": "https://localhost:8080/", - "height": 235 + "base_uri": "https://localhost:8080/" }, - "id": "5gxTh9KlJICT", - "outputId": "4b25f976-fffc-4a4c-cd9e-2c887229746a" + "id": "r8LeEZ_sLK5e", + "outputId": "b7f3cb6f-202f-400d-a399-72ee06b8a29a" }, - "execution_count": null, "outputs": [ { - "output_type": "error", - "ename": "NameError", - "evalue": "ignored", - "traceback": [ - "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", - "\u001b[0;31mNameError\u001b[0m Traceback (most recent call last)", - "\u001b[0;32m\u001b[0m in \u001b[0;36m\u001b[0;34m\u001b[0m\n\u001b[1;32m 1\u001b[0m \u001b[0mdf_CC_exp\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;34m'condition'\u001b[0m\u001b[0;34m]\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0;34m''\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m----> 2\u001b[0;31m \u001b[0;32mfor\u001b[0m \u001b[0mi\u001b[0m \u001b[0;32min\u001b[0m \u001b[0mall_cond_dict\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 3\u001b[0m \u001b[0mdf_CC_exp\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;34m'condition'\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0mnp\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0marray\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mdf_CC_exp\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;34m'all_cc_names_and_dates'\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0misin\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mall_cond_dict\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0mi\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;34m'exp'\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mapply\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mmod_meta\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m]\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mi\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 4\u001b[0m \u001b[0;31m# df_CC_exp[df_CC_exp['CC_files'].str.contains('NODRUG')]['condition']\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 5\u001b[0m \u001b[0mind\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mdf_CC_exp\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;34m'drug'\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m==\u001b[0m\u001b[0;32mFalse\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", - "\u001b[0;31mNameError\u001b[0m: name 'all_cond_dict' is not defined" + "name": "stdout", + "output_type": "stream", + "text": [ + "117 matches out of 323 FN files\n", + "[]\n" ] } - ] - }, - { - "cell_type": "code", + ], "source": [ "#@title find matches between FN and CC files\n", "\n", @@ -696,49 +709,50 @@ " truth_table[ind] = True\n", "meta['infile'] = truth_table\n", "all_ago = np.unique(meta['Agonist'])\n", + "print(all_ago)\n", "all_cond_dict = {}\n", "for i in all_ago:\n", - " all_cond_dict[i] = sum(meta[meta['Agonist']==i]['infile'])\n", - "all_cond_dict " - ], + " all_cond_dict[i] = sum(meta[meta['Agonist']==i]['infile'])\n" + ] + }, + { + "cell_type": "code", + "execution_count": null, "metadata": { "colab": { "base_uri": "https://localhost:8080/" }, - "id": "r8LeEZ_sLK5e", - "outputId": "b7f3cb6f-202f-400d-a399-72ee06b8a29a" + "id": "1ZddgiRdZgrj", + "outputId": "3bd441cf-087a-45e7-e68a-1a1af19cbf04" }, - "execution_count": null, "outputs": [ { - "output_type": "stream", "name": "stdout", + "output_type": "stream", "text": [ - "117 matches out of 323 FN files\n" + "181 matches out of 323 FN files\n" ] }, { - "output_type": "execute_result", "data": { "text/plain": [ - "{'5HT-1f(S1 cortex)': 3,\n", + "{'5HT-1f(S1 cortex)': 23,\n", " '5HT1f(M1 cortex)': 0,\n", " 'Alpha1': 39,\n", " 'D1': 22,\n", - " 'D2': 14,\n", + " 'D2': 38,\n", " 'M1': 37,\n", - " 'dopamine': 2}" + " 'dopamine': 22}" ] }, + "execution_count": 16, "metadata": {}, - "execution_count": 15 + "output_type": "execute_result" } - ] - }, - { - "cell_type": "code", + ], "source": [ "#@title find matches between FN and metadata\n", + "\n", "num,matches = find_matches(np.unique(df_all_fn['experimentname'].apply(return_name_date_exp_fn)),mod_join_meta)\n", "print(str(num) + ' matches out of '+str(len(np.unique(df_all_fn['experimentname'].apply(return_name_date_exp_fn))))+' FN files')\n", "\n", @@ -753,43 +767,15 @@ "for i in all_ago:\n", " all_cond_dict[i] = sum(meta[meta['Agonist']==i]['infile'])\n", "all_cond_dict " - ], + ] + }, + { + "cell_type": "code", + "execution_count": 4, "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "1ZddgiRdZgrj", - "outputId": "3bd441cf-087a-45e7-e68a-1a1af19cbf04" + "id": "Et6qRfTOmqbs" }, - "execution_count": null, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "181 matches out of 323 FN files\n" - ] - }, - { - "output_type": "execute_result", - "data": { - "text/plain": [ - "{'5HT-1f(S1 cortex)': 23,\n", - " '5HT1f(M1 cortex)': 0,\n", - " 'Alpha1': 39,\n", - " 'D1': 22,\n", - " 'D2': 38,\n", - " 'M1': 37,\n", - " 'dopamine': 22}" - ] - }, - "metadata": {}, - "execution_count": 16 - } - ] - }, - { - "cell_type": "code", + "outputs": [], "source": [ "def plot_single_cell(string):\n", " def order_list(data):\n", @@ -923,15 +909,15 @@ "\n", " return_dict[str(ind_trial+1)] = {'V':Vs,'I':Is,'tV':tV,'tI':tI}\n", " \n" - ], - "metadata": { - "id": "Et6qRfTOmqbs" - }, - "execution_count": null, - "outputs": [] + ] }, { "cell_type": "code", + "execution_count": null, + "metadata": { + "id": "hjEpFMMX8qP1" + }, + "outputs": [], "source": [ "from scipy.signal import find_peaks\n", "\n", @@ -1016,15 +1002,114 @@ "\n", "\treturn np.array(spikeindices,dtype=np.int32), thresholds, np.array(thresholdindices,dtype=np.int32)\n", "\n" - ], - "metadata": { - "id": "hjEpFMMX8qP1" - }, - "execution_count": null, - "outputs": [] + ] }, { "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/" + }, + "id": "MAqaDEd18T7y", + "outputId": "ba175509-d4e1-4ee0-9d45-2c06e9be1eb2" + }, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + ":31: UserWarning: Boolean Series key will be reindexed to match DataFrame index.\n", + ":32: UserWarning: Boolean Series key will be reindexed to match DataFrame index.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "KK_30-8-17-E6-CCSTEP-NODRUG.mat\n", + "faulty\n", + "NC_10-10-17-E4-CCSTEP-NODRUG.mat\n", + "NC_10-10-17-E5-CCSTEP-NODRUG.mat\n", + "NC_11-7-17-E4-CCSTEP-NODRUG.mat\n", + "NC_11-10-17-E1-CCSTEP-NODRUG.mat\n", + "NC_11-10-17-E3-CCSTEP-NODRUG.mat\n", + "NC_11-10-17-E4-CCSTEP-NODRUG.mat\n", + "NC_12-7-17-E2-CCSTEP-NODRUG.mat\n", + "NC_12-10-17-E6-CCSTEP-NODRUG.mat\n", + "NC_14-9-17-E1-CCSTEP-NODRUG.mat\n", + "NC_15-8-17-E1-CCSTEP-NODRUG.mat\n", + "NC_15-8-17-E3-CCSTEP-NODRUG.mat\n", + "NC_15-8-17-E4-CCSTEP-NODRUG.mat\n", + "NC_16-8-17-E1-CCSTEP-NODRUG.mat\n", + "problem with NC_16-8-17-E1-CCSTEP-NODRUG.mat\n", + "problem with NC_16-8-17-E1-CCSTEP-DRUG.mat\n", + "NC_16-8-17-E2-CCSTEP-NODRUG.mat\n", + "NC_17-10-17-E2-CCSTEP-NODRUG.mat\n", + "NC_17-10-17-E4-CCSTEP-NODRUG.mat\n", + "NC_20-12-17-E4-CCSTEP-NODRUG.mat\n", + "NC_21-8-17-E3-CCSTEP-NODRUG.mat\n", + "NC_26-6-17-E1-CCSTEP-NODRUG.mat\n", + "NC_26-6-17-E2-CCSTEP-NODRUG.mat\n", + "NC_30-8-17-E1-CCSTEP-NODRUG.mat\n", + "NC_30-8-17-E3-CCSTEP-NODRUG.mat\n", + "xuan_23-10-18-E1-CCSTEP-NODRUG.mat\n", + "xuan_23-11-18-E2-CCSTEP-NODRUG.mat\n", + "xuan_26-3-19-E2-CCSTEP-NODRUG.mat\n", + "xuan_26-3-19-E4-CCSTEP-NODRUG.mat\n", + "xuan_28-3-19-E4-CCSTEP-NODRUG.mat\n", + "xuan_7-3-19-E2-CCSTEP-NODRUG.mat\n", + "xuan_12-10-18-E2-CCSTEP-NODRUG.mat\n", + "xuan_15-10-18-E2-CCSTEP-NODRUG.mat\n", + "xuan_17-1-19-E3-CCSTEP-NODRUG.mat\n", + "xuan_22-1-19-E2-CCSTEP-NODRUG.mat\n", + "xuan_9-5-19-E3-CCSTEP-NODRUG.mat\n", + "xuan_10-5-19-E1-CCSTEP-NODRUG.mat\n", + "xuan_26-6-19-E6-CCSTEP-NODRUG.mat\n", + "xuan_5-6-19-E3-CCSTEP-NODRUG.mat\n", + "xuan_5-6-19-E4-CCSTEP-NODRUG.mat\n", + "xuan_25-5-20-E2-CCSTEP-NODRUG.mat\n", + "xuan_26-5-20-E2-CCSTEP-NODRUG.mat\n", + "xuan_26-5-20-E3-CCSTEP-NODRUG.mat\n", + "xuan_26-5-20-E4-CCSTEP-NODRUG.mat\n", + "xuan_26-5-20-E5-CCSTEP-NODRUG.mat\n", + "xuan_27-5-20-E3-CCSTEP-NODRUG.mat\n", + "xuan_28-5-20-E1-CCSTEP-NODRUG.mat\n", + "xuan_28-5-20-E2-CCSTEP-NODRUG.mat\n", + "xuan_30-6-20-E1-CCSTEP-NODRUG.mat\n", + "xuan_30-6-20-E4-CCSTEP-NODRUG.mat\n", + "xuan_1-6-20-E3-CCSTEP-NODRUG.mat\n", + "xuan_1-6-20-E4-CCSTEP-NODRUG.mat\n", + "xuan_1-6-20-E5-CCSTEP-NODRUG.mat\n", + "xuan_1-7-20-E1-CCSTEP-NODRUG.mat\n", + "xuan_1-7-20-E2-CCSTEP-NODRUG.mat\n", + "xuan_1-7-20-E3-CCSTEP-NODRUG.mat\n", + "xuan_1-7-20-E4-CCSTEP-NODRUG.mat\n", + "xuan_2-6-20-E1-CCSTEP-NODRUG.mat\n", + "xuan_2-6-20-E3-CCSTEP-NODRUG.mat\n", + "xuan_2-6-20-E5-CCSTEP-NODRUG.mat\n", + "xuan_2-7-20-E1-CCSTEP-NODRUG.mat\n", + "xuan_2-7-20-E3-CCSTEP-NODRUG.mat\n", + "xuan_3-6-20-E1-CCSTEP-NODRUG.mat\n", + "xuan_3-6-20-E2-CCSTEP-NODRUG.mat\n", + "xuan_3-6-20-E3-CCSTEP-NODRUG.mat\n", + "xuan_3-6-20-E4-CCSTEP-NODRUG.mat\n", + "xuan_3-7-20-E1-CCSTEP-NODRUG.mat\n", + "xuan_3-7-20-E2-CCSTEP-NODRUG.mat\n", + "xuan_3-7-20-E3-CCSTEP-NODRUG.mat\n", + "xuan_4-6-20-E2-CCSTEP-NODRUG.mat\n", + "xuan_4-6-20-E5-CCSTEP-NODRUG.mat\n", + "xuan_5-6-20-E2-CCSTEP-NODRUG.mat\n", + "xuan_9-6-20-E2-CCSTEP-NODRUG.mat\n", + "xuan_10-6-20-E4-CCSTEP-NODRUG.mat\n", + "xuan_11-6-20-E1-CCSTEP-NODRUG.mat\n", + "xuan_11-6-20-E2-CCSTEP-NODRUG.mat\n", + "xuan_11-6-20-E3-CCSTEP-NODRUG.mat\n", + "xuan_14-6-20-E1-CCSTEP-NODRUG.mat\n", + "xuan_14-6-20-E3-CCSTEP-NODRUG.mat\n" + ] + } + ], "source": [ "# Spike Threshold \n", "\n", @@ -1147,365 +1232,105 @@ "# collect_all_spike_data('D2')\n", "# collect_all_spike_data('dopamine')\n", "# collect_all_spike_data('5HT-1f(S1 cortex)')\n" - ], + ] + }, + { + "cell_type": "code", + "execution_count": null, "metadata": { - "id": "MAqaDEd18T7y", "colab": { - "base_uri": "https://localhost:8080/" + "base_uri": "https://localhost:8080/", + "height": 1000 }, - "outputId": "ba175509-d4e1-4ee0-9d45-2c06e9be1eb2" + "id": "miQDBITVrPbB", + "outputId": "0c954c75-22ad-437e-e299-e7d8dd3caac4" }, - "execution_count": null, "outputs": [ { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ - ":31: UserWarning: Boolean Series key will be reindexed to match DataFrame index.\n", - ":32: UserWarning: Boolean Series key will be reindexed to match DataFrame index.\n" + ":6: UserWarning: Boolean Series key will be reindexed to match DataFrame index.\n", + ":7: UserWarning: Boolean Series key will be reindexed to match DataFrame index.\n" ] }, { - "output_type": "stream", "name": "stdout", + "output_type": "stream", "text": [ - "KK_30-8-17-E6-CCSTEP-NODRUG.mat\n", - "faulty\n", - "NC_10-10-17-E4-CCSTEP-NODRUG.mat\n", - "NC_10-10-17-E5-CCSTEP-NODRUG.mat\n", - "NC_11-7-17-E4-CCSTEP-NODRUG.mat\n", - "NC_11-10-17-E1-CCSTEP-NODRUG.mat\n", - "NC_11-10-17-E3-CCSTEP-NODRUG.mat\n", - "NC_11-10-17-E4-CCSTEP-NODRUG.mat\n", - "NC_12-7-17-E2-CCSTEP-NODRUG.mat\n", - "NC_12-10-17-E6-CCSTEP-NODRUG.mat\n", - "NC_14-9-17-E1-CCSTEP-NODRUG.mat\n", - "NC_15-8-17-E1-CCSTEP-NODRUG.mat\n", - "NC_15-8-17-E3-CCSTEP-NODRUG.mat\n", - "NC_15-8-17-E4-CCSTEP-NODRUG.mat\n", - "NC_16-8-17-E1-CCSTEP-NODRUG.mat\n", - "problem with NC_16-8-17-E1-CCSTEP-NODRUG.mat\n", - "problem with NC_16-8-17-E1-CCSTEP-DRUG.mat\n", - "NC_16-8-17-E2-CCSTEP-NODRUG.mat\n", - "NC_17-10-17-E2-CCSTEP-NODRUG.mat\n", - "NC_17-10-17-E4-CCSTEP-NODRUG.mat\n", - "NC_20-12-17-E4-CCSTEP-NODRUG.mat\n", - "NC_21-8-17-E3-CCSTEP-NODRUG.mat\n", - "NC_26-6-17-E1-CCSTEP-NODRUG.mat\n", - "NC_26-6-17-E2-CCSTEP-NODRUG.mat\n", - "NC_30-8-17-E1-CCSTEP-NODRUG.mat\n", - "NC_30-8-17-E3-CCSTEP-NODRUG.mat\n", - "xuan_23-10-18-E1-CCSTEP-NODRUG.mat\n", - "xuan_23-11-18-E2-CCSTEP-NODRUG.mat\n", - "xuan_26-3-19-E2-CCSTEP-NODRUG.mat\n", - "xuan_26-3-19-E4-CCSTEP-NODRUG.mat\n", - "xuan_28-3-19-E4-CCSTEP-NODRUG.mat\n", - "xuan_7-3-19-E2-CCSTEP-NODRUG.mat\n", - "xuan_12-10-18-E2-CCSTEP-NODRUG.mat\n", - "xuan_15-10-18-E2-CCSTEP-NODRUG.mat\n", - "xuan_17-1-19-E3-CCSTEP-NODRUG.mat\n", - "xuan_22-1-19-E2-CCSTEP-NODRUG.mat\n", - "xuan_9-5-19-E3-CCSTEP-NODRUG.mat\n", - "xuan_10-5-19-E1-CCSTEP-NODRUG.mat\n", - "xuan_26-6-19-E6-CCSTEP-NODRUG.mat\n", - "xuan_5-6-19-E3-CCSTEP-NODRUG.mat\n", - "xuan_5-6-19-E4-CCSTEP-NODRUG.mat\n", - "xuan_25-5-20-E2-CCSTEP-NODRUG.mat\n", - "xuan_26-5-20-E2-CCSTEP-NODRUG.mat\n", - "xuan_26-5-20-E3-CCSTEP-NODRUG.mat\n", - "xuan_26-5-20-E4-CCSTEP-NODRUG.mat\n", - "xuan_26-5-20-E5-CCSTEP-NODRUG.mat\n", - "xuan_27-5-20-E3-CCSTEP-NODRUG.mat\n", - "xuan_28-5-20-E1-CCSTEP-NODRUG.mat\n", - "xuan_28-5-20-E2-CCSTEP-NODRUG.mat\n", - "xuan_30-6-20-E1-CCSTEP-NODRUG.mat\n", - "xuan_30-6-20-E4-CCSTEP-NODRUG.mat\n", - "xuan_1-6-20-E3-CCSTEP-NODRUG.mat\n", - "xuan_1-6-20-E4-CCSTEP-NODRUG.mat\n", - "xuan_1-6-20-E5-CCSTEP-NODRUG.mat\n", - "xuan_1-7-20-E1-CCSTEP-NODRUG.mat\n", - "xuan_1-7-20-E2-CCSTEP-NODRUG.mat\n", - "xuan_1-7-20-E3-CCSTEP-NODRUG.mat\n", - "xuan_1-7-20-E4-CCSTEP-NODRUG.mat\n", - "xuan_2-6-20-E1-CCSTEP-NODRUG.mat\n", - "xuan_2-6-20-E3-CCSTEP-NODRUG.mat\n", - "xuan_2-6-20-E5-CCSTEP-NODRUG.mat\n", - "xuan_2-7-20-E1-CCSTEP-NODRUG.mat\n", - "xuan_2-7-20-E3-CCSTEP-NODRUG.mat\n", - "xuan_3-6-20-E1-CCSTEP-NODRUG.mat\n", - "xuan_3-6-20-E2-CCSTEP-NODRUG.mat\n", - "xuan_3-6-20-E3-CCSTEP-NODRUG.mat\n", - "xuan_3-6-20-E4-CCSTEP-NODRUG.mat\n", - "xuan_3-7-20-E1-CCSTEP-NODRUG.mat\n", - "xuan_3-7-20-E2-CCSTEP-NODRUG.mat\n", - "xuan_3-7-20-E3-CCSTEP-NODRUG.mat\n", - "xuan_4-6-20-E2-CCSTEP-NODRUG.mat\n", - "xuan_4-6-20-E5-CCSTEP-NODRUG.mat\n", - "xuan_5-6-20-E2-CCSTEP-NODRUG.mat\n", - "xuan_9-6-20-E2-CCSTEP-NODRUG.mat\n", - "xuan_10-6-20-E4-CCSTEP-NODRUG.mat\n", - "xuan_11-6-20-E1-CCSTEP-NODRUG.mat\n", - "xuan_11-6-20-E2-CCSTEP-NODRUG.mat\n", - "xuan_11-6-20-E3-CCSTEP-NODRUG.mat\n", - "xuan_14-6-20-E1-CCSTEP-NODRUG.mat\n", - "xuan_14-6-20-E3-CCSTEP-NODRUG.mat\n" - ] - } - ] - }, - { - "cell_type": "code", - "source": [ - "from sklearn.linear_model import LinearRegression\n", - "from scipy import stats\n", - "\n", - "def calculate_resistance(condition):\n", - " cond = condition \n", - " file_cond = np.array(df_CC_exp[df_CC_exp['condition']==cond][df_CC_exp['drug']==False]['CC_files']) \n", - " file_cond_acsf = np.array(df_CC_exp[df_CC_exp['condition']==cond][df_CC_exp['drug']==True]['CC_files']) \n", - " dt = 1/20000\n", - " searchthreshold = 0\n", - " thresholdwindow = [1 , 0.25] \n", - " refractory_period = 3\n", - " derthreshold = [[1, 0.000008] ,[2, 0.000008]]\n", - " nwindow = [np.round(thresholdwindow[0]*dt), np.round(thresholdwindow[1]/dt)]\n", - " R_drug = []\n", - " R_acsf = []\n", - "\n", - " # print(len(file_cond))\n", - " for drug_file,acsf_file in zip(np.array(file_cond),np.array(file_cond_acsf)):\n", - " # print(drug_file)\n", - " value_dict_drug = returnVsandIs(drug_file)\n", - " if check_for_faultycell(value_dict_drug,drug_file,'NC_16-8-17-E1-CCSTEP-DRUG.mat'):\n", - " print('faulty')\n", - " pass\n", - " else:\n", - " try:\n", - " for trial,vals in value_dict_drug.items():\n", - " # print(trial)\n", - " for steps,I_ in zip(vals['V'],vals['I']): \n", - " V = steps\n", - " I = I_\n", - " # if drug_file == 'NC_16-8-17-E1-CCSTEP-DRUG.mat':\n", - " # plt.plot(V)\n", - " # plt.show()\n", - "\n", - " spk_ind, thr, thr_ind = get_threshold_fontaine(np.expand_dims(V,axis=1),dt=dt,searchthreshold = searchthreshold,windown = nwindow,refractory_period = refractory_period,derthreshold =derthreshold )\n", - "\n", - " if sum(np.array(spk_ind).flatten().shape) ==0:\n", - " \n", - " # fig,ax = plt.subplots(1,2)\n", - " I_der = np.diff(I[:int(0.8*len(I))])\n", - " min = np.argmin(np.diff(I[:int(0.8*len(I))]))\n", - " max = np.argmax(np.diff(I[:int(0.8*len(I))])) \n", - " V_delta = np.mean(V[min-500:min-50]-V[0])\n", - " I_delta = np.mean(I[min-500:min-50]-I[0])\n", - "\n", - " R = V_delta/I_delta\n", - " # try:\n", - " # df_r = pd.DataFrame({'I':pd.Series(I[max+500:min-50]),'V':pd.Series(V[max+500:min-50])})\n", - " # R = stats.linregress(df_r.I, df_r.V)\n", - " # ax[0].plot(I)\n", - " # ax[0].plot(np.arange(max+50,min-50),I[max+50:min-50])\n", - " # ax[1].plot(V)\n", - " # plt.show()\n", - " # except:\n", - " # print(spk_ind)\n", - " # print('problem')\n", - " # ax[0].plot(I)\n", - " # ax[1].plot(V)\n", - " # plt.show()\n", - " else:\n", - " break\n", - " # fig,ax = plt.subplots(1,2)\n", - " # ax[0].plot(I)\n", - " # ax[0].plot(np.arange(max+50,min-50),I[max+50:min-50])\n", - " # ax[1].plot(V)\n", - " # plt.show()\n", - " print(R/1e6)\n", - " R_drug.append(R) \n", - " except:\n", - " print('problem with '+drug_file)\n", - "\n", - "\n", - " value_dict_acsf = returnVsandIs(acsf_file)\n", - " if check_for_faultycell(value_dict_acsf,acsf_file,'NC_16-8-17-E1-CCSTEP-NODRUG.mat'):\n", - " print('faulty')\n", - " pass\n", - " else:\n", - " try:\n", - " for trial,vals in value_dict_acsf.items():\n", - " # print(trial)\n", - " for steps,I_ in zip(vals['V'],vals['I']): \n", - " V = steps\n", - " I = I_\n", - " # if acsf_file == 'NC_16-8-17-E1-CCSTEP-NODRUG.mat':\n", - " # plt.plot(V)\n", - " # plt.show()\n", - "\n", - " spk_ind, thr, thr_ind = get_threshold_fontaine(np.expand_dims(V,axis=1),dt=dt,searchthreshold = searchthreshold,windown = nwindow,refractory_period = refractory_period,derthreshold =derthreshold )\n", - "\n", - " if sum(np.array(spk_ind).flatten().shape) ==0:\n", - " \n", - " I_der = np.diff(I[:int(0.8*len(I))])\n", - " min = np.argmin(np.diff(I[:int(0.8*len(I))]))\n", - " max = np.argmax(np.diff(I[:int(0.8*len(I))])) \n", - " V_delta = np.mean(V[min-500:min-50]-V[0])\n", - " I_delta = np.mean(I[min-500:min-50]-I[0])\n", - "\n", - " R = V_delta/I_delta\n", - " # fig,ax = plt.subplots(1,2)\n", - "\n", - " # ax[0].plot(I)\n", - " # ax[0].plot(np.arange(min-500,min-50),I[min-500:min-50])\n", - " # ax[1].plot(V)\n", - " # plt.show()\n", - " # try:\n", - "\n", - " # df_r = pd.DataFrame({'I':pd.Series(I[max+500:min-50]),'V':pd.Series(V[max+500:min-50])})\n", - " # R = stats.linregress(df_r.I, df_r.V)\n", - " \n", - " # except:\n", - " # print(spk_ind)\n", - " # print('problem')\n", - " # ax[0].plot(I)\n", - " # ax[1].plot(V)\n", - " # plt.show()\n", - " else:\n", - " break\n", - "\n", - " # fig,ax = plt.subplots(1,2)\n", - " # ax[0].plot(I)\n", - " # ax[0].plot(np.arange(max+50,min-50),I[max+50:min-50])\n", - " # ax[1].plot(V)\n", - " # plt.show()\n", - " print(R/1e6)\n", - " R_acsf.append(R) \n", - " except:\n", - " print('problem with '+acsf_file)\n", - " R_drug = np.array(R_drug).flatten()\n", - " R_drug = R_drug[~np.isnan(R_drug)]\n", - " R_acsf = np.array(R_acsf).flatten() \n", - " R_acsf = R_acsf[~np.isnan(R_acsf)]\n", - " R_acsf = R_acsf[~np.isinf(R_acsf)]\n", - "\n", - " from sklearn.preprocessing import StandardScaler\n", - "\n", - " # print(R_acsf)\n", - " df = pd.DataFrame({'R '+cond:pd.Series(R_drug),'R acsf':pd.Series(R_acsf)}) \n", - " # print(df)\n", - "\n", - " scaler = StandardScaler()\n", - " scaler.fit(df)\n", - " scaled = scaler.fit_transform(df)\n", - " scaled_df = pd.DataFrame(scaled, columns=df.columns)\n", - "\n", - " sns.histplot(df)\n", - " plt.show() \n", - " return R_drug,R_acsf \n", - "\n", - "R_drug_d1, R_acsf_d1 = calculate_resistance('D1')\n", - "\n", - "R_drug_sag_m1, R_acsf_sag_m1 = calculate_resistance('5HT1f(M1 cortex)')\n", - "R_drug_d2, R_acsf_d2 = calculate_resistance('D2')\n", - "R_drug_dop, R_acsf_dop = calculate_resistance('dopamine')\n", - "R_drug_sag, R_acsf_sag= calculate_resistance('5HT-1f(S1 cortex)')\n" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 - }, - "id": "miQDBITVrPbB", - "outputId": "0c954c75-22ad-437e-e299-e7d8dd3caac4" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "stream", - "name": "stderr", - "text": [ - ":6: UserWarning: Boolean Series key will be reindexed to match DataFrame index.\n", - ":7: UserWarning: Boolean Series key will be reindexed to match DataFrame index.\n" - ] - }, - { - "output_type": "stream", - "name": "stdout", - "text": [ - "problem with KK_30-8-17-E6-CCSTEP-NODRUG.mat\n", - "problem with KK_30-8-17-E6-CCSTEP-DRUG.mat\n", - "177.8918692960509\n", - "164.2691035692692\n", - "176.94703138517005\n", - "78.51284774335716\n", - "73.1712145346553\n", - "76.50918559823342\n", - "196.52098864074227\n", - "215.59127785078016\n", - "217.53042755054193\n", - "227.80579381369947\n", - "246.66449041931693\n", - "169.22807599746318\n", - "159.39838835148092\n", - "161.2140661753134\n", - "162.70423618900148\n", - "164.22875868445686\n", - "163.7207260215401\n", - "282.4566778108841\n", - "336.72786168642205\n", - "297.1174447641817\n", - "90.75454253477453\n", - "90.61176150305826\n", - "90.53931577583656\n", - "202.5082432557129\n", - "244.91780134914265\n", - "270.9674015139377\n", - "81.12174246856577\n", - "76.84554518500455\n", - "77.25638049564034\n", - "241.97193594794246\n", - "237.87080097137948\n", - "266.21703901832075\n", - "320.14065686846146\n", - "332.63099144431305\n", - "332.046544222452\n", - "237.64108310458357\n", - "231.16599740394227\n", - "258.9335467737816\n", - "335.6663143382326\n", - "338.0964739750482\n", - "317.52548313224213\n", - "137.9087193386073\n", - "147.42058505626335\n", - "135.72734669349322\n", - "153.62588061978914\n", - "158.82903833702028\n", - "147.56544100811604\n", - "202.26543006778016\n", - "191.00696593885698\n", - "191.39661983684954\n", - "191.41339450614097\n", - "184.53511011413428\n", - "186.20261002664557\n", - "264.89104210918947\n", - "245.34803262572336\n", - "245.31666197355037\n", - "240.6051714255446\n", - "242.04824956446743\n", - "227.69188009699172\n", - "227.69188009699172\n", - "322.42893814582516\n", - "339.2407209074459\n", - "235.75105681290765\n", - "238.52447881011673\n", - "202.60718721602805\n", - "184.26372892772864\n", - "194.9926270785069\n", - "189.96760468305877\n", - "89.6179289808278\n", - "88.80645857729645\n", - "88.5839769284869\n", + "problem with KK_30-8-17-E6-CCSTEP-NODRUG.mat\n", + "problem with KK_30-8-17-E6-CCSTEP-DRUG.mat\n", + "177.8918692960509\n", + "164.2691035692692\n", + "176.94703138517005\n", + "78.51284774335716\n", + "73.1712145346553\n", + "76.50918559823342\n", + "196.52098864074227\n", + "215.59127785078016\n", + "217.53042755054193\n", + "227.80579381369947\n", + "246.66449041931693\n", + "169.22807599746318\n", + "159.39838835148092\n", + "161.2140661753134\n", + "162.70423618900148\n", + "164.22875868445686\n", + "163.7207260215401\n", + "282.4566778108841\n", + "336.72786168642205\n", + "297.1174447641817\n", + "90.75454253477453\n", + "90.61176150305826\n", + "90.53931577583656\n", + "202.5082432557129\n", + "244.91780134914265\n", + "270.9674015139377\n", + "81.12174246856577\n", + "76.84554518500455\n", + "77.25638049564034\n", + "241.97193594794246\n", + "237.87080097137948\n", + "266.21703901832075\n", + "320.14065686846146\n", + "332.63099144431305\n", + "332.046544222452\n", + "237.64108310458357\n", + "231.16599740394227\n", + "258.9335467737816\n", + "335.6663143382326\n", + "338.0964739750482\n", + "317.52548313224213\n", + "137.9087193386073\n", + "147.42058505626335\n", + "135.72734669349322\n", + "153.62588061978914\n", + "158.82903833702028\n", + "147.56544100811604\n", + "202.26543006778016\n", + "191.00696593885698\n", + "191.39661983684954\n", + "191.41339450614097\n", + "184.53511011413428\n", + "186.20261002664557\n", + "264.89104210918947\n", + "245.34803262572336\n", + "245.31666197355037\n", + "240.6051714255446\n", + "242.04824956446743\n", + "227.69188009699172\n", + "227.69188009699172\n", + "322.42893814582516\n", + "339.2407209074459\n", + "235.75105681290765\n", + "238.52447881011673\n", + "202.60718721602805\n", + "184.26372892772864\n", + "194.9926270785069\n", + "189.96760468305877\n", + "89.6179289808278\n", + "88.80645857729645\n", + "88.5839769284869\n", "problem with NC_16-8-17-E1-CCSTEP-NODRUG.mat\n", "problem with NC_16-8-17-E1-CCSTEP-DRUG.mat\n", "-73.04861159128885\n", @@ -1563,26 +1388,26 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, - "metadata": {} + "metadata": {}, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ ":6: UserWarning: Boolean Series key will be reindexed to match DataFrame index.\n", ":7: UserWarning: Boolean Series key will be reindexed to match DataFrame index.\n" ] }, { - "output_type": "stream", "name": "stdout", + "output_type": "stream", "text": [ "390.0224028094293\n", "353.3673527815709\n", @@ -1665,34 +1490,34 @@ ] }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/matplotlib/backends/backend_agg.py:214: RuntimeWarning: Glyph 65289 missing from current font.\n", "/usr/local/lib/python3.8/dist-packages/matplotlib/backends/backend_agg.py:183: RuntimeWarning: Glyph 65289 missing from current font.\n" ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, - "metadata": {} + "metadata": {}, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ ":6: UserWarning: Boolean Series key will be reindexed to match DataFrame index.\n", ":7: UserWarning: Boolean Series key will be reindexed to match DataFrame index.\n" ] }, { - "output_type": "stream", "name": "stdout", + "output_type": "stream", "text": [ "230.29229527298023\n", "92.15454563559021\n", @@ -1717,77 +1542,290 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + ":6: UserWarning: Boolean Series key will be reindexed to match DataFrame index.\n", + ":7: UserWarning: Boolean Series key will be reindexed to match DataFrame index.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "130.51133303571982\n", + "193.99344729540013\n", + "175.26890224673025\n", + "130.22310452574976\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + ":6: UserWarning: Boolean Series key will be reindexed to match DataFrame index.\n", + ":7: UserWarning: Boolean Series key will be reindexed to match DataFrame index.\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "135.39756846120295\n", + "152.10161787993073\n", + "200.89271332894577\n", + "187.8869756250662\n", + "168.12278824376665\n", + "154.34473144346597\n" + ] + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAf8AAAEICAYAAABRZv0fAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nO3de1xUdf4/8NfMMKNyUS6OOIguoi2ySVfFJaXyQmIxO1SapuQFxLxF2qZSmohSCbVsiYrlt+xrt3XV0i8XFS33Z1paGSsqqJTihbuAKYgCM+f3h+tsBMKMzpwZ5ryej4ePx8z5fObM+wMHX3M+58w5MkEQBBAREZFkyG1dABEREYmL4U9ERCQxDH8iIiKJYfgTERFJDMOfiIhIYhj+REREEsPwJyIikhgnWxcgppqaOhgMHfeyBl5erqiqqrV1GaLjuKWF45YWjts65HIZPDxcbtkuqfA3GIQOHf4AOnz9t4vjlhaOW1o4bvFx2p+IiEhiGP5EREQSI6lpfyIieyEIAmpqKtHQcA2AgIoKOQwGg63LEh3HfadkUKk6w8NDDZlMZvKrGP5ERDZQW/srZDIZvL19IZPJ4eQkR1OT9EKQ474zgmDApUsXUVv7K9zc3E1+nSjT/jU1NYiNjcXo0aOh1Woxd+5cVFdXt+hXX1+PefPmISwsDOHh4di7d69JbUREHU19fS3c3Nwhk/HoK90+mUwONzcP1Neb980BUbY6mUyG6dOnY9euXcjIyEDv3r3x9ttvt+j3wQcfwNXVFbt378a6deuwZMkS1NXVtdtGRNTRGAx6KBScfKU7p1A4wWDQm/UaUcLf3d0dQ4YMMT6/7777UFJS0qLfjh07MH78eACAn58fBg4ciH379rXbRkTUEZlzjJboVm5nOxL9Y6fBYMDnn3+OESNGtGgrKSlBr169jM81Gg3KysrabTOVl5frbVZtP9RqN1uXYBOmjlsw6CGTK6xcjXgc4fd9O78TRxh3eyoqbhzn/y13d2colZbffhsb9aitvWbx9VrK738OUmHJccvlcrP+bkQP/xUrVsDZ2RlRUVFivzWqqmo79MUk1Go3VFZesXUZojNn3Gq1Gy7s2GjlisTh7NIJV+uu27qMO+Y7ZrJZ261UtnODwdDshC8nJzmUSgXWf3HE4u8V+9S97Z5cNnasFiqVCkqlCk1NjZgwIQpabWSrfefOnYHy8nK4uNy4gty4cRPwxBN/Ma4nJeXv8Pfvb+wfE/Mc5sx5EUePHsHevV8BAIqLz8Pd3ROuri4QBCA5ORXe3j2Nr/nss4+RkfElLlw4j5UrUzF0aKix7ejRI0hJeR1OTk544YWX8MADg5CWloqBA+/B8OGjcPnyZfztbytx+vTPkMlkkMsVeOGF+XjwwcEoLDyJ1NRknDp1EiEhQ5GUlHJ7P1QT/fTTj2hqakJw8J+bLTfnhL+GhgbMmhWDd99Nh6tr6zuxBoOh2d+NXC5rc4dX1PBPTk7G2bNnsW7dOsjlLT/x+Pj4oLi4GJ6engCA0tJS4+GCttqIiOjOJSUlw9+/P06f/hnR0VEICRmK7t3VrfadN+/lZoFsiilTYjBlSgyAGx8gnn32OTzyyCOthuD99z+ARx4ZjjffXN6ibdeubIwZE4GJEycDACoqyvHDD4cwd+58AMD69eno0cMby5a9DplMhl9/vYT6+hszHx4enpg79yUUFp7Ejz8eMqt+czU1NSE39zDq6+tbhL85VCoVRo8eg02bPkVMzPMWqU20uZbU1FQcO3YMa9asgUqlarVPeHg4Nm3aBAAoKirC0aNHERoa2m4bERFZjr9/f7i5dUVlZYXNaggMvBu9evm2WP7ZZxvx1Ve7sXnzPzB16kRcv34N2dkZePTRkcZj35WV5ejevbvxebdu7ujZ88asQvfuatx998Bb5tBvHTuWh1mzYjBlyrOYMuVZfP/9QQBAQcFxPP/8NEyZMgHPPz8NBQXHAQClpSV44omRWL36HURHT8K2bVuwffsX2LkzC1OnTsTHH38EAPjuu/2IjZ2G6OgoPP/8NBw7dhQAsHLlCqxa9TcAQHV1FcaN+wsKC08CAEaOfAyZmdtv98fZgih7/oWFhXjvvffg5+eHCRMmAAB8fX2xZs0a6HQ6vP/++/D29kZMTAzi4+MRFhYGuVyO5cuXG6c42mojIiLLycv7N7p1c0f//n+8ZZ+1a9/Fe++tRv/+f8SsWS9Are5hbFuyZBFUqk7G5+fPn7VYbRMnTsaZM6cxYEAgnn76xkngubmH8eyzzxn7jB07AUuWLMKePbswcOC9GDbsYTz44GCz3ufy5V/x6qsL8PrrKQgKuhd6vR51dXVobGzE4sUL8eqrCRg0KBg//HAIixcvxKZN2wAAv/76KwID/4S5c+cZn9fX1xufFxdfwEcffYBVq9agUydnnD79C15+OQ5ffJGF+fMXYMaMqdi371/YuvWfePbZ53DXXQEAAC+v7nByUuLs2SL84Q9+d/pjFCf877rrLpw8ebLVtu3b//tJxtnZGatWrWq1X1ttRER055YsWQRBEFBcfAErVqyEUqlstd9rry2Ht3dP6PV6fPzxBixd+grS0z8wtt88fHBTTMxzra3GYioqyo2HhAFg0KBgfPFFJn766Ufk5f0br70Wj2effQ7PPTfV5HUeO3YUfn59ERR0LwBAoVCga9eu+OWXn6FUKjFoUDAAYPDgIVAqlTh37iycnZ2hUnXCiBFht1zvoUPfobj4AmbOnA7hP6eg6fV6VFdXwdPTC8uXr8T06c9hyJAQPPXUuGav9fLyQkVFeccJfyIisn83Q/vrr/fgjTcSERR0Lzw9vVr0u3linkKhwDPPPIsNG9bDYDC0ei6XKQ4d+g7p6WkAgMceCzceyzdVp06d0dDQ0GyZs7MLhg17BMOGPYKAgEBs3PihWeF/u7p06dzmV+8EQcCQISFITExq9VyHoqLTcHZ2QVVVFZqamuDk9N+Ybmi4jk6dOrV4ze2Q5vcriIjolkaMGIXBg/9sPEb9W01NTaiurjI+3717F/z9+9128APAkCEh+Oijz/DRR5+ZHfwA0K9fP5w7999DCz/8cBB1dTeueCcIAk6dOgmNxsesdQ4cGISiojM4diwPwI2988uXL6NPnz+gsbERP/30IwDg8OEf0NTUhD59/tDqelxcXIy1AEBw8J9x6NB3OH36F+Oym+cMlJQU4913/4bVq99Hr16+WL8+3dhHr9ejpKS42YzKneCePxGRnWho1CP2qXutsl5zzZw5FzExUZg0aQq6d+9uXN7Y2IgFC+ahqakRgiCge/ceSEx8w5LlArhxYt/mzf/ApUs1eOONZVCpOuGTT/4JF5eW53o9/PAIfP31bjz+uBYA8PPPPyMt7e8Q/jOv7uvbB/PnLwRw46S82bOn49q1a2houI4nn3wcMTEzEBHR/GuNXbt2w+uvpyAt7e+4dq0eMpkcc+a8iMGDh+D111Pwzjtv49q1enTu3AVJScm3PETy8MPD8eqrCzB16kSMHPkYnntuKpYuXYHXX0/EtWvX0dTUiKCge9G//x+RkPAKZs58Ab1798Ff/xqP2NjJuO++BxASMhRHjx7Bn/400GLnusmEmz8dCeD3/Dsmfs+/Y+P3/FtXVnYWPXv+d2+RN7i5fXq9HrGxk5GS8m6zDyr2zNxxL1u2GBEROuO5Br/3++2pve/5c9qfiIg6NIVCgQULXkVpabGtS7GKhoYG3Hff/bcM/tvBaX8iIurwAgPvtnUJVqNSqRAZOdai6+SePxERkcQw/ImIiCSG4U9ERCQxDH8iIiKJ4Ql/RER2wtO9MxS3+L74ndA3NqL60rU2+5hzS19LWrduDb7+eg/c3T2wdu3/WP396AaGPxGRnVAolVa5ToXvmMkA2g5/wLxb+lrK559/gi1bMuHh4WHV96HmGP5ERNTMb2/p21r4r179Dv7975/Q2NgId3d3vPLKUvTsqQEAHDjwDT788H00NTVBLpdh8eJE+Pr2RlJSAoqKTkOhcEKfPn/AihUrMXv2dFy/fh3z5s1CcHAI5sx5UeyhShbDn4iImmnvlr5RUVONt6jNyNiG9PRVSEx8E+fOnUVychLWrFmP3r37oKGhAU1NjTh06DtcvVqHTz7ZDAC4fPkyAGDt2v/BsGGDkJ7+IZydncUZHAFg+BMR0X+YekvfgwcP4IsvNqO+/ir0+v/eN+CHHw7hz39+CL179wFw4+I0KpUK/fvfhaKiM/jb35Jx//0P4qGHhokyHro1hj8REQEw7Za+ZWWlSEtLxfr1G+Hj0wtHjx5BYuKSNtfbq5cvPvnkn/jxxx9w8OABvP/+Gvzv//7DYrenJfOJ9lW/5ORkjBgxAgEBATh16lSrfRYuXAidTmf8N2DAAHz11VcAgLS0NISEhBjbEhMTxSqdiEhS2rqlb11dHZyclPDy8oLBYMC2bVuNbcHBf8bBg9/i/PlzAG5ck/7q1TpUVJRDLlfg4YcfRVzcX3HpUg2uXLks1nCoFaLt+Y8cORKTJ0/GpEmTbtknJSXF+PjEiROYMmUKQkNDjcsiIyOxaNEiq9ZJRGQr+sbG/5yZb/n1mutWt/Tt168/hg8fhaioZ9CtmztCQobiyJFcAEDv3n2wcOFiJCS8Ar3eAIVCjsWLE1FRUY5161YDAAwGPaKiplr9WwTUNtHCf9CgQWb137JlC7TaG987JSKSghvfxW//K3nWsGVLRrPnvr69sWvX/2u177x5L2PevJeNz2Ninjc+HjbsYQwb9nCz/v369UdIyNBW13Xw4E+SvJWxrdnlFf4aGhqQkZGBp59+utnyrKwsaLVaREdHIzc310bVERERdWx2ecLfnj174OPjg8DAQOOyCRMmYObMmVAqlThw4ABmz56N7Oxssy4M4eXlao1yRaVWu9m6BJswZ9zOLo5zEpGjjMXc7VYK23lFhRxOTs33v37/XCo47jsnl8vN+ruxy/DfunVri71+tfq/x4eGDh0KjUaDwsJCBAcHm7zeqqpaGAyCxeoUm1rthsrKK7YuQ3TmjFutdsPVuutWrkgczi6dHGIsnoBZ261UtnODwYDGRj1kMhmAG0EgxelvjvvOCYIAg8HQ7O9GLpe1ucNrdx+3ysrKcPjwYWi12mbLy8vLjY8LCgpQXFyMvn37il0eEZFFyOUK6PVNti6DHIBe3wS5XGHWa0Tb809KSkJOTg4uXryIadOmwd3dHVlZWYiNjUVcXByCgoIAAF9++SWGDx+Obt26NXt9amoqjh8/DrlcDqVSiZSUlGazAUREHUmXLq64cuUS3N29IJPZ3X4YdRCCYMCVKzXo0sW8w9oyQRA67jy4mTjt3zGZO+1vjRuj2IKjTPv7jpnMaf9WCIKAmppKNDRcAyBALpfDYJDe9DfHfadkUKk6w8NDbTyEdGP9bU/72+UxfyIiRyeTyeDp2cP4XCofen6P47YNzjURERFJDMOfiIhIYhj+REREEsPwJyIikhiGPxERkcQw/ImIiCSG4U9ERCQxDH8iIiKJYfgTERFJDMOfiIhIYhj+REREEsPwJyIikhiGPxERkcQw/ImIiCSG4U9ERCQxDH8iIiKJES38k5OTMWLECAQEBODUqVOt9klLS0NISAh0Oh10Oh0SExONbfX19Zg3bx7CwsIQHh6OvXv3ilU6ERGRQ3ES641GjhyJyZMnY9KkSW32i4yMxKJFi1os/+CDD+Dq6ordu3ejqKgIkyZNQk5ODlxcXKxVMhERkUMSbc9/0KBB0Gg0t/36HTt2YPz48QAAPz8/DBw4EPv27bNUeURERJJhd8f8s7KyoNVqER0djdzcXOPykpIS9OrVy/hco9GgrKzMFiUSERF1aKJN+5tiwoQJmDlzJpRKJQ4cOIDZs2cjOzsbHh4eFlm/l5erRdZjS2q1m61LsAlzxu3s0smKlYjLUcZi7nbL7VxaOG7x2VX4q9Vq4+OhQ4dCo9GgsLAQwcHB8PHxQXFxMTw9PQEApaWlGDJkiFnrr6qqhcEgWLRmManVbqisvGLrMkRnzrjVajdcrbtu5YrE4ezSySHG4gmYtd1yO5cWjts65HJZmzu8djXtX15ebnxcUFCA4uJi9O3bFwAQHh6OTZs2AQCKiopw9OhRhIaG2qROIiKijky0Pf+kpCTk5OTg4sWLmDZtGtzd3ZGVlYXY2FjExcUhKCgIqampOH78OORyOZRKJVJSUoyzATExMYiPj0dYWBjkcjmWL18OV9eOP41PREQkNpkgCB13HtxMnPbvmMyd9r+wY6OVKxKHo0z7+46ZzGl/E3Dc0sJpfyIiIhIVw5+IiEhiGP5EREQSw/AnIiKSGIY/ERGRxDD8iYiIJIbhT0REJDEMfyIiIolh+BMREUkMw5+IiEhiGP5EREQSw/AnIiKSGIY/ERGRxDD8iYiIJIbhT0REJDEMfyIiIolh+BMREUmMk1hvlJycjF27dqG4uBgZGRn44x//2KLPmjVrkJ2dDblcDqVSifnz5yM0NBQAEB8fj2+//RYeHh4AgPDwcMyaNUus8omIiByGaOE/cuRITJ48GZMmTbpln3vuuQfR0dHo0qULTpw4gaioKOzfvx+dO3cGAMyYMQNRUVFilUxEROSQRAv/QYMGtdvn5l4+AAQEBEAQBFy6dAk9e/a0ZmlERESSYrfH/Ldt24Y+ffo0C/4NGzZAq9Vi9uzZ+OWXX2xYHRERUccl2p6/Ob7//nu8++67+PDDD43L5s+fD7VaDblcjm3btmH69OnYs2cPFAqFyev18nK1RrmiUqvdbF2CTZgzbmeXTlasRFyOMhZzt1tu59LCcYvP7sI/NzcXCxYswNq1a+Hv729c7u3tbXwcGRmJN998E2VlZejVq5fJ666qqoXBIFi0XjGp1W6orLxi6zJEZ8641Wo3XK27buWKxOHs0skhxuIJmLXdcjuXFo7bOuRyWZs7vHY17Z+Xl4f58+dj1apVuPvuu5u1lZeXGx9/8803kMvlzT4QEBERkWlE2/NPSkpCTk4OLl68iGnTpsHd3R1ZWVmIjY1FXFwcgoKCkJiYiGvXrmHp0qXG16WkpCAgIACLFi1CVVUVZDIZXF1dkZ6eDicnu5u4ICIisnsyQRA67jy4mTjt3zGZO+1/YcdGK1ckDkeZ9vcdM5nT/ibguKWlw0z779ixo9XlO3fuNL8qIiIishmTw3/x4sWtLv/tFD0RERHZv3YPmp8/fx4AIAiC8fFv21QqlXUqIyIiIqtoN/zDwsIgk8kgCALCwsKatXXv3h0vvPCC1YojIiIiy2s3/E+cOAEAiIqKwieffGL1goiIiMi6TD7mz+AnIiJyDCZ/Uf78+fN45513UFBQgKtXrzZr+9e//mXpuoiIiMhKTA7/l19+Gb1798aiRYvQpUsXa9ZEREREVmRy+BcWFuLzzz+HXG5XVwQmIiIiM5mc5IMHD0Z+fr41ayEiIiIRmLzn36tXL0yfPh1hYWHo3r17s7YXX3zR4oURERGRdZgc/vX19Rg+fDiamppQVlZmzZqIiIjIikwO/zfffNOadRAREZFIzPqq36307t3bIsUQERGR9Zkc/r+9zO9NMpkMAFBQUGD5yoiIiMgqTA7/m5f5vamyshKrV6/GoEGDLF4UERERWc9tf2lfrVZj8eLFSE1NtWQ9REREZGV3dMWe06dPo76+vt1+ycnJGDFiBAICAnDq1KlW++j1eiQmJmLUqFEICwvD5s2bTWojIiIi85g87T9x4kTjMX7gxlf/fv75Z8yZM6fd144cORKTJ0/GpEmTbtknIyMD586dQ05ODi5duoTIyEiEhITA19e3zTYiIiIyj8nhP27cuGbPu3TpggEDBsDPz6/d15pyXkB2djbGjRsHuVwOT09PjBo1Cjt37sT06dPbbCMiIiLzmBz+Tz75pDXrQGlpKXx8fIzPNRqN8WJCbbURERGReUwO/8bGRqSnp2P79u2oqKhAjx49oNPpMHPmTKhUKmvWaDFeXq4WW5deb4BCIf5NjtRqN9Hf0x6YOu4mvQG+YyZbuRrxeNq6AAvQ6w1mb7fczq3PVv+HtYa/7xvE/J2YHP5vvfUW8vLykJiYCB8fH5SUlGDt2rWora3Fq6++eseFaDQalJSU4J577gHQfG+/rTZzVFXVwmAQ2u9oArXaDeu/OGKRdZnKxaUT6uqui/qe9sCcccc+dS/Wrd5k5YrEoVQ5obGhydZl3LGZc8ejsvKKyf3Vajez+jsKscetVrvZxd+Ko2zn5mpt3Ob+rbRFLpe1ucNr8keMnTt3Ij09HcOGDYO/vz+GDRuG1atXY8eOHRYpNDw8HJs3b4bBYEB1dTX27NmD0aNHt9tGRERE5jF5z/+3V/YzZflvJSUlIScnBxcvXsS0adPg7u6OrKwsxMbGIi4uDkFBQdDpdDhy5Agee+wxAMCcOXOMlw1uq42IiIjMY3L4h4eHY9asWZgzZw58fHxQXFyM9PR0hIeHt/vaJUuWYMmSJS2Wr1+/3vhYoVAgMTGx1de31UZERETmMTn8FyxYgPT0dCxfvhwVFRXw9vbGE088gVmzZlmzPiIiIrKwdo/5Hz58GG+99RZUKhVefPFF7N69G0eOHEFOTg4aGhqQn58vRp1ERERkIe2G/3vvvYfBgwe32jZkyBCsW7fO4kURERGR9bQb/gUFBQgNDW217aGHHsKxY8csXhQRERFZT7vhX1tbi8bGxlbbmpqaUFdXZ/GiiIiIyHraDX9/f3/s37+/1bb9+/fD39/f4kURERGR9bQb/lOnTkVCQgJycnJgMBgAAAaDATk5OVi2bBmmTZtm9SKJiIjIctr9qp9Wq8XFixexaNEiNDY2wt3dHZcuXYJSqURcXBwiIiLEqJOIiIgsxKTv+U+bNg3jxo1Dbm4uLl26BHd3d9x///1wdbXcjXKIiIhIHCZf5MfV1fWWZ/0TERFRx2Ef93MkIiIi0TD8iYiIJIbhT0REJDEMfyIiIolh+BMREUkMw5+IiEhiGP5EREQSY/L3/O/UmTNnEB8fb7xIUHJyMvz8/Jr1WbhwIU6ePGl8fvLkSaxZswYjR45EWloaPvvsM/To0QMA8MADDyAhIUGs8omIiByGaOGfkJCAiRMnQqfTYfv27Vi6dCk2btzYrE9KSorx8YkTJzBlypRmFxaKjIzEokWLxCqZiIjIIYky7V9VVYX8/HzjfQAiIiKQn5+P6urqW75my5Yt0Gq1UKlUYpRIREQkGaKEf2lpKby9vaFQKAAACoUCPXr0QGlpaav9GxoakJGRgaeffrrZ8qysLGi1WkRHRyM3N9fqdRMRETki0ab9zbFnzx74+PggMDDQuGzChAmYOXMmlEolDhw4gNmzZyM7OxseHh4mr9fLy7I3InJx6WTR9dnre9oDc8atVNnlZn1bHGUsarWbVfs7CrHHbS/bl73UIbbWxi3WNiDKT1yj0aC8vBx6vR4KhQJ6vR4VFRXQaDSt9t+6dWuLvX61Wm18PHToUGg0GhQWFiI4ONjkOqqqamEwCLc3iN9Rq91QV3fdIusylYtLJ9Hf0x6YO+7GhiYrViMepcrJYcZSWXnF5L5qtZtZ/R2F2ONWq93sYvtypO3cHLcat6W2Ablc1uYOryjT/l5eXggMDERmZiYAIDMzE4GBgfD09GzRt6ysDIcPH4ZWq222vLy83Pi4oKAAxcXF6Nu3r3ULJyIickCizbUsW7YM8fHxWLt2Lbp27Yrk5GQAQGxsLOLi4hAUFAQA+PLLLzF8+HB069at2etTU1Nx/PhxyOVyKJVKpKSkNJsNICIiItOIFv79+vXD5s2bWyxfv359s+ezZs1q9fU3PywQERHRneEV/oiIiCSG4U9ERCQxDH8iIiKJYfgTERFJDMOfiIhIYhj+REREEsPwJyIikhiGPxERkcQw/ImIiCSG4U9ERCQxDH8iIiKJYfgTERFJDMOfiIhIYhj+REREEsPwJyIikhiGPxERkcQw/ImIiCRGtPA/c+YMxo8fj9GjR2P8+PEoKipq0SctLQ0hISHQ6XTQ6XRITEw0ttXX12PevHkICwtDeHg49u7dK1bpREREDsVJrDdKSEjAxIkTodPpsH37dixduhQbN25s0S8yMhKLFi1qsfyDDz6Aq6srdu/ejaKiIkyaNAk5OTlwcXERo3wiIiKHIcqef1VVFfLz8xEREQEAiIiIQH5+Pqqrq01ex44dOzB+/HgAgJ+fHwYOHIh9+/ZZpV4iIiJHJkr4l5aWwtvbGwqFAgCgUCjQo0cPlJaWtuiblZUFrVaL6Oho5ObmGpeXlJSgV69exucajQZlZWXWL56IiMjBiDbtb4oJEyZg5syZUCqVOHDgAGbPno3s7Gx4eHhYZP1eXq4WWc9NLi6dLLo+e31Pe2DOuJUqu9qs74ijjEWtdrNqf0ch9rjtZfuylzrE1tq4xdoGRPmJazQalJeXQ6/XQ6FQQK/Xo6KiAhqNplk/tVptfDx06FBoNBoUFhYiODgYPj4+KC4uhqenJ4AbswlDhgwxq46qqloYDMKdDwg3fkF1ddctsi5Tubh0Ev097YG5425saLJiNeJRqpwcZiyVlVdM7qtWu5nV31GIPW612s0uti9H2s7NcatxW2obkMtlbe7wijLt7+XlhcDAQGRmZgIAMjMzERgYaAzym8rLy42PCwoKUFxcjL59+wIAwsPDsWnTJgBAUVERjh49itDQUDHKJyIiciiizbUsW7YM8fHxWLt2Lbp27Yrk5GQAQGxsLOLi4hAUFITU1FQcP34ccrkcSqUSKSkpxtmAmJgYxMfHIywsDHK5HMuXL4erq2Wn8YmIiKRAtPDv168fNm/e3GL5+vXrjY9vfiBojbOzM1atWmWV2oiIiKSEV/gjIiKSGIY/ERGRxDD8iYiIJIbhT0REJDEMfyIiIolh+BMREUkMw5+IiEhiGP5EREQSw/AnIiKSGIY/ERGRxDD8iYiIJIbhT0REJDEMfyIiIolh+BMREUkMw5+IiEhiGP5EREQSw/AnIiKSGCex3ujMmTOIj4/HpUuX4O7ujuTkZPj5+TXrs2bNGmRnZ0Mul0OpVGL+/PkIDQ0FAMTHx+Pbb7+Fh4cHACA8PByzZs0Sq3wiIiKHIVr4JyQkYOLEicY4u1EAAAwlSURBVNDpdNi+fTuWLl2KjRs3Nutzzz33IDo6Gl26dMGJEycQFRWF/fv3o3PnzgCAGTNmICoqSqySiYiIHJIo0/5VVVXIz89HREQEACAiIgL5+fmorq5u1i80NBRdunQBAAQEBEAQBFy6dEmMEomIiCRDlD3/0tJSeHt7Q6FQAAAUCgV69OiB0tJSeHp6tvqabdu2oU+fPujZs6dx2YYNG7Bp0yb07t0bf/3rX9GvXz+z6vDycr39QbTCxaWTRddnr+9pD8wZt1Il2oSW1TnKWNRqN6v2dxRij9teti97qUNsrY1brG3ALn/i33//Pd599118+OGHxmXz58+HWq2GXC7Htm3bMH36dOzZs8f4gcIUVVW1MBgEi9SoVruhru66RdZlKheXTqK/pz0wd9yNDU1WrEY8SpWTw4ylsvKKyX3Vajez+jsKscetVrvZxfblSNu5OW41bkttA3K5rM0dXlGm/TUaDcrLy6HX6wEAer0eFRUV0Gg0Lfrm5uZiwYIFWLNmDfz9/Y3Lvb29IZffKDcyMhJXr15FWVmZGOUTERE5FFHC38vLC4GBgcjMzAQAZGZmIjAwsMWUf15eHubPn49Vq1bh7rvvbtZWXl5ufPzNN99ALpfD29vb+sUTERE5GNGm/ZctW4b4+HisXbsWXbt2RXJyMgAgNjYWcXFxCAoKQmJiIq5du4alS5caX5eSkoKAgAAsWrQIVVVVkMlkcHV1RXp6Opyc7PKoBRERkV0TLT379euHzZs3t1i+fv164+OtW7fe8vUfffSRNcoiIiKSHF7hj4iISGIY/kRERBLD8CciIpIYhj8REZHEMPyJiIgkhuFPREQkMQx/IiIiiWH4ExERSQzDn4iISGIY/kRERBLD8CciIpIYhj8REZHEMPyJiIgkhuFPREQkMQx/IiIiiWH4ExERSQzDn4iISGJEC/8zZ85g/PjxGD16NMaPH4+ioqIWffR6PRITEzFq1CiEhYVh8+bNJrURERGR6UQL/4SEBEycOBG7du3CxIkTsXTp0hZ9MjIycO7cOeTk5GDTpk1IS0vDhQsX2m0jIiIi0zmJ8SZVVVXIz8/Hhg0bAAARERFYsWIFqqur4enpaeyXnZ2NcePGQS6Xw9PTE6NGjcLOnTsxffr0NttMJZfLLDouV2elRdfXHufOSsgEg6jvaQ/MHbebm7MVqxGPk9IJTY1Nti7DIsz927P032pHIfa47eFvxZG2c3PcatyW2gbaW48o4V9aWgpvb28oFAoAgEKhQI8ePVBaWtos/EtLS+Hj42N8rtFoUFZW1m6bqTw8XO5kGC08G/4ni66PLGPSFK2tS6Df8fJytWp/RyH2uPm3Yn/E2gZ4wh8REZHEiBL+Go0G5eXl0Ov1AG6cvFdRUQGNRtOiX0lJifF5aWkpevbs2W4bERERmU6U8Pfy8kJgYCAyMzMBAJmZmQgMDGw25Q8A4eHh2Lx5MwwGA6qrq7Fnzx6MHj263TYiIiIynUwQBEGMN/rll18QHx+Py5cvo2vXrkhOToa/vz9iY2MRFxeHoKAg6PV6LF++HAcOHAAAxMbGYvz48QDQZhsRERGZTrTwJyIiIvvAE/6IiIgkhuFPREQkMQx/IiIiiWH4ExERSQzD344kJydjxIgRCAgIwKlTp9rse/r0adx7771ITk4WqTrrMWXcaWlpCAkJgU6ng06nQ2JioshVWp6pv+/s7GxotVpERERAq9Xi4sWLIlZpeaaMe+HChcbftU6nw4ABA/DVV1+JXKllmTLuqqoqzJgxA1qtFmPGjMGyZcvQ1NSxL31ryrgrKysxa9Ys47i3b98ucpWWV1NTg9jYWIwePRparRZz585FdXV1i3719fWYN28ewsLCEB4ejr1794pToEB244cffhBKSkqE4cOHCydPnrxlv6amJiEqKkp46aWXhJUrV4pYoXWYMu5Vq1Y5xFh/y5Rx5+XlCWPGjBEqKioEQRCEy5cvC9euXROzTIszdTu/qaCgQAgODhauX78uQnXWY8q4k5KSjNt5Q0ODMHbsWCErK0vMMi3OlHG/9NJLwurVqwVBEISqqirhkUceEUpKSsQs0+JqamqEgwcPGp+vXLlSeOWVV1r0S0tLExYvXiwIgiCcOXNGeOihh4Ta2lqr18c9fzsyaNCgFlc9bM3777+PRx99FH5+ftYvSgSmjtvRmDLujz76CNHR0VCr1QAANzc3dOrUSYzyrMbc3/eWLVug1WqhUqmsWJX1mTJumUyGuro6GAwGNDQ0oLGxEd7e3iJVaB2mjPvEiRMIDQ0FAHh6emLAgAHYsWOHGOVZjbu7O4YMGWJ8ft999zW7Su1NO3bsMF6zxs/PDwMHDsS+ffusXh/Dv4M5ceIE9u/fj6lTp9q6FNFlZWVBq9UiOjoaubm5ti5HFL/88gvOnz+PSZMm4cknn8TatWshSOjSHA0NDcjIyMDTTz9t61JEMXv2bJw5cwbDhg0z/nvwwQdtXZbV3X333cjOzoYgCDh//jxyc3NbDcqOymAw4PPPP8eIESNatJWUlKBXr17G57dz07rbwfDvQBobG/Haa68hMTHReIdEqZgwYQK++uorZGRkICYmBrNnz0ZNTY2ty7I6vV6PkydPYsOGDfj444+xb98+hzgeaqo9e/bAx8cHgYGBti5FFDt37kRAQAD279+Pffv24ccff8TOnTttXZbVxcfH4+LFi9DpdHj99dcREhLiUP/HrVixAs7OzoiKirJ1KUai3NKXLKOyshLnzp3DjBkzAACXL1+GIAiora3FihUrbFyddd2c9gaAoUOHQqPRoLCwEMHBwTasyvp8fHwQHh4OlUoFlUqFkSNHIi8vD5GRkbYuTRRbt26VzF4/AHzyySd44403IJfL4ebmhhEjRuDQoUMIDw+3dWlW5enpibffftv4PDY2Fv3797dhRZaTnJyMs2fPYt26dZDLW+5v+/j4oLi42Hivm9LS0maHC6yFe/4diI+PDw4dOoSvv/4aX3/9NaZMmYJnnnnG4YMfAMrLy42PCwoKUFxcjL59+9qwInFERERg//79EAQBjY2NOHjwIAYMGGDrskRRVlaGw4cPQ6uVzj3nfX19jcd7Gxoa8N133+Guu+6ycVXWV1NTY/xWw3fffYdTp04hIiLCxlXdudTUVBw7dgxr1qy55Tkr4eHh2LRpEwCgqKgIR48eNZ7/YE0MfzuSlJSEhx9+GGVlZZg2bRqeeOIJADc+BR89etTG1VmPKeNOTU1FREQE/vKXv2DJkiVISUlpNhvQEZky7ieeeAJeXl54/PHHERkZif79+2Ps2LG2LPuOmbqdf/nllxg+fDi6detmq1ItypRxv/rqq8YPPJGRkfDz88Mzzzxjy7LvmCnjzsvLw+OPP47w8HCsWrUK69atQ5cuXWxZ9h0rLCzEe++9h4qKCkyYMAE6nQ5z5swBAOh0OuMOTUxMDC5fvoywsDA8//zzWL58OVxdXa1eH2/sQ0REJDHc8yciIpIYhj8REZHEMPyJiIgkhuFPREQkMQx/IiIiO2HODd5uunkJbJ1Oh6eeego//vhju69h+BMREdmJkSNH4tNPP212yd+21NTU4I033sCGDRuwfft2zJkzB0uXLm33dbzCHxERkZ0YNGhQq8uPHDmCt99+G3V1dQCAuLg4PProoxAEAYIgoK6uDt27d8eVK1fQs2fPdt+H4U9ERGTHLl++jISEBLz//vvo0aMHKioqMHbsWGRmZsLT0xPLly/Hk08+ia5du8JgMODjjz9ud50MfyIiIjuWm5uLCxcuIDY21rhMJpPh7Nmz6Nu3Lz799FNs2bIF/v7+yM7Oxty5c/F///d/kMlkt1wnw5+IiMiOCYKAgIAAfPrppy3adu7cCTc3N/j7+wMAHn/8cbzyyiuoqakx3iyoNTzhj4iIyI7df//9OHv2LA4ePGhclpeXB0EQ4Ovri/z8fFRVVQEADh48CFdXV3h4eLS5Tl7bn4iIyE4kJSUhJycHFy9ehIeHB9zd3ZGVlYW8vDy89dZb+PXXX9HY2IjevXsbbxO8YcMG/POf/4RSqYRKpUJ8fPwtTxy8ieFPREQkMZz2JyIikhiGPxERkcQw/ImIiCSG4U9ERCQxDH8iIiKJYfgTERFJDMOfiIhIYhj+REREEvP/AeGVb7Lb1EEDAAAAAElFTkSuQmCC", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "from sklearn.linear_model import LinearRegression\n", + "from scipy import stats\n", + "\n", + "def calculate_resistance(condition):\n", + " cond = condition \n", + " file_cond = np.array(df_CC_exp[df_CC_exp['condition']==cond][df_CC_exp['drug']==False]['CC_files']) \n", + " file_cond_acsf = np.array(df_CC_exp[df_CC_exp['condition']==cond][df_CC_exp['drug']==True]['CC_files']) \n", + " dt = 1/20000\n", + " searchthreshold = 0\n", + " thresholdwindow = [1 , 0.25] \n", + " refractory_period = 3\n", + " derthreshold = [[1, 0.000008] ,[2, 0.000008]]\n", + " nwindow = [np.round(thresholdwindow[0]*dt), np.round(thresholdwindow[1]/dt)]\n", + " R_drug = []\n", + " R_acsf = []\n", + "\n", + " # print(len(file_cond))\n", + " for drug_file,acsf_file in zip(np.array(file_cond),np.array(file_cond_acsf)):\n", + " # print(drug_file)\n", + " value_dict_drug = returnVsandIs(drug_file)\n", + " if check_for_faultycell(value_dict_drug,drug_file,'NC_16-8-17-E1-CCSTEP-DRUG.mat'):\n", + " print('faulty')\n", + " pass\n", + " else:\n", + " try:\n", + " for trial,vals in value_dict_drug.items():\n", + " # print(trial)\n", + " for steps,I_ in zip(vals['V'],vals['I']): \n", + " V = steps\n", + " I = I_\n", + " # if drug_file == 'NC_16-8-17-E1-CCSTEP-DRUG.mat':\n", + " # plt.plot(V)\n", + " # plt.show()\n", + "\n", + " spk_ind, thr, thr_ind = get_threshold_fontaine(np.expand_dims(V,axis=1),dt=dt,searchthreshold = searchthreshold,windown = nwindow,refractory_period = refractory_period,derthreshold =derthreshold )\n", + "\n", + " if sum(np.array(spk_ind).flatten().shape) ==0:\n", + " \n", + " # fig,ax = plt.subplots(1,2)\n", + " I_der = np.diff(I[:int(0.8*len(I))])\n", + " min = np.argmin(np.diff(I[:int(0.8*len(I))]))\n", + " max = np.argmax(np.diff(I[:int(0.8*len(I))])) \n", + " V_delta = np.mean(V[min-500:min-50]-V[0])\n", + " I_delta = np.mean(I[min-500:min-50]-I[0])\n", + "\n", + " R = V_delta/I_delta\n", + " # try:\n", + " # df_r = pd.DataFrame({'I':pd.Series(I[max+500:min-50]),'V':pd.Series(V[max+500:min-50])})\n", + " # R = stats.linregress(df_r.I, df_r.V)\n", + " # ax[0].plot(I)\n", + " # ax[0].plot(np.arange(max+50,min-50),I[max+50:min-50])\n", + " # ax[1].plot(V)\n", + " # plt.show()\n", + " # except:\n", + " # print(spk_ind)\n", + " # print('problem')\n", + " # ax[0].plot(I)\n", + " # ax[1].plot(V)\n", + " # plt.show()\n", + " else:\n", + " break\n", + " # fig,ax = plt.subplots(1,2)\n", + " # ax[0].plot(I)\n", + " # ax[0].plot(np.arange(max+50,min-50),I[max+50:min-50])\n", + " # ax[1].plot(V)\n", + " # plt.show()\n", + " print(R/1e6)\n", + " R_drug.append(R) \n", + " except:\n", + " print('problem with '+drug_file)\n", + "\n", + "\n", + " value_dict_acsf = returnVsandIs(acsf_file)\n", + " if check_for_faultycell(value_dict_acsf,acsf_file,'NC_16-8-17-E1-CCSTEP-NODRUG.mat'):\n", + " print('faulty')\n", + " pass\n", + " else:\n", + " try:\n", + " for trial,vals in value_dict_acsf.items():\n", + " # print(trial)\n", + " for steps,I_ in zip(vals['V'],vals['I']): \n", + " V = steps\n", + " I = I_\n", + " # if acsf_file == 'NC_16-8-17-E1-CCSTEP-NODRUG.mat':\n", + " # plt.plot(V)\n", + " # plt.show()\n", + "\n", + " spk_ind, thr, thr_ind = get_threshold_fontaine(np.expand_dims(V,axis=1),dt=dt,searchthreshold = searchthreshold,windown = nwindow,refractory_period = refractory_period,derthreshold =derthreshold )\n", + "\n", + " if sum(np.array(spk_ind).flatten().shape) ==0:\n", + " \n", + " I_der = np.diff(I[:int(0.8*len(I))])\n", + " min = np.argmin(np.diff(I[:int(0.8*len(I))]))\n", + " max = np.argmax(np.diff(I[:int(0.8*len(I))])) \n", + " V_delta = np.mean(V[min-500:min-50]-V[0])\n", + " I_delta = np.mean(I[min-500:min-50]-I[0])\n", + "\n", + " R = V_delta/I_delta\n", + " # fig,ax = plt.subplots(1,2)\n", + "\n", + " # ax[0].plot(I)\n", + " # ax[0].plot(np.arange(min-500,min-50),I[min-500:min-50])\n", + " # ax[1].plot(V)\n", + " # plt.show()\n", + " # try:\n", + "\n", + " # df_r = pd.DataFrame({'I':pd.Series(I[max+500:min-50]),'V':pd.Series(V[max+500:min-50])})\n", + " # R = stats.linregress(df_r.I, df_r.V)\n", + " \n", + " # except:\n", + " # print(spk_ind)\n", + " # print('problem')\n", + " # ax[0].plot(I)\n", + " # ax[1].plot(V)\n", + " # plt.show()\n", + " else:\n", + " break\n", + "\n", + " # fig,ax = plt.subplots(1,2)\n", + " # ax[0].plot(I)\n", + " # ax[0].plot(np.arange(max+50,min-50),I[max+50:min-50])\n", + " # ax[1].plot(V)\n", + " # plt.show()\n", + " print(R/1e6)\n", + " R_acsf.append(R) \n", + " except:\n", + " print('problem with '+acsf_file)\n", + " R_drug = np.array(R_drug).flatten()\n", + " R_drug = R_drug[~np.isnan(R_drug)]\n", + " R_acsf = np.array(R_acsf).flatten() \n", + " R_acsf = R_acsf[~np.isnan(R_acsf)]\n", + " R_acsf = R_acsf[~np.isinf(R_acsf)]\n", + "\n", + " from sklearn.preprocessing import StandardScaler\n", + "\n", + " # print(R_acsf)\n", + " df = pd.DataFrame({'R '+cond:pd.Series(R_drug),'R acsf':pd.Series(R_acsf)}) \n", + " # print(df)\n", + "\n", + " scaler = StandardScaler()\n", + " scaler.fit(df)\n", + " scaled = scaler.fit_transform(df)\n", + " scaled_df = pd.DataFrame(scaled, columns=df.columns)\n", + "\n", + " sns.histplot(df)\n", + " plt.show() \n", + " return R_drug,R_acsf \n", + "\n", + "R_drug_d1, R_acsf_d1 = calculate_resistance('D1')\n", + "\n", + "R_drug_sag_m1, R_acsf_sag_m1 = calculate_resistance('5HT1f(M1 cortex)')\n", + "R_drug_d2, R_acsf_d2 = calculate_resistance('D2')\n", + "R_drug_dop, R_acsf_dop = calculate_resistance('dopamine')\n", + "R_drug_sag, R_acsf_sag= calculate_resistance('5HT-1f(S1 cortex)')\n" + ] + }, + { + "cell_type": "code", + "execution_count": 11, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", "text/plain": [ - "
" - ], - "image/png": "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\n" + "
" + ] }, - "metadata": {} - }, - { - "output_type": "stream", - "name": "stderr", - "text": [ - ":6: UserWarning: Boolean Series key will be reindexed to match DataFrame index.\n", - ":7: UserWarning: Boolean Series key will be reindexed to match DataFrame index.\n" - ] - }, - { - "output_type": "stream", - "name": "stdout", - "text": [ - "130.51133303571982\n", - "193.99344729540013\n", - "175.26890224673025\n", - "130.22310452574976\n" - ] + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "plot_single_cell('xuan_9-7-19-E4-CCSTEP-DRUG.mat')" + ] + }, + { + "cell_type": "code", + "execution_count": 12, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 513 }, + "id": "Vbl9ugFQngYc", + "outputId": "c64b4d11-a282-49bf-f2ce-cf54b250eea9" + }, + "outputs": [ { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ - "
" - ], - "image/png": "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\n" + "
" + ] }, - "metadata": {} - }, - { - "output_type": "stream", - "name": "stderr", - "text": [ - ":6: UserWarning: Boolean Series key will be reindexed to match DataFrame index.\n", - ":7: UserWarning: Boolean Series key will be reindexed to match DataFrame index.\n" - ] - }, - { - "output_type": "stream", - "name": "stdout", - "text": [ - "135.39756846120295\n", - "152.10161787993073\n", - "200.89271332894577\n", - "187.8869756250662\n", - "168.12278824376665\n", - "154.34473144346597\n" - ] + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYIAAAD4CAYAAADhNOGaAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAAAnjUlEQVR4nO3deZwU9Z3/8deHGYZDkBvkkgHlCN44QU3UGFFAjcFk1dVklShZd39ZH5td48bxWCVoFBOj+SWaRDaSEHOI5y/sg0sE0SQqMBgOkWtAkEGO4RodBpjr+/uja4aeoQe6u3q6q7vez8djHlRVf6u/3yqq61Pfo6rMOYeIiIRXm0wXQEREMkuBQEQk5BQIRERCToFARCTkFAhEREIuP9MFSEbPnj1dYWFhposhIpJVli9fvsc516v58qwMBIWFhZSUlGS6GCIiWcXMtsZarqYhEZGQUyAQEQk5BQIRkZBTIBARCTkFAhGRkFMgEBEJOQUCEZGQUyAQkZT484rtfHq4Ju35rt/5Gcu27Et7vrlEgUBEfFu/8zO++8IKvv/SqrTnPe6nb3PDr95Ne74AG3d9lpF8U02BQFpdfb2jvl4vQEqHiqoa1nxSkfZ8q6prAdjx6eG0550pr6/ZyZVPvc2slZ9kuii+KRBIqxv50DzGPPlWposRCjc++y7X/OyvmS5GKGzwagPrd36a4ZL4p0Agre5wTT0f7TmY6WKEwvocaaqQ9FIgEGkFj89bx5LNezNdDJG4KBCERH2948fz17GzIjxtuBWHanhh6ccZyfuXizfxj9Pey0jeIolSIAiJFWUHeObNTfznzBWZLkraFL+yiuJXV7Oq7ECmiyISaAoEIdEwaqe6rj7DJUmfPZVHgEgfhYi0TIFARCTkFAhEREJOgUBExAeXA/dKpiQQmNl4M1tvZqVmVhzj83ZmNtP7fImZFUZ9draZvWtma8xstZm1T0WZguxIbR11GbzTdmfFYX711ib+sGQr1bVqPxdJhplluggp4/vl9WaWBzwDXAmUAcvMbJZz7sOoZJOA/c65083sJuBx4B/NLB/4PXCLc26lmfUA0v/UqjQb/sA8Lhvei9/eNjrteS/fup8LH1vYOH//ax+wZeo1ALz/8X7mf7CTa8/px5n9u1BbV0+9g4J8VRxFcpnvQACMBkqdc5sBzOwFYAIQHQgmAJO96ZeBpy0STscCq5xzKwGcc6G5A2fx+vJMF6HRj+at4xeLNzXOP/v2ZoqvGsHv39tK2f5DjYHCrxeWfsx15/Wnfdu8lHyfiKRGKi71+gPboubLvGUx0zjnaoEKoAcwDHBmNt/M3jez76egPJKg6CDQYOrcdZTtP5TSfIpfXc2I/57HB9vT81C0XGi7FUmHTNf584GLgW96/37NzMbESmhmd5hZiZmVlJcH52paEveVn/+Vki37WLHtANv2VXG4po7DNXXs9cb9O+eY8c4WDlRVpyS/HGrKFWkVqWga2g4MjJof4C2LlabM6xfoAuwlUnt42zm3B8DM5gCjgIXN1sc5Nw2YBlBUVKRrvSx3fRzPj//Lxj38emKR77zKPzvi+ztEclkqagTLgKFmNtjMCoCbgFnN0swCJnrT1wOLnHMOmA+cZWYdvQDxJZr2LUiIVRzyVyP4cEfk8cDf+cP7XP6TxSkokUhu8h0IvDb/O4mc1NcCLzrn1pjZFDP7qpfsOaCHmZUCdwHF3rr7gSeJBJMVwPvOudl+yyQCUFVd1zi9ufwghcWzKSyeTUVVzg9MY1N5ZaaLEBq50DyRiqYhnHNzgDnNlj0YNX0YuKGFdX9PZAipSFqcM+V1vvWFQvp1bc/pvTtx6dBebNl7kF6d27N170EWrt3Nf145LCV5OecyMt58b2U1p/VKe7as3HYg/ZmKbykJBCLZ5rfvbGmcvmx4r2OG83713H6c1quT73wG3zsnZcNvJZhyYSxCpkcNiWRcrHs6DkU1K/lVWDybPy6JvBehurZe72/OEQ135Zfuzv5mOAUCkTS477XV7K08wrAH5jLkvjlc8eRbFBbP5pMDkXs1nn93C3NX70hZfjc++27a7tcIqxVeM9jrH+7KbEFSQE1DImly/iNvNE43XEV+YeoienZq1/juhCduOIfrzx+Qkvy+8vO/qllK4qIagUiGNQQBgLtfWpnS7z7tvqNjOKpr66mqrk3p90tuUI1AJIfV1TuKX1nFC8uOPgVmyX1juODRhUy8aBA/mHBmyvM8XFOXkedJrfmkgjP6dUl7vrlAgUAkx0UHAYALHo3cuD/j3a306NSOswd04ewBXel+UkFK8rv48UXsqaxm+reKuHxEn5R8Zzz0StLkqWlIJMSeXLCBb/1mGaMeXpCy79xTGbkj/PbfllCbxndkl+7+LG155RoFAhFpNaffP5dbpy9NS173vLI6Lfk0yKWHGappSERa1dsbyiksPvrkmHMGduV3t4/mF2+W0rdLe7554SDa5qXmmnRHxSH6dumQku8KEwUCEUmrldsOcM4PXm+cX1VWwZP/eG5Kvvuixxax+dGradMmhy7X00BNQyKSUam+IWvIfXP0Lu4EqUYgIr4F7UXuwx6Y22T++UmjuWRo6z2Fr77eZXUtRIFARHLeLc817bAu7NGRb14wiH++dEhKvn/IfXNaPdi0JjUNiUjobNlbxQ/nrE3pd97y3FJ2VhxO6XemiwKBSAx68b0k48LHFrJh12e4LDuA1DQkIpJCY596G4ALh3Tn5tGncka/kxnYvSMQed5T5/ZtM1m8mBQIJLCy7KJKpIn3Nu/jvc37Yn62evLYQAUENQ2FRMAGdcRFcUBy1fdeTO1TZv1KSSAws/Fmtt7MSs2sOMbn7cxspvf5EjMrbPb5qWZWaWZ3p6I8IiJBtuSj2DWFTPEdCMwsD3gGuAoYCdxsZiObJZsE7HfOnQ48BTze7PMngbmIiEjapaJGMBoodc5tds5VAy8AE5qlmQDM8KZfBsaYdweKmV0HfASsSUFZREQkQakIBP2B6Aeel3nLYqZxztUCFUAPM+sE3AP84ESZmNkdZlZiZiXl5ce+bFxERJKT6c7iycBTzrnKEyV0zk1zzhU554p69crOu/ckezh1VUuIpGL46HZgYNT8AG9ZrDRlZpYPdAH2AhcA15vZj4CuQL2ZHXbOPZ2CcomItJosHIjXolQEgmXAUDMbTOSEfxPwjWZpZgETgXeB64FFLnLr3SUNCcxsMlCpICAi2SBoD9rzw3cgcM7VmtmdwHwgD5junFtjZlOAEufcLOA54HkzKwX2EQkWIiJZ9ziGXJSSO4udc3OAOc2WPRg1fRi44QTfMTkVZRERkcRkurNYREQyTIFARDIqjA1DQWsOUyAQEQk5BQKRGAJ2wSbSqhQIRETSLGjXGQoEIiIhp0AgIhJyCgQiIiGnQCAiEnIKBCIiIadAIBJD0EZ1iLQmBQIJrKDdfSmSqxQIJLAUBkTSQ4FARCTdAnaVo0AgIr7lzitawkmBQEQyKlu7gnIp+CkQiIiEnAKBiEjIKRCIxKChq9KagnZ0pSQQmNl4M1tvZqVmVhzj83ZmNtP7fImZFXrLrzSz5Wa22vv38lSUR0Syh2VpY3u2ljsW34HAzPKAZ4CrgJHAzWY2slmyScB+59zpwFPA497yPcC1zrmzgInA837LIy3JoaNWcooqX5mXihrBaKDUObfZOVcNvABMaJZmAjDDm34ZGGNm5pz7u3PuE2/5GqCDmbVLQZlERCROqQgE/YFtUfNl3rKYaZxztUAF0KNZmn8A3nfOHYmViZndYWYlZlZSXl6egmKLiAgEpLPYzM4g0lz0Ly2lcc5Nc84VOeeKevXqlb7CiYjkuFQEgu3AwKj5Ad6ymGnMLB/oAuz15gcArwG3Ouc2paA8EpMaYkVSKZf6NlIRCJYBQ81ssJkVADcBs5qlmUWkMxjgemCRc86ZWVdgNlDsnPtbCsoiIhJ4QRue7DsQeG3+dwLzgbXAi865NWY2xcy+6iV7DuhhZqXAXUDDENM7gdOBB81shffX22+ZRPwK1s9UpHXlp+JLnHNzgDnNlj0YNX0YuCHGeo8Aj6SiDCIi2cICdhNCIDqLRUQkcxQIRERCToFARCTkFAhERNIs50YNibSWgP1WpJW4LB2jFbD+Xl8UCCSwMnl6UBCSMFEgEBEJOQUCEZE0030EIiISKAoEEljBumYSSR2NGhIRiRKwc2IoKRCIiIScAoFIDAHry5NAyp2DRIFAJAY1V6SPgm7mKRCIiIScAoGISMgpEIiIhJwCgYj4pnb+xAStC0qBQEQk5FISCMxsvJmtN7NSMyuO8Xk7M5vpfb7EzAqjPrvXW77ezMalojwiIhI/34HAzPKAZ4CrgJHAzWY2slmyScB+59zpwFPA4966I4GbgDOA8cAvvO8TkZAI41DdoG1zKmoEo4FS59xm51w18AIwoVmaCcAMb/plYIxFHr83AXjBOXfEOfcRUOp9n0iGBeyXKoGTS/0iqQgE/YFtUfNl3rKYaZxztUAF0CPOdQEwszvMrMTMSsrLy1NQbBFJlaBd4Upisqaz2Dk3zTlX5Jwr6tWrV6aLk4Vy6PJFRFIqFYFgOzAwan6AtyxmGjPLB7oAe+NcV0REWlEqAsEyYKiZDTazAiKdv7OapZkFTPSmrwcWucgDuWcBN3mjigYDQ4GlKSiTiIjEKd/vFzjnas3sTmA+kAdMd86tMbMpQIlzbhbwHPC8mZUC+4gEC7x0LwIfArXAvznn6vyWSXKDmp1F0sN3IABwzs0B5jRb9mDU9GHghhbW/SHww1SUQ3KMeiBDIZdG32SrrOksFkknxSAJEwUCEckoBd3MUyAQEQk5BQIRkTRzARsKoUAgIpJmQWsOUyAQEQk5BQIRkTQL2pBZBQIRkZBTIBCJIWBNuBJAAbuo90WBQEQySkE38xQIRESSELR2fj8UCETEN13VZzcFAhGRkFMgEBEJOQUCEZGQUyAQEQk5BQKRGIL2LBjJLUE7vhQIRETSLGBxQIFARCTsfAUCM+tuZgvMbKP3b7cW0k300mw0s4neso5mNtvM1pnZGjOb6qcsknuCdtUkkqv81giKgYXOuaHAQm++CTPrDjwEXACMBh6KChhPOOdGAOcBXzSzq3yWR3JI0NpRpWW+brLN0v/nXDo+/QaCCcAMb3oGcF2MNOOABc65fc65/cACYLxzrso59yaAc64aeB8Y4LM8IiKSIL+BoI9zboc3vRPoEyNNf2Bb1HyZt6yRmXUFriVSq4jJzO4wsxIzKykvL/dVaBEROSr/RAnM7A3glBgf3R8945xzZpZwZcnM8oE/AT9zzm1uKZ1zbhowDaCoqCiHKmUSRC6X6v0SOEF7Xt0JA4Fz7oqWPjOzXWbW1zm3w8z6ArtjJNsOXBY1PwBYHDU/DdjonPtpPAUWEQmCXLpU8Ns0NAuY6E1PBP4cI818YKyZdfM6icd6yzCzR4AuwH/4LIeIiCTJbyCYClxpZhuBK7x5zKzIzH4N4JzbBzwMLPP+pjjn9pnZACLNSyOB981shZl922d5pAW59Ox0yS0up66t4xO03+MJm4aOxzm3FxgTY3kJ8O2o+enA9GZpygheU5mISKsLWheU7iwWEd8Cdl6TBCkQiIiEnAKBiEgScqldW4FAJAY1dUiYKBCIiCQhly4WFAhEREJOgSAkgjZcTSTMgnYfgQJBaCgSSDBZTnW7ZicFAhHJLMWBjFMgCAk1DUlQZWsc8PObClotSIEgJBQHJKiC1l4eRgoEIjGoBiWtKWgP2lMgCAmd2ERSLXd+VAoEElhBu2qS1hG09vJ4+bm4ahOw9jAFgpDIxlcvZmGRJQltgnVOTIugbbICQUjonCpBFbSr43SwgG2zAoGIZFTAzolpEbRNViAICTWzSFDlhbBtKGjBT4EgJNTxmhjtr8T46YMKWjNJvPwcIUHbZl+BwMy6m9kCM9vo/duthXQTvTQbzWxijM9nmdkHfsoiItkphBWCnKsRFAMLnXNDgYXefBNm1h14CLgAGA08FB0wzOzrQKXPcsiJ6AJXAipbO4v91ILyArbNfgPBBGCGNz0DuC5GmnHAAufcPufcfmABMB7AzDoBdwGP+CyH5KBsPUFIYrL1/9nPtVWbgFWD/AaCPs65Hd70TqBPjDT9gW1R82XeMoCHgZ8AVSfKyMzuMLMSMyspLy/3UeRwysYKQSZ/LNl6k1M2Ctg5MS2yrkZgZm+Y2Qcx/iZEp3ORelLc5xszOxc4zTn3WjzpnXPTnHNFzrmiXr16xZuNeLJx1FBeBn8rYRzJcqi6Lul1/XR+Bu3qOB2Ctsn5J0rgnLuipc/MbJeZ9XXO7TCzvsDuGMm2A5dFzQ8AFgMXAUVmtsUrR28zW+ycuwwRMttkELQfajrUZehqIVubhvzIqVFDwCygYRTQRODPMdLMB8aaWTevk3gsMN8590vnXD/nXCFwMbBBQaD1ZONwyIw2DQXsh5oOmdrdYQy6Qatx+g0EU4ErzWwjcIU3j5kVmdmvAZxz+4j0BSzz/qZ4yySNsrNpSDWCdMrUlXkYawRBCwQnbBo6HufcXmBMjOUlwLej5qcD04/zPVuAM/2URXJPJn8sYTw5ZUq27mp/Tx9NXTlSQXcWS2Bl8gShQJAYX2Pqg3ZWjFN9Dm2zAoEEViZ/LIoD6RPGobpBu9BQIAiJLOwiyHAfQbB+qOmQqX6kbN3VfmoEQRuMoEAQEtn4YppMjhoKWtU9HfyMLPN1l23ATorxUh+BSBrU1WcueGXpuckXPyc2P+t+uOPT5FfOID/bHLQLDQWCNKvP0Mkt++oDsGhdrPsTpbX4O0ay8Qjzx8/JPGi1IAWCNIu+e3PcU2+nL+Pw/U59ycKWNN/8NB+GcX+N+VzvpNdVjSDkauuO/mLW7/osgyURacrPuTyEccDXVX3A4oACQbrV1tcfs+zLTyymsHh2q+brpyPws8M1KSyJBJWfq/pMNXlmUi69lU2BIM2ad4AWFs/moz0HG6cLi2fzjf95L+X5+vmR/2LxptQVJASycYQW+GwaSmE5soW/kVIpK0ZK+HrEhCSuNo4rp3c27T2mhrDxh1fRNi8zcTtoB620jkyNGspWfrY5aDfRqUaQZjV1xzYNxWPo/XN95evnoG2Xn+crb8kO/voIwhcJ/L2hLGXFSImAFSf37fr0SKaLkLADVeojCAONGkqMrz6CgNUI1DSUZlPnrm2c/vLwXvzmttHHpFm/8zPG/fRtHrjmcww/pTO3PLeUHicV+MrXz+90cK+TfOUt2cFXjSCEgcCPgPUVKxCk246Kw43TV4yM9YpnGH5KZzY8chUF+ZEK2zVn92Wdz7svs7UDM1N8PW4hS3e1r1FD2brRPuTSJqtpKM227q1qnD61e8cW0zUEAYg8fM3v4xb8rH1ye10vhEGmnjWUrfzsr7MHdElhSfzTLzzNJl40iBnvbgXgc31PjmudvDbm+32ynxw4lPS6QbsLUlqJagQJOd4mF/boyLRbi+jWsYCT2uVReaSWkwry2V9VzcWPv8mgHsFqblUgSLP+3To0Tvfs1C6uddqYEeM+tIT07dI+6XVD+BsPJV+VzhAeI9GbPPnakdxQNJCT2sU+pXYsiCzfX1WdhpIlToEgzX6+sDThdfLa+H8SZzz3L7QkjFd7fmTr3vLT1JGNx0iBz/ty+nWNXNT17FTAt744OLGVA7a7fAUCM+sOzAQKgS3Ajc65/THSTQQe8GYfcc7N8JYXAE8DlwH1wP3OuVf8lCnoLh3ei9mrdiS0TiqahvwEkiz8jUsScuGGsrP6d+HnN59H/24djnsD5uRZa3jt79t95dXQdzbt1qK41wnaoyUa+K0RFAMLnXNTzazYm78nOoEXLB4CiojEweVmNssLGPcDu51zw8ysDdDdZ3kCL9EgAA1NQxkMBEG7fJFW4ed/2U+N4Ctn9/WRM3QsyKOquo4tU6/x9T1h5jcQTCByNQ8wA1hMs0AAjAMWOOf2AZjZAmA88CfgdmAEgHOuHtjjszw5KRU1Al9NQz77J7KRv6vj7AycqXzW0NiRffjWFwsZ1qfzcfvCht4/h4HHGT0Xj88XdufAId306IffQNDHOddwibsTiDUwvj+wLWq+DOhvZl29+YfN7DJgE3Cnc25XrIzM7A7gDoBTTz3VZ7GzS5sUDB/1U6PIxvZfSVyqgl8iV+ZmFphmpTA7YSAwszeAU2J8dH/0jHPOmVki/6X5wADgHefcXWZ2F/AEcEusxM65acA0gKKiopQcOi09+vnXtxbx7d+VHLP8wynjGnv/0ymvjf+mIT81Av1Qs1fbPOPBa8/gSE0dV47sQ35eG/p37dAkzR+XfMx9r632lU+yx4iRvTWoXHLCs5pz7oqWPjOzXWbW1zm3w8z6ArHeLbido81HEDn5Lwb2AlXAq97yl4BJ8RXbv+M9/z9WEAAY+eB87h47jDsvH9paxYop453F6iNIiJ+9de7Arikpw+rJY+ncvm1cadt5Ny/6qhEkuV4bMx1dAeD38nYWMBGY6v375xhp5gOPmlk3b34scK9Xg/hfIkFiETAG+NBneVrdzJJtaQ8EqbiPwE8gOFRd5y9zocdJBfz2ttHU1tfz0vIyBnTrwOdOOZnTe3fi5PZtade2DWN+8han9eqUkvziDQJw9Lk3fpoAG+6S/+YFiTXbmoXzpTZB4zcQTAVeNLNJwFbgRgAzKwL+1Tn3befcPjN7GFjmrTOloeOYSMfy82b2U6AcuM1neRLWvD2z8kgty7fu50BVNRPO7Q/Ahl2fsbqsgu+9tJLvjhmW7iIy74MdVCf5+OoGfn7ki9aXJz5OWoDY7eXnndotRsrIo4nrThDxn1ywgZnLPmbJfbEr6qf37kTp7sqEytgQCPycjhseiXLRaT0SWk81gmDwFQicc3uJXMk3X14CfDtqfjowPUa6rcClfsqQjOO1SXZql8+XhvVqsmxYn8507RC5wqrMwGsb27eNvA+gtq6e/CRvgvFTI2i+P7LV5wu7ce05/TijXxc6tM2jY0Eer/59O2f378KBQzWMLuzOX0rLuf+1D3zlk2zMbZvXhpoT/D/9bOHG437++cLuCT82vOHdu6kYFJDo45UtRfmKP6G8s/hQTaSpY2wLT/+MpeFGkBnvbk371fHXzuvPY3PXcaQ2+UDgp7O4dPdnSa8bBM98YxTXtDBW/a4rm9bw9q6IPAJgb6X/RwF8I8FmkrZt2lAbZ83vJ6+v53tjh8f4xCX8iON87y0ptXXpH1BgpsEIQRDKp482vBxmYwJV6JM7RGLmVWfGGkDVsm37qhKuqjf319LI7RX7DsZ/cqqtq+fl5WWN7a9+2mH//vGBhNJvKq9k92eHj5vmmrP7cs1ZkZPzuDP68E8Xnkrvzu247+oR/OmfL6RtnjV5Amsyvn5epGkvkeaKhqfD+nlIX4Pmo3NOpG2+URPnyfjniyKPKpn3wQ7+/U9/b/JZoveuNuzn6lr/N4wkGoQiw0fTHwnK9h+iIgP3HuytjJx7vv/KqrTnfTyhrBE0jJL4l0uHxL1Ow3NJqhLsOL3kR28CiY2tbu703p34y8Y9Cb3m8t5XV/PS8jLqnePGooG+agRfGp5Y09CYn7wFHLvNsfbBM1HTj1x3VuP0HZcO4VdvbcY5F/dt+TOXfcw9r6xm7ZTxdCjIY2ifzkDkztN4/cP5/Xnl/bKEb3I648F5PPCVkdw8+tSkR1nlt2mT0P/xO6V7+Nffvw/Az24+D0ju6roxENTFf2xXHKrhnB+8zhM3nMP15w9IepsrDtUw492t/GDCmXGv89Wn/8qqsgpfv6k31kZuV0rk+DpcU8cPZ6/l7nHD6dIh/s74aJWHa5Na75XlZZzULo/xZ/q7C7sloawRNPzYEnkZfMPB8rt3t7RGkY7rJO/ehU8ONL3K/rc/vs/lTyyOuc62/ZEr28NeM1iHFk6GeW2Mf7/8dGbcPpr/vGIY157Tj0e/dhb/NW44U78eOTEv+2hfzHVP5Lpn/taY//gzEqtJdSzIp67ecSSBq9R7XomMhf94XxV19Y5VZQcSyhOO9sccro3/pFh5pJaD1XXc+6q/sfgFeW0Sap75xq+XNE7vj6otJnpVnuetkMgV8kslkXtE735pJQCbyw8CybX3jzq1a0LpV5VVJJxHSxI5vn737haef28rj89bl3R+5xfGHihwIt97aWVj0G8NoaoR1NTVU1fvGqvf+XmJPwAqEyPdGt4H8NLybVw8tGfj8uM9t+i9zZGTd9eOkVdcDvAef/3ad77Q4qiVWJ3Cxa+u5v0EmoYqjxy94lmx7QAj/nseEGkuSkTDVfyh6rrGk3O8xv307YTSR+vg5VWRQIfr4vVHb5+Jvj9l467E+laWbkku4AKc9/CCpNf97TtbALj9tyVJXWXX1zu+84fISerOP/6dr5zdL6H113zi7+17ybjv6hE8Omcdh2viP76e9prj/rT0Yx792llJ1YHa5Sd2LKdLqGoEQ++fy4j/nseVT0WaLpJ9DK1zji8/sZiiR+L/8f11Y9PHKD3zjVFxr3uJd/JfvT2+K6Hozt2G9uOGUUOJjC9PRl0LV7SJ9McAjZ3if1z68TH7LlH5CbxY56AXyF5Y9nHc60S/dS7a/1vxSdzfAZCp9/9cOCTxZz326nz0+UFD7pvTOP3GXYkPAkzkqjxaYfFsCotn89aGclZuO5BYnjWRPA8m0NT7qdes41wk79t+s8yb9391WFfvMnqHdahqBA0a9vfeBDpfow2+9+iBf7w7lKP903NLmsy3NIolljP7R15rt7n8IG+u3835g7px9uTX4y5D9OfJDtUrLJ7d4tVi5ZFaznxoflLf25K/bCgH4Mfz1wMn7mOpqj627XXKhDP4+qgBCY20Gtkv8ta49zbvo6Kqhi4dmwbO6tp6zCI/3K/94h3WHudd0ovvvizufKHl2uah6joO19Rx6/SlCX1fvEac0vRNebHazR+bu5Zn39rMkzeew4/nr2/sf2nu9N6xl5/I/oPV1NTXU7b/EIvXl3Okto57xo2gTbPomIpOfDha+9qw6zO27DnI+YO68eCfP+COS4fQt0sHOrTNa5J3VXUtI07pzLqdx9bySndXcv6g5B6cfKCqmgUf7uK/Xo50Hs+684t0LMijtt4xpGcnhj0wN6nvTVSoAsH/velcvvvCisb5j/YczFxhEhBddW24CklWomPMoxUWz+Yv3/8yfbu0Z9v+Q3y5hf6JaL+57fN0bpfPqBaao1oyenB3Xv/w6PMHn31rExO/UEjlkVrWfPIpE+M4Kd56UWFCeQJNniV1zpTXKchrk/DNfH4fhxzPxcXzk0Zzy3NN98ElQ3vy/KQLEs5v1KCuced914uRPoEdFU37qx657kwuGJz8U+RjNW09+9bmuNd/8sZzEsqvYTBA89/TiyVlCX0P0HjjaaJi7euvPv23E67z0WNXp/y9BqEKBBPO7c8Z/U5mc/lB6l1k2GIitky9Ju4aQLTvjx/Oj+ZFrmxPObk9c797ScLf8a0vFDa25cbr1osGsXDtbrZHXUWNTvDH2nybG0ZBxevLw3snlL7BxC8U8sjstY3zj81dx2Nz4++kO39Qcp1yzfm9ozsRA7t3YNu++K54Lxnaq0nA2VxeyZAkH0+Rigcp/tOFg3x/RzJ+d/toLk3ihseuSY76iZap9x98erg26VFLLbFsfPJfUVGRKymJ/WC4dEhkyFmqbS6v5MWSMiZdPLhJO21rO1EAvOnzA5n6D2enNc/mpt1yPpcN7+37/oMDVdWcO6Xl/p+5372EF5Z+zL+PGUqPTu1Yu+NTDtXUJVzriWXK/37I9L99dMzye8aP4Ouj+tOzUzvaWOrfdPX4vHX8cvGm46ZZ9/B4Vmw7QKd2+VRV1zGgW4fG1zUmq2EY6n+NG87hmjq27z/E2DP6MHPZNt5cXx5znctH9Oa5iUUcqKqh20kFSeVbX++a9G38y5eGUDx+BCvLKqh3jopDNcetfS+++zIKeyb3Avp7Xl7FzIZRV2OHMeniIbRvGzlmX31/O9v2V1Hv4HOndGZP5RHOO7Ubi9bt5skFGwB/AcjMljvnjnmlmgKBxG3fwWpGRVXhn580mkuGtu7jJ3ZUHKL7SQUMfyAy+mjytSM5pUsHxnyud0LDf0VEgUBEJPRaCgS6pBIRCTkFAhGRkFMgEBEJOQUCEZGQUyAQEQk5BQIRkZBTIBARCTkFAhGRkMvKG8rMrBzYmuTqPQF/zzXODdoP2gcNtB/Csw8GOeeOeRxAVgYCP8ysJNaddWGj/aB90ED7QftATUMiIiGnQCAiEnJhDATTMl2AgNB+0D5ooP0Q8n0Quj4CERFpKow1AhERiaJAICIScqEJBGY23szWm1mpmRVnujypYmZbzGy1ma0wsxJvWXczW2BmG71/u3nLzcx+5u2DVWY2Kup7JnrpN5rZxKjl53vfX+qtm5l3dEYxs+lmttvMPoha1urb3FIemdLCfphsZtu942GFmV0d9dm93jatN7NxUctj/jbMbLCZLfGWzzSzAm95O2++1Pu8ME2bfAwzG2hmb5rZh2a2xsy+6y0P3fHgi3Mu5/+APGATMAQoAFYCIzNdrhRt2xagZ7NlPwKKveli4HFv+mpgLmDAhcASb3l3YLP3bzdvupv32VIvrXnrXhWAbb4UGAV8kM5tbimPgO2HycDdMdKO9I77dsBg7/eQd7zfBvAicJM3/Svg/3jT3wF+5U3fBMzM4D7oC4zypjsDG7xtDd3x4Gs/ZroAaTpYLgLmR83fC9yb6XKlaNu2cGwgWA/09ab7Auu96WeBm5unA24Gno1a/qy3rC+wLmp5k3QZ3u7CZifAVt/mlvII2H6YTOxA0OSYB+Z7v4uYvw3vpLcHyPeWN6ZrWNebzvfSWab3hVeePwNXhvV4SPYvLE1D/YFtUfNl3rJc4IDXzWy5md3hLevjnNvhTe8E+njTLe2H4y0vi7E8iNKxzS3lETR3es0e06OaKxLdDz2AA8652mbLm3yX93mFlz6jvCaq84Al6HhISFgCQS672Dk3CrgK+DczuzT6Qxe5XAnVGOF0bHOA9+svgdOAc4EdwE8yWpo0MbNOwCvAfzjnPo3+LOTHQ1zCEgi2AwOj5gd4y7Kec2679+9u4DVgNLDLzPoCeP/u9pK3tB+Ot3xAjOVBlI5tbimPwHDO7XLO1Tnn6oH/IXI8QOL7YS/Q1czymy1v8l3e51289BlhZm2JBIE/OOde9RbreEhAWALBMmCoNwqigEgH16wMl8k3MzvJzDo3TANjgQ+IbFvDqIeJRNpN8Zbf6o2cuBCo8Kq284GxZtbNa0oYS6Q9eAfwqZld6I2UuDXqu4ImHdvcUh6B0XBi8nyNyPEAkbLf5I34GQwMJdIJGvO34V3hvglc763ffJ827IfrgUVe+rTz/o+eA9Y6556M+kjHQyIy3UmRrj8iowU2EBkhcX+my5OibRpCZJTHSmBNw3YRaa9dCGwE3gC6e8sNeMbbB6uBoqjvuh0o9f5ui1peRORksgl4mgB0CgJ/ItLsUUOkzXZSOra5pTwCth+e97ZzFZETVd+o9Pd727SeqNFfLf02vONrqbd/XgLaecvbe/Ol3udDMrgPLibSJLMKWOH9XR3G48HPnx4xISIScmFpGhIRkRYoEIiIhJwCgYhIyCkQiIiEnAKBiEjIKRCIiIScAoGISMj9f9BUVcmoXDY4AAAAAElFTkSuQmCC", "text/plain": [ - "
" - ], - "image/png": "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\n" + "
" + ] }, - "metadata": {} + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" } - ] - }, - { - "cell_type": "code", + ], "source": [ "data = returnVsandIs('xuan_9-7-19-E4-CCSTEP-DRUG.mat')\n", "df = pd.DataFrame()\n", @@ -1809,45 +1847,122 @@ " df[k_1+'_'+k] = np.array(vals_k).flatten()\n", "\n", "# df.to_csv('Serotonin_acsf_simone_CC.csv')" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 513 - }, - "id": "Vbl9ugFQngYc", - "outputId": "c64b4d11-a282-49bf-f2ce-cf54b250eea9" - }, - "execution_count": null, + ] + }, + { + "cell_type": "code", + "execution_count": 46, + "metadata": {}, "outputs": [ { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } + ], + "source": [ + "data_acsf['1'].keys()\n", + "# plt.plot(data_acsf['1']['tV'],data_acsf['1']['V'])\n", + "for i in range(0,4):\n", + " plt.plot(data_acsf['1']['tV'][i],data_acsf['1']['V'][i],c='grey')\n", + "plt.xlabel('time (s)')\n", + "plt.ylabel('Vm (V)')\n", + "# plt.show()\n", + "\n", + "plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/sh_')\n", + "for i in range(0,4):\n", + " plt.plot(data_acsf['1']['tI'][i],data_acsf['1']['I'][i]*1000,c='grey')\n", + "plt.xlabel('time (s)')\n", + "plt.ylabel('Vm (V)')\n", + "plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/fn_')\n", + "\n", + "# plt.show()\n", + "\n", + "# plt.savefig()" ] }, { "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 1000 + }, + "id": "vEzrVPmCWy96", + "outputId": "74764439-c261-4c8e-c8fe-62fb32f09003" + }, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ "def plot_ISIs_distribution(file_drug,file_acsf,cond):\n", " isis_1_8_drug = []\n", @@ -1897,124 +2012,53 @@ " # subplot(1,3,1)\n", " fig, axes = plt.subplots(1, 3,figsize = [24,8])\n", "\n", - " sns.histplot(data=df_isi1,ax = axes[0],stat='count',common_bins=True)\n", - " axes[0].set_title('ISI distribution for number of spikes between 1 to 8')\n", - " sns.histplot(data=df_isi2,ax = axes[1],stat='count',common_bins=True)\n", - " axes[1].set_title('ISI distribution for number of spikes between 8 to 15')\n", - " sns.histplot(data=df_isi3,ax = axes[2],stat='count',common_bins=True)\n", - " axes[2].set_title('ISI distribution for number of spikes greater than 15')\n", - " plt.show()\n", - "\n", - "def plot_threshold_dist(file_drug,file_acsf,cond):\n", - " thrs = []\n", - " thrs_acsf = []\n", - "\n", - " for files in file_drug:\n", - " for f in files['spike_info']:\n", - " for i in f:\n", - " if (len(i['thrs'])-1)>=1:\n", - " for thr in i['thrs']: \n", - " thrs.append(thr)\n", - "\n", - "\n", - " for files in file_acsf:\n", - " for f in files['spike_info']:\n", - " for i in f:\n", - " if (len(i['thrs'])-1)>=1:\n", - " for thr in i['thrs']: \n", - " thrs_acsf.append(thr)\n", - " sns.set(rc={\"figure.figsize\": (8, 4)}); np.random.seed(0)\n", - " \n", - " df = pd.DataFrame({cond:pd.Series(thrs),'acsf':pd.Series(thrs_acsf)})\n", - " sns.histplot(data=df)\n", - " plt.show()\n", - "\n", - "\n", - "plot_threshold_dist(d1,acsf_d1,'D1')\n", - "\n", - "plot_threshold_dist(d2,acsf_d2,'D2')\n", - "\n", - "plot_threshold_dist(dop,acsf_dop,'Dop')\n", - "\n", - "plot_threshold_dist(sag,acsf_sag,'5HT-1f(S1 cortex)')\n", - "\n", - "plot_threshold_dist(sag_m1,acsf_sag_m1,'5HT-1f(M1 cortex)')\n" - ], - "metadata": { - "id": "vEzrVPmCWy96", - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 - }, - "outputId": "74764439-c261-4c8e-c8fe-62fb32f09003" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "display_data", - "data": { - "text/plain": [ - "
" - ], - "image/png": "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\n" - }, - "metadata": {} - }, - { - "output_type": "display_data", - "data": { - "text/plain": [ - "
" - ], - "image/png": "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\n" - }, - "metadata": {} - }, - { - "output_type": "display_data", - "data": { - "text/plain": [ - "
" - ], - "image/png": "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\n" - }, - "metadata": {} - }, - { - "output_type": "display_data", - "data": { - "text/plain": [ - "
" - ], - "image/png": "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\n" - }, - "metadata": {} - }, - { - "output_type": "display_data", - "data": { - "text/plain": [ - "
" - ], - "image/png": "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\n" - }, - "metadata": {} - } - ] - }, - { - "cell_type": "code", - "source": [ - "plot_ISIs_distribution(d1,acsf_d1,'D1')\n", - "\n", - "plot_ISIs_distribution(d2,acsf_d2,'D2')\n", + " sns.histplot(data=df_isi1,ax = axes[0],stat='count',common_bins=True)\n", + " axes[0].set_title('ISI distribution for number of spikes between 1 to 8')\n", + " sns.histplot(data=df_isi2,ax = axes[1],stat='count',common_bins=True)\n", + " axes[1].set_title('ISI distribution for number of spikes between 8 to 15')\n", + " sns.histplot(data=df_isi3,ax = axes[2],stat='count',common_bins=True)\n", + " axes[2].set_title('ISI distribution for number of spikes greater than 15')\n", + " plt.show()\n", "\n", - "plot_ISIs_distribution(dop,acsf_dop,'Dop')\n", + "def plot_threshold_dist(file_drug,file_acsf,cond):\n", + " thrs = []\n", + " thrs_acsf = []\n", "\n", - "plot_ISIs_distribution(sag,acsf_sag,'5HT-1f(S1 cortex)')\n", + " for files in file_drug:\n", + " for f in files['spike_info']:\n", + " for i in f:\n", + " if (len(i['thrs'])-1)>=1:\n", + " for thr in i['thrs']: \n", + " thrs.append(thr)\n", "\n", - "plot_ISIs_distribution(sag_m1,acsf_sag_m1,'5HT-1f(M1 cortex)')\n" - ], + "\n", + " for files in file_acsf:\n", + " for f in files['spike_info']:\n", + " for i in f:\n", + " if (len(i['thrs'])-1)>=1:\n", + " for thr in i['thrs']: \n", + " thrs_acsf.append(thr)\n", + " sns.set(rc={\"figure.figsize\": (8, 4)}); np.random.seed(0)\n", + " \n", + " df = pd.DataFrame({cond:pd.Series(thrs),'acsf':pd.Series(thrs_acsf)})\n", + " sns.histplot(data=df)\n", + " plt.show()\n", + "\n", + "\n", + "plot_threshold_dist(d1,acsf_d1,'D1')\n", + "\n", + "plot_threshold_dist(d2,acsf_d2,'D2')\n", + "\n", + "plot_threshold_dist(dop,acsf_dop,'Dop')\n", + "\n", + "plot_threshold_dist(sag,acsf_sag,'5HT-1f(S1 cortex)')\n", + "\n", + "plot_threshold_dist(sag_m1,acsf_sag_m1,'5HT-1f(M1 cortex)')\n" + ] + }, + { + "cell_type": "code", + "execution_count": null, "metadata": { "colab": { "base_uri": "https://localhost:8080/", @@ -2023,62 +2067,77 @@ "id": "V1QHorvRIpPv", "outputId": "2cb0f493-d130-4d1c-f061-d5685442359f" }, - "execution_count": null, "outputs": [ { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, - "metadata": {} + "metadata": {}, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, - "metadata": {} + "metadata": {}, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, - "metadata": {} + "metadata": {}, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, - "metadata": {} + "metadata": {}, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, - "metadata": {} + "metadata": {}, + "output_type": "display_data" } + ], + "source": [ + "plot_ISIs_distribution(d1,acsf_d1,'D1')\n", + "\n", + "plot_ISIs_distribution(d2,acsf_d2,'D2')\n", + "\n", + "plot_ISIs_distribution(dop,acsf_dop,'Dop')\n", + "\n", + "plot_ISIs_distribution(sag,acsf_sag,'5HT-1f(S1 cortex)')\n", + "\n", + "plot_ISIs_distribution(sag_m1,acsf_sag_m1,'5HT-1f(M1 cortex)')\n" ] }, { "cell_type": "code", + "execution_count": null, + "metadata": { + "id": "m7utlza_SIXH" + }, + "outputs": [], "source": [ "def modify_names_analyzed_cc(string):\n", " a = string.split('_')\n", @@ -2106,32 +2165,24 @@ "# print(i)\n", "# df_cc_nodrug_analyzed = pd.DataFrame(data = {'exc':pd.Series(np.unique(all_cc_analyzed_no_drug_trials_exc)),'inh':pd.Series(np.unique(all_cc_analyzed_no_drug_trials_inh))}) \n", "# df_cc_nodrug_analyzed" - ], - "metadata": { - "id": "m7utlza_SIXH" - }, - "execution_count": null, - "outputs": [] + ] }, { "cell_type": "code", - "source": [ - "df_cc_nodrug_analyzed.to_csv('/content/gdrive/MyDrive/lists/tea_cc_filenames.csv')" - ], + "execution_count": null, "metadata": { - "id": "zrLCXtPTUunh", "colab": { "base_uri": "https://localhost:8080/", "height": 166 }, + "id": "zrLCXtPTUunh", "outputId": "7a1b3d5c-b8fe-4aa1-ffd8-9e1134a070c2" }, - "execution_count": null, "outputs": [ { - "output_type": "error", "ename": "NameError", "evalue": "ignored", + "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mNameError\u001b[0m Traceback (most recent call last)", @@ -2139,10 +2190,18 @@ "\u001b[0;31mNameError\u001b[0m: name 'df_cc_nodrug_analyzed' is not defined" ] } + ], + "source": [ + "df_cc_nodrug_analyzed.to_csv('/content/gdrive/MyDrive/lists/tea_cc_filenames.csv')" ] }, { "cell_type": "code", + "execution_count": null, + "metadata": { + "id": "oxrq_o3pIGUd" + }, + "outputs": [], "source": [ "# data = loadmat_(all_files_cc_exc+i)\n", "data = loadmat_(path_exc_analyzed+all_files_cc_exc_analyzed[0])\n", @@ -2151,15 +2210,15 @@ "# FR = data_sorted['cell']['rep']['data']['columnar']['FR']['abs']\n", "# all_exc['FR'].append(FR)\n", "# all_exc['I_steps'].append(current)" - ], - "metadata": { - "id": "oxrq_o3pIGUd" - }, - "execution_count": null, - "outputs": [] + ] }, { "cell_type": "code", + "execution_count": null, + "metadata": { + "id": "IniSNnsOIwrR" + }, + "outputs": [], "source": [ "def print_keys(dic):\n", " keys = []\n", @@ -2178,15 +2237,15 @@ "# all_keys.append(list(data[key].keys()))\n", "# else:\n", "# all_keys.append(key)" - ], - "metadata": { - "id": "IniSNnsOIwrR" - }, - "execution_count": null, - "outputs": [] + ] }, { "cell_type": "code", + "execution_count": null, + "metadata": { + "id": "u8HWVW5eN3D5" + }, + "outputs": [], "source": [ "def print_keys(dic):\n", " keys = []\n", @@ -2196,15 +2255,15 @@ " print(value.keys())\n", " print_keys(value)\n", "print_keys(data)\n" - ], - "metadata": { - "id": "u8HWVW5eN3D5" - }, - "execution_count": null, - "outputs": [] + ] }, { "cell_type": "code", + "execution_count": null, + "metadata": { + "id": "G0cJcO29WcDb" + }, + "outputs": [], "source": [ "def modify_names(file_name):\n", " str_temp = file_name\n", @@ -2279,43 +2338,35 @@ "for i in all_files_fn:\n", "\n", " all_fn.append(modify_names_fn(i)) \n" - ], - "metadata": { - "id": "G0cJcO29WcDb" - }, - "execution_count": null, - "outputs": [] + ] }, { "cell_type": "code", + "execution_count": null, + "metadata": { + "id": "27YXyLoyQ_-9" + }, + "outputs": [], "source": [ "all_fn_raw_mod = []\n", "for j in filter_acuteslices:\n", " all_fn_raw_mod.append(modify_names_fn(j,raw=True))" - ], - "metadata": { - "id": "27YXyLoyQ_-9" - }, - "execution_count": null, - "outputs": [] + ] }, { "cell_type": "code", - "source": [ - "all_fn_raw_mod = np.unique(all_fn_raw_mod)" - ], + "execution_count": null, "metadata": { "id": "b6_Iou4ERN82" }, - "execution_count": null, - "outputs": [] + "outputs": [], + "source": [ + "all_fn_raw_mod = np.unique(all_fn_raw_mod)" + ] }, { "cell_type": "code", - "source": [ - "df.to_csv('/content/gdrive/MyDrive/lists/cc_fn.csv')\n", - "df" - ], + "execution_count": null, "metadata": { "colab": { "base_uri": "https://localhost:8080/", @@ -2324,27 +2375,9 @@ "id": "SKSBXnNlbUnG", "outputId": "6528093d-65f1-400d-a0a3-27d0fa9f2567" }, - "execution_count": null, "outputs": [ { - "output_type": "execute_result", "data": { - "text/plain": [ - " FN CC matching\n", - "0 NC_170615_E1 KK_30817_E6 NC_171017_E2\n", - "1 NC_170620_E1 NC_101017_E4 NC_171017_E4\n", - "2 NC_170623_E4 NC_101017_E5 Payam_101019_E2\n", - "3 NC_170626_E1 NC_111017_E1 Payam_101019_E3\n", - "4 NC_170626_E2 NC_111017_E3 Payam_101019_E4\n", - ".. ... ... ...\n", - "318 xuan_9519_E4 NaN NaN\n", - "319 xuan_9919_E1 NaN NaN\n", - "320 xuan_9919_E2 NaN NaN\n", - "321 xuan_9919_E3 NaN NaN\n", - "322 xuan_9919_E4 NaN NaN\n", - "\n", - "[323 rows x 3 columns]" - ], "text/html": [ "\n", "
\n", @@ -2519,15 +2552,56 @@ "
\n", " \n", " " + ], + "text/plain": [ + " FN CC matching\n", + "0 NC_170615_E1 KK_30817_E6 NC_171017_E2\n", + "1 NC_170620_E1 NC_101017_E4 NC_171017_E4\n", + "2 NC_170623_E4 NC_101017_E5 Payam_101019_E2\n", + "3 NC_170626_E1 NC_111017_E1 Payam_101019_E3\n", + "4 NC_170626_E2 NC_111017_E3 Payam_101019_E4\n", + ".. ... ... ...\n", + "318 xuan_9519_E4 NaN NaN\n", + "319 xuan_9919_E1 NaN NaN\n", + "320 xuan_9919_E2 NaN NaN\n", + "321 xuan_9919_E3 NaN NaN\n", + "322 xuan_9919_E4 NaN NaN\n", + "\n", + "[323 rows x 3 columns]" ] }, + "execution_count": 252, "metadata": {}, - "execution_count": 252 + "output_type": "execute_result" } + ], + "source": [ + "df.to_csv('/content/gdrive/MyDrive/lists/cc_fn.csv')\n", + "df" ] }, { "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/" + }, + "id": "B2cs4e7_3CGb", + "outputId": "cb192813-1bcd-4975-f7eb-19b649596780" + }, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "{'NC': 44, 'xuan': 146, 'asli': 76, 'Payam': 52}\n", + "{'NC': 138, 'xuan': 121, 'asli': 40, 'Payam': 26}\n", + "{'NC': 2, 'xuan': 37, 'asli': 33, 'Payam': 17}\n", + "['NC_171017_E2', 'NC_171017_E4', 'Payam_101019_E2', 'Payam_101019_E3', 'Payam_101019_E4', 'Payam_101019_E5', 'Payam_12919_E1', 'Payam_12919_E4', 'Payam_161019_E3', 'Payam_161019_E4', 'Payam_161019_E5', 'Payam_24919_E1', 'Payam_25919_E1', 'Payam_25919_E2', 'Payam_26919_E1', 'Payam_26919_E2', 'Payam_5919_E1', 'Payam_5919_E2', 'Payam_5919_E3', 'asli_12719_E2', 'asli_12719_E3', 'asli_18719_E1', 'asli_2819_E1', 'asli_2819_E2', 'asli_2819_E3', 'asli_23719_E2', 'asli_23719_E3', 'asli_23719_E5', 'asli_24719_E4', 'asli_24719_E5', 'asli_25719_E1', 'asli_25719_E2', 'asli_25719_E3', 'asli_25719_E4', 'asli_30719_E1', 'asli_31719_E1', 'asli_31719_E2', 'asli_31719_E3', 'asli_31719_E4', 'asli_5719_E3', 'asli_5719_E4', 'asli_5819_E1', 'asli_5819_E2', 'asli_5819_E3', 'asli_5819_E4', 'asli_5819_E7', 'asli_6819_E1', 'asli_6819_E2', 'asli_6819_E3', 'asli_6819_E4', 'asli_6819_E5', 'asli_8719_E2', 'xuan_101018_E2', 'xuan_10519_E4', 'xuan_111019_E1', 'xuan_111019_E3', 'xuan_121018_E2', 'xuan_13619_E1', 'xuan_151018_E2', 'xuan_16719_E1', 'xuan_16719_E2', 'xuan_16719_E3', 'xuan_16719_E5', 'xuan_16919_E2', 'xuan_16919_E4', 'xuan_17119_E3', 'xuan_19319_E1', 'xuan_20919_E1', 'xuan_20919_E2', 'xuan_20919_E3', 'xuan_21119_E5', 'xuan_22119_E2', 'xuan_231018_E1', 'xuan_231118_E2', 'xuan_26319_E2', 'xuan_26319_E4', 'xuan_26619_E6', 'xuan_27919_E1', 'xuan_27919_E2', 'xuan_27919_E3', 'xuan_28319_E4', 'xuan_5619_E3', 'xuan_6319_E1', 'xuan_7319_E2', 'xuan_9519_E3', 'xuan_9919_E1', 'xuan_9919_E2', 'xuan_9919_E3', 'xuan_9919_E4']\n" + ] + } + ], "source": [ "counts_step_cc = {'NC':0,'xuan':0,'asli':0,'Payam':0}\n", "counts_step_fn = {'NC':0,'xuan':0,'asli':0,'Payam':0}\n", @@ -2553,34 +2627,14 @@ "print(counts_step_match)\n", "\n", "print(matching_files)\n" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "B2cs4e7_3CGb", - "outputId": "cb192813-1bcd-4975-f7eb-19b649596780" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "{'NC': 44, 'xuan': 146, 'asli': 76, 'Payam': 52}\n", - "{'NC': 138, 'xuan': 121, 'asli': 40, 'Payam': 26}\n", - "{'NC': 2, 'xuan': 37, 'asli': 33, 'Payam': 17}\n", - "['NC_171017_E2', 'NC_171017_E4', 'Payam_101019_E2', 'Payam_101019_E3', 'Payam_101019_E4', 'Payam_101019_E5', 'Payam_12919_E1', 'Payam_12919_E4', 'Payam_161019_E3', 'Payam_161019_E4', 'Payam_161019_E5', 'Payam_24919_E1', 'Payam_25919_E1', 'Payam_25919_E2', 'Payam_26919_E1', 'Payam_26919_E2', 'Payam_5919_E1', 'Payam_5919_E2', 'Payam_5919_E3', 'asli_12719_E2', 'asli_12719_E3', 'asli_18719_E1', 'asli_2819_E1', 'asli_2819_E2', 'asli_2819_E3', 'asli_23719_E2', 'asli_23719_E3', 'asli_23719_E5', 'asli_24719_E4', 'asli_24719_E5', 'asli_25719_E1', 'asli_25719_E2', 'asli_25719_E3', 'asli_25719_E4', 'asli_30719_E1', 'asli_31719_E1', 'asli_31719_E2', 'asli_31719_E3', 'asli_31719_E4', 'asli_5719_E3', 'asli_5719_E4', 'asli_5819_E1', 'asli_5819_E2', 'asli_5819_E3', 'asli_5819_E4', 'asli_5819_E7', 'asli_6819_E1', 'asli_6819_E2', 'asli_6819_E3', 'asli_6819_E4', 'asli_6819_E5', 'asli_8719_E2', 'xuan_101018_E2', 'xuan_10519_E4', 'xuan_111019_E1', 'xuan_111019_E3', 'xuan_121018_E2', 'xuan_13619_E1', 'xuan_151018_E2', 'xuan_16719_E1', 'xuan_16719_E2', 'xuan_16719_E3', 'xuan_16719_E5', 'xuan_16919_E2', 'xuan_16919_E4', 'xuan_17119_E3', 'xuan_19319_E1', 'xuan_20919_E1', 'xuan_20919_E2', 'xuan_20919_E3', 'xuan_21119_E5', 'xuan_22119_E2', 'xuan_231018_E1', 'xuan_231118_E2', 'xuan_26319_E2', 'xuan_26319_E4', 'xuan_26619_E6', 'xuan_27919_E1', 'xuan_27919_E2', 'xuan_27919_E3', 'xuan_28319_E4', 'xuan_5619_E3', 'xuan_6319_E1', 'xuan_7319_E2', 'xuan_9519_E3', 'xuan_9919_E1', 'xuan_9919_E2', 'xuan_9919_E3', 'xuan_9919_E4']\n" - ] - } ] }, { "cell_type": "code", "execution_count": null, "metadata": { - "id": "qxJljHHcKqkM", - "cellView": "form" + "cellView": "form", + "id": "qxJljHHcKqkM" }, "outputs": [], "source": [ @@ -2843,8 +2897,8 @@ "cell_type": "code", "execution_count": null, "metadata": { - "id": "kqBf6uevNDXI", - "cellView": "form" + "cellView": "form", + "id": "kqBf6uevNDXI" }, "outputs": [], "source": [ @@ -2999,5 +3053,30 @@ " return all_ephys_with_cond" ] } - ] -} \ No newline at end of file + ], + "metadata": { + "colab": { + "authorship_tag": "ABX9TyNybtWoOmMYYmTwVlobsdhX", + "include_colab_link": true, + "provenance": [] + }, + "kernelspec": { + "display_name": "Python 3", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.8.8" + } + }, + "nbformat": 4, + "nbformat_minor": 0 +} diff --git a/notebooks/Ephys_clusters.ipynb b/notebooks/Ephys_clusters.ipynb index 9af55ef..a51c689 100644 --- a/notebooks/Ephys_clusters.ipynb +++ b/notebooks/Ephys_clusters.ipynb @@ -1,26 +1,10 @@ { - "nbformat": 4, - "nbformat_minor": 0, - "metadata": { - "colab": { - "provenance": [], - "authorship_tag": "ABX9TyNuPSUfff/lBZadQdq/4Sc+", - "include_colab_link": true - }, - "kernelspec": { - "name": "python3", - "display_name": "Python 3" - }, - "language_info": { - "name": "python" - } - }, "cells": [ { "cell_type": "markdown", "metadata": { - "id": "view-in-github", - "colab_type": "text" + "colab_type": "text", + "id": "view-in-github" }, "source": [ "\"Open" @@ -83,8 +67,8 @@ }, "outputs": [ { - "output_type": "stream", "name": "stdout", + "output_type": "stream", "text": [ "Mounted at /content/gdrive\n" ] @@ -353,8 +337,8 @@ "cell_type": "code", "execution_count": null, "metadata": { - "id": "qxJljHHcKqkM", - "cellView": "form" + "cellView": "form", + "id": "qxJljHHcKqkM" }, "outputs": [], "source": [ @@ -774,31 +758,31 @@ }, { "cell_type": "code", + "execution_count": null, + "metadata": { + "id": "MLUyNkG1sJTP" + }, + "outputs": [], "source": [ "#@title (DO NOT RUN!) run the analysis for conditions \n", "sag = return_all_ephys_dict(['sag'])\n", "dop = return_all_ephys_dict(['D1', 'DOPA', 'DOPAMINE', 'Dop'])" - ], - "metadata": { - "id": "MLUyNkG1sJTP" - }, - "execution_count": null, - "outputs": [] + ] }, { "cell_type": "code", + "execution_count": null, + "metadata": { + "id": "lgR3d2oAGMoK" + }, + "outputs": [], "source": [ "# @title (DO NOT RUN!) Save data files with condition \n", "with open('/content/gdrive/MyDrive/all_ephys_dop.p', 'wb') as fh:\n", " pickle.dump(dop, fh) \n", "with open('/content/gdrive/MyDrive/all_ephys_sag.p', 'wb') as fh:\n", " pickle.dump(sag, fh) " - ], - "metadata": { - "id": "lgR3d2oAGMoK" - }, - "execution_count": null, - "outputs": [] + ] }, { "cell_type": "code", @@ -905,16 +889,16 @@ }, "outputs": [ { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } ], "source": [ @@ -976,47 +960,47 @@ "cell_type": "code", "execution_count": null, "metadata": { - "id": "QxLkdoqH2D-A", "colab": { "base_uri": "https://localhost:8080/", "height": 1000 }, + "id": "QxLkdoqH2D-A", "outputId": "a0a4e459-58dd-44bb-d8c4-3aff3f144049" }, "outputs": [ { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "execute_result", "data": { "text/plain": [ "Text(0.5, 1.0, 'ephys correlation Excitatory')" ] }, + "execution_count": 13, "metadata": {}, - "execution_count": 13 + "output_type": "execute_result" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } ], "source": [ @@ -1050,6 +1034,39 @@ }, { "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 690 + }, + "id": "sLIC3hEuE3Vy", + "outputId": "d5730bd7-46be-4e18-8848-f59d99a9e08b" + }, + "outputs": [ + { + "data": { + "text/plain": [ + "Text(0.5, 1.0, 'ephys correlation Exc - Inh')" + ] + }, + "execution_count": 19, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], "source": [ "from sklearn.preprocessing import normalize\n", "from sklearn.preprocessing import StandardScaler, normalize\n", @@ -1068,43 +1085,55 @@ "matrix_inh = df_inh.corr()\n", "sns.heatmap(matrix_exc-matrix_inh,ax=ax,cmap='gist_rainbow',xticklabels=cols,yticklabels=cols,center=.0)\n", "plt.title('ephys correlation Exc - Inh')" - ], + ] + }, + { + "cell_type": "code", + "execution_count": null, "metadata": { "colab": { "base_uri": "https://localhost:8080/", - "height": 690 + "height": 1000 }, - "id": "sLIC3hEuE3Vy", - "outputId": "d5730bd7-46be-4e18-8848-f59d99a9e08b" + "id": "JyZ4HCQQSEjR", + "outputId": "a4f9f113-ba35-476b-84b0-bed56701b97a" }, - "execution_count": null, "outputs": [ { - "output_type": "execute_result", "data": { + "image/png": "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", "text/plain": [ - "Text(0.5, 1.0, 'ephys correlation Exc - Inh')" + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "text/plain": [ + "Text(0.5, 1.0, 'ephys correlation Excitatory')" ] }, + "execution_count": 75, "metadata": {}, - "execution_count": 19 + "output_type": "execute_result" }, { - "output_type": "display_data", "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAA0cAAAKQCAYAAABU9gGgAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAAVhwAAFYcBshnuugAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzde7ylY/3/8dfbWQ7jFKHMOJWUJJSzQYUiopxCQ3xV30T0C/HNJkmJCCWSccgpKkRI2UTOZ0VGDJHTGDMYBmM+vz+ua9m3te+199p7r3uvtWfez8fjftx73fd1X9d1r7Vmzfqs66SIwMzMzMzMbHY3R7srYGZmZmZm1gkcHJmZmZmZmeHgyMzMzMzMDHBwZGZmZmZmBjg4MjMzMzMzAxwcmZmZmZmZAQ6OzMzMzMzMAAdHZmZmZmZmgIMjMzMzMzMzwMGRmZmZmZkZ4ODIzMzMzMwMcHBkZmZmZmYGODgyMzMzMzMDHByZ2WxG0nhJIamr3XWx5uTXKySNmR3KnVVJ6s7P57h218XMrJG52l0BMzOz4ZSDnXHAlIg4oa2VaRFJE4HRTSR9PCLGVFubgan69ZC0P7AIMD4iJrY6fzObtTg4MjOz2c0Y4HDgcaCvL+P/yvs3q65QC00Anuvj/NPDVZEST5Ce06l1x8fQ3OsxWPuTAsduYGIF+ZvZLMTBkZmZWYmIWKXddRiEoyNifLsrUSYidm93HczM+uMxR2ZmZmZmZjg4MrMOIGk7SX+U9KykN/L+D5I2apC+Kw/sHi9pPklHSvqXpNckPSfpfEkfaKLc+SUdka+dLul5SRdKWrku3bySXshlfqqP/FaUNFPSm5KWLhxfOJdzj6RX8j0+LekOScdJWmkgz1fOcxFJh0m6VdKLuf6PSbpUUukv9JLWyff3lKTXJU2SdLWk7RukH1OblCA/3lzSn/LzNLM2sL440F7S0pJ+nuvyuqTuujxXyucflvSqpJcl3S5pf0nzDvA5WFDSrvn1flDS1PwemJDLWL7kmm7guvxwdGHShdo2tpC2zwkZJG0h6bLC+/aZ/L7dtEH6sTm/ifnx5/JzN0XStPxa7jSQ52AoJC0k6ZFcpxMbpNkzn39J0ool5zeRdIGkJ/J7cJKkuyQdU/++VsmEDAN8PTaUdKyk2/K/n9pnxRWSti6p27j83q2NxbquLu/xdennlvQ1STcW/k09Kum0Rv9G9c7PonklfVfSfUr/zkND/OwwszaICG/evHlrywbMC1wMRN6eA+4CXsiPZwLfLrmuK58/D/h7/nsCcCcwPT9+Bdiw5Nrx+fzxwD25jH8CD5DGlgQwCRhdd93x+dyFfdzPD3OaPxSOLZTzr93PBOA20tiHN/LxvQb4vK0J/LfwvE0AbgeerR0rueZbufwAJuf0TxXyOAuYo+6aMYXz+xeuvQ14DBiX03Xnc0cBzwMzgPuAu4FrCvl9qfD6vArcD/wbeCsf+zuwUEnda3UYU3d8q3z8TeBJ4A7gwZx3AFOAj9ddc1IuN3Jdbqzb1uiv3HzuhML5Z/Nz8nzh2PdLrhmbz00Evpf/fibX+8XCtd8YxL+lifnacQO8bq3C+3DrunOrANPyuV3qzs0B/KJQ55fyfTwEvJaPddVd011fxwG+HpNyuhdI/17vJH1m1Orww7rytsx51N5z99fl/d26f6c3FPL6d76f2v2/Wv/81H0WXQDcnP9+hPTva+pQPju8efPWnq3tFfDmzdvsuwE/z18IHgDWrzv3pfzFZCawcd252heSN/IX0vUL5xYD/pjP/xcYVXft+MK1dwIrFc4tn7/cBXBW3XWr5OOvA4uX3Mtc9AQsny0crwUV99H7y/18wBeBdQfwnC1F+kIdpF/cV647Pxo4su7YJvQERkcAcxfO7ZLvKagLRHlncPQGcCAwZ+H8/HnfndPMAP4MLF2SZn1SEPM6sB8wTyHN+0nBRQBnlNxzo+DoA8D2wIJ1xxcCjszX/BNQ3fmx+dzEfp7rRuV+uXC/XyUHlcCcwAGF5/oLDcp9g/Rle5fCubmAU/L5lykJEvup60QGERzlaw+k50eB9xbem/fm42eWXNNFT0Dz1br31FzAtvQOtrrL6jiA12MvYIWS45+k54eBdfp4bsb2kfeZ9PxAs0Hh+MKkH2Fqr0v9e6H2PMwgTSixVsl7f1CfHd68eWvP1vYKePPmbfbc8hfbt0gzV41ukOaA/IXhyrrjtS8kvX7RzucXJLVwBHBA3bnxhS91K5Zcu10+P7nkXO3L3bdKzm2Tz/2HdwYQp+bj+7XoeTsu5/dQ7ctXE9f8JV9zRYPz38/nnwfmLRwfU3ieT+sj/9rz8hx1wWghzY2Nnrt8/n2k1r4ZwDJ150qDlCbuu1bmJ+qOj2VowdEj+fgpDa47J5+/v0G5ARxWct189LSEfG6A9zqxkHdf2wkl1wq4Mp+/nhTk1QK1fwEL1KVfkp7WoT0GUMfa+2TcYF6PfvLeK+fxiz6em7ENrh1DT+vlF0vOzwU8ms+fVHeuq/DcrtfEvTf92eHNm7f2bB5zZGbt8gVS15w/RcTjDdJckvdjJc1Zcv4Z4ML6gxHxCvCr/PCzDfK+OiL+XXL85rxfVNJided+mfdfKblur7w/MyLeKhyv3dvWkhZsUJeBqI0POj4iXusvsaQFgI1r1zRI9lPSl8MlgE80SPOrBseLLo6I+mmakbQsqeVoRqN8IuI/pK5Icxbq2y9Jc0raRtJJeezJDXnMyI1AbezYGs3m10R5qwC1sTeNns9j8/7DkpZrkObn9QciYjqpKyLAgMehZROAm/rYHi0pN0itYU8DGwGXA18ntXTsGBHT6i75DCmQe4rUHXPYSFpV0uGSLpF0XeG13i8nGcxrvQXps+gJej5z3hYRM+iZYrzR58mDEfH3PsoYzGeHmbWBp/I2s3ZZPe/XzV9uyijv5wcWp/f6LQ/28WXiH3n/wQbnH25w/NnC3wuRWqBqfkfqevQhSetExC3w9pf/LUndqc6oy+/XpBawzYCnJf2Zni+qtw/ky5CkhegZXN7XF7GilUgBB6Tui71ExGRJTwHLkboA3VCS7B8lx5pNU3ut3wL+JKlBMt6f9+9roizywPUr6P8L8eLN5Nek2kQfrzUIriF15XuL9LyvQvrSXTQpIib3uiqpvf8WGmT9BjWVd0Q8L2lXUrfILfPh70TEPSXJV8v7WyJi5uCqOXCSjgG+Q8/nQpnBvNa11/SffdzP/Xm/vKR5IuKNuvP9/fsYzGeHmbWBW47MrF0WzfvlSK0KZdt6hfTvKsnj2ZJj9ecafcms/zUcgLovR6o79zqpWx70/NoLsAfpi/Cf61vBIuJZ4OOkX9hnAp8HfkJqoXo6z27V7A9VCxf+ntLkNbX7n0lzi4OWPl8lrQdlGqWpvdbz0vi1Xh94d05X9lqXOZMUGD0K7EwKHOeLCEWESN3bAOZuMr9m1J6fhu+93NIwqS59UV/PZe3911cAUJW76an3q8C5DdLV3ofNvgeHLM/idxA94+ZWz/WYM7/Wm+Wkg3mta6/RM32kKS6eO9DXdFCfHWbWHg6OzKxdXsn7I2tfZvvZJpbksVQf+dfOvdzSWsNppC9oOypNJS1gz3zu9LILIuKxiBhHmixiLVIXoKtJ3dh+kLdmvFT4e5Emr6nd/xyksSKN1KYPbvXzBT2v9RNNvtZd/WUo6T3A5vnh5yLigoh4In8JrWlli1FN7flp+N7Lwe4SdelHgjNI75GZpAC19P1Mz/uw2fdgK4zL++Mjoisi7ouIlws/Zgzlta69Ru/pI01xeu3BvqYD/uwws+Hn4MjM2qXWTeUjQ8hjlQZjkQA+lPcPDiH/XiJiAmmWuAWBnUi/WC9PapW5rJ9r34qIOyPiZxGxBbBvPvU19dHXrHD9y6TB5fDOVrW+PEIa6wPw4bIEkhYFls0PW/p8ZbXX+r0l47gGq7aG0eSI6NWlKQcoazW4NoZQ7kN5P3/Zuj/ZqvR0Zazi+Ww5SV8ntWpOIc1uOAXYTtJXS5Lfl/frSGrF94hmXo/a613W5RNgnSHkX3tNV+3jfmpdCR8t6VLXlKF8dpjZ8HFwZGbt8lvy1LWSVh1kHkuTpsJ+hzzxQW3g8xWDzLsvtcHVe9HTReasiHhzgPnclPcL0fwYk4vz/luS5usvce4Od31+eECDZPuTvsxPIk2p3VIR8Shp2vQ5SNNGt8Kreb+wpLJueLvTuKWsdm2z3ffeFhH/IgWc0Pj5rN3j/XmiiY4maTXSLIgAe0fEDcDe+fHxkuqD6itJs9UtC+zWgio083rU0vRaIFXSu+lpWRpM/leRWsuWo2fCk2L+c9Ez4cNQP09a9dlhZhVxcGRmbRER95NmLpsbuEbSVvWtJ5KWkfR1SQc3yOZN4ERJ6xauWRT4DakL2zOkCRFa7fekX3s/Qc+XqdJZ2CT9UNLXJC1Vd3wR4JD88OGIeKn31aWOJY13WQW4QtI7ZjWTNFrSEXXX/IAUiH5GUpekuQvpdySN5QA4pq5bWisdSGrBOkTSUfn+i/WeT9KWki4uv7yXf5CCubmAk4uBoqQvkBYXnd7g2n+Tno93SxpMy+VReb+PpH1q71tJc0jaj56A4chB5D2scmB5IWn2udMi4mKAvD+dNBnKBZLmr10TEc8Dx+SHp0rauzhuTtJceQbBrZusRjOvRy3A/66k2sQdSFqetK5ZX4FVLZjdrOxkHutzdn54sqQNCvkvRBrbtgKpe2ijGQqb1fRnh5m1SbvnEvfmzdvsuwHzkAZ919YJmUyazvl20jTBtePj667rysfPI83aFqTZ5+6gZ/2VaZSsa0LPOkddfdSr33V1SF8Oa+m6+0j3h0K6x4FbSbPGTc/HXgE2GuDzthZpgHgt34fzc1ZbHDZKrikuTjqZ1EL0ZCGPs8mLmRauGdMov7p03TSxACmwQ77fIAW2D5AmpniItDBqo7o3Wm9oz8K5Kfn1r93TVfSsN9TrtSZNVx2k6arvyvfQDXy0mfcBaWrn2vln8vP5XOHYUSXXjKWf9XyaeX82uG5i4b1wYz/bewrXnZ6v+wd162aRAo5/5vOn1p2bg9QKUrvfqfk9+CA9/wa76q5p+D7p7/UAlqHnPf9mru99pFkBXwT+t9FzSwpCavX8N6lrXjdwcCHNQsDfCukm5PuZlh+/St2itnWfReMbvTaD/ezw5s1beza3HJlZ20TEGxGxK/Ap0q/XL5P69q9G+gL0B1L3uG83yOINYFPSL/lBGlPzCnARaaX67gqrf3qDv+t9n1S/G0lfKD9K+hX6MeBk4MORujE1LSLuII2pOoI0w9jSpHt/lfSc7VpyzfGkcUq/JQVmHyW1CvyZtPDl7lHxtMwRcRGpxevHpC+3ywFrkyYvuD3fT9Pr1ETEr0njZG4mBdqrkFqT/h+wFemLcyO7k57/J0nP5cZ5a2qSgYjYn7Tezx9Jr+sapPfgpcAnI+KwZu+jxVam7xkB1ye1EiFpB1LXrunATlG3blZEvEoaGzOd1Eq2XeHczIjYh/Rv93ekIGJ10mv5T+BoelpjmtHn6xER/yWNK/oNKRhaOZ87i/TcN5xKOyIuIQXSt5JmRNwg571KIc3LpM+S/yX94LIkaTzk86SWndUj4vIB3E9fmv3sMLM2UMRQxqWamQ0/SV3A4aS++uPaVIf1SGOGXgSWibSAp5lZn/zZYdbZ3HJkZjY4++T9Of5yY2YD4M8Osw7m4MjMbIAkrU1adPQtUlcgM7N++bPDrPM1uyq7mdlsT1I3aZD6GqTPz1MirV1iZtaQPzvMRg4HR2ZmzduYNOj+SdLA8MPbWx0zGyH82WE2QnhCBjMzMzMz65OkXYENgTVJs8rOA+wREeMHmM8cpJkh/wdYiTTL7LXAoZEWDW8rjzkyMzMzM7P+HEUKaEaT1h0brF8CPwOU91cB2wG3S1p5qJUcKgdHZmZmZmbWn71Ii2K/Gzh1MBlI2iTncwPwsYg4KCJ2A7YFFqMDJipxcGRmZmZmZn2KiGsj4vEhZrN33v9fRLxRyPtPQDfwaUnLDbGMIXFwZGZmZmZmw2EsMI20EHK9q/N+42GrTQnPVmdmZmZmNouTdHOjcxGx7jCUvwCwNPBARLxVkqQ2vX1bxx05OLLZk7orn6ZRG42ttoA1qs0egBMuqL6MXXaqvozzhuE+Hqn4Pr5SbfYAXH925UXcqd0rL2PNH1deBMxdcf67VZw/wOLD8O+CuysvIe6p/gXf96PV5n/SP6vNH0CrnlV9IftX/++bE/atvIjgJFVeSH+q+Z5ySwV5DsSovJ/a4PxLdenawsFRB5F0Hmnl7F0i4vw+0i0MPAO8ASwdEa8NUxXNzMzMbAQajtahWYHHHHWWM/J+z37S7QzMD5zvwMjMzMzMRoBai1GjlqGF69K1hYOjzvJX4DFg035m6qgFT2f0kcbMzMzMrCNExDTS+kjLS5qzJEltrNGEknPDxsFRB4mIAM4kvS57lKWR9CHg48B9EXFHPjYxb6Mk/ULS05KmSbpB0sdymmUknSvpOUmvSbpmKAttSdpT0qW53OmSJku6Os9fX0y3oaSQ9OsG+Swp6U1JN9Ud/4ikKyW9LGlq/vvDksbn/MYMtu5mZmZmnW2eCraOcD2wALB+ybnN8/6G4atObw6OOs94YCYwTlLZgMBa0FTfajQP8GfSm+1C4LL897WSVgFuBlYCzs3pPgVc0SByb8YpwFLAtcBPgT8C6+bytimkuxGYCGwvab6SfHYmjX07p3ZA0ur5us1JqyafktPcCCw/yPqamZmZjRBzV7ANH0lLSFpF0hJ1p07L++9LmqeQfkvSNN/XtGAtpSHxhAwdJiL+I+kaYAtgU+AvtXOS5gJ2BV4nBTlFS5OCh10iYkZOfzfwI1JgdCZwYG6dQtLPga8B2wC/G0RVV42Ix4oHJC0N3AEcC1ya7ycknQscBnwOuKgun91IE0sUj58MLAR8KSLOK+R/JPB/g6irmZmZmQ2BpL2ADfLD1fJ+L0lj8983RsSv8t/fAA4HjgC6anlExHWSfgXsBdwl6QrSd9gdgclA9dMR9sMtR52p0cQMW5Faay6NiMkl1327FhhltRnv5gIOqwVGdedWH0wF6wOjfOxp4BJgZUmjC6dqrUK7FtNL+iCwJnBl7X7ydRsA9xYDo+xHwIuDqa+ZmZnZyNGR3eo2AL6ct4/lY+sXjm3Q4Lp6+wD75b/3Az4D/B74eEQ83IqKDoVbjjrTpcDzwOcljYqI2qwdfU3E8GJEPFF37Om8nxARrzY4t8xgKihpBeAQUuvWssC8dUmWAR4HiIiHJd0GbCFpiYiYlNPUgqVzCtfVgrVeKydHxDRJ9wCb1J8zMzMzs+pExDhgXJNpuyi0GNWdmwn8LG8dx8FRB4qINyWdAxwA7AL8QtJ7gC2BJ0jjfOq9VH8gImbkYUu9zgG1FqYBd0KVtBJwG2nKxeuAy3MZM0n9RTemd7B0DmkiiR2BU/J4qi+RWoKuKKSrTeP4XIPinx1APRuvBM11zWZjZmZmNsyGd4yQ9XC3us5Vax36St7vRgpmz8wRdzt9C1gUGBcRn4qI/SPie/lXgocaXHMB8CY9rUUbAaOBiyLi9UK6WiC3ZIN8lhpSzc3MzMw63siekGEkc8tRh4qIf0q6BVhH0kdIs9TVpvputxXz/tLiwdwaVDY1IxExSdJVwNa55akWJNVPLHFv3q9Xn4ekdzGAMVJ9rgSt7mh4zszMzMxmS2456my11qOfAx8Erm339IZZrQ71A+8OBj7cx3W1sUV7AV8kLXj7jrFF+f5uAj4qace66/8fsNhgKmxmZmY2cnTkhAyzBQdHne1CYBo9rTFlEzG0w6mkLnKX5EVZj8uLuH6Pd44fqnc5MJU0lmoUcG7dDHo1+wKvAL+RdJGko3Or07foWRis3V0LzczMzGwW4+Cog0XEy/Ss/zMZ+EMbq/O2iLgb+DRwF7AdaRa9KaQg7o4+rpsO/Jaejq/1XeqK+W9ImnhiS9Jc+TNJLVW1MUllk0yYmZmZzQI85qhdPOaow0XEnvRe76g+zZg+zqnB8YlA6bkm69VN+Xz2d9Fg6sZ83d7A3k3kfw9pIdy3SZqTtOjYcxExZQDVNTMzMxtB3A2uXdxyZB1H0lySlig5dTBphruOaEEzMzMzs1mLW46sEy0IPCXpz8DDpLbgTwBrkxav7Wpf1czMzMxsVuXgyACQtD+wSBNJx+cueVV6lTT5xKak9ZDmIwVFvwS+HxFPV1y+mZmZmc2GVD5ZmM1uJE0kdVnrzyZ5vNGIpo2p/I0fN3RXXQSKsysu4UMV5w+8dWD1Zcx5f/Vl0HhZrVZZSa9Umv8jfc012Sqf+Vn1ZXz9m9WX8fPNqy+DIyrO//CK8wcYU3kJu3Ja5WU8XHkJ1X/ansn7Ky4B4PphKGO/yksILhz0mOyW0dTWf0+JUe2/rxHALUcG9D2pg3Wm6gMj6yRVB0bWaaoOjKyTDMPPUDbieEKGdvGEDLMwSWMkhaTxFeQ9Nufd1eq8zczMzMzawS1H1lKSArg+Isa2uy5mZmZmI5PXJWoXB0dmZmZmZh0kKviK7gFHzXG3OjMzMzMzMxwczRIkzSnpIEmPSJqe94dQ9/rm4y9LeleDfC7L44jeXzg2v6RjJP0n5/2ApL1Lrh2bu9QBbJzzqW3jBng/Xfm6sZK+Iun+XPZTkn4qaaGB5GdmZmY2krxRwWbNcbe6WcNpwJ7AY8AppHWBDgDWq0t3Lmnu1m2B84onJC0BbAHcGhEP52NzAJcBnwTuz9csDvwUuK4u74mk6ZUOBx4HxhfO3TPI+zoA2Ay4ELgi12N/YB1JG0XEm4PM18zMzMysFwdHI5yksaTA6F5g/YiYlo8fTe+gpBYc7UpdcATsRBr9d07h2O6kgOQqYKuIeCvnfSJwR/HivDBsl6TDgYkR0TXEWwPYHFg7Iu7L5Srfwy7AN4HjWlCGmZmZWUep4tffeSvIc1bkbnUj3+55f2QtMAKIiKeAE4sJI+IR4GbgU5KWrMtnN9K/xQtL8j60FhjlfO7nnUFUVc6uBUa53AC+C7wFjBuG8s3MzMyGnbvVtY9bjka+1fP+byXnyo6dA6wL7EwOniStDHwcuDwiJtXlPS0i7mqQ91cGW+km9ap/RDwu6T/AhyTNExEN/71Lurlhzhu1fuFpMzMzMxvZ3HI08o0CZgKTSs49W3LsQtIPCLsWju2W9/WtQaOA5xuUW5Z3qzUq41nSjJSemMHMzMxmOW9WsFlz3HI08k0lBblL0DuQWao+cURMlnQlsK2kD0TEv0iB0lTg8pK8392g3F55V6BRGUsBAbzc18URsW6jc9oYNx2ZmZmZ2Tu45WjkuzfvNyw5V3YMelqIdpW0PrA8cHFETC/JewFJHxtA3jOBOfuo70D0KkPSaOB9wD/66lJnZmZmNlJ5zFH7ODga+WqBzvckLVA7KGlZYL8G11wBvAh8iZ5JF8omWKgd+4GktwMeSavR0xWv3mTgvc1VvV+7S/pIoVwBR5OCr/EtKsPMzMzMDHC3uhEvIq6TdCawB3C/pN+TZmvcEbgF2KrkmtclXQTsk697HLihJPuzSNNmbwHcLelPwGKkyRyuKcsb+Cuwg6Q/AHeTZpa7rDjr3ABcDdws6QJSl8HNgLXyfZ00iPzMzMzMzBpycDRr2Bt4OO+/ATwJHA9cRHkAA6lVaB/S2kbn5Wmy3yEiZkrahrQ20pdILVH/Br4FTGiQd621alNga1Lr5JPAYIKj40mL0O4PrERqlToR+D93qTMzM7NZlSdQaB8HR7OAvAbRMXmrpwbX3NToXF26V4GD8tZv3hHxDKnVqiUi4lfAr1qVn5mZmZlZIw6OzMzMzMw6iLvHtI+DIzMzMzOzDuJude3j4MgqJ2kMMK6JpFMi4oRKK2NmZmZm1oBKxuGbtZSkscB1TSR9PCLGVFubRPsPwyKwJ+xZafah3ftPNESKZyovA34/DGUsUn0R1/+y0uxj1X6HCA7Zexst+dxCa6v6f3p/iLIhki12x4+qzX/ZarMHYOl/D0Mhp1VewiL8uPIypnBzxSU0XLO8hQYzL9IAvbVa9WU8V30RsXT/Y7Krdpda/z3lY9H++xoJvM7RLEzSGEkhaXwFeY/NeXf1lzYiuiNCTWxjWl1PMzMzM2sNSWtLulLSFEnTJN0iaYcB5rGMpBMl/TPn8aykGyXtVlxXs13crc5aSlIA10fE2HbXxczMzGwk6sQJGSRtQlqDcjpwAfAysD1woaT3RcRxTeSxAnArsHjO63JgYWBb4GzSUjB7VHIDTXJwZGZmZmbWQTptQgZJcwGnAzOBjSLinnz8SOA24GhJF0fE4/1k9W1gCWD/iDixkP8hwL3AOEldTeRTGXerMzMzMzOzvmwKrAicVwuMACJiKnA0MA/w5SbyWSHvrywejIgpwI354RJDru0QODiaBUiaU9JBkh6RND3vD6Hu9c3HX5b0rgb5XJbHEb2/cGx+ScdI+k/O+wFJe5dcOzZ3qQPYOOdT28YN8H668nVjJe0i6R5Jr0l6OvdRnX8g+ZmZmZmNJG9UsA3R2Ly/puTc1Xm/cRP5PJD3nykelLQIsD7wDPDPQdSvZdytbtZwGrAn8BhwCjAfcACwXl26c4HDSf06zyuekLQEsAVwa0Q8nI/NAVwGfBK4P1+zOPBTes8+NxE4Iuf/ODC+cO4eBucbuU6XAn/Nf3+T9IvClwaZp5mZmdlsR1LDaRcjor8pE1fO+wkl1z4j6ZVCmr4cC2wN/FTSFqRpFGtjjl4FPh8RrzWRT2UcHI1weZrsPUn9NNePiGn5+NH0DkpqwdGu1AVHwE7A3MA5hWO7kwKjq4CtIuKtnPeJwB3FiyNiItAl6XBgYkR0DfHWyGWvGRH/yuUeSrqnnST9v4j4bwvKMDMzM+sonTbmCBiV91MbnH+pkKahiHhW0rqk76Rbkn74BngNOJX0fbatHByNfLXFbo6sBUYAEfFUDmK+Xzj2SP7V4FOSloyI4moBu5H+LV5YkvehtcAo53O/pHOAr7T4XuqdWAuMcrmvSTqfFOCtCTg4MjMzs1lOFbPVNdE6VDlJK5FmqHsF2JD0o/cipB/ujwI2l7Rh8XvncHNwNPKtnvd/K2LTqUQAACAASURBVDlXduwc0mpzOwMnAkhaGfg4cHlETKrLe1pE3NUg76qDoztLjj2Z9/2u6tlX8zH7efFjMzMzsybVWowatQ4tDLzYRD7jgdHAChFvrzT/CnCMpKWA/Um9mX4z+KoOjSdkGPlGkaZVnFRy7tmSYxeSfpDYtXBst7w/py7tKOD5BuWW5d1qL5Ucm5H3bV8kzMzMzGw2URtr1GtckaT3AAtSMh6pLt1CpEkXHiwERkW18exrDKGeQ+bgaOSbSnody6Y9XKr+QERMJk2fuJakD+TDu+Z8Li/J+90Nyu2Vd6eJiHUbbe2um5mZmdkIcn3ef7rk3OZ1aRqZJ+8bTdVd+875+gDq1XIOjka+2sC1DUvOlR2DnhaiXSWtDywPXBwR00vyXkDSxwaQ90zcqmNmZmY2aG9WsA3RX4BHgV0kfbR2UNIo4LukXklnF44vLWmVfB6AiHgB+BewnKS9ipnnqby/nR/Wz4g8rBwcjXy1QOd7khaoHZS0LLBfg2uuIPUL/RI9ky7Ud6krHvuBpLcDHkmr0dMVr95k4L3NVd3MzMzM6nVacBQRM4C9SLHDDZJOk3Qc6Yf09wPfzTMX1/wQeBD4fF1W3yINkThd0rWSjpX0K+BhYBXgkoi4dojVHRJPyDDCRcR1ks4E9gDul/R7YF5gR+AWYKuSa16XdBGwT77uceCGkuzPAnYhTbN4t6Q/AYuRJnO4pixv0npEO0j6A3A38BZwWUTcN6QbNTMzM7O2yd85NyCta7kjaQmY+4GDIuLCPi/uyeNPktYD/h+wAWnh2OmkQOpI4BdV1H0gHBzNGvYmRdx7kxZOfRI4HriI8gAGUqvQPqQ39nkR0Wv6toiYKWkb0tTZXyK1RP2bFPVPaJB3rbVqU9IiX3Pk+jg4MjMzM2tCFVN5t0JE3EZan6i/dOOAcQ3O3Q7s0NKKtZCDo1lAngv+mLzVU4Nrbmp0ri7dq8BBees37zz7yI795dtPmV1AV4Nz40nTQJqZmZnNkjpwEdjZhsccmZmZmZmZ4ZYjMzMzM7OO0qnd6mYHDo6scpLG0KDfaZ0pEXFCpZUxMzMzM2tAJePwzVpK0liam7P+8YgYU21tEnHBMLzxn6o4/2Urzh9C76m8DMXilZcBzw1DGdcMQxlVW3IYyqj+fZsmyqza7RXnPxz3cMQwlDEcThuGMtavOP/bKs4fetbfrNC9t1ZfxuqnVl5E8NV+x2RX7Wei5d9Tvhn9jzU3txzZMIiIbpqY/MHMzMzM3K2unTwhwwgiaaykkNTV7rqYmZmZmc1q3HI0G5HUDWwcEW7FMTMzM+tQnsq7fRwcjSy3AR8EJrW7ImZmZmZmsxoHRyNIXpD1oXbXw8zMzMyq45aj9vGYo0Eqjv+RtJ6kayRNkRT5vCTtKekmSS9JelXSHZL2LMlrPkkHSrpX0lRJ0yRNlHSRpNXLyqy7fmVJZ0p6TNLrkibnvE6QpJwmgI1rfxe28YV89pR0aS57es7nakmb9HP/a0n6s6SXc/1/n6fvLnveVpB0WqGuz0nqljSuJO1Gki6XNCmnnSDpKEnvauY1MjMzMzMbCLccDd16wHdJU1WfBiyXA5LfADsDE4DzSBOPfAo4Q9KqEfHtQh5nATsA9wFnAq8D7wM2AdYG7m1UuKRlSN3tFgCuAC7Mf68MfB34NjCDNGfrOGA075y/9Z7C36fksq4FnifNubstcK2k7SLi0pIqrA18J9//L4E18jWrSfpwREwv1HWDXMeFgKuBC4BF8zX7AeMLab+W6zMFuJw0F/NawKHAJpI2iQhP5mJmZmZmLePgaOg+BewZEWfWDkjamxQYnQnsExFv5uPzABcDB0o6PyLulDQK+CJwJ/CJiHirkM+cpECiL9sDiwD7R8SJxROSFouIGQAR0ZXXGxodEV0N8lo1Ih6ry2Np4A7gWKAsOPoMsFNEXFi45mxgN1KQdEE+Nm/+e0HgMxFxVV057y38vSrwM1KwuFlEvFA4dzDwQ2Bf4LgG92FmZmY2YvnX3/Zxt7qhu6sYGGXfAKYB/1sLjAByS8eh+eHOtcOkNYCmAzOLmUTEWxExpcl6vFZ/ICImN3ltLf1jJceeBi4BVpY0uuSyG4qBUfbrvF+7cGwbUkvUufWBUS7nycLDfUiB+77FwCj7MalVa2f6IenmRlt/15qZmZnZ7MctR0P3jmXS83iY1YD/AgflIT9Fc+f9KgAR8ZKkK0ktMHdJ+i3QDdxeDKz6cDmpJeUUSZsBVwHXR8SjA70RSSsAhwCbkgKZeeuSLAM8XnfszpKsaoHOIoVjH8/7a5qoyjp5v3m+p3pvkp8/MzMzs1mNJ2RoHwdHQ/ds3eNFSS1BywKH93HdAoW/v0gat7QL8IN87CVJZwLfzbPUlYqIiZLWAbpIAdYOAJIeAr4XEb9t5iYkrUQau7QwafzQ5cBLpNassaTJHOqDJXKaejPyfs7CsVF5/1QT1Vks7w/tM1U/ImLdRufEBTGUvM3MzMyq4m517ePgaOjqv2TXgoU7I2KtpjJIwc9hwGGSlidNxPBV0iQF85O6mfV1/QPAFyTNDawJbAl8E7hQ0n8j4qYmqvEtUmC3W0ScWzwh6VTyTHdDUOseuGwTaWvP4cIR8fIQyzUzMzMza4rHHLVY/jL/IPBBSYv0l77k+sci4tekYOQV4HMDuPbNiLglIg4nBUcCtiokeQvenuih3op5/45JF/LMe+s3fwcN3Zb3n24i7a15v06fqczMzMxmQW9WsFlzHBxV42fAu4DTJS1Qf1LS8rV1gCS9W9KHS/JYlNSNbXrJuWJea0pauOTUUnlfvL42QcP7StLXxhJtUHf8YKCsfgN1GWks0q6SNq8/KanYovRzUte8kyQtV5J2EUlrtKBOZmZmZmZvc7e6avyS1OrxZWB9SdeSJmhYijSRwCdI44smkrqZ3S3pXtLU1U8Bi5Nmd5sb+Ek/Ze0G7CPpBuDfpC5pq5LGH00mTSde81fgC8Alkv5ECpzujYjLgVOBPfK5i4AX8j18jLQ20WcH+VwAEBGvS9qBNGHEnyRdRVpTaWHgo6Rgco2c9gFJXwd+AfwrT1jxb9K05iuQWtXGk7oempmZmc1SPOaofRwcVSAiAhiXv9TvTeratiBpIdMJpIVZr83JJ5ImU9gU+CQpMJoE3AWcWDbtdZ3zgflIXd8+TmptepIUWBwbEU8U0p4OjAF2Ag4ivf5nAZdHxN2SPg0cBWxH6oL395zv5xhicAQQETdL+hhpRrzN8/2+CPyTFJwV054u6R7gAGAjYGtgKvAE8NNcbzMzM7NZjrvBtY/S93iz2cvwzFbXzMR8Q9HM3BZDE3pP5WUoFq+8jPS7RNWamaW+0y05DGVU/76Fu4ehjNv7TzIkw3EPRwxDGcPhtGEooxVDb/tyW/9Jhmye6ou499b+0wzV6qf2n2aIgq/2WodluO2hXhN+DdmZQdvvayRwy5GZmZmZWQdxt7r28YQMZmZmZmZmuOXIZle77FR9GedUnP+cO1ZcACgOq7yM0AuVl6E/bFZ5GWxTcdeeL1xYbf6QRj5Wrdf8nRX48sPDUMinKs5/OH43njgMZYwZhjKq7sIMB1Tcde94BrzyxyD8ovoiWjG3bb+Go5t0+3nMUfu45cjMzMzMzAwHR9YGksZLitpaT2ZmZmZmncDBkVVC0tgcAHW1uy5mZmZmI8mbFWzWHAdHZmZmZmZmeEIGMzMzM7OO4qm828ctR9ZyuSvddfnh4bl7XZSMM5Kkb0p6SNLrkh6XdLikOeryG5evHSdpa0k3SXpZ0sRhuSEzMzOzYeRude3jliOrQjdp/tYvA9fnxzVTCn8fC2wM/BG4GtgW6CIt431oSb5fBD6d0/8cWLiVlTYzMzOz2ZuDI2u5iOiWBCk46o6IruL5fA7gY8BHIuLpfPz7wARgX0lHRER9q/IWwOYRcW2F1TczMzNrK3erax93q7N2+n4tMAKIiEnApcBCwAdK0l86kMBI0s2NtqFX3czMzGz2ImltSVdKmiJpmqRbJO0wiHyWlPRTSRMkTZf0Qv6O9rUq6j0Qbjmydrqz5NiTeV+2XPhtFdbFzMzMrCN04hghSZuQhkFMBy4AXga2By6U9L6IOK7JfD4KXAMsClwBXAwsCHwQ2Br4Retr3zwHR9ZOL5Ucm5H3c5ace3YgmUfEuo3OaRdiIHmZmZmZDZdO61YnaS7gdGAmsFFE3JOPH0n68fpoSRdHxOP95LMwqZcQwJoRcV9JOW3lbnU2kjigMTMzMxt+mwIrAufVAiOAiJgKHE2aTOvLTeTzdWA54OD6wCjnN6P3JcOr7dGZzbLeyvuyFiAzMzMza6CKbnV9jbnuq7dNNjbvryk5d3Xeb9xENXYk/dh9iaQPkGYhnh94CLiqZDKuYefgyKoyOe/f19ZamJmZmY0wHTjmaOW8n1B/IiKekfRKIU0pSfMAqwHPA/sCR/DOXmyPSto2Iu5vTZUHx8GRVeUh4L/ATpJeJ020EMBJba2VmZmZ2WyoidahvozK+6kNzr9USNPIYqQeRYsD3wO+A5wDzA3sAxwGXC5plYiYPoS6DomDI6tERLwlaTvgR8DOpOm5Ac5tX63MzMzMOl/b+5ZVo9ZKNCdwct3sdt/L3ex2AL5AG78vekIGq0xE3BoRYyNi4YhQ3iZGxLja3yXXdOVz3YVj4/Ox8cNYfTMzMzNLai1GjVqHFqZxq1J9HgCXlZyvHVtrAPVqOQdHZmZmZmbWl9pYo17jiiS9h7ROUa/xSEURMQ14Kj+cUpKkdmz+QdaxJRwcmZmZmZl1kDcr2Ibo+rz/dMm5zevS9OWveb9qybnasYnNV6v1FOGlY2z2Iy4Yhjf+hyrO/+SK84fU9bdil25WeRGxbXflZSh+VnEJR1ecP3D6KtWXsffZ1ZfB88NQxmkV579txfkDLDkMZYwZhjLKfoButd9XnP/nK84f4LlhKGM4Xovq37fBgaq8kH6MUevXdpwYDPq+8uKs/wKWBdYpLAI7irQI7BjgA7UhE5KWJnXBezqvhVTLZz3gJuAfwAYRMSUffw9wB7A08MGIeHiwdR0qT8hgZmZmZtZBOm1ChoiYIWkv0ppGN0i6AHgZ2B4YDXy7biz5D0mLwu4BjC/k83dJxwMHAPdJupw0W902pMj3u+0MjMDd6oaNpLGSQlJXu+tSFUld+R7HtrsuZmZmZtY6EXEdsAGp5WdH4GvAs8BOdTPP9ZfPgaSg6VlgHLAL8DCwXUT8sMXVHjC3HM3iJHUDG0fEkJuIc9BzHXBERHQNNT8zMzMz660DF4EFICJuA7ZsIt04UuDT6Px4Ci1KncTBkbXSycAFwBPtroiZmZnZSNVp3epmJw6OrGUiYhIwqd31MDMzMzMbDI856oekeSTtK+lqSf+R9Lqk5yT9TtIaJennl3RMTjtd0gOS9u4j/89LOl/SI5JelTRV0t8kbV+Sdkwe0zNe0ockXSFpiqRXJF0jac269AFsXPu7sI0vpNlT0qWSJub6Ts73ukldXl2kLnUAh9flN6aWptGYI0lbS7ou399rku6VdECe/aTRPa4k6feSXpQ0TdK1klZv9FyamZmZzQo6cCrv2YZbjvq3GHAC8DfgSuBFYAXgc8CWkjaKiNsBJM1BWt33k8D9wHnA4sBP6Qks6v2Q1Hp6I/A08O6c98WSvhkRJ5VcswJpMNxdwC9Is4R8kTR7yKYRcWtOdwSpv+fo/HfNPYW/TwHuBa4lzX+7LGkO2WslbRcRl+Z03aRpGr9Mmse+u5BHn3N3SjoAOA6YTHpOpuV7PA7YMJdTP2XlGOAW0lSPvwZWJM1kcp2kD0bEs32VaWZmZmY2UA6O+vcisFxEPFU8KOlDpC/vRwOfyod3JwVGVwFbRcRbOe2JpLnby3wmIh6ty3tB4O/A9yWdERGv1l2zIXBMRBxSuOasXO7pwEcAIqIrt+KM7mMChVUj4rG68pfO9T0WuDTn1S0JUnDU3eyEDJJWBH5EWmBhrYj4Tz5+KCkg2xbYFTin7tKNgYMj4keFvL4PHEaa4eSYZso3MzMzG2nc0tM+7lbXj4h4vT4wysf/QWoN2kjS3Pnw7nl/aC0wymnvp/eX/9q5R0uOvUKawWMUsHbJZVOAH9RdczXwF2C1+u51fakPjPKxp4FLgJUljW42rwZ2IQXhx9UCo1zG68BB+eG4kuseIwVnRWfkfdlzYmZmZjZLmDFH6zdrjluOmiDpo8B3SHO7v4e0WFXREqQucasD0yLirpJs/gZ8pSTvJYGDSdMijgbmr0uyTEled+cAqqyMzYA1gDsb3U9d+SsAhwCbkrrUzVtS/uPN5NVAbVxWd8m5m4HpwEdLzt0TETPrjj2Z94s0U7CkmxuejPObycLMzMzMZiMOjvohaT3gr/nhNcAE4BUgSF3CVqcnoBgF/Kc+j6zXGBlJiwG3A8uRxhBdS2oVeosUMGxD72ClNK+646Ma3tA7y18JuA1YmNQKdjnwEjATGEvq2lZW/kAsXFe3t0VESHqWFJTVe6kk/YzctW/OIdbJzMzMrHPV/wxvw8bBUf8OJQUIG0bEjcUTktYhBUc1U0kTKpRZquTYV0iB0f9FxFF1eR9MCo6azat4fGqD8/W+BSwK7BYR59aVfyp5prshqgU5S1HXAqUU6SxFSSDUChGxbqNz4oL6CSDMzMzMbDbnHoj9WxGYXBIYvQv4WF3ae4EFJNUfhzSJQlnekCc9aCJ9zRp50oZG19xdOFabFKKstaW0/By0rF+SvjaOaiAtN7W6jC059wlgPt45e56ZmZnZ7G2eCjZrioOj/j0OLJpnpwPeDjR+Qu9WotqkCz8oBiOSVgN2a5A3pLFMb5O0C/CZPuq0CKlFq3jN5qTxRg9ERHG80eS8f1+z5ZPGQH24JH1feTVyHjADOEDS2+OnJM1DmsUO0uQTZmZmZmZt5W51/TsJ+DRwo6SLSBMIjCWNk+nmnS0iZ5FmZ9sCuFvSn0jrJO1MGq+0VV3e55BmbDspL7r6OKmb3mbA74DtGtTpb8DXJH2CNJ34GNI6R68Be9Wl/SvwBeCSXJ/pwL0RcTlwKmla7Evyvb0ArENqEbsC+GxdXg8B/wV2kvQ6aYKEAE6KiNKufBHxb0kHkdY0ui+XMw3YGvgAqdXq3LJrzczMzMyGk1uO+hERfyQFF4+S1uPZhRQkfJy6MTR5drVtgB+TgqL9gPVIY3uOK8n7SdK4nr+Q1kfah9Tw+WnS5AiNPErq9vYa8L+kBVW7SeOibq1Le3quzxKkQOz7wPa5/LtzWXeRArE9SRNCrE/Jukx5evLtSAHZzsCROb9F+6grEXE86Xl5gPQc7kta+PZA4AslC8CamZmZzb7mrmCzprjlqAkRcQlp3Z9646hboycv2HoQPWv4FKkk73uBzRsUPb6POv2D3i07Zelm9FEfIqKb3t3qIAVMXSXpb6V8/BB5Ydhe1+RzlwGXNVHfiZQ8T4XzDc+ZmZmZmQ2FgyMzMzMzs07iCRTaxsGRmZmZmVkncTe4tpGHe4wcksYAjwFnRcS4tlZmhNMjVP/GX6lstvUWuv6VavMH2Li0N2aLTRyGMt6svITQNyvNX/HDSvMHiHuuqbwMXTEM/+ccOrPyIvZWtUN2T4+rKs0fgG22qL6MSz9ReRGHcVvlZRxVulZ56xzGU5XmD3AUP6m8DO44sPoy1iqbTLe1ggfa3oVfy7X+e0o80XjYgvVwy9EI0t94HDMzMzObBbhbXdt4tjobdpLGSApJ4wdxbVe+dmzra2ZmZmZmszO3HJmZmZmZdRKPOWobB0fWDk8BHwRKF47tx8nABcATLa2RmZmZWadwcNQ2Do5s2EXEm6SFdAdz7SRgUmtrZGZmZmbmMUfWBmVjjiQtLelESRMkvSZpiqQHJZ0qaVQhncccmZmZ2axtngo2a4pbjqztJL0LuAkYA1wD/J70z3h5YDfgJwyuC56ZmZmZWdMcHFkn2IwUCJ0QEd8qnpC0IMOxSI2ZmZlZp/CYo7ZxcGSd5LX6AxExDCudmpmZmXUQd4NrGwdH1gluAJ4GDpa0OvBH4HrgwYgY9ArRkm5ueHJCyxeeNjMzM7MRzhMyWNtFxFRgHeDsvP858A/gcUlfb2fdzMzMzGz24ZYj6wgR8QQwTtIcwEeATwPfBE6R9GJEnD+IPNdtdE6P4KYjMzMz60wec9Q2bjmyjhIRMyPinoj4MbBzPvy5dtbJzMzMzGYPbjmytpP0IWBSRDxbd2qpvJ8+zFUyMzMzax9PyNA2Do6sE3wKOFbSTcDDwAvACqQWo+nAKW2sm5mZmZnNJtytzjrB1aQAaGFgO+BbwFrAhcCaEXFHG+tmZmZmNrzmrmBrAUlrS7pS0hRJ0yTdImmHIeS3qKSnJIWkq1pTy6Fxy5ENu4iYCKjw+EFg/yav7QK6qqiXmZmZWUfowAkZJG1C+kF7OnAB8DKwPXChpPdFxHGDyPZkYFTrajl0bjkyMzMzM7OGJM0FnA7MBDaKiP+JiAOB1UlDIo6WNHqAeW4P7AIc1Or6DoWDIzMzMzOzTjJPBdvQbAqsCJwXEffUDua1Ko/OJXy52cwkvRv4BXAOcMWQa9dC7lZns6evVF/ESje8Umn+E55T/4mGSHyn8jL4woXVl3HxQ5UXodiv0vxDh1SaP4DGX115GRx6ZPVlHPu9yos4Pc6ouIQpFecPXHpy9WUwtvIS7uG2yss4iKcqzf/hSnOvua76Itb6dPVlMG0YyrASY/P+mpJztf88Nh5AfqcCbwH70WHd6hwcmZmZmZl1kgrGHEm6udG5iFi3n8tXzvsJJdc+I+mVQpr+6rEraQKubSPiRUkOjsyaISmA6yNibLvrYmZmZjZsOm+do1oAM7XB+ZdoogVI0jLAz4DzI+LSFtWtpRwcmZmZmZnN4ppoHRoOvwLeBL7Z7oo04uDIzMzMzKyTdN5U3rUWo0atQwsDL/aVgaQvA1sCX4yISS2sW0t5tjozMzMzM+tLbaxRr3FFkt4DLEjJeKQ6a+T9b/Oir5GHUDyWj2+ej93T4Pph4eDI3iZpbH5TdknaQFK3pJfzKsiXSFqp5JolJf1U0iOSXpc0Kaf9cEnaTST9WtK/JL2Stzsk/c8A6qhcXkj6jaTO+23FzMzMbCg6byrv6/O+bErCzevSNHIzcEbJVpu29sn8+HdDqukQuVudlVkHOAS4CjgJ+BDweWBDSetExKMAklYEuoH3kqZ2/AOwJGm15M0lbRYRtxbyPQhYCbgF+D2wCLAF8EtJH8iLiTWUA6HxpAXDTgAOiIhoxQ2bmZmZWUN/AR4FdpH0s9paR3mmue8CbwBn1xJLWprUBe/pvBYSEXEhPYEQhbRjgB2Bf0TEXtXeRv8cHFmZzYGvRsQvawck7UOak/5EYOt8+GxgaWCLiLi6kPYo4A7SSsofKeT7tYh4rPC4tuLylcB+kk6MiCfKKiRpQeAS0i8Wh0TEMUO7RTMzMzNrRkTMkLQXaU2jGyRdALxM+kF8NPDtiJhYuOSHpEVh9yD9sD1iuFudlXmYFNgUnU7qS/pZSe+WtAawHnBWMTACiIja9asVu9fVB0b52AxS0DUnsElZZSQtAfwV2AzY04GRmZmZzdLmrmAbooi4DtgAuInU0vM14Flgp4g4bugldAa3HFmZmyJiZvFARMyUdBNpIN7q9AzIW0pSV0keqxT2DwBIWgj4NrAtsCKwQN01y5TksxTpH+H7gM9HxOXN3kRfi52xkXvjmZmZmQ1ERNxGmnGuv3TjgHFN5jkR0FDq1UoOjqzMs/0cHwUslv/+bN4aWQBA0jyk8UkfA+4GzgFeAGYAY0hNr/OWXL80aXrIR4BbS86bmZmZzVo83VTbODiyMkv1c3wqaSVkgH0j4uQm8tyGFBidUT/YTtJOpOCozD3AWaRFw66TtGlENAre3qGvxc60MW46MjMzs8409NnlbJA85sjKrC/pHe+N/Hg9IIB76WnFaXa15RXz/tKScxv2dWFEnEka0LcKKUBqFLyZmZmZmQ2agyMr835g77pje+fjV0TE87nP6a3AzpJ2rM9A0hySNi4cejzvN6hLt3FJWb1ExNmkvqsfALrzgmNmZmZms54OnJBhduFudVbmauBnkj4D/IO0ztHWwCRgv0K6nYHrgAsk7Q/cBbwGLEdqUXo3MF9OezkwEfhOnsHuAVKgsxVpzaMv9FepiDhH0kxSN7tuSZtExNNDu1UzMzMzs8QtR1bmFtK02aOAbwJjSQu8rltbABbenpp7DeAoYEFS17d9gI8CN5CCp1raV4BNSWsVrQ18gzQ73ZeAU5qtWET8BtiNtJjsdZLKZrgzMzMzG7nmqWCzprjlyEpFxI2koKi/dC8C/5e3/tI+RuMWol5TOEZE6bSOEXE+cH5/5ZmZmZmNSO4G1zZuOTIzMzMzM8MtR2ZmZmZmncXd4NpGEV7uxRJJY0kTLBwREV3trU21xNnVv/Gv3L3yIpb9TLULSj/FTyrNH4CfH1h9GcPQPSHWrn5xb91b7ds2xnVXmj+AYo/Ky4AdKi9hfX5ceRk3sX6l+U/mpkrzB1iMGyovI839U7VGS/G10nkV539axfkDTBiGMratvIRg9+o/0Puhn7d+Pcb4eu8hDNabW47sbRHRTcnYH+tMVQdG1lmqDoyss1QdGFmnqTowshHHY47aZpYbcyQpJHW3ux6NSJooaWK761EVSWPyazC+3XUxMzMzMxuIWS44st4kjcsBy7gW5TdLB3hmZmZmNntytzprtaeADwJT210RMzMzsxHJEzK0jYMja6mIeBN4qN31MDMzMxuxPOaobYbcrU7S2Nxlq0vSBpK6Jb0saYqkSyStVHLNkpJ+KukRSa9LmpTTfrgk7SaSfi3pX5Jeydsdkv5nAHVULi8k/UbSgN5yg6mDpG0k3S7pNUnPSjpd0qIN0r5f0o8l3SXpBUnTJT0s6RhJC5ak7873Ml9OwmvBWgAAIABJREFU80S+5kFJ+0pSIe144Mz88Mx8XUiKQpo1JZ0s6QFJU3Od75d0cPG5qo0nAkYDo4t5SeoqpikbcyRptKQzJD0l6Q1JT+bHy/Vxj3Pn99bE/F55WNLXGz3vZmZmZmaD1cqWo3WAQ4CrgJOADwGfBzaUtE5EPAogaUWgG3gvcA3wB2BJYHtgc0mbRcSthXwPAlYCbgF+DywCbAH8UtIHIqLPeYDzl/vxwC7ACcABMfD5ywdUB0m7A2cBLwHnAFOArYBrSQ2lb9Tlvx3wFf4/e/ceb/lU/3H89U4uRUMKUZhIpZFLLrnEnFEuhRCVLphE6tePXPq5RD+DoojfSEpNZVBuP34llJHMMUxEro0SxbhEbsMwLoOZz++Ptbb5zp7vPmfvc/Z373Nm3s/HYz++53y/67vW+u5zhv05a63PSmm0e0lB66a53dGStsojMvUuAjYALsnf7wZ8HxgJ1Pr069zfnYFLgdtL6tkP2AmYAvwWeCPQA5wIbJzrJT/HscBB+fvxhTp6S+p9jaR3A9eTcq5eBtwFrAPsA+wk6UMRcU/JrecDmwC/A+aQcvSeIemViJjQV5tmZmZmw5JHjrqmncHRdsCXI+LHtROS9gfOBE4jffgGOAdYGdg+IiYVyn4L+DMwAVi3UO9XIuL+YkOSXk/6EP81SadFxINlHcqjLpcA2wJHRsR3BvhsTfdB0ghScPg8sHHtA7+ko0jB0crAA3X1nwucGhHzBU2S/psUjHwK+GVJv94NrBMRM3P5Y4A/AQdLOj8i/hwRv5ZUC45+HRETS+o5AfhqRMwptC3gp8A+kraIiKkR8QwwTjmxQ4t7IZ1JCoz2j4jXNlvIo0BnAD8CPlxy3zvyMz6by58GTCMFfw6OzMzMzKxt2pmt7h4W/LA6gbQj2A6SVpC0AbA5cHYxMALIQcQE4P3F6XX1QUk+9yrpw/ZiwJiyzkh6K3AN6QP3PoMIjFrtwy7ACODnxZGQPPJzVIP6/1UfGGU/yMePNOja8bXAKNczE/gWaa+ipnesi4gHi4FRPhekoKWv9puSp82NAf7Kgr8jZ5LWKG0tadWS24+sBUa5X38HpgLvkfSmwfTLzMzMbEhaooKXNaWdI0dTI2Ju8UREzJU0FVgLWC8fAVaqrVGp897CcRpA/gD8dVLQsSawdN09q5TUsxLpA/SqwK4RcVnLT1PQYh/Wy8frSqq6AXi1pH4BXwDGkqaaLcv8gWvZMzZqo3Zugwb3LEDSEsB/AnuQ3vtlmH8z2EbtN2v9fLy2fkpj/h2ZkttdH3io7t5bSup7OB+XA55r1KikGxr2KM7up8tmZmZmXeJpdV3TzuDosX7OLwssn7/eIb8aWRpe+9DeC3wAuI00/ewpUoAxkjQ6smTJ/SuTRm/+QZpmNmAD6MOy+fh4fV0RMUfSUyXNfJ8UnDwE/AZ4FJidrx1D+TNC+XtefL+bdTFp2uM9wIWkvr9CCj6+1kf7zRpR17d6j9aVe01x1KigFmAuNsh+mZmZmZm9pp3B0Ur9nJ9JSlAAcEBE/KBB+aKdSUHJzyJi3+IFSXvQeOrY7aSECD8FJkvaOiIafTBvdx9q09xWrK9I0mLAW0h7AdXOrQh8FbgT2CwiXihcexspOGpkJaB+vVXx/e6XpI1JgdEkYIe6dUebkoKjwar93Bv9jrytrlxbRMRmja6Jc1pNymFmZmbWGZ4G1zXtXHO0haT56svfbw4EcAfzRnEafmits2Y+Xlpybcu+boyIs0hT1d5LCpAafTBvdx/u6OPaZiwYkK5BmsJ2dTEw6qOO/q7Xzt1WOFcLeMpGWmrPd0X9uqM+2p/ToK5GahnytiqmGYfXphRuVVfOzMzMzKzj2hkcvZuUErpov3z+ioh4IiJuIgVIn5H06foKJL1O0ujCqVpWtw/VlRtd0tYCIuIc0jqe9wC9eSSmVa324VLSCMg+OX11rfzipGQJjerfvBhcSnoHKZV2X74p6bXpc/nro0nBaHFRzYx8LEt40Oj5RpFSs5eZAbxV0lL99A9ICR9IacpHkVJ3F30JWBu4JiLq1xuZmZmZLXoWr+BlTWnntLpJwPclfYy0h80o0nStJ5l/atZnSB+UL5B0EHAr8CKwGmlkZQWg9qH7MmA6cFjOYDeNFOjsSNpvaPf+OhUR50qaSwoWeiWNiYhH+7uvoKU+RMRMSQeS9la6WdIFpCluO+bnfLSu/KOSLiHtJfRnSX8gTT/bEfgD80Z2ytwDTMv3k+t4Bykt+J8L5W7IbR+ktBHtE7ntbwE35denJK1M2stpNeDjwBX1z5ddA2wE/E7SdaR9m6ZExJQ++voV0j5HEyTtRMpcNyq380S+bmZmZmaeVtc17Rw5upGUNntZ4EDSJqK/Jq2jua9WKKfF3oA0irIMaerb/qRMZVNIwVOt7Cxga9JeRRuTkhasAnyOeWmm+xURvwT2JG3kOllS09nXBtKHiDibtAHuvaQ1SXuTsud9hAU3gIU0unUK8GbgANIGsKeSNq7ty6eA80ibyH6FtLfSgaTMesX+zCAFOfeQRruOzy/yVLodgZ+TArEDgPflOg5r0O7xpJTc7wG+kb/fuq+O5hTcG5GCxk2A/yK9n2dR2A/KzMzMzKxb2jlyRERcTwqK+iv3NPDN/Oqv7P00HiFS/YmIWOBcPn8+cH5/7bWjD/meX5OCw3ojS8rOIgUjX1+gdIP6830vAYfnV58i4rekTWvLrj0BfLHBrWXv8SzSdLiyuqaX3ZOvPcCC0+pKRURPH9fGkgJKMzMzs4WPp8F1TTtHjszMzMzMzIYtB0dmZmZmZma0eVrdcCFpF9Iap/70RkRvxd0xMzMzM5vH0+q6ZtDBUQ4eGq6LGaJ2ofEGsvV6K+zHgPS1Hseac4v2qryNDeP7lda/sarfx/ZfcUHlbbB09U2w9zmVN6FvV/zzOOq4ausHtPdZlbcRqr4N3d5TeRtTf/zdahtoanXm4Cy/USd2T7io8hbuLl2u215b9V9kUP7AuypuAd7PPypvgwl9Jdhtk/2urL4NW6QtktPqImJsRKiJ17hu93WokRSSelsoPy7f09PCPRPzPSNb76GZmZnZMLdEBS9ryiIZHFl3SerJwc+4bvfFzMzMzJojaWNJv5X0jKTnJd0o6VNN3itJH5X0I0l3Spop6QVJd0j6hqSl+q+leovkmiMblLWBF7rdCTMzM7OF1hBccyRpDDAJeAm4AHgO2A24UNKqEXFKP1UsSdpWZjZp2cokYClgO+DbwC6SeiKiq58zHRxZSyLi7m73wczMzGyhNsSmwUl6PTABmAtsFRG35/PHATcBJ0i6OO9p2cgc4Gjgh3nP01rdiwOXADsBXwVOruYpmuNpdR0iaQlJB0iaJOkhSbMlPS7p/yRtUFd2bJ52NlbSzpJuysOOT0j6uaSVBtD+6yQ9JWla3fnlJc3N7X2k7lpt7c/qhXOla44krSrpfEkzJM2SdK2kBdaw5ql0k/O3x+T6aq+RCxbXgZLuzu/XA5KOkeTfWzMzM7PO2RpYEzivFhgBRMRM4ARSONdnsrOIeCUivl0MjGrngRPzt6Pb2usB8MhR5ywPjAeuIw0pPg2sAXwc+KikrSLi5rp7diMNNV4MXA1sCnwB2FLSJvW/XH2JiLmSrgV2lbRiRDyeL41mXrbBMbkdCt/f389fAZC0MnAD8HbSEOmtpOl3v2deIFTTC4wk/QO6lvmzAT5TV/bk3L/Lc727AONI/wCP6qtPZmZmZsPW0JtW15OPV5Vcm5SPgwlsXsnHVwdRR1s4OOqcp4HVIuJfxZOSRgE3kqLuberu2RHYPiImFcqfCBwBHAcc0GIfJgO7kn7Ba/lVxwDPA3/JX9faWQNYDfh5E/WeSAqMjo6Ibxfq+BLw42LBiOiVBCk46u0nI+AHgHUj4tFc3/HAvcABko6NiJeb6JuZmZnZIk/SDY2uRcRm/dy+Vj7eW3LvvyXNKpQZiNoGBmXBV0d5elKHRMTs+sAon7+LFLRsledcFl1dDIyyb5NGWPYawPSy2ijO1oVzY4DrSb+MG0tapnAe+tnnSdISwKeBx4H6hXg/peQfUQuOrwVGABHxJHAp8CbgPYOo18zMzGzoGnqpvJfNx5kNrj9bKNMSSR8F9gf+BvxsIHW0k0eOOkjS+sBhwIeAt7HgoOlbgUcL319XX0dEzJJ0O2n0Zw1oaVe3u4AnyIGPpBWAUcC5pMV0/w1sCfyOecFR/bS4eu8hZRq5JiJequvrXElTGfhfEm4pOfdwPi7X3819/YXkFqrfQNXMzMxsQCqYVtfE6FDHSdoYuJAUdH0yImZ3uUsOjjpF0ubANfnbq0gjKrOAIK2lWY+U4rDosQbV1c63FKFHRC2ZwiclrQJsQVpvdA1pWt1LpKDod6Tg6x8R8XB5ba+p9eHxBtcbPUMzni05V5uLutgg6jUzMzOz5tVGjBp99hxBWkLSNEkbkT4TzwW2y7Opus7BUeccRQp+toyI64sXJG1KCo7qNcpKVzvfaGizL5OBT5KCoM1zHbdFxJw80jJG0lqkNUQTmqiv1ocV++lrx/X1F5Jb5aEjMzMzG6KGXkKG2jKJtaib2SPpbcAypFlITcmB0e9JS3y2LUlK1jVec9Q5awIzSgKjN5ISD5TZsv5EXhO0PmlU5b4B9KO47mgMcG1EzMnnrgE2ICVtgH7WG2X3kEacNqrf2Tividq85J5aex79MTMzMxv6rs3HbUuubVdXpk+FwGgxUuKxPw2+e+3j4KhzHgDenLPTASBpMeB7wAoN7vmIpO3qzh1FWm9zTkTMbbUTeRPXf5M22lqbeVP9IAVOiwFfL3zfX32zSZnvVgQOrbu8L/Dukttm5OOqTXfczMzMbFEx9BIy/IH0R/nP5jX0AEhaFvgG8DJwTuH8ypLem69TOL8hKTB6PfDRiGi4PrxbPK2uc04nRdvXS7qINNrSQ5q+1su8/PFFlwOXSboYmE7a52gM8E9S8oSBmgx8pvB1zU2ktN4rAH8vZorrxxHAh4FvSfoQcBsp8PoYaS5p/V8Z7gYeAfaQNJuUZCGA0/NmYmZmZmY2RETEq5L2Je1pNEXSBcBzpD05Vwe+HhHTC7ecSNq25QvARABJy5MCo+WAK4FtJNVvY/NMRIyv8FH65eCoQyLickm7k6LrzwMvkEZtdqVxoHMJKR32UaSkDS+QfsGObGUD2BK14OhJUiKGWh9fydnltqW5KXW1+x7NCSdOIg2tbkWaj7oNafretnXl50j6BPDd3I835Uu/YGDrqMzMzMysQhExOf8R/FjSNi6Lkz5HHh4RFzZRxQjgzfnr7fOr3gOAg6NFRURcQgp46o3Nr7J7LiXt7dPOfkygQbKFiKifxld/XQ3OPwjsUXJpCjCupPyfKB8tIyLG0vj9GFdWn5mZmdlCY7GWV040YfCraSLiJuCjTZQbS91nuTyyVPo5cijxmiMzMzMzMzM8cmRmZmZmNsS8XEGdS/VfxBwcDXeSDiItbOvPxLqFcmZmZmY2JL1SQZ0OjpqhCO+FOZxJmk7KEtKfMRHRW21vhg+d3IFNYO+vuP4fHl5xA52y9ELSxsHVVn9yB2ZB/1cHfqfu+G7lTcT6vZW3oSt6qm3gY7f0X2bQejvQRvX/9uKBr1TexqnN/F92EA75a7X1A+h9/1l9IxNOr7yJn+1X/ZKVfShfX91J4rm2f04J3tT15xoOvOZoECT1SApJ47rVh4gYGRFq4tXbif5I6pXkiNvMzMxswF6p4GXN8LQ6MzMzM7MhpYo1R9YMjxyZmZmZmZnhkSMzMzMzsyHG0+C6xSNHbSJpI0m/l/ScpJmSfiVpZL62rKTnJd3V4N7XSZou6WlJb8jnxuX1TD2SvijpL5JekvQvSf8j6U0D6OOWuc6fN7i+oqRXJE0tnNtQ0g8kTcvP9WLuyxGSFm+y3deepeTa2HxtbMm1dSVdIOlRSS9LekDS6ZLe0vxTm5mZmZk1x8FRe2wMTCFNEP0x8GdgF+BqSUtFxEzgAuB9kjYvuX8bUsa5X0bEi3XXDgG+D9wMjAceBQ4Crmo2OCm4HpgO7CapLJ/jZ0ijiecWzu0H7Ar8JT/bz0i7G5+Yn6kSkj4O3AR8nJRSaXzuw38CN0h6c1Vtm5mZmXXXyxW8rBmeVtceHwP2iIgLaycknQPsSQqSLiAFFvuQgo0/1t2/bz5OKKl7O2DjiLgz1yvgF8BngQOBU5rtZESEpF8AR5OCjovqiuxJ+tdTPH8C8NWImFN4NgE/BfaRtEVETKWN8sjQucCTwBYR8UDh2h7A+cBxwAHtbNfMzMxsaPC0um7xyFF7TCkGRllt6trGABFxE3Ab8ElJI2qFJK1AClRujog7Suo+pxYY5XoC+AYwBxg7gL7WRoU+XzwpaW1gQ+C3ETGj0N6DxcCo0Icz8rcfGUAf+rMXMAI4shgY5bYvAG4F9qigXTMzMzNbhHnkqD3Kdut7OB+XK5z7MXAmadTnzHxuL2AJykeNAK6rPxERD0h6CBglaYmIaHqsNCLukXQTsL2kt0bEk/lSLVgqTqlD0hKkqWx7AO8FliFNq6tZpdm2W7BpPn5Q0pol15cC3lrX/wVIuqFhCyd5KyYzMzMbqjxy1C0Ojtrj2ZJzr+bjYoVz5wHfI02jqwVHXwRmkaaKlXmsj/MjgTcBT7XQV0gB0CbAp4Ez8jS5zwFPA1fUlb0Y2Am4B7gQeJz0L3Y54GvAki223Yzl8/Gr/ZRbmjT1zszMzMxs0BwcdVBEPCfpl8D+ktYnfbhfG/hpRMxqcNtKfZwP4LkBdOUC4FTSaNEZwFakhBA/jojZtUKSNiYFRpOAHerWHW1KCo6aMTcfy37fli05Vws23x8R05psYwERsVmjazoZDx2ZmZmZ2Xy85qjzfpyP+9F3IoaaLetPSFodWBW4q5UpdTV5KtqVwKaS3sW8KXW/qCtam9J2Rf26o7J+9eHpfHx7ybUNSs79KR8bBjdmZmZmCy9nq+sWB0cdFhG3kdJyfw74JHBnTtbQyF6S1q19k6fAnUCarjdxEF2prS3aN/fjfqA+61wtGcKHiicljQKObKGtm/NxL0mv/c5J2oz0PtQ7izQi9u3c1nwkvTGPXJmZmZmZtY2n1XXHmaT9gqDvUSNIU9pukHQB8ATwYWAj4Ebg9EH04TJgJmkfpcWB7+csdEU35denJK2c21yNlF3vCmD3ZhqKiBvzxrJb52eZQprGt3Pux6515Z+Q9Bngf4E7JF0J3E1a3zQSGE1Kh759i89sZmZmNgw4IUO3eOSoOy4AZgMvsuBUtnqnktb2fJC0+evbgdOAbQcypa4mIl4iBR+1jWQX6EeeSrcjKS35mqR9hd4HfB04rMUmdwbOAd5FSrSwKmk9028a9O8K0pS7icA6ue3PkYKqs4Bvtti+mZmZmVmfPHI0CBHRy/xprYvXpje6RgowlgTOjYhnmmjnp6RNV9sqIvYjrX3qq8wTpIx6ZRZ4vojoaVDPU8DeDeqZ2OCevzNvXZaZmZnZIsIjR93i4Kg7/isff9TVXpiZmZnZEOQECt3i4KhDJK1G2vx1FPApYFJENN6k1MzMzMzMOsrBUeesAZxI2vD1MuBL7apY0rgmi45vZhqfmZmZmXWTp9V1ixZMUGbDjaRmf4jvzGuhFnka34FNYA/artr6/zyp2voBNtq6+jbYpgNtTKy8hf3090rrnxA/67/QIG3RgSV+U/+jA//P2bH6JmKH3krrV3y/0vqTtv2Nrg8XVd7CHzir8ja+UnH91f/rhi05ofpGDmpll4+BGTW+0XLu9plGVN9IP8SVbf+PZbB9159rOHC2ug6QtLikcZLulTRbUkg6KB8nDrb+iFCTr+mDf5rm5OcNST2FcyPb9cxmZmZmCy9vAtstDo4641DgGOAR4HvAscCVg6lQ0sQcaIwcdO/MzMzMbAh5pYKXNcNrjjpjR9Jao21qexNJWhxYm7QR68LoB6T9nB7sdkfMzMzMzJrh4KgzVgGeKm7aGhGvAHd3r0vViogngSe73Q8zMzOz4ccjPd3iaXUVqq27Ad4JrJ6nwYWk6Y3W30haWdJpeX3Si5KekfQ3SWdKWjaXmc68DVXvL9TbO4A+jpH0O0mP5PVQj0m6TtKX6sqFpF5J75B0vqQnJb0gaaqkjzR69uKaoz76sISki3L5kySpcP4QSbdKel7Sc7lvH2/1Oc3MzMzM+uORo2r15uNB+Tg+H0vTaUt6IzAVGAlcBfwKWIIUXO1JWq80M9czFlgPOK1Q3/RWOidpB1Ja8WeAS4FHgRVyvXsCP6m75c25f08AP81lPw1cKWn3iPh1K+3nPrwJ+DUwBjg0Ik7N55ckrcvqAW4nJfNZHNgBuFTSARHxg1bbMzMzMxv6nEChWxwcVSgieoFeSWPz9+Nq1xokUvgwKRAaHxEHFy9IWoY8xhoR4yWtTwpixg8iC90+gIAxEXFHXXtvKSm/LnAe8PnIOeAlnQbcDPxE0qSIeLHZxiWtBPwOWAfYKyJ+Ubj836TA6HjgmEJ7bwKuAU6R9H8R8Uiz7ZmZmZmZ9cXT6oamBQKMiJgVEbM72N5TJeXmAN+oBSq53J3AuaRRpI8126CkNUmjUO8BPl4MjCS9jrStxD8pBEa5veeA40gjap9otj0zMzMzs/545GhomUKa2naEpPWAy4Frgb8VA4Q2uoAUYNwo6TzgD8B1OZlCmQcj4oGS89cBXwQ2AC5pot33kgKj1wNbR8Sf6q6/hzSF7xHgmLwEqWiFQj0NSbqh4cX/8ebHZmZmNlQ5IUO3ODgaQiJipqRNSSMjOzFvJOYhSd+JiB+2ub3/lbQLcAjwZeCrQEiaTFr/c3vdLY81qKp2ftkmm343Kfj5IzCt5Pry+TgqvxpZusn2zMzMzGyQJG1M2q9zc9Ja8L8Ap0bERS3UsSRwOGl9+6rADNKAwNER8XjbO90iB0dDTEQ8CIzNU8vWBbYFDgTOkPR0RJzf5vYuJSU4eBOwBWkk6YukJAvvjYhi8oiVGlRTO9/snk2/Ae4HxgG/lfSxiHi+cP3ZfLwkInZvss4FRMRmja5pPB46MjMzsyFq6I0cSRoDTAJeIs0+eg7YDbhQ0qoRcUoTdbyOlARsO+BG0oyjtYB9gQ9L2jQinqjoEZriNUdDVETMjYjbI+Ik4DP5dDGF9Zx8XKxN7T0XEVdGxJeAiaSA54N1xVaTtHrJ7Vvm420ttHcs8E1gK+B3OeFEzd9IAdJGebNcMzMzs0XIyxW8Bk7S64EJwFxgq4j4UkQcSkoOdg9wQoPPiPX2JgVG5wObR8QREbEb8B/AGsC3BtXRNnBwNIRIGpUzuNWrnXupcG5GPq46iPa2klQWXK1Y0h6kQOwEFRYBSVqXNCz6BPDbVtqPiG8BR5GCq9cCpIh4FfgRsDrwvbIASdI6klasP29mZmZmbbc1sCZwXnHZRUTMBE4gJcrau8G9Rfvl45F16+l/DNwHfE7SG9rT5YHxtLqhZRvgZElTSVH4U6Qo+uOkQOWMQtlrgK+TUmhfAjwPPBAR57bQ3veBVSRdT9ojKYAPAZuQhjqvryt/Z75+s6SrmbfP0euBL7WSxrsmIk6QNBc4kTSVb/uImAUcA3yANKVwB0lTgMeBtwPvJ/2lYrN8zszMzGwh0v5pdX0lquprKULWk49XlVyblI+j+2l/KdKspL/XJ/iKiJD0e2B/YCNSsq+ucHA0tEwibQC7FWntzzLAv4ALgZMi4q+1ghHxO0mHkSLwQ0mL4q4lpdVu1om5nQ1JQ5yvkIKkw4EfRsScuvJPkzZh/V5u942kqXTHRMTvW2h3PhHxnRwgfReYlAOk5yR9lLT+aS/SnNYlSckf/gqcSVoEaGZmZmbVWisf762/EBH/ljSrUKaRNUmz1haoo67utXBwtHCLiJEl56aTNmAtnvsbcFAL9Z4MnDyIfl1ICrxauedhYI8myo0jJVwonptO3TMXrp0EnFR3bg7wk/wyMzMzW0QMbo1QmSZGh/pSy0jcKPnWs/SftbiZOorlusLBkZmZmZnZkDL0stUtKpyQwczMzMzM+lIb7Wk0qjOC/rd0aaaOYrmu8MjRQkbSQcByTRSdmKe5mZmZmdmQMuRGjorrgW4pXpD0NtI6+Zv6qeM+UirwRmuTGq5r6iQHRwufg0gpsPvTS0q+0JSIKF0rNGzt2YlGjq22+rdXW33S9NZVg9D+edUL2qXyFibElRW38Ez/RQZpKltU3gb7VN8EG93Sf5lBUny/0vpDB1ZaP4AWyLlThRUqb+HDjKq8DZ6dVmn1W454Z6X1Jx34n8b4RnvFt89dEzqwh/t+/RepXif+39iSa4EjgW1JG8AWbVco01BEvCjpJmBTSasXM9blbWK2IWVf/nPbej0AnlY3AJImSgpJI7vdl3oRMTIi1MSrt9t9BZA0Lr+XPd3ui5mZmZmV+gNp5OezktavnZS0LPANUjR3TuH8ypLem68X1ZJsnVjcN5OUwnsN4JcD2RqmnRwcWaUk9eTgZ1y3+2JmZmZmrYuIV4F9SbHDFEk/kXQKcAfwbuAbdcs1TgT+BuxaV9XZpK1rPgP8UdJ3JF0M/BC4Hzi60gdpgoMj67YfAGvT/zxVMzMzM+uSiJgMfAiYCnwa+App/8k9IuKUJuuYC+xM2u5lBeBgYAvgZ8BmEfFE+3veGq85sq6KiCeBJ7vdDzMzM7OhY8glZAAgIm4CPtpEubHA2AbXZpMWZle8OHtghtzIkaSxeRrW2JJrC0zRyt/3SnqHpPMlPSnpBUlTJX1kkH0ZJelySc9Jminpt5LW6aP86yUdIukOSS/meyZL2qmu3Hq53z+oO79LPj9b0hvrrk2XdH/h+9feJ0nbSvpjfu6nJJ0t6S0DfObX3mNJm0u6StIzkqJQZh9Jl+Y+vSRphqRJksbU1TUOmJy/PSbXW3uNrJVptOZI0k75/ZuZ38878vvroN7MzMzYlUzsAAAgAElEQVQWYq9U8LJmDLngaIDeTBriWwv4KXA+sB5wpaQBpanKQdAfSdHxlcAZwBK5nTVKygu4GDgFWCqXPy/34zeSDi4UvxN4ChhTV03t+yVgXtooSe8kZaCbzII+DlwGPEKar/lPYC/g0qYfttzmpIx2QVo8d2Hh2hnASsDVwP8AlwObAVdL2rlQrpc0txRSBpNjC68+U29JOgT4DbAu6X08A3gD6f3937pFfGZmZmZmg7aw/AW+9gH68xERAJJOA24GfiJp0gAyX/yAtBnV5yPil7WTkk4gpTKstydpDuW1wLYR8XIufyIpH/xJki6NiPsiIiRdC3xC0koR8ViuYwxwHfDB/PXvC+ehPDjaCeiJiKm5vcVIQUuPpE0j4sYWn7tmG2CfiDir5Nr7IuL+4glJK5NSL55MDswiojfHMHsDvRExrpmGJa0JfBd4HNgoIh7K548iPdsuwOeBc1t/LDMzM7Ohbsil8l5kLCwjR3NIWTJem/oVEXeSPjyvAHyslcokrQaMBu4sBkbZCZSPeuydj4fVAqPcjwdJoyuvBz5XKF8LdMbkNt8KrAP8FrgR2LpQthYc9Za0e14tMMrtzWHeaM3GJeWbdWuDwIj6wCifexS4BFhLUjP7LPXls6T365RaYJTbmA0cnr8dO8g2zMzMzMzms7AERw8WN5IquC4fN2ixvvXy8fr6CxExC7i95J4NgBfyQrV6tUBo/ZJztcCnBxBwTb62oaQ3Fcr8sxgoFJTtdvhwPi5Xcq1ZNze6IGkNSRMk/TOvOYq8JumAXGSVQbQL835evSXXbgBeYv730szMzGwh4jVH3bKwTKt7rJ/z9RtQ9adW/vEW2hsBlAUvAI8WygAQEXdJepx5wdEY4FlSsPMG4BhgS0n3kra1/mmDup8tOfdqPi7W4J5mlL6nkt5FSrs9ghTEXZb7MJcU4I0GlhxEuzDvfVqgD3lK4mM0sdW3pBsaXnyyAztsm5mZmQ2Ip9V1y1AMjubmY1nfGgU5K/VzfmaLfaiVX7GF9p7to/zbCmWKeoFPSXo7KbCYEhFzJN0IvEgKmGpBQNl6oyo1ih4OJiXA2DMiflG8IOlMUnA0WLX3aSVgvhHBnIhhJcqDQjMzMzOzARuKwdHT+Vg2MtBoetxqklYvmVq3ZT7e1mIf7sjHD9VfkLQM5VO6bgO2lrRJydS6nnysn443GfgUaZfg95FHhyJitqQ/ktYd1d6H3tYeoTJr5uN82fBy0LLFgsWZk4+tjGLdRtpRuYcFN4f9ICkb4B/7qyQiNmt0TU81DP7MzMzMuszT4LplKK45uoU0arGHpKVqJyWtBXytwT2LAScU0ztLWpeUQe4JUpKDpuUkClOAdSV9ru7yNyhfy1NLgnCipMUL/VgVOIQ01a0+uUNtNOiwfLym7tr6wLbAPRHxSCvPUKFaAFofOB5BSihRb0Y+rtpCG+eR3q9DJL22fknSEqQsdgATW6jPzMzMzKxfQ27kKCIekXQ+KWPZLZKuJE1X25W039BuJbfdSfqwfrOkq0kZ6j5Ner4vDSCNN8BXSXsanZP3SroX2ISUAe465o1K1ZwLfIKUzvtOSZcDS+d+LA8cGhH31T3r3yU9CqxM2vfozsLlyaTg9S2k/ZOGijOBLwCXSLqI1O9NgQ8AVwA71JW/m7QH0x6SZpOSRQRwekSUTneMiH9KOpy0p9GduZ3nSWnL30MatfpF2b1mZmZmw59HjrplKI4cAewLfJ8UGHyVtI/Rl0h7D5V5mjSl6x/AfqTA6k5g+4j49UA6EBHTcp1XAtsD/0laHbcFcF9J+QB2B75O+o0+gLQXz1+AnSPi1AZN1UaPeoupyEnZ4mbVrg3kGaoQEbeRRrNuJQWD+5BSm29B2ueovvycXO5G0vTB44DjSeuW+mrnVFKgOY30Ph5Aev8PBXave6/MzMzMFiIvV/CyZmi4f8bMKaSvjYiebvfFho+OrDl6y0D3323So5tWWz/Ayn3GsG0yqgNtlC2Ha7cx/RcZlLsqrh/gV9U38ecFdkhov43Kdjhot29XWnvowErrB1DM6b/QoF3VgTauqL6JZ6dVW/+Id1ZbPwDHdqCN/6q+iQmNEhS3T+yH+i9VLbFj2z+nBJd3/bmGgyE3rc7MzMzMbNHmaXXdMlSn1ZmZmZmZmXXUIjNyJKmHeSm1+3L7QNcpDVWSxjVZdHxEPFNlX8zMzMzMhqphv+aoWTlAOKaJomdHxNhqe9NZeV1WM94ZEdOr7MtQIS7owC/+WRXX/8OK64eOzOVnegfaKNs2rc12PrTa+i/dsdr6gRkd+Hkvz4OVtwEXdaCNqtfKLd5/kUEKtbL93MAoLqm8Dbi/A23sWnH9HVjvR/X/DSnf6aTdqv95B0d2fW2O2LqCNUfXdP25hoNhM61O0khJIWniAO4dRwqMxkSE+nmNbXPXi/3oyc8wrqo2yjTxzLXX9MG2JWlcfsaewffczMzMzKxzhk1wZAMnqbeF0aP+6upKgGdmZma26HAq724ZTmuO/gWsDZRuHNqPHwAXQEfmcyzq/F6bmZmZ2bA0bIKjiHgFuHuA9z4JPNneHlkZv9dmZmZmg+VU3t0ybKbVla05krSypNMk3SvpRUnPSPqbpDMlLVsoN+B1MJKWkHSApEmSHpI0W9Ljkv5P0gYN7nmDpO/k8i9JmiZpvz7a2FXS+ZL+IekFSTMlXSdpt77eB0mjJF2Rn3uWpKskbVhXPoDRta8Lr+L7uI+kSyVNz/2dkZ93TF1d44DJ+dtj6uobWSvT6L2WtJOkyfn5XpR0h6RDJL2+rlzxGd8l6VeSnpb0vKSrJa3X6L00MzMzG/48ra5bhs3IUT1JbwSmAiNJW3D/ClgCeCewJ/A9BjYFr97ywHjgOuC3wNPAGsDHgY9K2ioibi7063XAb4CPAH8BzgPeAvwP8wKLeieSfmuvBx4FVsj1XyzpwIg4veSeNUjPfyvwI2B14JPAFElbR8SfcrljgbH5enF77NsLX58B3AFcDTxBSu21C3C1pE9ExKW5XC/p/d4buDZ/X9NnCnBJhwCnADNI78nz+RlPAbbM7dSvixoJ3AjcBfwcWBPYGZgsae2IqH6bbDMzMzNbZAzb4Aj4MCkQGh8RBxcvSFqG9o1HPg2sFhH/qmtjFOmD+wnANoVLe5ECoyuBHSNiTi5/GvDnBm18LCLuq6t/GeCPwPGSfhYRL9TdsyXwnYg4snDP2bndCcC6ABExLo/irB4R4xq0/76ImC83pqSVc39PBi7NdfVKghQc9fZR33wkrQl8F3gc2CgiHsrnjyIFZLsAnwfOrbt1NHBERHy3UNfxwNHAF4DvNNO+mZmZ2fDiaXXdMmym1fXhxfoTETErIma3o/KImF0fGOXzd5FGgraSVNyQYq98PKoWGOXyf2HBD/+1a/eVnJsFTASWBTYuue0Z4Nt190wC/gC8v356XV/qA6N87lHgEmAtSas3W1cDnyUF4qfUAqPcxmzg8Pzt2JL77icFZ0U/y8ey98TMzMzMbMCGc3A0hTQF7Yi87uYrkt6nPLTRTpLWl3SepAclvVxbZwPsRJrK99ZC8fWA5yPi1pKqrmtQ/4qSTs3rpV4o1H9KLrJKyW235QCqURul66EatL+GpAmS/pnXHNXaP6CP9ltR60tvybUbgJeA9Uuu3R4Rc+vOPZyPndhpzszMzKwLXqngZc0YttPqImKmpE2B40hBysfypYckfSciftiOdiRtDlyTv70KuBeYBQRpOth6wJKFW5YFHqLcAmtkJC0P3AysRlpDdDVpVGgOKWDYua7+hnXVnV+2wfX69t8F3ASMII2EXQY8C8wFekhT28rab8WIur69JiJC0mOkdU71ni0p/2qOf/vd2l3SDQ0vxvn93W5mZmbWJU6g0C3DNjgCiIgHgbE5CcK6wLbAgcAZkp6OaMsn4KNIwcGWEXF98UIOzuozp80kJVQos1LJuS+SAqNvRsS36uo/ghQcNVtX8XyzySgOBt4M7BkRv6hr/0xyprtBqgU5KwEP1LWhfH6BQMjMzMzMrJOGdXBUk6de3Q7cnkcLppAyobUjOFoTmFESGL0R+EBJ+TuAMZI+UDK1bssG9UNOetBE+ZoNJC1TMrWuds9thXO1pBCLFddB9dV+Dlq2KGm3dn+/IzcFtwG7kkaibqq79kFgKVLyibaKiM0aXRMX1GfGMzMzMxsiPA2uW4btmqO8x0/Z6Ent3EttauoB4M05O12t7cVIqcLLRohqSRe+ncvV7nk/KcV4Wf0AHyqelPRZ5k0VLLMcaVSreM92pCx+0yLilsKlGfm4arPtA0cA65SU76uuRs4DXgUOkfTa+iVJS5Cy2EFKPmFmZmZmXnPUNcN55Ggb4GRJU4F7gKeYt//QS6S9e9rhdNJ0veslXZTr7iGtkenNXxedTcrOtj1wm6TfkfZK+gxpzdKOdeXPJWVsOz1vuvoAaareh4H/Az7RoF/XAV+R9EFSSvGRpH2OXgT2rSt7DbA7cEnuz0vAHRFxGXAmKS32Jfn5ngI2JY2KXQHsUFfX3cAjwB6SZpMSJARwekSUTuWLiH9KOpyUYOLO3M7zpLVi7yGNWv2i7F4zMzMzs04ZtiNHwCRSADSCFEAcDGwEXAhsGBGN9hRqSURcTgos7iPtxfNZUoCwCXXrZ3L5uaR1QieRgqKvAZvn/p1SUv5h0rqeP5D2R9qflAFvW1JyhEbuI017exH4Kiko7CWtjfpTXdkJuT9vJQVixwO75fZvy23dSnof9yElhNiCkn2Z8rS8T5ACss+QEmIcT1q31FBEnEp6X6aR3scDSKsNDwV2L9kA1szMzMyso+TPpMOLpJGk/X/OjoixXe3MMNaZNUdnVVx/WxIy9uOKDrQxvQNtlCVDbLOdD622/kvrB53bb0YHft7L82DlbcBFHWhjVP9FBmXx/osMUqiVpaMDo7ik8jbS/xKrtmvF9f+q4vphwYkrVejELhvV/7yDI9u+LUyrxEpt/5wSPNb15xoOhvPIkZmZmZnZQujlCl7dJWlE3tfzAUmzJU2XdLKkZVqoYy1J35A0RdIjef/RhySdI+m97ejncF5zZGZmZma2EFq4EihIWhq4lrSH51WkjNIbAF8HRkvaKiKaSaZ2PPBp0jKNS0lbwdSSnu0uafuImDKYvi6SwZGkcU0WHR8Rz1TZFzMzMzOzhdxhpMDouxFxRO2kpO+Q1sMfDJzYRD1X5jqKW9YgaQ9SwPUjBjnveZFccySp2Yd+Z0RMr7Iv1h3i8A784lcdV3dibncH1up0RCee46SK6++puH7ozJqE+q3OqrB0B9qo+jka7SXeTi9U3kJot8rbUPy78jaq/3mXbSvYbv/qQBud+Pd9e+UtBNO6vjZHLFHBmqOXu/Jcee/Mh0lJ1N4WEc8Xri0N/Bt4PCLWbFBFs+38HXg3sEJEPDnQehbJkaOI6PovvZmZmZlZp0i6odG1iNiswqbXAlYBJhUDo9zu83lbnu0krRoRDw2indpcxFcHUYcTMvRF0kRJkTPEDUuSxuZnGNuh9npbGJkbaBshqbfKNszMzMy6Z6HaBHatfLy3wfV768q1TNImpOl0Nw92ScwiOXJUJKkHmAwcGxHjutsbMzMzM1vUVZHM/+VqR4f6smw+zmxw/dm6ci2RtCxwNjCXtLZpUBb54Mjabi/gjd3uhJmZmZm1j6RTgCVbuOW0iGg0WtQWkt5A2ijsvcBREdE72DodHFlbRUQndng0MzMzW2gt0e0OlNuf1jLeXEyaMlcbMWo0MjQiHxuNLJWStBQpnfcY4MSIOKGV+xtZpNcc5ZTek/O3x+S1LFGyzkiSDpR0d9606gFJx0h6XV19r63vkbSTpKmSnpM0vcV+LSvpcEnXFja4eiRvcFWayUPS8pLOlPSYpBck3SypdEtvSSNzPydKGiXpCknPSJol6SpJG7bS37q6F1hzVPe+bCvpj7mPT0k6W9JbGtS1r6Rpkl7KG3ydlP8hmJmZmVkHRcQyEaEWXr351v7WFPW3JmkBecToN8A2wEkR8Y2BPFOZRX3kqBcYCexN2piqt3CtuJjrZGA0cDkwCdgFGEcK7I8qqfeTwLa5/A+ZFxE3a23gOFLg9ivgedJw4WeBHSR9ICIeqBWW9Mbc9/cDN+RnWRW4kLTRViNrAFOBW0l54VfPfZ8iaeuI+FOL/e7Px4EdgMuAPwJbkabhrQl8qFhQ0jdJ78FjwATSSsJPk94bMzMzs4VWFWuOuuhe4BFgC0lLl6Ty3gK4v9lMdTkwupQUGH0vIg5vZ2cX6eAoInpT6nX2BnrrEzLkawAfANaNiEfz+eNJP+gDJB0bES/XVb09sF1EXD3Arv0NWDkiZtT1ZwxwNXA0sF/h0mGkwGhCRHypUP5c0mZZjWwJfCcijizcc3a+ZwKw7gD738hOQE9ETM1tLUZ6nh5Jm0bEjfn8u4D/Jm3K8IGIeDyfH0dnNlEwMzMz65ohOq1uQCIiJP2U9Nnum8ARhcvfBJYB5psSl//wvxrwQnHJRmEq3TbAqRHxX+3u7yIdHLXg+FpgBBART0q6lBRUvQf4S135SwcRGBERpXMuI2KypLuAj9Rd2gt4mfRLVyw/SdIfgA83aOoZ4NuN7pG0YUTcMpBnaOC8WmCU25qTg7EeYGPgxnzps6TfzVNrgVEu/6ykbwHntrFPZmZmZlatk4CdgcMlbUCatfQB0kyrm4HxdeU3Ic2gupb5d0E/kxQY/Rt4Lv/hvN7EiJg+0I46OGpOWYDwcD4uV3Jt0KMbOcX4QcAHgbcy/8/q5UK5EcA7gb9GlG4Tfh2Ng6PbImJWH/dsQPmzD1Sz7+N6hX7UKztXqq/NzohBZ3o0MzMzq8RCNq2uttnraNKylN1ISRQeBU4hbafzYpNVjczHtwHHNCjTC0wfYFcdHDXp2ZJztd13Fyu59thgGpP0SdJ6oVmkNU7TgReAAMaS1gbV1NYzPU65vvrS6Frt/IDyzfeh2fex1m7ZMw3qvTUzMzOzzsszow7Or/7K9gIqOd/T9o7VcXBUjei/SJ/GAS8BG9bnh5e0R13ZWsCxYoO6VuqjnUbXaudbSqnYRrV2VwQeqLvW1/PMJ/rY7EwcPtifkZmZmZktZBbpVN7ZnHwsGwHqljWBv5UERiuTMsy9JiKeBe4H3iXpbSV1bdlHOxtIWqaPe25rvsttdUddP4r6eh4zMzOzYW/xCl7WHAdHUMsIt2pXezG/B0jBzmujJDk7x48o//0+l5TY5LjiSUnb0ni9EaR1PvOlIpe0Xb5nWpuTMbTiPFLQeoik10bE8vqqo7vUJzMzMzNbyHlaHdxNyr2+h6TZpAQBAZzexT6dnl+3SbqY9HPahjT38g7mJSyoOQn4BLCfpFHAFFKw9yngCtLeQmWuA74i6YOkTHEjSfscvQjs28bnaUlE/EPSccCxwJ2SLiKtTdoNuJOUIdDMzMxsobQwpfIebhb5kaOImEMKLG4EPkMafTkeeHMXu3UG8GXSqNZ+wK6kVIabMf/mtEDKAELapPYnpF2GDyJtGvtp4OI+2rmPtPHWi8BXSZu09gJbVrABbEsi4jjSsz8F7E8K2i4iBXxmZmZmZm2nCK9LX9RIGklap3R2RIztame6pDMJGRaIY9usLIt8u729A210Qiee46SK6++puH6AHTvQRif2cV66A21U/RwrVFw/pCSo1QrtVnkbKt3Fot2q/nlvUXH9kPZVr1on/n3fXnkLwbQFsqR12jqo7Z9TphFdf67hwNPqzMzMzMyGEE+r655FflqdmZmZmZkZeOSoIyQtR1oH1K+IGFdtb1ojaRdg/SaK9uYNu4aFuL3qKVCwZzPv2iBcXm31ADzDqA600ompHt+rvIWjK55OcnsHpqtczhcqb+Nuvl55G++p3x2tAtes3n+ZwfhwR/7tjay8hU5MeYvSXSzaS187tdL647TeSusHUGxbeRvxH1+rvA1t14FZ8TtX30R/nHq7exwcdcZywDFNlh1XYT8AiIjplOw63MAuwN5Nlu0dSH/MzMzMzIYCB0cd0GIwMqTkhA1jO9mmE0aYmZnZoswjR93j4Mi6QlIvMDrCmVPMzMzMipyQoXuckMHMzMzMzAyPHJmZmZmZDSmeVtc9HjmyPknqkRSSxkn6kKReSc9JekbSJZLeVVd+LUlnSbpf0mxJMyTdIWm8JOUyAYyufV14TSxp/12SfiXpaUnPS7pa0nqdeHYzMzMzW7R45MiatSlwJHAlcDowCtgV2FLSphFxn6RVSNtjLw1cAVyYv14L+A/g68CrwLGkJA+r569r6re9HgncCNwF/BxYk5Rgc7KktSPisbY/pZmZmVmXec1R9zg4smZtB3w5In5cOyFpf+BM4DRgJ2A3UtrygyLitOLNkpaPiFch7eUkqQdYvZ99nUYDR0TEdwv1HA8cDXwB+E4bnsvMzMzMDPC0OmvePcCEunMTgHuBHSStUDj/Yv3NETFjAG3eD5xcd+5n+bjxAOozMzMzM2vII0fWrKkRMbd4IiLmSppKmja3HnAZcCJwhqQPk6bgXRsR9w2wzdvr2wQezsfl+rtZ0g2NrsVtA+yRmZmZWcWckKF7PHJkzWq0vqd2ftm82e2mwKXAx0jrhP4p6W+SPjmANp+tP1GbmgcsNoD6zMzMzIa8xSt4WXM8cmTNWqmf8zMBImIasLukxYENgY8CBwIXSnokIqZW3tMsIjZrePF2Raf6YWZmZmbDg4Mja9YWkl5XnOYm6XXA5kAAdxQLR8QrpExzN0r6B3AOsCNQC47m5DoWi4g5Hei/mZmZ2bDgbHXd42l11qx3A/vVndsvn78iIp6QtKGkESX31kaXXiqcqyVoWLW93TQzMzMzGxiPHFmzJgHfl/Qx0r5Do0jpu58EvpbL7AnsL2kK8E/SmqH3kdYfzQDOKtR3DbA7cImk35ECpzsi4rIOPIuZmZnZkOU1Qt3j4MiadSPwrfw6kDQt7tfAYYVsdOcDSwFbAJsAS5Kyy/0IODkiHizUN4G0yesewOGk38WzSRnvzMzMzBZZnlbXPQ6OrGkRcT3Q08f1PwF/arKuV0lB0eEl16YD6uPehtfMzMzMzAbKwZGZmZmZ2RDiaXXd44QMZmZmZmZmeOTIFlEHrF99G/dUXP+7gZu4oeJWzuq/yCAdwk8qb+NUflV5G9/i7ZW3cTj/qrT+y9m70voBtqq8BTh89erb+HHF9b+bu7jn2Yq3Yxvxs2rrB+CmylvQ106tvI04rbfyNvS1nopb+ETF9cOXf1h5E3TgP1Owcwfa6IfXHHWPgyPrU0T00sf6H+ue6gMjG0qqDoxsaKk8MLIhpfrAyIYbT6vrHk+rW8RJGikpJE3sdl8AJH1G0q2Snsv9Gt/tPpmZmZnZosHBkQ2apHE5kOkZZD2bAb8ERpDSfx8LXDn4HpqZmZmZ9c/T6uxfwNrAzG53BNiBNIVvr4j4Y7c7Y2ZmZmbtIWkEMA7YDXgb8Cjwv8CxETFrEPX+CPhy/nbliPj3YPrp4GgRFxGvAHd3ux/ZKvn4SFd7YWZmZtZFC9uaI0lLA9cC6wNXAecDGwBfB0ZL2ioiXhpAvduQAqPngaXb0VdPq1vEla05ktSbzy2ep8xNlzRb0j2S/qPu/l7gmPzt5HxfSJreQh96JAXwhXzq/kI9I3OZyP16u6RzJP1b0tzBTuUzMzMzG2qWqODVZYeRAqPvRsR2EXFERGwHfBfYGDi41QolLQv8HLgY+HO7OuqRI+vL+cAmwO+AOcCngDMkvRIRE3KZifk4GjgbmJ6/f6aFdqaT1hftAqwHnFa4v1jPW4AbgBnABcBSwLMttGNmZmZmHSRJwL7ALOD4usvHA1/N109sserTgDfk+y8aZDdf4+DI+vIOYJ2IeBZA0mnANOBQYAJAREzMozujgYk59XdLImI6MC7Xsx4wPp+rtw5p4539ImJOq+2YmZmZDQcL2bS6tUhLJyZFxPPFCxHxvKSpwHaSVo2Ih5qpUNJOpF2vPhsRj6f4qz0cHFlfjqwFRgAR8ff8Czxa0psi4rkO9+dl4DAHRmZmZmatkdRwg8SI2KzCptfKx3sbXL8X2C6X6zc4kvQW0h/pfx0R57elhwUOjqwvt5ScezgflwM6HRzdHxFPNlu4r/8I/Kf3VzQzM7MhagisEWqnZfOxUWbkZ+vK9eeHpLfoK4PpVCMOjqyh4qhRwav5uFgn+5I91oU2zczMzDqqiml1gx0dknQKsGQLt5wWEY1Giwbah0+T1sDvNdiU3Y04OLLhpKXxnr7+I3AA8tiRmZmZWfP2p7V02ReTpszVRowajQyNyMc+99yUtDxwBnBFRJzbQj9a4uDI2qG2Bqgbo0lmZmZmC5WhmJAhIpYZ4K210aO1Glzvb01SzWqkzMU75C1gyjyakzNsEBG3t9TLzMGRtcOMfFy1q70wMzMzs6HmXuARYAtJSxcz1uXNYbcgrSvvLxnDU8DPGlzbAXgbcB7wYi47IA6OrB0mk6a8nSBpFGlY9JmI+EF3u2VmZmY2/CxMCRkiIiT9FPhv4JvAEYXL3wSWAU4o3iPpjaSRohci4sFcz0Ok/ZAWIKmXFBwdOti1SA6ObNAi4q+SvkDa/+gA0mK9BwAHR2ZmZmYtGorT6gbpJGBn4HBJGwC3Ah8AtgVuBsbXld+E9Mf3a4GeznXTwdEiL2+2qrpzPX2UHwuMLTl/NnD2IPtSWne+1r7dvczMzMysY/Jmr6OBccBuwBjgUeAU4NiIeLGL3ZuPgyMzMzMzsyFkYZpWVxMRM4GD86u/sr3U/fG+n/I9A+5Ynde1qyIzMzMzM7PhzCNHVhlJY4GRTRT99UDTLZqZmZmZtYsivBemVSNnDhndRNEvRMTEantT56/VbwK7z/uqrf+saqvP1u9AG9M70Mb3Km/h6PIEOm1zT6W1Jxfxj8rb+AvvqryNdf5aeRNcX/G/7y2b+rvSYI3qQBtfqD8RNboAACAASURBVLyF0Fsqb0Nf66m0/jitt9L6AfRqT+VtxAHVLw/WdtV/bo2dm5/OVZX/q2Cz+k/g9dvN8MiRVaad8z/NzMzMzKrmNUc2pEhaXNI4SfdKmi0pJO3S7X6ZmZmZdcriFbysOR45sqHmUOAYYApwEfAKcHdXe2RmZmZmiwQHRzbU7AjMAraJiJe73RkzMzOzTlsYU3kPFw6ObKhZBXjKgZGZmZktqjwNrnu85siGhLzOKIB3AqvntUYhabqknvz1OEmbS7pK0jO5vJmZmZlZW3jkyIaK3nw8KB/H5+MzhTKbA98AJgM/AVbrSM/MzMzMOsjT6rrHwZENCRHRC/TmjWOJiHG1a5J68pfbAPtERGe2+DEzMzPrAk+r6x4HRzac3NpKYCTphkbX4q72dMjMzMzMFh4Ojmw4ubnbHTAzMzOrmkeOusfBkQ0nj7VSOCI2a3jxr07mYGZmZmbzc3Bkw4kDGjMzM1voOSFD9zg4MjMzMzMbQjytrnu8z5GZmZmZmRkOjszMzMzMzAAHR2ZmZmZmZoDXHNkQExEjS871Aup4Z+z/2TvvcLmqqg+/P6oaKUoHgdAUQZoo0hJCE5QOihSFUD8QEQWULgGlSxMUEYRABJFmqIJSEgLSlCYoRSDUUKVDgJD1/bH2cE/mTj0zZ2buzXqfZ55755w9a+8pZ2avvdf6rSAIgiAIukAIMnSPcI6CIAiCIAiCoIcIQYbuEWF1QRAEQRAEQRAEgMyidEww/SHO78AH/6iC7V9asH2AXTvQx4870MeTHeij6CCImwu2D7BsB/rYvQN9nNKBPhYs2P5CBdsHeLcDfXzQgT526kAfOxZr/qPLi7UP2EzjCu9D9oPC++jEtWFc3/VQ/hdpf7H6+bCuP6+BQOwcTcdImihpYhf6NUnjOt1vEARBEARBENQinKOg7UganRygod0eSxAEQRAEwUBjlgJuQWOEIEMQBEEQBEEQ9BAhyNA9YucoCIIgCIIgCIKAcI4GDJK2kjRe0kuSJkt6XtINkrZK50ekULZRFR47NJ0bXcX2nJLOlPRCsn2vpG1zjnMifZmrT6Z+K+YYSZpP0nmSXpH0nqQ7JI2o0G5csvEJSb+Q9LikDys91yAIgiAIgoFOhNV1jwirGwBI2hP4DTAJ+DPwKjA/sAqwBXBZC+ZnAW4APg2MAYYAWwMXSprbzE5r0t4pwEhgBeBU4PV0fGJZuzmBW4E3Ur/zAt8Brpe0spk9WMH2ZcnudcluJyTIgiAIgiAIgumEcI4GBrvieqgrmtlL2ROS5mrR9gLAY8DqZvZBsnk0cC9wgqTLzey5Ro2Z2SmSVsSdmFPMbGKVpivgDt/eZjY19XsTcDbwA2CPCo9ZEFjezP7X6HiCIAiCIAgGGpFz1D0irG7g8GG6TYOZvdoG2weXHKNk81l812dWYJs22K/EO8ABJccocR4wBfhqlcccHo5REARBEASDHU1p/y1ojNg5GhhcBBwPPCjpQrwa5K1m9mYbbE8Bbq9wfEL6u1Ib+qjEo2b2dvaAmU2R9CIecleJu5rpQFKl55U6O68ZU0EQBEEQBMF0QOwcDQx+CewCPA/sB1wDvCpprKTFWrT9StnuTYkX0985WrRfjWqO3RRgxirnXqxyPAiCIAiCYPDwQQG3LiNpdkknSXpK0vuSJko6QdKnc9iaQdLOkm6V9LqkdyU9KulcSbO1Ms7YORoAmJkB5wDnpByjYcC2uHDCUpKWB0oOTqX3tJaDM7ekGSo4SPOlv2/kH3l7Sa9DM+1Xq3ZOnN+UrSAIgiAIgiAfkoYA44EVgb8Cf8Sjk/YH1pI03MwmN2hrVuBSYGPgAWA08D6wCPBN4DDgrbxjDedogJFyjMYCYyXNDawDLAm8lposVOFhtULjZgJWA24rOz4s/b03xzA/Sn+r7QAFQRAEQRAE0w8/xR2j48zswNJBSccCBwA/Bo5p0NaxuGN0oJkdlz0hqeWouAirGwCkGkYqOzYz8Nl0dzLwCO4lbyrps5l28wGH1uniaEkfS+BL+hywD+6FX5RjyCXRhIVzPDYIgiAIgmD65sMCbl0izWF3Bd4Gfl52+ufp+K4N2loIVzWeUO4YAZjZ1CrpIg0TO0cDg7HAm5LuAJ7CFR7XB5YBLjWzpwAknQYcDNwj6QpgNmATfBtziSq2J+G1jR6QdBV9dY7mAn7YjIx3hpvwbdLfSboMV6Z7yszG5LAVBEEQBEEQDFyWwsuxXG9m72RPmNk7km4DNpC0sJk9U8fWt3D/5ZKUW7QpHk73YrKfZ946DeEcDQwOAjbEi75ugjsbjwN7Ar/PtDsMT7nbBa8TNBH3yK8Ctqpi+wPc0ToW+B6uFPcwXn/oj3kGa2Z/kfRTYDdcQGJm3EEL5ygIgiAIgqAeBQgo1FLxrZWn3QaWSn8fq3L+MWCD1K6ec7Ry+jsnHjW1QObcB5IONLOT8w4UwjkaEJjZGcAZDbSbChyRbuWo/ICZDc3c/b90awtmdgJwQpVz/cZSZUylYyPaNa4gCIIgCIKgo5SEwaqJfL1Z1q4W86a/hwN/A9bDHarhwO+AkyQ9bGZ/yTnWcI6CIAiCIAiCoKcoIEeo1d0hSScCszbxkFPNrNpuUV5KegkvAVuZ2bvp/jWSdgWuxaOWwjkKgiAIgiAIgkFBFwUUavB/eG56o1yKh8yVdoyq7QzNnv42Uj6m1OaGjGNU4npcTOwrTYyxH+EcBXWRtCKweQNNJ5rZ6IKHEwRBEARBEHQYM2u6WGuitHu0VJXz9XKSsjyS/r5efsLMpkp6iz5nKxdqsq5mMB0iaSRwbgNNxw+U/CD9iOI/+Ke8UKz9j+Yv1j7Ag8V3wZc60MeMBxTfxz/6KYq2l6/8q1j7gIdwF8xZlxffRyd4qGD7p8xXv03LnNqBPq4uvAf7/gWF97HHb4q1/9vvF2sfQL9ZtvA+TKcX3seXbO3C+3iQ6rnRHeMxtX+eslR3nleS8n4Wd1rmzyrWpeKwLwAvm9niDdhaB7gRuNHM1is7Nw8ebveomX0h73ijzlEXkTROav+HX9JSkv4saZKkqZL6edfNYGajzUwN3EbkGOtoSSZpaCtjDIIgCIIgGDQMojpH5jsxZwOfxpWVsxyWjp+VPSjpU5KWlrRIWfvxwH+AdSWtn2kv4Oh09+JWxhthdYMMSTPidZGWxKWzn8WLxAZBEARBEARBNzge2Aw4QNJKwD3Al4GvA3cDp5S1XwW4GXeGRpQOmtlHknbCa2peK+lyfK67ZnrMPXh5mtyEczT4WAwvDnuWme3e7cEEQRAEQRAETVJAnaNukoq9rgWMwmtvrg1MAk4EjjCz95qwdaekVfDSNevi4XpPA8cAR5cXmm2WcI4GHwumv893dRRBEARBEARBkDCzN4Afp1u9tuOoUKMzc/4h4FttG1yGyDlqEElbSRov6SVJkyU9L+kGSVul80NT7sxoSctKukbS65LelvRXSSvX6yPT13ckvS/pfkkL1H/Ex4+biG8/AhyexmOSRqXzpfyexSXtJ+nfqZ/RDb8Qbufzko6XdI+kV9Pr8aikYyVVVDJJr8nVkt6S9IakayVVTMWXNDKNc6SkzSTdJeldSS9LOkdSJzKVgyAIgiAIusMgyjkaaMTOUQNI2hP4Db7992fgVWB+PLZxC+CyTPPFgdvwmMczgEWBbwO3SFrHzO6s09feuITQBGDT5GU3yinAisCOuJM0Lh0fV9buNGBV4BrgKlzZoxm2BHbBY0HH4U72qsABwFqShpvZx5dhcoJuwxPuLselGldJx+6v0c9WwAa4Tv4NqY+dgGGSVjGz15ocdxAEQRAEQe8zyMLqBhLhHDXGrvjHdEUzm8aRkDRXWdthwLFmdlCmzXnAdbgSx/LVOpF0FHAw7oBtZ2ZNCSmY2SmSRuDO0TgzG1Wl6fLASmb2dDP2M4wBTjKzaS5dST/D4z+3BrLaqqfj8aDfNbMLMu2PBg6iOhsDG5rZ9ZnHHAMcCBwJ7J1z/EEQBEEQBEHQjwira5yKm5Jm9mrZodeBo8raXI9rsi9XKbxO0oySzsYdo7OAbzfrGDXJCS04RpjZc+WOUaJU4OBj3fkkwbgW8EDWMUocTYUiXhluyDpGiaPSY3aQFJ/fIAiCIAiCoG3E5LIxLgKGAA9KOkHSNyVVq757r5m9XeH4hPR3pQrnLsPD1I4ys93N7KPWh1yTu1p5sJydJd0i6X+SPkr1mkqO4oKZ5iukv7eW20mv0301uppQfiDzmNnxEMZa47y92q3W44IgCIIgCILpkwira4xf4hP/PYH9gP2BKZKuAX5sZk9m2r5YxUbp+BwVzg3HaxFd257h1qXaGBvlV8APgGeAK/FcrPfTucOBWTNtS8+3Wl5TrbHkeS2DIAiCIAgGNiGg0DXCOWqAVNn3HOCclGM0DNgWz61ZSlI2j6iaklrpeCWBhXVxwYHrJG1oZn9vz8irYnkfKGleYC/gAWA1M3s3c25+3DnKUnq+81YxWUt5Ls9r+TFmtlq1c/pR/tcgCIIgCIKgUMI56hoRVtckZvaqmY01s+/g1XmXAZbMNFmpipz1sPT33go27wXWwUUfrpO0RpuH3U4Wx3Xnb8g6RolhFdqX1OjWLD+RXqcVa/TVz17mMW8CTzQy4CAIgiAIgiBohHCOGkDSCEkqOzYz8Nl0NyueMCdwSFnbDfDdoQfN7J+V+jCz+3EH6X3cQernTPQIT6W/q2cFESR9Dq9MPA1J+OEWYHlJ25edPhh/vaqxXnrtshySHnO+mU1tdvBBEARBEAQ9zwcF3IKGiLC6xhgLvCnpDtw5mBlYH981utTMnpI0NLWdAOwp6WvAHcBQvM7Re7gkeFXM7AFJ6+DKdn+R9E0z6ydK0E3MbJKky/AaRP+QdCMe5rYxPu4lKjxsL7ym0fmSNqevztFX8der0o4TwNXAVZIuBSbidY7WBh4Hftau5xQEQRAEQRAEEDtHjXIQHg63Ci5E8F3gbVygYbuytk8Aa+DO0F7Apnih1GH1CsACmNm/8B2kd3EHaXh7nkJbGQmcCHwGrzW0KnAS/V8LAMzsQfw1uQ7YEH8NP0jHaoXGXYY7lksCP8LrM40G1owCsEEQBEEQDFo+LOAWNETsHDWAmZ0BnNFE+4eAjRpoN6LK8QepLVRQy+Y4PCeo0rmRuGPTEklOe/90K6da3w9S+TWpOSYzuwK4oulBBkEQBEEQDFQiDK5rxM5REARBEARBEAQBsXMUBEEQBEEQBL1FhMF1jXCOehxJKwKbN9B0opmN7tU+giAIgiAIgqDXkdc3DXoVSSOBcxtoOr5aDlMv9NFriL078MF/qVjzk/5UrH2ABX5bfB9Fv04ADOlAH41cQq3wTsH2AY7oQB/V6kG3j9/zjcL7OKlg+w+d1YGvqN36VV8ogAuK7+KKB4vv4/KC7W9ZsH2AzcorY7SfZflr4X08qJsL7wMbUTF/uqOMVvu/BEZa95/XACB2jnqctFMzeqD3EQRBEARBEDRICDJ0jRBkCHIhaagkkzS622MJgiAIgiAIgnYQzlEQBEEQBEEQBAERVhfk5zngi8Ab3R5IEARBEARBELSDcI6CXJjZh8DD3R5HEARBEATBoCOkvLtGhNUFuaiUcyRpXDo2q6SjJT0t6T1J/5S0Xmozh6RfS3pe0mRJt0tapYL9iek2p6QzJb2Q2t8radsOPtUgCIIgCILO8kEBt6AhYucoKII/AcsBVwKfBLYHrpa0BvA7YBbgEmAe4DvAdZIWM7PyEL1ZgBuATwNjcD3mrYELJc1tZqd14skEQRAEQRAE0wfhHAVFMBewvJm9AyDpetxhugH4G7CdmU1J5+4DjgN2oX/pkAWAx4DVzeyD1P5o4F7gBEmXm9lzHXg+QRAEQRAEnSPC6rpGhNUFRXBIyTFKXIpf5nMC+5cco8Qf098Vqtg6uOQYAZjZs8CpwKzANu0bchAEQRAEQTC9EztHQRHcl71jZlMlvQR8ysyeLms7Kf1dsIKdKcDtFY5PSH9XqjUISZUemwb1g1oPDYIgCIIg6B6xc9Q1wjkK2o6ZvVnh8BSg33EzmyIJYOYKj3nFzKZWOP5i+jtH7kEGQRAEQRD0KiGg0DXCOQp6mbklzVDBQZov/a1ZY8nMVqt2TuxtrQ4uCIIgCIIgGFyEcxT0MjMBqwG3lR0flv7e29nhBEEQBEEQdIAIq+saIcgQ9DpHS5qldEfS54B9gPeBi7o2qiAIgiAIgmDQETtHQS8zCa9t9ICkq+irczQX8MOQ8Q6CIAiCYFASOUddI5yjoJf5AFgfOBb4Hi4F/jCwt5n9sdYDgyAIgiAIBiwRVtc1wjkKcmFmEwGVHRtRo/3QGudU49xrwP+lWxAEQRAEQRAURjhHQRAEQRAEQdBLxM5R1whBhiAIgiAIgiAIAsI5CoIgCIIgCIIgAEBmUQszCOoh6XaoXVi21/sYDM8h+ugd+9FHb/UxGJ5D9NE79qOPYHomdo6CIAiCIAiCIAgI5ygIgiAIgiAIggAI5ygIgiAIgiAIggAI5ygIgiAIgiAIggAI5ygIgiAIgiAIggAI5ygIgiAIgiAIggAIKe8gCIIgCIIgCAIgdo6CIAiCIAiCIAiAcI6CIAiCIAiCIAiAcI6CIAiCIAiCIAiAcI6CIAiCIAiCIAiAcI6CIAiCIAiCIAiAcI6CIAiCIAiCIAiAcI6CIAiCIAiCIAiAcI6CIAiCIAiCIAiAcI6CIOhhJD0h6dfdHkcQBEE1JA2XtGKB9j+SdEFR9oMgmJaZuj2AIOgVJC3SQLOpwJtm9mab+54JmA14y8ymtNP2AGduoK2v9WBG0oxm9lED7eY3sxc6MaaBgKTPAkPM7Jk22pwf2BJYGviUme2ajs8DLAb8y8zea1d/QVe5GTgT+H5B9t8E2vbZrISknwFPmtmYIvsp63NxYA7gDTN7olP9BkE9wjkKgj4mAtZIQ0kvAX8GjjCzF/N0JmlG4IfASGBZQIBJehAYDZzeqqOUJmgrA3MCM1ZqY2bnt9jHTMDewLb0TQRnSudWBHYHTjGzR3OYfwD4fCvja4Q0Yd0J+CrVXyszs3WbsDk8/XuXmU3O3K+Lmd3SaNsyRgPfqzOu+YGbgGVy9lGyM4Tan6unW7A9E/CFOvbzvkalPuYAjgS2wZ1wI/0mSvoacDhwmJn9M4ft7wMnArOWhgvsmv6fF7gd2AM4q4WnUOpre/w7ZEVgdnwifS8w2swubIP9tlwbkm7KOYSmrrsa/bf9Gs/wEjC5heHV4y5ghQLtAxwKnFJwH9nrbgf881o6/iZwHnC4mb3Rpr4WwK/vlUhOGH5tXGRmk9rRRzBIMbO4xS1uZuATy3H47tCrwI3AhenvK+n4zcCVwJPp/jPAAjn6+jRwG/AR8CHwBD5heiLd/wi4FV/NzvNcPgGMydiqdJsKfNTia/ZJYEKy9yLwbNYm/oP0HvCLnPY3AT4A1i7wfV8+vb+l16TaranXqvQY4PNl9+veWnguU4Ff1jg/L/Af4IMW+tgF+Hed5zAlp20BPwdeL+o1Sv18Fng4vV7/AB4s+9x+Ep9InZTzMzsVn9BuDPy6fLz4BO2aFp/DjMBlmc/tu+l76d3MZ+0yYIZeuDZqPbbO8Zbe63Y/jyr2zwfuAdTqWKvYXw14H9ihCPupj/8CvyvKfupjXuCR9Fr/D1+k+WP6+790/BFg3jb0tVe6Fiq95+8C3y/yucZtYN9i5ygI+jgBd0iOBI43s3dLJyR9EvgpsA+wJj6xOgifyB2KfxE3w5H4D96FwMGWWWVP4X3H4DsxRwL75XguxwLbA4/iPz7PAkWE6x0MrAEciL9+hwOHlU6a2RuSxgMb4K9Ts3wG+CvwV0ljgbtxJ6zfDp/l3wE7EZ8s/wL4PfCsNRCa1gBH4uN8pex+kZwK/FjSi2Z2QvZEWjkfBywJfDePcUl74pP9KcAttP9zdRhwCO4cnV+A/RKj8B3JbczsYkmHAz8rnTSz99Lndp0ctn8CPI079O9IWrlCm38Bw3LYzvJDYAv8O+sAM7u9dELSqsBxwOb4ru6pOfto27VhZtPkOEuaFbgEWCrZn4Bf2/MBw/HPwaPA1jnHnqWoa7zEgfji1u8kHWBm/2ujbYD18Wv3XEl7U/170Mzs5zn7uAjYQdIc1qadmwocg7/fxwJHmdk7pRNpJ/pQ4ADgaPp2WptG0jbAafh371H0/2ztA5wm6RUzuzhvP8HgRWZF/1YHwcBA0jXAjGa2YY02f8FXxTdJ9+/EV7kWa7KvZ4HnzWyVGm3uxnelPteM7fTY5/GVuJXN7P1mH99EP48Cz1gKRSlNMs1sxkyb3wBbmdl8OexPxScAKjuV/eISPimoGH7VQB9vA381sy3zPL7XSInb2wA7lRzGjGP0BeB7ZvbHnLYfwR3WNS1fmGQ9+xPx9/YrZvZqu+2X9fOAmW2a7lf63P4K2M7M5m7S9pvAGDPbq4btY4B9zOxTLTyH+/Ad4uXM7MMK52fGw1LfN7NcYgFFXhuSjgW+g4//7QrnZ8fHf5GZHdhiX4Ve4ylkcC7gS/hO95NUd16aDttL34ON0Mr34Kz4TuMC+ELB3Wb2Uh5bNfp4Cb/u1qvR5ibgS2Y2bwv93AN8DljRzJ6vcP5z+O7t02ZWafEimM6JnaMg6GMN4PQ6be5h2l2iO/GcmmaZC18Zr8UN+ApXHuYELizSMUosgude1eItPLwuDzvlfFwzfAA83oF+OsWOeA7N2ZJewcO7bsYdox3zOkaJRYGzi3CMEvMDZxTpGCUWwFfKa/E+MCSH7RnwcNZazJvst8Ln8bzEin2Z2YeSrgJ+0EIfRV4b2wEXV3KMAMzsTUmX4TvoLTlHFH+Nj8j8Pyuee7l0hXaN5rRuCjycuc7Wbml0jVGKlBAeOo5UviYFuAOWd+44BLijTpvbgaqLhg3yReD3lRwjADN7VtIleK5eEPQjnKMg6GMGPOSoFksy7S7Gh+RLxH0MnyDVYh48DjwPj+AhBEXzFvWfxxLAy3mMm9l5eR7XJOOBrxTdiaTZ8Pf0meyEVtJ3gE3xz9GvzeyeVvoxsymStsQdoovx0LQl8Z2kVuWAJ1FFIKFNPEkmSbtAXgUWrtNmafz5Nssj1AiZS2ITw/HQulb4gPrO25DULi9FXhvzADPXaTMT9b9fGqHQa7w8ZLAN/Bk4Ag/FBTgXF7X5VZv7yTKB4sN+HwSG1mkzNLVrhdeBd+q0eTu1C4J+RJ2jIOjjVmCrNFnth6Rv49K8t2UOfx6ouDpVh1OB70hatkpfy+GhUXnVg04ANpNUz9lrlTuATSTNWemkpIWBb+L5Kb3K/sCXJO1fcD/HA/eTmRCmHJ4L8dXxnYAJkiqtODdFiuX/Bn2O0S7WHone84BvpPyAIjgD2FhSOybEtbgFvz4qhqxKWgbYEN+9bZYLgJVSOF253RmBXwKLU3/nuB73AltLWrDSyaTUtTW+252XIq+Nx4FvS5qr0skUCro1+ReIsrT1eUg6SdLXM/cXSWGA7eJDpnUch+LRAIVhZiPMbO1Gbi10czTwLUkVw+rSa/otPE+oFa7Ef5cqbgCkkNNNgCta7CcYpETOURAkkkNyG77aen/6/yV85XJ1XCr3HTzf4oH0o/4cHmbUVOhKknXeD/g6PuG8lb6E0WG4zOn1wEnlj7UGJIyT/b2BdXEH6x6q1AtqxF6dfm4G7sMTxDfERRpmwwUnTsMn56tZDknkTD+FSTtLOgevOzMc37m4j8qvlZnZLnn6SP38G3jEzLbIHHsK34ncDg8pOx/4Y6P9NCCPPB8eQnZf2fG8uQ8z4QIfC+GhTvdUC4tq0F55bTHhzsNK+Kp5rc9tK1Lhy+Hhhi/hn9dV8Ro1X8Kv9aNwRcmVzOyxJm3PjIuIDMcdgMm4VP9l+O7F0HT+G9bCD7Ck0uTuBVxwYDx93yEjgH3T/5uZ2dU5+yjs2pC0K/A7XPHzJPw7sPR9OyyNfyFgNzM7J8e4y2nb80g5QKPM7Mh0/yO8rMORtR/ZGCmX8zlgPTP7KPV3eAtiCz2BpB1w52cj4G/0/91bD7gav1amoRnBnSQXfgMe2XCwmd2RObca7qQNAdYvUHwiGMCEcxQEGSStgOcdrVHh9G3A3mZ2X2o7Iz6Berda3H+NfsqFBsoFBsqPfUwjCbd17Ddtr05fe+I7YZXsfIRLpp6d07bwSfLeuMNVlRYSkQtPdk79vAaca2b7pvtfBB4Cfmpmv0zHLsJFNJZq0GajYy8n13NJk0BIIhh17NcN2858Tvudaof9On1visvdf7qsT+GTqm3N7NqctmfBlRv3wAUsSryJ744dbmathLuV+tkXV/4qfy+Fq/wdYGYnt2C/0GtD0mG4QmGl8X8EHJnHISj6ukiiG78pCUWUO0utImkULorwFh4COhQPAasXBmZmtkQ7xlAENQR2ymlJcEfSE8As+MIQ+LXwCp6LWfremET/kNOefv2CzhE5R0GQwczuB4alFe0V6CuqeH/5SrW5FGzeVaeiZZ07IRsNgJmdIWkcPhH8Gi6Z+yYuVvEbM3uoBfOdkHZuSmmwBWZl2h/jtfD36K+ZY0/g+UcNUUCuQz3anZdwfpvtNYyZXSlpMVzAovxze66ZvVLr8XVsfwAcIulQfMezZPs/6XsDSUMsI2Wcs5+T5BL329O/COyFZvZEK/Yp+Nows59LuhAf//L0Feq8Hx9/XhGFoq/px4AtJf2Zvry0OSvshPajwR3PX+A7jhsBC9LnUNRzKuqdr/1gDyf9AfUL5eZ1IDohsAN9oijlr3V5CHz569XS6xcMHmLnKAiCnqVT0s6dIIXK/NfMvpnuXw18zczmybQ5E9iiFRnboLtIOtbqSE+nnK3rzKzVWkdBF5C0LfCH7CEac/Jz7Xi2e2eqSh9rAdfhizhT8HC3igtR1mTpiiAYaMTOURAkJE3AV7IvMbOeVLGRtA9eH2XxgWg/B52SpO4n+AAAIABJREFUdu4EfwH2kvRLfFV4Q/on5X+e/qud0w1p5f11M6uYZ5TazAZ8ppWco4L5qaRJZlax8KqkT+GT0NU7O6zpl5SDNNbMrqzRZmNgSzPbuZ49M/ujpCfxnZ2FcEnoB+if29cujsDrlBXJsficcFfgPGtvkdwgGFCEcxQEfayKT1h+JS8IOwa4ttl8ooKZE6810xP2Je2ICzFsYpWL7S0IXAWcaGYX5hhPp6SdkbQ9PskpD08anXPs5RyDKyTtm+5PwvMKSv3PS2O1tuqSVNjWxkNyZq3QxFpN7pa0ENO+VveZ2XOt2MTf76yEcSV+mM63kv+1Q6Ntm0kET1wKnCjpJSurKZUco7/g7/NPmzGaxE8A7jKzyZn7dWlFdCX1Xdi1IWkV6odxtSpEMBKYSKrfU4UV8BDLus5RGtQdpJo9kkYCfy5qZ8fMjijCbhkr4AV3mxK/qEUjYYbV6OHFj2A6IJyjIOhjQVw17Hu4ZPcWwGuS/gRcYGZ/7+bgepSRwAeVHCMAM3te0nvALrhkdbOcgeduzGttrtZeIglrXAxsjofHTMZj0+fD1ZPWlbQV8G0zy5vojZm9IJduL6nE3VK2QzI38BNcpTA3kk7AiwdnJ5rZsJ/S/7kmnHJ5+DOAdSqcuxEX4Mgrv9xIzH878gJGUz8MqvQ6NescbY/vDJ0r6RUz+xuApE/ijtEw4MCSCEcTjEvj+SLwaOZ+I+QVKyns2pD0WWAs7ijWek9zf1ab5BPkz2dcG3e+mkLS8sCKORzwIngHVwtsJxPJl1NotDg/TTvMu+BO34JUrqlllkO1Mxj8hHMUBAkzexlXXTtVXmvme7iztCewRwqjGIM7Su2ovTEYWIYKsqtl3Ads1YixCiuNV+CTyb9LKkra+Ye4I3wrru51e2Y8qwLH4ZPDvfHPR27M7D1cqrbSuX8D/27FvqTdcIn4vwG/xd+b0bjDNRwPmRkL/Can/YXx12le4GG8XtAkPPxxOD5hniBpFTN7ppXnUoPP4SperVAtMXwO4Mv4dX8lvuvZFGb2oaTN8Nfmcklr46qEJcfoYDM7PseYSyIrr5TdL5Iir42TgDVxJ+88ihFbyVLxtUqKmAvjdcHy1KzDzMbnHNMW+O5xLzhH11KjeHFOKgmuLJ76eR3/bShJea+I7x5OwIVpciPpq/j19hnqO95B0I8QZAiCOqRE1e/i9Rlmpw0ywi2M5XDgZ63Kb7fLvqTJeMjcITXaHA3sa2afaMBex6WdJd2HrxovVymEMtWteQB438xWbMLuOfiYDzazF6vUXqmEWc56SpLuBuYCljSzqRXqsayFO07bmdmlOeyfjYcdfR8408p+QCT9H76r9Hsz261Bmz/L3B2FT5bHVWg6Iz6J3Qa4w8z67Vy1i1QL5SZgYzO7MaeN+XD5/9mBR/AdksPMrNUClx2jqGsjPfYVvMDrauWfo3ZQ9l3SiGCCgOPM7KB2j6VqhwV/nzc5lnlxJ/g6fGfz3QL6WBa/Jk4HjsmqNSaRkkPwxcg10mJR3n7+DqwCHITXZZsUOVRBM8TOURDUwczGS3oGX7Hdl7husjyPr/jVYgV8dbARuiHt/Hng9Gq5ZWkn4Cpc4rYZRuLP5Tj8+Y9s8HGGh4PkYWlgTFmI08ef1/RZvgbYH8+NaZYNgKvM7LeVTprZmZK+ia/CN8qorAm8gOmIGu2fBw5own7TmNntkq7Ed2dyOUfJIf46PhlcHa9t1DbHqEPiFUVdGwCfxENLi7reb6Hvu2Q4LnQysUK7j4D/4c7wWQWNpedQ5QLSbwN7ASOTuma1Qrl5Q9GOx3PmDq1g9B3g4LTrcxyen5mXlfD8qRNasBFMx8QkLwiqkGLiv4PvGq2aDr8JXNK1QfUeNwA7S1q/lFuRJU0ONwR+34gxMxtZ9vhGJoCz4+EYefkAr5ZeiyH0LxhYj5Lc7XNl94smq7T4Dr6TlOURPPwtD/MCD9Zp8yD+njfK2umv8AnqaDzMqpzSJPbhVnK/muApXI2sJg3sCE7E8x0WK2ube4cw8STuWNbKx2lVvKKoawM8pGpojsc1hJmNKP2fdpHOLVIKewAyosa5T+PhpZVoxZltRHDmLtxBa4X/AS+3aCOYjgnnKAgyyCvbb4o7RBviVbY/xPNExuCr5u93b4Q9xzG4A3mtpDF4yNZzuLzt1/HX8c3ULg+NTAD3xhXO8n6f3QtsLemoKop7CwBb4/lODWNmT9W6XxDP4Tk5JR7HC5xm+RLuNOXhZTzPrBbL0MTEJJuvIekI4OZW1dVaJeWhDAfea6D5yAbNlrdrZYcQOlAUlIKujcQRwJWSVk3Kb4VhnS+W3PN06TWZAViyTpulaP1zOxZYR9IMHVpICQYZ4RwFQSLlU2yF5wgIX8Eag2/PD4Y6O23HzJ6UtBFwET752zFzWniS9dZm9mTOLhqdALbyY3oSLvzwD0knAuPpSxIegYdSfja163VuY9qk6iuAQ1Nx2SvxBPhvUF9EoxrX4yE3u5hZv91ASTvj4TCj8xjvkGRxVha7nJlwx34HXF66kUT5Xi6I2ap4RZHXxvzANcB4SRdQW2ylZcGCShPllFu2Ma7Cd66ZPdtqP0FNbgG2krSNmV1UflJeXHdLXEyhFQ7Cd6EvkLR/G0oMBNMZIcgQBIkUejERr3w+xswe6+6I+iNpFJ7AW8iqX177acdtMzwJdg48tOsu4EozyxNyU7JbtzK8pN8C25hZ7tA6SfviRRDLw4+EK2gdYGYn57XfKSSNwPNx9jCzpyR9Gp/QroTvVAj/jK+dZycrhTn+Aw/V+zfTTpaHA8viuXlfaUStrplaPeW0srtUQ/jj4ya4o7mpmb2Wt5920w3xiqKujcx7kF3YKH9PhIcftiRYIOlkPNF/fksFviV9C1/UKX3XvQJ8uZMOUo8JMtyE162q6ohK+i6wc97Pk6RlgNvxsL0HcAGIl/Bw3TWB5XFnfvVWBBlSX1/Gw77nAF6jev7UEq30EwxOwjkKgoSkNc3s1g71tQNeNPOBGm2+hP9Yn585tigwtJ50bNH2i6Rb6mWSFsdr1JQXurzQzFqSlu0mSVFsM2AJPI/mqqxKVA57SwFnUjln4WZgTzN7tEFb9ZyUqrQyoUyLAJX6nYpPpO42szvz2i+K9HqVKHcsKvE8sIWZ3d1iv22/NuQFpBvCzCrloDXT133A82b2zcyxf+NO/T74LtYxwK/N7Eet9NXkuHrJOWpkIeoQ4MgWr70v4XlHlRZGbgH2MrOH8tpPfayLy/B/Ag+Lf4kqMvFm1ss7v0GXCOcoCLpA0T9EnfqhK4JuTQCD5pDXPMpOlu9rZLeozMYo+jspq+KqeI/huzelnanVcfW063FnuCMhePXI7H7dZWaTm9kNa3b3K0mxQ++JV/Q0kl4F/mBm+6T7i+H5eEeUPkeSrgC+YGZLd3BcA805argsQwP9LYwrmc4BvAHc3+z3Rw3b/8B3sXcALi1QETEYpETOURBUIH1xLwjMWul8hxLGZ8RXsnvafgqp2xzP0ZiTyspYzShz9ap62YAihbAsDQwxszHttp8mMi1NZsxsVPa+pGF4vsDueK0ky5wTsBtebLSXagWNwx28LwKPZu43QlOT4l4UrxggDGFaEZK18Pcom9vybyCvRHVeJuK7JV1B/Ytuz1nhGPTt0m9FZTn0pmnH90cNlsGd4VCWDXIRzlEQZJC0CXACrphTi06s9K2ET/571n4Kw/sbHrJVrxJ5Q85R0RPATq70d4NUJ+QsYLnM4THp3HC8yOM2ZnZlF4ZXj58D15jZ2eUnkqP0O0kbpnZrl7fpEkfin+9Xyu4XShE7Z4P42nge+ELm/oZ4TZ9/Zo7NDuRSIpX0BHCKmf2qRpu9gP3MbPHSsRQu2FLIYItMpO+zaniI4T412gv4ScFjagcv05jSZBBUJJyjIEikZPY/Ay/gMdF74wnnD+PJosvikt7/rGKinv3yonsjU5/lzIirTA0FLu4V+1U4GZdmHQOcg6vTVYztzkNBoVPj6NBKf6eRV6C/Cd8RPBnfOcoWZJ2AT+K/javX1bN3Dv7aHGxe1LReTZ8SeWv4rIzvDNXiP3j9noZpIbfJzKzm72T57lf5/U7Qxp3ucRR0baT3YCqwjJk92sR7Uvc9aIDxwLaSfoAr020JjDWzjzJtlsC/v/IwlPq11uYEFs1pvyhKRbeFh6Ddj9efKufjQrlmdl0rHUqaEZd/X4/qn1mz/IVmAS4Avi3pk2YWTlLQNOEcBUEfB+KriSunieDe+K7FkQCSDgIOBX5Ww0YtRmT+N/wHdWiFdlPxH6JLgGaSg4u2X4l1gBvNrOHk6h6gKyv9HaLkTK5sZv9NOQ0fO0dmZpJux0MgG2Ek/toch+f+jGzwcXlr+HyA72jWYiWaLzp6C4PnPf6YAna6i7w2Su/Bu2X3O8FReOjvqbgj8A4u9gKApNlwgYDRBY5hDnLuTBWFZYpup3y2c2vtfrWKpCHAX/G8QlFZrbB0vBVG4Q7+dZIOxvOZ3m7RZjAdEc5REPTxVXw18cXMsY8lrc3smFTT50i8UGxTZOWxG0l+7TX7VZgBV60aMPTCSn+BrAVcZmb/rdHmaTysqBFKSk7Pld0vir/iRUcPBE6yjAx8ym3bDxdr+FMzRs1sRDsH2QiSZgW+iTtzpaTze4FrrQ2FpIvY6S7y2ih/Dzr5nqSFgmWAb+ET76tsWin7kgLjhY3arBByOLRKGGIpX2d7fDeuJ8mr2pZUB3dsUC30UGA1fIHxN7gTPgp/7YcDR+P1rrbPM5YMpd0ikXK6PGWxH+3YlQwGIfGhCII+PkXfJBB8lW/2sjZ3ADu1oa+1aVNia5fsl7gTX6EbsKQE5NfNrGIBytRmNuAzZvZ050aWi9lw2dpafJIGdxLKJpD97hfAT/AitkcB+yTVqVIdlK+kv88DPy14HC0haVPgd8A89F8Zf0nS7mZ2VYvdFL3T3ZPXRtrhWKvZhR8zmwScJmkuYIVUB6ekknYPPilvhnFMm6+zI9MWwZ5m2KnNgU32MRAYii/KNMKWuNLkL6DPYUkLkpekXe378e+BY1oY0wQG4U5x0DnCOQqCPl7AJzMlnsNXX7PMRRvyTqzgOkJF289wIHCLpG+Z2aUd6rPdPImvXv68Rpsf4juGPZ1zhKs/LVenzZdxGeOmSTWoxtXKYUmKc2vn2bU0s2clfQUvOro1sFHm9GQ8t+1AM3uhWdudItVYuQzP0zgHn6hlC+V+F7hc0gZmVp4n2AyF7nQnevHaGIE7fE19viQNxcPqNqLMYZV0NbBPk85/KeRQaTzjqVyPrZSvc7OZ/aeZMQ9CFgGuydyfSibnKF3/1+BOZm7nqBs7xcHgIpyjIOjjfuBLmfs3AztK2hZPXl8Tn7A1LchQVti0GczMak1MOmK/Bhvhr9OfJI3HV1+rVSJvpZ8iEfVrKdU73ytcDfxQ0npmdkP5SUlb4/H+ed+LUelWK8F/OHA4TU5eSyTHZ6Sk3XCFsVJI2qPZMLt2IGk16ieGN5s7dQQe1rO6mT1Ydu58Sb/C6zcdgYtn5KUTO92D4tqQtAT+ms9L5fpZmwKrSlrdGixqmw05zOTrnF/9EQGe65Utu/AGsEBZmxdwJyoIukY4R0HQx5XA6ZIWTSuIR+N1Hf6QaTMFD1VpllEVjmW3/ctDb0rHjMYmskXbb6TfEUwrClE+ll51jhrhc8Bb3R5EAxyN51VcK+k8YH4ASd/HY/23xcMtTypwDLPgq+UtYWYfAuXORVuQNBPwRzzMp15ieLPO0UrAhRUcIzds9oCki4Ftmh13GR3b6a7DQLg2jsNfqz2AsyrUz9odz4E5DldybAoz6xVZ+V7nKaZ1fB4E1pE0q5m9n96LdYFJzRjtgqpmMMgJ5ygIEmZ2Dh4GU7r/ZKoZsy+wOP7F/lszqyR1Wo9KP577AV/HQ4Uqhd5cT+OT2KLtN9Nvz1Nhp21ElYTdUjL1NvgqfE9jZi+nVewxTDupPz39vRPY1szeaKWbaieSaMIw6uc9dZv98IWPc/BJ8T+AU3Chh+F4uOgNwAE5bL+L11mpxUv0qbblpZCd7kF6bawLXGlmvys/kRylMyV9E99FbImkyFatGDYDIG+xSG4EdpI0k5lNwWs8nQ3cLulGfBdvReDEJu2OpLOqmsEgR5kFlCAIcpCSloc2UwRR0q64Y7KamT1U4fxywN/xOPhGV8E6Zn+gk9T8SpTvGlTieWALM7u7uFG1F0kr4iF0n8VDHe/MM/5U4LLEUOD1dCtnRmBu4BP46vweDdi+iZTMnvINGg0za6kOiqQHkpHl0/1p1B1Tvai7gH3N7Mwmbf8RWMLMVqnR5m7gMTPbLudTQNLOuNP7RTN7StJiuCM0R6bZFGD9Jr+bevraSPL0PzOzhnfEJL0J/MrMqu76SzoK2NvMykMTG+1jF9zp/kKNZoNOHa2Z90PSUvhu7flJIANJpwHfp+9zdhn+fdDw4oG8GDnAc2Y2JXO/Lh0QmQkGIOEcBUGL5Pyx/hdwu5ntXqPNWcCqZlYvwb7j9gc6aXcF/Af5Jry+SaVK9aVk6ofNbGqF8z1LSZWLvpyd+83s1Rx2JtK3W7QI7mhVco4+LhQJ/NzM3mnAdqkQ6BetrzBoI1gz11uFft8FzjazH6b7HwFHm9lhmTYXAUub2YpN2l4Iz2m5BTjEzJ7JnFsYD31cE1jDzJ7P+xyq9L0ELe509/q1kfP7dhzwmpltUaPNWGD2BiWpyx+7J/Br3Bm9jRrFsM2sHWqnPUOe96OCjXlIn9leFlsJph8G1QpGEAwglsST52vxKl61vRft9yNN/KolttPM6nXRZNX8JB2BK0n1zPhaoQFVrh+Z2cRG7ZnZ0IztqcDJeZToqtieodb9AvmAacPa3saT9bM8BWySw/YY4DW8Vss2kp6mL6R1EXyH7QHgD2Xhai3thiUDjwN7tWhjMF4bhwA3StrVzM4uPylpd7x+Vt7X/0d4zZ41zaxnaxn1Kmb2MjVCUZuspRQELRPOURB0h5eBb0g6OJscXELSDMA36KtU32v2s7Y2AU7ACynWoidlsM3siG6PoV0UocpVxmJU3jUaaDyD58uUeBgYLkmZ62VVfGekWUZk/p8JXxFfvKzNChUe11QYR9rtusjMWi2YWZVBdG2si+dknSlpP6a9LtYAPo/nYK4nKZt31KjK5qL4TmQ4RsUwlMZrKQVBy4RzFATd4UK8kOVVkg4xs/tLJ1KuyFF4svXxPWq/ZGsE8GdcOet0YG+83sfDeOjQsvgOVtPy591gIO1+VaFoVa5C4/MlLZwNQyuQ8cBmGWfoT8AvgaslXYt/dtckI9DSKB3c/XoTd/I6wgC/NkZl/v8ClfOCNky3LI2qbE6iRxd/OsBYOlNwPAg6RjhHQdAdRgErA9/Ed3jewXd75gGG4OFQN+C1UHrRfokD8ZCklZOE6t54GE4psf0gXPo8bx2mjjDQd78ydESVq6D6QABPSXocL6Y5Dv8stTUvJ3EO/l4uhOeHnIbv+GyM76iCCzIcWEDf7eIuKu9AtZUevDbuA5qtJ1S0quZ5eG2uIY3k2vUykhbAFQhXoi9f8V58l7KfxHZaeLu//HgQDGRCkCEIWiRvQmpayd8R2AFYnkziPJ63cF6lkLhesZ/6eBW4ysxGpvtTgSNt2gKJtwL/M7NNW+mrKNLu1w347tdl1Nj96vUwo6JVudREfaA8CdqSRuNOyiL0hZn9Fw+JGoc7Sy82a7eJ/r+C5+E9BdzVTqEBSbMDXwMmA7e24dpbDX9NdrOCio926tqQtBJlk3Ezu7elwXeQzHWxEO5Q32Nmb3d3VM0jaS/cEZ6V/iqFk4H9zew3XRhXy6IPQdAMsXMUBF0iTY5Gp9uAs5/4FF58ssT7QPmk+w6glxWaBsXuV+Ie+hcCLWdZvK5PHoqsD0TGyV4MX+1fB8812D3dTNIj+PvTkvBAlf7/Qf7XBgBJu+F1xDY3s9fSsRWAv+A5LuB1Xb7ejFxxBdbHnaNz02f2bjyPptzpajRvphKFXhvyOnJnASXFzJLDXVLc3DW9J73O++mvcEceVa4NZdajUt6StsF3UF/Bw67La+PtA5wm6RUzu7hrAw2CDtCTF2kQDHYkLWlm/x2o9jO8gIfqlXiO/pPzuejtcLSvAmPLdiQ+zhsxs2MkbQQciQsa9DJFq3JtDzxoZrsmewCvm9mdwJ0pX+cuXAK6qfpAWczsSeBJUs6PpCWBLYD9gaXxnJHczpGkCXho1iVm1m6Bie8Bs5Qco8SJuEjGufhk85vAnjRf7DLLqMz/K6dbJRrNm6lEYdeGpC/jjsSn8OKg5ZPxdYCbJQ1rVo68C0ygSUGNHuSnuGO0Ylko6yPALWlX91584SOco2BQE85REHSHRyXdjoe3XWxmeVSxumm/xP24sEOJm4EdJW0LXImH3mxNbwsyDIbdrxJFq3ItiVe0//hxwMwZIw9Jugqf+Od2jgAkfQoYhu8grY2HXc0EvIM/r1ZYFVfv+5Wka/Dr5Foz+7BFu+Cv8RWlO6ne1NpkCuNKugN3NFtxjorOo4Fir41j8M/OBmb2t/KTkjYArgKOpb9QQk9hZiO6PYY28EXg99Vy/MyLNF8CjOzoqIKgC4RzFASt8wbwdJOPuRpfwV8VOEXSX/AJ2tVm9kEbxlS0/RJXAqdLWjQpmR2Nh139IdNmCh5606sMht2vEqMy/xehylVkfSAkrUOfM/RVfPI8GbgdFw+5Gc8FqlhgswkWBLbDd3m2xHelXpP0J+ACM/t7C7bnZNqaLcPS38szx24Fdm6hj2nqETVDyn2a08wa+c4q8tpYHd+56+cYAZjZ9ZIuxUUyguJ5HV94qMXbDA4p/yCoSThHQdAiZnYKnnfRzGM2TSvK2+ITtM3wsJQ30urcH8xsQgtjKtR+pp9zyMgdm9mTKY9gX1LFc+C3PR4WMxh2v0oUvZtQZH0g8Hwlw3cjjsHfi9vb7NCXik6eCpwqaWn8GtkO3/HaQ9KT+GLCBTnCU18FFsjcXxf4iGl3u0Rmx63D/BjPEWrEoSny2piCfz/U4kn8tespJJ2Df04PTrlYjUq+51Vx7ARXApuk0g/9Fh8kzYwvelzR75FBMMgItbogyJAU3jbDJXIXpPIEpu0/cCmnYgc81GYx/If3KXxydliv2x/ISNoZr9H0RTN7KokB/BNXzioxBVi/x2u5FI6k0/HrYxEzM0n74vWB/gKU6gNtDZxjZrvlsF9Sh3sb3125Cc9Hua9VdbcG+18LF1P4Fh4+1nQCfdql/SquujcZuBN4wMzWzrS5DFjOzD7fpqE3M76Glb+KvDZSOOOsZlZVVl7SjcC7ZpZrJ7Io0ufU8Nfl0cznth65VBw7gaQ58MWJt3Cn747MudXwqIAh+Hv9Rs4+zgH+ZWYnN/m4FfBcqPPy9BsEzRLOURAkkgNxNV7Po6LUUKLQHzhJq+OOzE7ATO3uq53204/dWDO7skabjYEtzaylMKJOImkJBtbuV0dISfS7AUelHISZcYnnbOjTXcBGZvZqDvufwdXpSqF1pRCuN3AJ6ZtwtbQH8z+LumNYHH+O+5Lj+pC0Nj7JzLK5mV2Vzs+AFw29ycy2bcOQm6JVWeR2XRuSlsF3034NHJ1V7kv5ZofixYzXMLP/5BlrUUhaNP37nJlNydyvixVcSLlRJD1R4fAs9O16TsEFGuamL8poEvC+mS2Rs8/JwMlmdlCexwdBpwjnKAgSkq7H5XHPwGtWTMJ/IPpR1A+cpKXwlevt8clHWx2xdttPK6ajStK+Vdocgtc+6skV06B1iqoPJGlu3EkqOUxLp1Mvm9n87egj9fNZ4Dv4tbFqOvwmnhOzew57G9EnUnCRmV2aOTcM+BXuEFzS0sBz0Cs1Y9LCyhL4buPruBJaSTxkJTx3awJQPonv5dC0AYOkieRU2DOzxXL2+RBwtyXJ/iDoVSLnKAj6GAZcaQXUT6lFmgBui0/MvoLvWr2Gq32N6XX7DfAJqjiZvcBg3f3qJNaG+kBV7L6SZLdnwj9Hc+MCAfPUfGADSJoFz8P7Li5QMQvwIb57PAYvbvx+dQs1x30NcE2VcxPwyX/5eBYBhvZS6GbB18bIzP+fwaW7yxmeblkMCOeoRcxsaBe6PQc4UNJCZvZc3dZB0CXCOQqCPt4COlEbCEmfADbHJ2br47lNH+DJrmOAa1pJQi/afhkVVx9T/tbCwDeAivKwPcJIYCKekFyNFYAdaVFhbKAj6SN8p7Cqsl3aKTyi2VydzOPnxvN1Ssp1pbwc4eppfyQV2syLpLNxVcXZk9278OviojzhgG1iJxoXSugUIynu2si1+xAMaC7Dr+m/Szqe6oWLaVBNMQgKIZyjIOjjb7i8bCd4Efg0PjEr1SP6k01bOLIn7WeSkUuMkjSq1kOA41rtt8v09O5XBxG18/Gy7Zo3Lj1AX56RcEnsS3Fn6GYzeySP3QrsjE/6TwPGmNljbbI7PZLr2uiV3JugozyB/3YIDy2thhHz06CLxIcvCPr4CXC7pBOAw8xscoF9vQychEtqPz7A7N9Cn3M0HK/xNLFCu49wSeebgLPaPIZ2M9B3v3qJeYD3cj52IWAsfc7QQ20b1bQMN7Nbm31QkzWCBgtxbUwHdEiO/Hxy5jkFQScJQYYgyCDpC/hOy4zAY3hSdjlmZut2dGA9SiOCDL1I2e6XqP+DLeC46VFlSdIOmbujcedlbIWmM+KT5R8Bj5rZqhXa1OsrWy+pmcd1JF+nSDGDTgkl1OunqGuj7HPUFGZ2ft7HBo3RgBx5acenZ+XIg6BdxM5RECQkrYSH1s2ZDn25StM8k7dGV+X69dXIKl3R9ms8eIa8j+0yg3H3qyhG0/daGV7naLMK7UqhdO8Bo/J01EIto17M1+lV6oVGFnVtjGba785GHS/DdxyCYqmWAzYH/lt4CK4o+NNGDUr6H3CsmR2f7v8MGNddqr3XAAAgAElEQVRLoiNBUIlwjoKgj1Nwx+gAkpS3mbWrOvvIKsdLq3HVjjeqzFS0/apImqFcujkVDdwYL4J5rpk920of7cbMRpT+Tyum5w603a8OUpKkFq42NRYX9iinNFm+vY25c0GbMbNR1HBeC7w2dqpw7FvARnih3wn0SXkPxwU5rsaT+IOCqZMD9kAqbvwv/P36dYNm58Bz0kqMSrdwjoKeJpyjIOhjZVy04IQCbJevys0AnIrXVDmV/hODH+LhfT/uEfsVkXQysKek+c3s9XTsW8BFaQwAe0v6cq85SCUG8O5XR8hWpZe0FvDnWtLOQWeRtArwVXxhp9LOmdVSF6xFO6+N7OcIQNLmuJLmBmb2t/L2kr6Oq+Sd3a4xBPkxsxclXQX8gMadoxeBzxU3qiAohnCOgqCPN/Ev87ZTvion6UDga8AKZjYpc+oR4BZJ5+IhDN8Cju+2/RqsDdxUcowSRwJvAPsA8wPHAPvjuSg9TQqtXAlf8XwDuNfM7u3uqHoHM6u0+h90gVS4diywBrXD5AzI5RyV9dfua+Ng4OJKjhGAmf1V0iXAodSWEg86x1vA0Cba3wl8L5UAKP0OjXAtj5rkduiDoB2EcxQEfVwBrFMpTKwAdsEnBpMqnTSz5yRdDOxGPuelaPslFgbGl+5IWgxYGq9z84d0bBheZLNnkfRVPG9iudIhUj6EpH8Bu6ZCpwEg6ct4bZvsZPke4Hwzu6ebY5uOOAlYExgHnAc8SwFy8wVeG8viOZ61eAbYMoftoM1ImhPPNWxmAfEneJ2y/0v3Da9hNqLO49ri0AdBXsI5CoI+DsB/rC+QtH/BFbw/h+fj1GIy+UMSirZfYgjwTub+WvgP218yx/4N9Ky6X5ro3wx8iuq5DzdLGmZm93VtoD1Ckrr/MX1hkyXWBH4g6SQzazhpO/iYN3Dxg0bZGC9eu24LQhY1KfjaeCvZqMXw1C4omCSWUImZcIn9TYHP0oTYipn9V9JyeNj3QrgjPxp35oOgZwnnKAj6uA+YBfgKsLWk16gu5b1Ei309C2whqWI9JUmfArZI7XrRfonngS9k7m8IvA38M3NsduD9FvspkmOAmame+7ABcBVwLD2+A1Y0kn4A7IeHZ/6C/pPlQ4H9JE00s990baBdRNJNwOha8tOSvgvsbGbrlI6Z2Sm4KEyjfBK4pSjHKFHktTEW2E3SGcDhZvZSxu68eHjuasDvco49aI5Rdc6/BRzTbLhbisJ4HHhc0lPAfWY2vs7DgqCrhHMUBH3MAHzItKu3lYKj6wZMN8DZ+MTjNklHArea2auS5gKG4bLEQ4G8dXWKtl9iPLBtmjRPxkNgxpap/C1B605YkawOXFIj9+F6SZfiK/XTO9/HQ51WMbPsiv7TwB9Swva/8KTt6dI5wkOGxtVpsyi+y9oK99Fc/kceirw2Dkr2/w8YKem/wEvAvMCSwKzAg7T+HRU0xtpVjk8FXgMeMbMPW+nAzKrJhddE0o7AjtnFhCAoknCOgukaSbOa2fsAZja0g12fgMdi7wRcnsYylb5QJQHnpna9aL/EUcDmuCKe8BC7UaWTkmbDdxRGt9hPkUwBasnYAjyJS1VP7ywGnFHmGH2Mmb0h6TJgj84Oq2PUqxHUKEPwhZhWOAK4UtKqZnZHG8ZUicKuDTN7TdLX8HDm7+E5SMtmbI4Bjjezd5u1HTRPtd0cuXrCkri4zjMdHVQfQ2l9MSEIGiaco2B6Z5KkC4FzOplInkINdpF0Pp7Yvjx9ie33A2PMbFyv2s/0819JywBbpUNXlSnnLQWcCVzYal8F8ndc2a8WqwK3dmAsvc5L9ZsABak+1qDZfJ1pkDQTMBvwlplVFTWoViNI0iJlh+ascAxcanth/HqZmHO4JeYHrgHGS7oAF8SoFAZMrRC/OhR6bZhZqWDwqLSQMjvwZjXnOygOSVviC137lOqUSRqKh00uk+5fAmzfxvp/QdCTqNhw5SDobSS9ixepM9xp+D1wQZk0dTCISc7dbXjtjqOzK9UpN+tQfCdkDTP7T3dG2RtIOhbYFljWzN6ucH52PBTqAjNrORxK0uIkp97MnmjVXpntGfF6XyPxHYuSCtuD+E7n6bUcpTJbU9NjG+4e+ImZndjEY6r1md3JKh+D8BzJSvWPGukjro3pBEl/A+Yzs+Uzx/6MK9TdBMyFL7LtYWZndXhshwM/y/s5DoJmCecomK5Jq5XbATvjhRQNFw8YC/zezG7s4vCCDiDpHDwvak3gdbz+U0lkYCW8uOYEoHxybma2SweH2nUkzQpcjO8IHonvGJReq2HAYcCjwNZm9kHOPuZItnfAdxJKvImrXB1uZm/kfQ6pj08D1+O7HlPxcKHS81gYDz+9HRcieKeanYy90fQ5KjvgCy2V1Ns+Av6H1wa7rsXnsGOjbcsLsDbRR1wb0wmSngP+Yma7pvuzAa8Cl5nZtpJmxt//t8xstQ6PLZyjoKOEcxQEibRKujOwPf7jb3iozjm4+lS34q17hiT3asCvzex/NeRfy+nZon5pBT4PuVfkByqpmCNkat2UN6ly3Mysbhh3UimbgDtfr+MORmkyviI+GX8MGJZVN2sWSSfhRYkvBA42s6cz5xbBxUy2BU42s/2atP1ketyv8o6vVyj62pC0ML77tB6wIK4WWslWpAAUjKT3gBPN7NB0/xt42ObmZnZlOnYyHlY3b4fHFs5R0FHCOQqCMlK4zSa4o7Qhnps3Fa+B9HvgilZVewYqmVCeL5rZo01MnnrWkZC0aN7HluVXDXokjaO58LGPMbNqalhZ+7/HRUSOBY7K7tpIGoJPpA/AcwR3zTOOZOtZ4HkzW6VGm7uBBcys1VpgA5Yir40UMnkn8BngIbzI7FO46uXiuIT4/cDrjXx2gtaQ9CJwuZntme4fD+wLzJPJQToe2MvMhnR4bOEcBR0lVmOCoIyUbDoWGCtpPjxMZidgA+DreKhBR1fOeojSJOXpsvsDlunNwWkFMxtRcBeb4CFnB1fo+x3goKRwtmmL/cwF1BMpuAHYp1nDKRxpHuCZ7CKKpO/g456M77y2JAAj6XE8F2QcMM4KKFpd8LVxOJ5Ptq6ZjU8LLeea2ZGSFgDOwIUA1itwDEEfDwObSDoUD//cDvhnyTFKLErnxVaCoOOEcxQENTCzF4ETJF2H121ZA59YTZeUy71GMb+gzQwB6slS3w5U3fFpkMeov8AxD/DfHLaPB76LhwJ+CCBpT+B0+sQTtpG0spk9nMN+iRmBXfAd7pKzdHO6jfv/9u47TLKy2vf4dxEkCkgGQZEoOYlEYQZQRJJ4BQdQ5oDAVdHDERG5iHMIXriKyiUog3g8M4wkgYNDkCgwQ845HJQwBMlpyGl+54+1i66pqe6uruqqXd39+zxPP907VNWa7q6evfb7vmtJeraF5+6ErYG/1vwNCQBJzxTJ5L3A0WQvJGuvE4BzyJ5075PvxcNqztmIrIpoNqw5OTLrRVWxhm8D69PTx+fPZcZl7RERc5NFOZYmG1DOooWSyNaY++i/selyxXmtOB74/xFxnKT7aw9GxJrAGLKa3UBtAVxZ05/nEOBp8u/JkuSo1Y/Jvy1NkbRcUWp5NLAl2Xx23+JDEfEwPYlSS3+z2vTeWJQcraj4AJi36vneLSqofXWAz2tNkHReROxPz+/kWZImVI5HxBZkgZSWComYDQVOjsxqRMRo8m7szsA8ZFJ0E7ne6Ox6JYxHquIicgPgXEnTi33zAL+hZwrRsZLGlxdl/4qLgqPIaT51TyHX2oz45KhYk7crPYvo610sS9JWTTz90cDZETFB0pV1XvtLwNeLj1b8nZySdltETGTWqnt7ktXs/hERm1c/UNLUfp57KaouICNiVbIC3sGSriv2fZ1sjtwSSY+TzZz/s3jeFckkaTQ5Bfh/A/vRwg2dNr43XiRHJ6q3l6s55wOyCId1gKSTyemM9Y5NIdeHNS0ilihmY/R33maV90rhL7TeF8ysYU6OzICIWIZcV/Qv5H/QAbwAjCdLeruHR32HkWV+/7NqX2UazBvk3eHfRsQjkq4oIb5+Fc0PTySn8BwF/Jr8z/hm8gJ2W+A84KKyYuwWRVGEy8npNZWL4to+O71VrGvEgsXzX1aMGtQmLVuTP4dPRMSe1Q8c4MjFNVWx7keOtlRU/j07FB+1+lsUPhdQXcZ8i+K1Lq/a9yitr5uaSfGzWZGs9LcKeSFbGe1u9jnb+d74O1kmvOIWYJuIWF7SoxGxGJkEP9Js/NZ1Lo2IL/R1gzEiNgP+SlUZf0l3k8U5zDrC1epsRCvmte9NTkuZnaxKdzk9VekaagI5UhVli6+X9M1iew7yDvBD5B3shck56rdJ2r6sOPsSEVOBlYHlJb1VLAw/XNKRxfHdyf46X5R0TXmRli8ijiGrxY0j1+C9CBwOnEJeLB9N/rz3aOa900tj03qq/+MacKPTiDicJhM4SUf089wPA/+Q9JVi+yJgQ0mLVZ1zCrBzKyWRi6lum9IzrW59ssLb28AN9Kw/urXZv2PtfG9ExE/I352lJL0aEaOAvxXxP0gmegtQQtNRa4+IeIf83fxyvT5oEbEJOer6pqSlOh2fWYVHjmykO7P4/Bg5+jFB0lMlxjPULEY20KzYgLygGS/pHeCfETEZ+EoZwTVoLeDPNWtEPrrQlnRG0XBzHDniMJJ9DbhJ0s8BIjKHKabKnBMRN5J3eH9M9goaqL0GKc4+STq8jU9/CbB/RPyKnFb6ZWadcrYyPRUfm/UK2RfoPXIk52gyGbqp2Qa8dbTzvXFy8ZgPi+e6JiLGkAnTGmRZ78OcGA0rY8neYqdHxK6qujsfERuTidHbQDNTcs0GjZMjG+nOJKfNXVV2IEPUB8y85mQUeUf+6qp9L5HT67rVnOQUyoq3mXWdw93k9KuR7lNkY8iKGVT9/CU9FREXkxdBA06OJE1sOcIGRMRckt5t09MfQ07HO7DYfoZMHiqvvTg54nNSi69T+b7fTE5DuoosvTyY00Ha9t4o1ijeXLPvHLJimg1Dks4ufv+PJ0eeKz2VNiITo3eALSU9UF6UZk6ObISTtEfZMQxxjzNzr6NdgMdq+qN8kkyQutU/yUX0FdOAdWvO+TSZCI50b5IJUcVrzPy9A3iWTKJaUhR+WJTeq6O1MvLyz4j4E/AHSfe28DyzkPRsRKxOz93vqZViJYVFyZG1y1p8qZ3J995oehLR6cVUuKvIflGt/tu67r1RNAT9mSRfvwxBkk6MiCWBQyLieXKk9TJyBHTLetUjzTrNf1zMrBWTyD5QNwPvAmsD/7fmnLXIxdfd6lZgvartS4EDIuL/ABeQBSe+RjYFHemmMXPicx+wZWUkJnKe3VbkaElTImJ9corY5uS0sd40vMaojteBHwDfj4hbgFPJ0sVv9f2wxkh6m16KFBR3xVu+My5pMjAZICIWoSdR2oIcuVJEvARcLekbTb5Mt743+luTZl1M0k+LBus/Aw6imEonqdUS/WaDYrayAzCzIe0kchrM58gLpUvIC1sAijvoa5N3srvVOcBcRc8YyLvwTwE/B+4h10a8ARxcRnBd5m/A6KLwBuRi/E8BN0bEsWR1uXXICmYDFhHrANcCG5OFUYL8GVxOFn8IYAqtl1T/DFlp7b/IkZBTgWciYnxEfK7F5+44SS9JOlfS/mQJ7x+T369Faa3sud8b1i77kcl9JTG6p+R4zD7ianVm1rKIWICsGPZ6zf5FyWl1j0t6rZTgmhARnwD2AZYnR0smSXq63KjKFxErkSMFp0l6pth3IvA9eu7mnweMbWYUJiLOI5OW9SU9WF0dreif9WvyYv/zRY+flhW/o2PJ5pefJdfM3QP8Hji9Zkpcvcf/sXjMoZKeK7YbIUlNN4Gtev3F6Bk1Gk2W8ob8eTxDjhx9s9XXqXq9Ut8bxbS6cQOpTmjlqKo+OVDytEkrk5MjMzNrSXGBvjwwTdKzLTzPc+TF/JhiewZwRKV8dkTMRpYKf0DS7q1HPsvrb0omSbsA85J3tc8BTpZ0Sy+PqVwArirp4WK7EQMqP17ndU8gk6HVKruA58kKcFeT38eHm33+buXkaOiIiGtovmT+6P7PMmsPZ+Zm1rKIWBfYjbzzPq+krYv9nwY2BK6U9HKJIVobSXqBmauaNWtBskFqxfvA/FWvM6O44NptEF6rnteBt8gCA0GuaxoL7BkRlwJ7SXq+5jE/JNfiVOL+TJtiq/V9stDJ+fQkQ67yZV1D0qiyYzBrhpMjM2tJRPwS+BE906pqG3SeURw/vsOh1RUR4/o/qy5JOmpQg7FazwOfqNp+lp5pYhVzk6M6gyIi5gd2J6eKrU/+zt4KjAfOAlYn1/DsQja73bnmKX4DLFD1u3E1cJykEwcrxl6sM9jrNPzeMDNzcmRmLYiIvchqQxcCPyXv6B9SOS7p8aIa2I50SXJENpmsVZvQ1e6P4usRdQEYEY/2f1ZdkrRCE497AFilavt64KsRsbGkGyNiVWBX4KEm4/pI0VtlXzLpmZ8sLPB74BRJd1WdehvwjYh4j/w9rvU+M1fVW46ZE7y2aNMC9sPrvVTV135v2KAoZhusS44WvwbcKenOcqMyS06OzKwV3wMeBP6XpA+KC8haDwFbdzasPtWby/4jssrXJLJa2nPAEmQ56W+SfTh+06kAu8hszLpm4GP09L75gJzatQg9/588Q/YsacbFwHERsVRR8OEX5EjNdRHxMpl0zEZVRcRmRMS95FqdAO4kR4TOkPRGHw+7H6jXF+0JYLOImF3Sh8W+ji3mLSrJ7UFWCVwAmE7+m85oomiF3xvWVhGxAVkdcs3KLor3S/G+3EfSbSWFZwa4IIOZtSAi3gROlfRvxfYsi6Uj4hjgh5LmLinMPkXEPuTF3cb1GhBGxJrADcABkhqtRDYsRcRCZE+bN8iRwhuLdUCzAZuQJZ7nA7ZupjphRMwJLAy8Ium9Yt8mxWtVqqOdKOniFv8dbwJnkqNEtzb4mGWB5SVNqdl/ODCOXK/0Ejly9Grx0ZdmR9eqX/sA4JdkYlrb++d94GBJTY/YduN7o/L9luRWJENMRKwHTCWnxf6NWZPtLclG01+oGb016ygnR2bWtIh4jSx3/L1iu15yNBHYTtKiJYXZp+Ju5Y2S9uvjnFOBjSSt2ds5I0FEnAJsCqxdNUpSfXwO4G7gWknf6XR8jYqIBfor0T2A55qDnFq6HbA0mRxNp//kCElNF2+IiO3JRqwvAseRa52eAZYkR4AOJEf0dmw2mWzne6OYsnlJ0ZtpII9bEFhI0rSBPM7KFxGXAaOA7SVdUef4NuQU7askfbnD4Zl9xNPqzKwV9wJb1kwp+khEzEtOqbu945E1bkXgon7OeQlo6S7/MLETMKHezxqgmFp5EbAn0LXJkaTpEfEx4KvABsBCZGW6Oqf23YtI0gfA/ys+KqW9j5N05OBGPYsDgZeB9SQ9VbV/GnBzRJxOTq87kJyu2Ix2vjcWJZPIASlGJIdMzzSbySbAOfUSIwBJl0XEucD2nQ3LbGZOjsysFX8E/gCMj4jvVx8oGsP+gbyTfUAJsTXqBWDbiDhUdYbSiylj25J36Ee6BcgF1H1ZsIFzSlWUmL+CvKivnY5WTWTfo4E4guw11G7rkaO2T9U7KOnJiPgzWYmvWe18b9wDrNxCbDb0fEAm7315DKh788WsUzxn18yaVqwzOIu8gHyh+ExRoe5p4OvAREnnlhZk/84A1gIujIi1qw9ExDrkNI81gNNLiK3b3A+MiYi6IwURsRIwBrivo1EN3HHkqMifyCloK5H9iWo/lh/oE0s6QtLUwQu1Vx8j12f05Q1mrqQ3UO18b/wC2CEi3Oxz5LiB7HvXl42A6zoQi1mvvObIzFoWEfuSTSnXoOdO/IPACZJOKS2wBkTE3ORF3lbkSMGbZKK3GFlcIMgiBDtKeqesOLtBROxENh19A/gP8iLmeWBx4AvA3uT3bGdJF5QVZ38i4lXgVklfLDuWZkXEXcBcwJrF1L7a43OQozPvSVqnyddo23sjIvYky7JvA/yF7C31HHUq/Uk6rZn4rbtExGpkef7fAkdLeqvq2LzAYeR03E0lPVhOlGZOjsysBRHxIXCWpD2K7XnIcsvT+ymL3FUiIoCx5FqZtejpvXE3WcJ4Yr1pRSNRcVF7IvBxZu2BMx34126/mI2I6cB4SQeXHUuzIuJA4FdkIYaDJd1edexzwDFk9a+DJB3Xwuu05b1RrM0Ss05rrP2dUnWBFxu6IuKP5FTWzciCJXfSU61uXXLt37VAbX+1ftf+mQ0mJ0dm1rSIeIUsh3xIvyfbsBERHyeLGazNzBfLkwerClw7RcQVwDuSdig7lmZFxOzAeWRjWgFv0TOKNy+ZWEwme5DNKCvO3kTE2EbPlTSxnbFYZxQJcTOcIFtHOTkys6YVpVlnSNq27FgGQ3HBuSg5XWkWkp7obETWDhGxPtlvZWyXr4frVzGSN5ZZm8BOlDSpzNjMqhWFUJri0u3WSU6OzKxpEbExWZlr326fStWX4mL5aLIRYW8L2CXJFT6HoIgYV2f358lKa1OAO6hfVlqSjmpnbEOFbxxYuxRTN1ckR3OfLDseMydHZta04qJzU7KX0R30vqi6ay8yi6pbN5BlZq8GdiCniD1LlktejEwAp0naq6Qwu0pELENWeVua+hfLXfXz9nSe5rX7xkFROGIVeu81RYeq/1mbRcTXyOm4B0h6pdi3HFn0Y7XitHOAPXrrpWbWCU6OzKxpA7jo7NqLzIg4jxxBWF/Sg8W/6XBJRxYFJn5NliT/vKTHSwy1K0TEsWTfquqfZ9CTEHfdIvqI2KLZx0qaMpixNCsiPtXsY5sd1WnnjYNitOBI4AdkcY9eddPvkjWvWOu3hKS1qvadTzaXvgpYhCz68R1Jp5YTpZmbwJpZa4ZDj5LNgAtqSscGgKS3i+a2m5B3z1tpqDnkFSXbf0Q2UB1PFgSYAFxGjizsQ5Zl/l1JIdbVLQlOix6nTpnrBojm/6//WfF5w6obB+fXuXGwd5PP/VOyatlpwFNkEmbD12rAJZWNorDLdsDZknaLiDnJ9XJ7A06OrDROjsysacPkonNBZi4d+z4wf2VD0oyIuAbYrcNxdaP9yIv0bYvvC8Djks4Gzo6IP5OJ0znlhThsncasydHyZH+pV4G76CmLvA69l0UeiHbeONgbmAZ8TtJLLcRoQ8fC5KhjxWbkdeiZAJLeL0aX9ighNrOPODkys5HuebI3U8WzwEo158xNlkce6T4LTKopDf3R/yOSpkTExcBBwJCuAtdtJP1L9XZErE421DwaOEbSm1XH5iNHZb5bfDSrnTcOlgROdmI0okwnp85VjAZmkEl8xftkg2Gz0sxWdgBmZiV7gFwQXnE98KWiEh8RsSqwK/BQCbF1o1ervn6TmS92AP4bWL1z4YxYvwRukXRYdWIEIOlNSYcCtwG/aOE12nnj4DGy9LiNHA8BO0TEIhGxEDnaeHulOEPh0+QIqFlpnByZ2Uh3MbB5RCxVbP+CnDp0XUS8ANxLTlE6uqT4usnTwDJV248AG9acswaZNFl7bQrc0s85t5DT7prVzhsHJwPbR8TiLcRnQ8sJZIXLp4AngKXI34NqG5FFP8xK4+TIzEa68cAngZcAJN0NbAVcCrwIXAnsIOn80iLsHteTFy8Vk4F1I+KUiNguIo4hK/+59HL7zUb2hunLShRrhJo0aDcOIuJT1R/k785U4IaI2DMi1qg9p+pcGwYknQfsD9wPPAz8RNKEyvGiquQC5N9es9K4lLeZmTUkIkYBPyFL7U6LiPnJJqrrksUCgizYMNod7dsrIi4CtgG+JemsOsd3AyYBl0jaocnXmJNcRP+KpPeKfZuQ65mWJwsqnCjp4gaeawb1q+1FL/sr3HzZzDrKyZGZmTWtuIDeCViBvFi+sHYNjA2+iFgNuJEskHAPcB25RmhxsgrYWsDrwCaSHuhwbAsAC1X3V4qICTRXihw3XzazTnJyZGZmbVVMl9lC0pFlxzKcRMQawElkj6laU4H9Jd3f2aggIv4dGNdX89Ziutyrkqb3cc4sSZaZWbt5zZGZmbXbKODfyw5iuJF0n6RRZIWvHYFvFZ8/LWlUGYnRADwGHNDPOT+gtT5NZmYD5nm8ZmZmQ5ikJ4EnGzk3InYCdpK0d3uj6j8U+i8W0cg5ZmaDyiNHZmZmI8c6wNiyg2jQMuS6KTOzjvHIkZmZmbVdRIyr2TUqou7A0OzAssAY4KZ2x2VmVs3JkZmZmXXC4VVfi1yLNqqP8/9Jlo43M+sYJ0dmZmbWCaOLzwFcBUwAJtY570PgZeAhSTM6E5qZWXJyZGZmZm0naUrl64g4Arha0tQSQzIzm4WTIzMzM+soSUeUHYOZWT2uVmdmZmaDySW4zWzIcnJkZmYNiYjZGzxvyZpddwGnDX5E1o0kHS7J1xdmNiR5Wp2ZmTVqAvCtvk4oEqOrgNUq+yRNBia3NTJrWURsXnx5i6R3qrb75bVDZjZcODkyM7NG7RERz0k6qN7BiFgcuBpYobNh2QBc088xAasCD1dtN6KhUUUzs27n5MjMzBp1PPDDIkE6tvpARCxGXkyvCHyzhNhGpIiYC/gKsC6wIPAacCfwV0nv1p5fVIybUru/cCSZDL1Ys21mNmKE5L97ZmbWmIg4HRgD7CXptGJfJTFaBfiWpDPLi3DkiIgdgd8DizFzAQQBzwP7SbqwjNjMzIYqJ0dmZtawiJgDuJhs6PlV4BYyMfosMFbS6eVFN3JExFbApWTD1EnAtcBzwBLA5uTo3ezANpKuKitOM7OhxsmRmZkNSETMR64tWg14ipxKt5ekSaUGNoJExHXAWsAmku6rc3wt4HrgLklfaPI1Pk6OSj0p6f2q/d8AdgTeAX4r6Y5mnt/MrBs5OTIzswGLiEXIi+8VgW9LmlhySCNKRLwJnCFp3z7O+Q9gjIiEphwAAAYoSURBVKT5mnyNk8kRqCUkvVXs+y5wEj3T+N4G1pf0UDOvYWbWbVyQwczM6oqI/qZjfQhMB8ZGxNiq/ZK0VfsiM+At4IV+znm+OK9ZWwBXVhKjwiHA08DuwJJk/6ofA99u4XXMzLqGkyMzM+vNqCbP85SE9rsS2Bo4tI9ztgauaOE1liLXNQEQEasCywIHS7qu2Pd1co2Tmdmw4A7WZmZWl6TZmvxwz5v2OwhYPCJOi4hlqw9ExLIRMQlYtDivWXMB71Vtb0EmvpdX7XsU+GQLr2Fm1lU8cmRmZjb0TAJeAfYAxkTEE/RUq/sUWanuHuBPEdVVvgc05fEpsuhDxfbAy5Luqdq3CPBGU/8CM7Mu5OTIzMxs6BlV9fUcwPLFR7W16zxuIFMeLwH2j4hfkZXpvkyuMaq2MvDEAJ7TzKyruVqdmZkNSEQsQ/Y5WpqcelVLko7qbFQ22CJiSeAGYLli1zPAhpKeKo4vTo4unSTpwFKCNDMbZE6OzMysYRFxLHAAOW3ro930jEgEmRx53dEwEBHzAJVpeFMlTa86thrwReAyl/I2s+HCyZGZmTUkIvYFTiEroI0HzgMmAJeRFcv2Af4C/E7SlJLCHBEiYlyDp3oUz8xsAJwcmZlZQyLiVnIB/oqSZkTEDOBwSUcWx7cgE6fdJZ1bYqjDXvG974sY4CheRPyxeNyhkp4rthshSe5zZGbDgpMjMzNrSES8DkyS9L1iewbwc0njqs45H1hK0kYlhTkiFIloPQsC6wH/SvZC+m2jo3jFz1PAqpIebiABq/A0SjMbNlytzszMBuLVqq/fJEeSqv032XzU2qifhOeCiDgduIOc+tiozxSfn67ZNjMbMZwcmZlZo54GlqnafgTYsOacNcikyUok6e/FKN4hwNkNPmZaX9tmZiPBbGUHYGZmQ8b1QPV0ucnAuhFxSkRsFxHHANsCU0uJzmo9D6xSdhBmZkOJ1xyZmVlDImIU8BPgO5KmRcT8wBRgXXoKADwOjPaoQ7kiYi7gdmBhSUuXHY+Z2VDh5MjMzJoWEXMCOwErANOACyV5Wl2bRcSevRyaA/gkMAb4LHCCpB92LDAzsyHOyZGZmdkQU1VZbpZDxWcBZwLflvRuxwIzMxviXJDBzMwGLCJWI0cm5pM0qex4RqC9etk/A3gFuF3SMx2Mx8xsWPDIkZmZNSwiNgBOBdas7Kv0uImIzYFLgTGSLignQjMzs+a5Wp2ZmTUkIlYHriL73xwHXFJzyrXAi8AuHQ7NzMxsUDg5MjOzRh1RfF5f0kHArdUHlVMRbgQ26HRgZmZmg8HJkZmZNWoL4DxJ/+jjnCeApToUj5mZ2aBycmRmZo36ONlYtC/zALN3IBYzM7NB5+TIzMwa9SRVhRh6sR7wSAdiMTMzG3ROjszMrFEXAV+KiK3rHYyIXYGNgL90NCozM7NB4lLeZmbWkIhYDLgDWAKYCCwJfAX4AbAxsBu55mhdSa+VFaeZmVmznByZmVnDImJ5YBKZDNW6GdhN0uMdDcrMzGyQODkyM7MBi4h1yCl0CwPTgZsl3dr3o8zMzLrbHGUHYGZmQ9KTZGK0IPAa8Gi54ZiZmbXOI0dmZtawiFgOOB7YDoiqQyILNvybp9WZmdlQ5eTIzMwaEhErANcDiwN/L75+jizQsAmwMtkHaRNJHkkyM7Mhx8mRmZk1JCLOBXYGvgucqqr/QCIigP2A3wH/JWmXcqI0MzNrnpMjMzNrSES8Alwjaec+zpkMbC7pE52LzMzMbHC4CayZmTVqduD+fs65rzjPzMxsyHFyZGZmjboDWL2fc1YHbutALGZmZoPOyZGZmTXqp8C2EbFPvYMRsR+wDXBYR6MyMzMbJF5zZGZmDYmIccDGwJeAh5m5Wt2mZLW6y4Cbah4qSUd1MFQzM7OmODkyM7OGRMSMJh8qSV6HZGZmXW+OsgMwM7MhY3TZAZiZmbWTR47MzMzMzMxwQQYzMzMzMzPAyZGZmZmZmRng5MjMzMzMzAxwcmRmZmZmZgY4OTIzMzMzMwOcHJmZmZmZmQFOjszMzMzMzAAnR2ZmZmZmZoCTIzMzMzMzM8DJkZmZmZmZGeDkyMzMzMzMDID/AajcYYEfLWR3AAAAAElFTkSuQmCC", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } - ] - }, - { - "cell_type": "code", + ], "source": [ "#@title Correlation matrice for aCSF ephys\n", "from sklearn.decomposition import SparsePCA\n", @@ -1183,61 +1212,16 @@ "sns.heatmap(matrix,ax=ax,cmap='gist_rainbow',xticklabels=cols,yticklabels=cols,center=.0)\n", "plt.title('ephys correlation Excitatory')\n", "# df" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 - }, - "id": "JyZ4HCQQSEjR", - "outputId": "a4f9f113-ba35-476b-84b0-bed56701b97a" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "display_data", - "data": { - "text/plain": [ - "
" - ], - "image/png": "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\n" - }, - "metadata": { - "needs_background": "light" - } - }, - { - "output_type": "execute_result", - "data": { - "text/plain": [ - "Text(0.5, 1.0, 'ephys correlation Excitatory')" - ] - }, - "metadata": {}, - "execution_count": 75 - }, - { - "output_type": "display_data", - "data": { - "text/plain": [ - "
" - ], - "image/png": "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\n" - }, - "metadata": { - "needs_background": "light" - } - } ] }, { "cell_type": "markdown", - "source": [ - "#PCA" - ], "metadata": { "id": "_T9bsdwsT_w_" - } + }, + "source": [ + "#PCA" + ] }, { "cell_type": "code", @@ -1252,35 +1236,35 @@ }, "outputs": [ { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stdout", + "output_type": "stream", "text": [ "0.6248777657875265\n" ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "iVBORw0KGgoAAAANSUhEUgAABXYAAAHwCAYAAAACbjciAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdeXiU5b3/8fd3JpNJSCaEkLAmrCLIImERFZSltYq0R9Ciglql1lqqtj21x5ae02PPoe2vPW2Pbd1KbWstKgpUitRSrbag4MIii7IqQZawhiVksicz9++PjJywyjKZJ8vndV1zOXM/9/3MJ2Mukvnmnu9jzjlEREREREREREREpOnweR1ARERERERERERERM6OCrsiIiIiIiIiIiIiTYwKuyIiIiIiIiIiIiJNjAq7IiIiIiIiIiIiIk2MCrsiIiIiIiIiIiIiTYwKuyIiIiIiIiIiIiJNjAq7Ik2YmZWaWQ+vc4iIiIiIiIiISGKpsCuSQGa2zcwqYgXZj2+Pnuv5nHPpzrmtsXM/ZWY/PIssU8xs6bk+t4iIiIiIiIiIeCfJ6wAiLdC/OOde8zrE+TKzJOdcrdc5RERERERERERaIu3YFWkEzOzXZvZCvcf/Y2b/sDp+M/t3Mysws7CZvWtmebF5zswuMLO7gVuBb8d2Af8ldnxavXUbzOz62PhFwAzg8tj84th4azObaWZFZrbdzL5nZr7YsSlm9qaZ/cLMDgLTzeyQmQ2ol7udmZWbWU6CXjoRERERERERkRZJO3ZFGodvAWvMbApQAHwJyHfOOTO7H5gMjAM+AC4Gyusvds49YWbDgULn3PfqHSoArgT2AjcCz5jZBc65jWY2FbjLOXdFvfmPAK2BHkBb4O/AHuD3seOXAs8D7YEAkAncBnwndnwy8A/nXNF5vh4iIiIiIiIiInIa2rErknjzzay43u3Lzrly4AvAQ8AzwNecc4Wx+XcB33PObXZ11jrnDp7JEznn5jrndjvnos652cCHwLCTzTUzPzAJ+K5zLuyc2wb8byzXx3Y75x5xztU65yqAPwKTzcxix78APH02L4aIiIiIiIiIiJw97dgVSbwJJ+ux65xbZmZbgXbAnHqH8qjbeXvWzOx24H6gW2woHcg+xfRs6nbhbq83th3oXO/xzpNkLgdGm9ke4AJgwblkFRERERERERGRM6cduyKNhJndCwSB3cC36x3aCfQ8g1O4487XFfgtcB/Q1jmXCawD7GTzgQNADdC13lgXYNepniPmj9S1Y/gC8CfnXOUZZBURERERERERkfOgHbsijYCZXQj8EBhNXf/c5Wb2N+fcGuB3wA/MbAOwBRgA7DpJO4Z91PXG/VgadYXYothzfBHof9z8XDNLds5VO+ciZjYH+FFsp28Wdbt9f/4J8Z8B1gJhjm3bICIiIiIiIiIiDUQ7dkUS7y9mVlrv9mfqiqP/E+uf+yHw78DTZhakru/uHOouZFZC3YXMUk9y3t8DfWN9e+c75zZQ1yP3beqKuAOAN+vN/yewHthrZgdiY18DyoCtwFJgFvDk6b4Y59xOYBV1ReQlZ/laiIiIiIiIiIjIOTDnTvbJahGRM2dmT1J3YbXveZ1FRERERERERKQlUCsGETkvZtYNuAEY5G0SEREREREREZGWQ60YROScmdkPqLsg28+ccx95nUekJTKzsWa22cy2mNm0kxy/38w2mNl7ZvaP2IUVPz52h5l9GLvdkdjkIiIiIiIicj7UikFERKSJMjM/8AHwGaAQWAFMjvXY/njOGGCZc67czL4KjHbO3WxmWcBKYCh1PbLfBYY45w4n+usQERERERGRs6cduyIiIk3XMGCLc26rc64aeB4YX3+Cc26Rc6489vAdIDd2/xrgVefcoVgx91VgbIJyi4iIiIiIyHlSYVdERKTp6gzsrPe4MDZ2Kl8C/naOa0VERERERKQRaZYXT8vOznbdunXzOoaIiDRC77777gHnXI7XORLNzG6jru3CqLNcdzdwN0BaWtqQPn36NEA6ERFpDlrqz9h40HtYERE5nVP9jG2Whd1u3bqxcuVKr2OIiEgjZGbbvc4QR7uAvHqPc2NjxzCzq4D/AEY556rqrR193NrFx691zj0BPAEwdOhQp5+vIiJyKs3sZ2xC6T2siIiczql+xqoVg4iISNO1AuhlZt3NLBmYBCyoP8HMBgG/Aa5zzu2vd+gV4Goza2NmbYCrY2MiIiIiIiLSBDTLHbsiIiItgXOu1szuo64g6weedM6tN7PpwErn3ALgZ0A6MNfMAHY4565zzh0ysx9QVxwGmO6cO+TBlyEiIiIiIiLnQIVdERGRJsw5txBYeNzYg/XuX3WatU8CTzZcOhEREREREWkonrViMLM8M1tkZhvMbL2ZfeMkc8zMHjazLWb2npkN9iKriIiIiIiIiIiISGPi5Y7dWuBbzrlVZhYC3jWzV51zG+rNuRboFbtdCvw69l8RERERERERERGRFsuzHbvOuT3OuVWx+2FgI9D5uGnjgZmuzjtAppl1THBUERERERERERERkUbFs8JufWbWDRgELDvuUGdgZ73HhZxY/BURERERERERERFpUTwv7JpZOvAC8K/OuZLzOM/dZrbSzFYWFRXFL6CIiIiIiIiIiIhII+NpYdfMAtQVdZ91zs07yZRdQF69x7mxsRM4555wzg11zg3NycmJf1gRERERERERERGRRsKzwq6ZGfB7YKNz7qFTTFsA3G51LgOOOOf2JCykiIiIiIiIiIiISCPk5Y7dEcAXgE+Z2ZrYbZyZTTWzqbE5C4GtwBbgt8A9HmUVERERaTKGDx9+2uPjxo2juLg4QWlERERERKQhJHn1xM65pYB9whwH3JuYRCIiIiLNw1tvvXXa4wsXLkxQEhERERERaSieXzxNREREROIrPT0dgD179jBy5Ejy8/Pp378/S5YsAaBbt24cOHDAy4giIiIiInKePNuxK82Xcw4iu8BVgL8t5svyOpKIiEiLNGvWLK655hr+4z/+g0gkQnl5udeRREREREQkTlTYlbhykb248ucgsg/MBy6KS87HUq/HLOh1PBERkRblkksu4c4776SmpoYJEyaQn5/vdSQREREREYkTtWKQuHHRMlzZbyFaAr6O4OtQd6tejat4wet4IiIiLc7IkSN544036Ny5M1OmTGHmzJleRxIRkQYwf/UuRvzkn3Sf9ldG/OSfzF+9y+tIIiKSACrsSty4mvchWga+NmCx6+KZr67IW70WFzl4+vXOcaC8nP1lpUSi0QQkFhERaX5qD1Uevb99+3bat2/Pl7/8Ze666y5WrVrlYTIREWkI81fv4rvz3mdXcQUO2FVcwXfnva/irohIC6BWDBI/tdvAUk4cNx/gg2gR+NuecNg5x4xnnqasZ3f2lZVhQEYwhc/1upCP3lnGhAkTsI8LxSIiInJKFesPcvDpDeDqHi9evJif/exnBAIB0tPTtWNXRKQZ+tkrm6moiRwzVlET4WevbGbCoM4epRIRkUTQjl2JH19roObUxy31pMO/f24W99x+B3966Jd0TEunUygDnOPOe+7hhhtuYP78+Q2TV0REpJkJXpCJr1US2x5fBsAdd9zBunXrWL16NUuWLKF79+4AbNu2jezsbC+jiohInOwurjircRERaT5U2JW4seR8cLXgjivuRo/UtWfw5550XUq/vgy9YQLLXpjHi796GOccrz7+a1bPf5Erb7qR8ePHJyC9iIhI0+cL+kkf0ZnKjYeo2VvmdRwREUmATpkn30BzqnEREWk+VNiVuDF/R0j5LET3Q3QfRA9DZDdQi7WajJn/pOs2HzrIjd/8JiNvupE35szl/uFX8MacuVx5040M+9IXqaitTewXIiIi0oSlX94RS/ZTsnin11FERCQBHrimN6mBY99rpQb8PHBNb48SiYhIoqiwK3HlSxmJhb4OyZdDUg9IGYeF/g1LyjvlmpSkJCLOMeFfv3HM+HVf/xp+n5Hk07epiIjImfK1CpB2WUcq1hZRe1AfwxURae4mDOrMj28YQOfMVAzonJnKj28YoP66IiItgC6eJnFn/s5Y6pn/EnF55zz++uFmVj751DHjz//vQ3zzvx4kmKRvUxERkbMRuqIzpW/tIvx6IW1u6OV1HBERaWATBnVWIVdEpAXSVkjx3GW5eax88inemDOXyz5/A9MX/5PB14/n3T/PZ8kTv8M553VEERGRJsWfkUza0A6UvbuPyJEqr+OIiIiIiEgDUGFXPPfKX//KG3PmctOddzLpgW+RnpzMT3/2c75633385rHHmT9/vtcRRUREmpzQyFxwjvCSXV5HERERERGRBqDPuIvnJkyYwLx585gwYQJmdnT8Uw8/zGc+9SkmTJjgYToREZGmKSkrhVYD21G2fA+hMXn40wJeRxIRERERkTjSjl3xnJlx/fXXH1PUPd24iIiInJnQ6FxcdZTSt3Z7HUVEREREROJMhV0RERGRZirQPo2Uvm0pfWs30apar+OIiIiIiEgcqbArIiIi0oxljMnDVdRStmyv11FERJoMMxtrZpvNbIuZTTvJ8alm9r6ZrTGzpWbWNzbezcwqYuNrzGxG4tOLiEhLocKuiIiISDOWnBcieEEm4SWFuJqo13FERBo9M/MDjwHXAn2ByR8XbuuZ5Zwb4JzLB34KPFTvWIFzLj92m5qY1CIi0hKpsCsiIiLSzIXG5BEN11D27j6vo4iINAXDgC3Oua3OuWrgeWB8/QnOuZJ6D9MAl8B8IiIigAq7IiIiIs1esEdrkruECL9RiIuo9iAi8gk6AzvrPS6MjR3DzO41swLqdux+vd6h7ma22sxeN7MrGzaqiIi0ZCrsioiIiDRzZkZodB6RQ5VUvFfkdRwRkWbBOfeYc64n8B3ge7HhPUAX59wg4H5glpllnGy9md1tZivNbGVRkf5tFhGRs6fCroiIiEgLkNIni6T2rShZvBMX1a5dEZHT2AXk1XucGxs7leeBCQDOuSrn3MHY/XeBAuDCky1yzj3hnBvqnBuak5MTl+AiItKyqLArIiIinnn44Ye56KKLaNOmDT/5yU9OOW/NmjUsXLgwrs89btw4iouL2bZtG/3794/ruRsj8xkZY/Ko3VdO5cZDXscREWnMVgC9zKy7mSUDk4AF9SeYWa96Dz8LfBgbz4ldfA0z6wH0ArYmJLWIiLQ4SV4HEBERkZbr8ccf57XXXiM3N/e089asWcPKlSsZN25c3J7740JxcXFx3M7Z2KUOyMH/9+2ULN5JSt8szMzrSCIijY5zrtbM7gNeAfzAk8659WY2HVjpnFsA3GdmVwE1wGHgjtjykcB0M6sBosBU55z+miYiIg1CO3Y9VF5Rzf6DYcorqr2OIiIiknBTp05l69atXHvttfziF7/gvvvuA2Du3Ln079+fgQMHMnLkSKqrq3nwwQeZPXs2+fn5zJ49+6Tne/3118nPzyc/P59BgwYRDodZvHgxI0eO5LOf/Sy9e/dm6tSpRKNRALp168aBAweOOcfWrVsZNGgQK1asoKCggLFjxzJkyBCuvPJKNm3a1LAvSAKY3wiNyqVmZ5iqgpZT0BYROVvOuYXOuQudcz2dcz+KjT0YK+rinPuGc66fcy7fOTfGObc+Nv5CvfHBzrm/ePl1iIhI86Ydux6oqKzmtaWbWLd599GxgX1z+fTw3gSDAQ+TiYiIJM6MGTN4+eWXWbRoES+99NLR8enTp/PKK6/QuXNniouLSU5OZvr06axcuZJHH330lOf7+c9/zmOPPcaIESMoLS0lJSUFgOXLl7Nhwwa6du3K2LFjmTdvHhMnTjxh/ebNm5k0aRJPPfUUAwcO5NOf/jQzZsygV69eLFu2jHvuuYd//vOf8X8hEixtSHtKXttBeNFOUi5o43UcERERERE5R9qxm2DRqOOFv63m/U27aNsmjXbZIdq2SWP1up3Me2UNzuliJiIi0rKNGDGCKVOm8Nvf/pZIJHJW6+6//34efvhhiouLSUqq+/v1sGHD6NGjB36/n8mTJ7N06dIT1hYVFTF+/HieffZZBg4cSGlpKW+99RY33ngj+fn5fOUrX2HPnj1x+xq9ZEk+QiM7U1VwhKodJV7HERERERGRc6TCboIV7j3M9sKDtMsO4ffXvfx+v4/2OSG2bj/A7n1HPE4oIiKSAKf5Q+aMGTP44Q9/yM6dOxkyZAgHDx48o1NOmzaN3/3ud1RUVDBixIijrROO7yN7sr6yrVu3pkuXLkeLvtFolMzMTNasWXP0tnHjxjP96hq9tGEd8bVKIry40OsoIiIiIiJyjlTYTbDd+46A2UnfZDpgX5F2zoiISDMXjcLL0+DNh096uKCggEsvvZTp06eTk5PDzp07CYVChMPh0562oKCAAQMG8J3vfIdLLrnkaGF3+fLlfPTRR0SjUWbPns0VV1xxwtrk5GT+/Oc/M3PmTGbNmkVGRgbdu3dn7ty5ADjnWLt27Xl+4Y2HL+gnfXgnKjccpGZvmddxRERERETkHKiwm2DB5CQ4xSYlwxEI+BMbSEREJOEclO6HV/8TqktPOPrAAw8wYMAA+vfvz/Dhwxk4cCBjxoxhw4YNp7142i9/+Uv69+/PxRdfTCAQ4NprrwXgkksu4b777uOiiy6ie/fuXH/99Sddn5aWxksvvcQvfvELFixYwLPPPsvvf/97Bg4cSL9+/XjxxRfj9xI0AunDO2HJPsKLd3odRUREREREzoEunpZgPbtk4/MZNbURAkn/V8StqYng8/nonpftYToREZEE8Pnh+t9AdSnb7n4V9ixmypQpTJkyBYB58+adsCQrK4sVK1ac9rSPPPLIScczMjKOuTjbx7Zt2wZAdnY269atAyAzM/OY53n55ZfP5CtqknytAqRd1pHSJbvI+ExXktqmeh1JRERERETOgnbsJlhGKJWrrriIA4dKOXi4jIrKag4eLuPA4VLGjupLelrQ64giIiINLykZbpoJXUfAvLth89+8TtQiha7IBZ8RfkO9dkVEREREmhrt2PXAJQO70iEng5Xvb+PAoTLyOmYx9OKudO6Q6XU0ERGRxAmkwuTnYOZ4mHMH3DoXeoz6xGV/+MMf+NWvfnXM2IgRI3jsscdOmDt69GhGjx4dr8TNjj8jmbSh7SlbuY+MT3fFn5HsdSQRERERETlDKux6JK9TG/I6tfE6hoiIiLdSMuC2F+AP4+C5yXDHAsgdetolX/ziF/niF7+YoIDNX2hkLmXL9xJeWkjmuB5exxERERERkTOkVgwiIiLirVZZcPt8SM+BZz4Pe9d5nahFSWqbSquBOZS9s4doeY3XcURERERE5AypsCsiIiLeC3WA21+EQCt4+no4WOB1ohYlNDoPVx2l9K3dXkcREREREZEzpMKuiIiINA5tutUVd12kru/uEV3QK1ECHdJI6duW8Ju7iVbVeh1HRERERETOgAq7IiIi0njkXAi3zYPKI3XF3dIirxO1GKHRubiKWsqW7fU6ioiIiIiInAEVdkVERKRx6ZQPt8yBI7vq2jJUHPY6UYsQ7JJB8IJMwkt24WqjXscREREREZFPoMKuiIiIND5dL4dJz0DRJnj2Jqgq9TpRixAanUc0XE3Zu/u8jiIiIiIiIp9AhV0RERFpnC64Cib+HnathNm3Qk2l14mavWDP1gTyQoRfL8RFnNdxRERERETkNFTYFRERkcar73gY/xhsXQwvfAkiurBXQzIzMkbnETlUScX76m8sIiIiItKYqbArIiIijVv+LXDtT2HTS/DivRBV/9eGlHJRFkntW1GyaCcuql27IiIiIiKNlQq7IiIi0vhd+hUY8z1473n42wPgVHBsKOar27Vbu6+cyk2HvI4jIiIiIiKnoMKuiIiINA0j/w2Gfw1W/A7+Md3rNM1a6sU5+LNSCC/aiVMRXURERESkUVJhV0RERJoGM/jMD2DIFFj6ECz9hdeJmi3zG6FRuVTvDFO19YjXcURERERE5CQ8Leya2ZNmtt/M1p3i+GgzO2Jma2K3BxOdUUREpDEzs7FmttnMtpjZtJMcH2lmq8ys1swmHncsUu9n7ILEpT4PZvDZh2DAjfDaf9Xt3pUGkTa4Pb5QgPCinV5HERERERGRk0jy+PmfAh4FZp5mzhLn3OcSE0dERKTpMDM/8BjwGaAQWGFmC5xzG+pN2wFMAf7tJKeocM7lN3jQePP5YcKvoaoU/vpvkByCgTd7narZsYCP0JW5HFn4EdU7wyTnhbyOJCIiIiIi9Xi6Y9c59wagq3KIiIicm2HAFufcVudcNfA8ML7+BOfcNufce0DUi4ANxh+AG5+CblfA/K/Cpr96nahZSru0A5aaRIl27YqIiIiINDpNocfu5Wa21sz+Zmb9vA4jIiLSiHQG6lfcCmNjZyrFzFaa2TtmNuFkE8zs7ticlUVFReeTNf4CKTD5Oeg0COZOgYJFXidqdnzBJNKHd6Jyw0Fq9pV5HUdEREREROpp7IXdVUBX59xA4BFg/qkmNuo3niIiIo1TV+fcUOAW4Jdm1vP4Cc65J5xzQ51zQ3NychKf8JMEQ3DrXGjbC56/BXYu9zpRs5M+vBOW7CO8uNDrKCIiIiIiUk+jLuw650qcc6Wx+wuBgJlln2Ju437jKSIiEn+7gLx6j3NjY2fEObcr9t+twGJgUDzDJUyrLPjCnyHUAZ6dCHvf9zpRs+JPC5B2aUfK1+6n9lCl13FERERERCSmURd2zayDmVns/jDq8h70NpWIiEijsQLoZWbdzSwZmAQsOJOFZtbGzIKx+9nACGDD6Vc1YqH2cPuLkJwOT18PB7Z4nahZCV3ZGcwIv6FduyIiIiIijYWnhV0zew54G+htZoVm9iUzm2pmU2NTJgLrzGwt8DAwyTnnvMorIiLSmDjnaoH7gFeAjcAc59x6M5tuZtcBmNklZlYI3Aj8xszWx5ZfBKyM/YxdBPzEOdd0C7sAmV3qirvOwczxUKwLfsWLPyNI2pD2lK3cS6Sk2us4IiIiIiICJHn55M65yZ9w/FHg0QTFERERaXJirYoWHjf2YL37K6hr0XD8ureAAQ0eMNGye9W1ZXjqc3XF3TtfhvR2XqdqFkKjcilbsZfw0l1kjuvudRwRERERkRavUbdiEBERETlrHS+uu6BaeE9dW4aKw14nahaS2qaSOjCHsnf2EC2v8TqOiIiIiEiLp8JuM+Kco7KqhtraiNdRREREvNXlUpg0Cw58AM/eCFWlXidqFjJG5+GqI5S+tdvrKCIiIiIiLZ6nrRgkfjYX7OP1ZR9QdLAUn88Y0KcTIy+9kIz0FK+jiYiIeKPnGJj4B5hzOzw/GW6ZCwH9XDwfgQ5ppFyURelbu0m/Mhdf0O91JBERERGRFks7dpuBdZt3M+ev71JRWUP7nBBt26SxbtNunpm3jPIKXeBERERasIs+BxMeh4/egD99ESJqIXC+QmPyiJbXUrZ8r9dRRERERERaNBV2m7jaSJTXlm4kq3Ur0tOCmBl+v4+c7BCHj5SxfrM+KikiIi3cwEkw7ueweSHM/ypEo14natKCXTII9mhNeEkhrlavpYiIiIiIV1TYbeIOHi6lorKGlJTACcfS01LYsGWPB6lEREQamWFfhk8/CO/PhYXfAue8TtSkhcbkES2ppmzVPq+jiIiIiIi0WOqx28T5zHCneHPqnMPvU+1eREQEgCu/BZUl8OYvIRiCq/4bzLxO1SQFL8gkkJtO+PVC0oZ0wPx6HUVEREREEk1VvyaubZt0WmekUlpedcKx0rIqBvTp7EEqERGRRuqq/4KhX4I3fwVL/tfrNE2WmZExJo/IwUoq3i/yOo6IiIiISIukwm4T5/MZ147uT1lZFYeKy6iNRKmsqmH3viPkdmxDnws6eB1RRESk8TCr67c74Cb45w9g2RNeJ2qyUi5qS1K7VpQs2omLqrWFiIiIiEiiqbDbDPToks2UGy+ne142R0oqiEQcnxp+IZOvG0owWd02REREjuHzwYTHofdn4W8PwJrnvE7UJJnPCI3Jo3ZfOZWbDnkdR0RERESkxVHVr5no1D6TieMGex1DRESkafAHYOKTMOsmePEeCKbDRf/idaomp9XFOZT8fRvhxTtJuSgLU89iEREREZGE0Y5dERERaZkCKTBpFnQeCn+6Ewr+6XWiJsf8RmhULtU7wlRtPeJ1HBERERGRFkWFXREREWm5gulw6xzI7g3P3wo73vE6UZOTNqQDvvQA4cU7vY4iIiIiItKiqLArIiIiLVtqG/jCPMjoBM/eCHvWep2oSbGAj9CVuVR9WEz1zrDXcUSahOHDh3sdQURERJoBFXZFRERE0tvBF+ZDMAOevh6KPvA6UZOSdlkHLCWJEu3aFTkjb731ltcRREREpBlQYVdEREQEIDMPbn8RzAczx8Ph7V4najJ8wSTSR3Sicv1BavaVeR1HpNFLT08HYPHixYwaNYrx48fTo0cPpk2bxrPPPsuwYcMYMGAABQUFAEyZMoWpU6cydOhQLrzwQl566SUv47cYZjbWzDab2RYzm3aS41PN7H0zW2NmS82sb71j342t22xm1yQ2uYiItBQq7MZBxEXYXraDRftfZ9H+19letp3aaK3XsURERORsZV9Qt3O3pqyuuBve63WiJiN9eCcs4CP8eqHXUUSalLVr1zJjxgw2btzI008/zQcffMDy5cu56667eOSRR47O27ZtG8uXL+evf/0rU6dOpbKy0sPUzZ+Z+YHHgGuBvsDk+oXbmFnOuQHOuXzgp8BDsbV9gUlAP2As8HjsfCIiInGlwu55qo7W8PLev/PK3r+zvWwH28t28Mre13h57ytUR6u9jiciIiJnq0N/uPUFKN1f15ah/JDXiZoEf1qAtEs7Ur5mP7WHVHASOVOXXHIJHTt2JBgM0rNnT66++moABgwYwLZt247Ou+mmm/D5fPTq1YsePXqwadMmjxK3GMOALc65rc65auB5YHz9Cc65knoP0wAXuz8eeN45V+Wc+wjYEjufiIhIXKmwe57WHVnPrvJCspOzaR3IoHUgg+zktuyu2MP7xeu8jiciIiLnIu8SmDwLDm6BZydClS4KdiZCV3YGM8JvaNeuyJkKBoNH7/t8vqOPfT4ftbX/9ylAM2hcXP8AACAASURBVDtm3fGPJe46A/UbhxfGxo5hZveaWQF1O3a/fjZrRUREzpcKu+fBOcf6I+tpHcg85hcrMyMzkMm6kvU4505zBhEREWm0eoyGG/8Iu9fAc5OhpsLrRI2ev3WQtCHtKVu5l0hYn1wSOd6Og+XnvHbu3LlEo1EKCgrYunUrvXv3jmMyOVfOuceccz2B7wDfO5u1Zna3ma00s5VFRUUNE1BERJo1FXbPU2W0koAFThhPsiSqI9VEiXqQSkREROKizzi4/jewbSnMnQKRGq8TNXqhkbkQcYSX7vI6ikijsm7XEa76xes8/c65XZixS5cuDBs2jGuvvZYZM2aQkpIS54RynF1AXr3HubGxU3kemHA2a51zTzjnhjrnhubk5JxnXBERaYmSvA7QlJkZOcFsSmvKSEtKO+ZYRaSCrOQs/OqRLyIi0rRdfCNUh+Glb8KfvwI3/BZ8+vl+KknZqaRenEPZ23vIGJWLr9WJfwAXaWlKq2q5b9Yqslol89kBHSktLQVg9OjRjB49+ui8xYsXH71//LGrrrqKGTNmJCixACuAXmbWnbqi7CTglvoTzKyXc+7D2MPPAh/fXwDMMrOHgE5AL2B5QlKLiEiLoh2752lw5iDKImXURP9vB09NtIbSSBmD2wzyMJmIiIjEzdA74ar/hnUv1BV41WrptEKj83DVEUrf3uN1FBHPOef493nvs+NQOQ9PHkRWWrLXkeQMOOdqgfuAV4CNwBzn3Hozm25m18Wm3Wdm681sDXA/cEds7XpgDrABeBm41zkXSfgXISIizZ527J6nLmldGJUzkncOLjt6cQO/+bkiezjd0rp6nE5ERETi5op/haoSWPK/EAzB1T8EXbzopJI7ppHSJ4vSN3eRfmVnfMna4Swt1+wVO1mwdjf/dvWFDOuedU7neOqpp+IbSs6Ic24hsPC4sQfr3f/Gadb+CPhRw6UTERFRYTcu+mT0pkd6Dw5U1TW8zw7mkOzTxw5FRESanU/9J1SF4e1HIaU1jPq214kardCYPIp+vZay5XsJXaGLwUvLtGlvCd9fsJ4re2Vzz+gLvI4jIiIizYwKu3GS7AvQKbWT1zFERESkIZnB2P+pK+4u+lHdzt3Lvup1qkYp2DWDYI/WlL5RSPplHbEkdQCTlqW8upZ7n11FRmqAh27Kx+fTDn8RERGJLxV25bw459i64wDvrNpK0aFSsjLTuDS/Gxf2aI/p46kiItIc+Xxw3aN1xd2Xp9UVdwfd5nWqRik0Jo8Dv19H+ar9pA3r4HUckYT6z/nr2XqgjGe/dCk5oaDXcURERKQZ0tYJOS/vrP6IWS8uZ9/BMCnBAAcPlzL7pVW8vuzDT14sIiLSVPmTYOKT0GMMLPgarJ/vdaJGKXhBJoHcdEpe34mL6IJz0nL86d1CXlhVyNc+1YvhF2R7HUdERESaKRV25ZyVhCtY9NYHtGsbonUolUDAT0YolQ45Id5cWcCh4jKvI4qIiDScpCBMehZyL4EX7oIPX/M6UaNjZmSMziNysJKKdUVexxFJiC37S/nP+eu4rEcW3/h0L6/jiIiISDOmwm4DKCuvYtnqj3h63jJm/2Ulm7bspTYS9TpW3G3fdYiocyQlHXula7+/7tuqYLvewLUUzjlcZC+udgcuWu51HBGRxElOg1vmQLs+MPs22P6W14kanZS+bUlql0p4USHOadeuNG+VNRHum7WKVsl+fjVpEH711RUREZEGpB67cXb4SBlPv7CMcFkV6WlBIpEoH2zdR++eHbhhbP4JRdCmLHKaYrUBkajevLUErrYQVzEHIrFCvvlwwdFY8FOYNZ/vdxGRU0rNhNv+DH+4FmbdDHf8BTrle52q0TCfERqVx+G5H1C5+TCpfbK8jiTSYP77LxvYtDfMH+8cRvuMFK/jiIiISDOnHbtx9vc3NlJZXUuHdhmkpwVpnZFKx/at2bx1H+s/3ON1vLjq1CETgxN23zjncM6R17GNN8EkYVz0EK7sCYiWgq8D+DuCZUHlK7iqRV7HExFJnPQcuP1FSMmEZ26Aos1eJ2pUWuXn4M8MEv7nDu3alWZrwdrdPLd8B18d3ZNRF+Z4HUdERERaABV246isvIqC7UW0ad3qmHEzIyM9hVXv7/AoWcPIyUqnf+9O7NlfQk1NBICa2gh7i0ro1b09ndq39jihNDRXvQJcDfgywWIfNbQA+DpC1WKcq/Q2oIhIIrXuDLfPB18SzBwPh7d5najRML+P0KhcqneEqf7oiNdxROLuowNlfPeF9xjStQ33f+ZCr+OIiIhIC6HCbhxV19RiZvhO0ksrKclHeWW1B6kajpnx2U/1Z9SlvSgtr2L/gTDhcCXDh/RgwjUDMVNPsWavdgtY6MRxCwARiB5MeCQREU+17Qlf+DPUVNQVd0ua16d1zkfa0Pb40gOULNrpdRSRuPq4r24gyccjkwcR8OstloiIiCSGeuzGUSg9ldSUAJVVNaQEA8ccKy2tYmDfXI+SNZykJD8jL+3F8CE9KK+sITUYIBBQX9UWw9KAk1wkzzlwUSCY6EQiIt5r3w9umwczr4OnJ8CUhZDW1utUnrOAn9CVnTnyt21UF4ZJzj3JHwZFmqAfL9zI+t0l/O72oXTKTPU6joiIiLQg+nNyHCX5fYwcdgEHD5dRXVML1PWbDZdWgsHQgV09TthwkpL8ZKSnqKjbwljyMHBlsSJuPe4Q+LuAT4UMEWmhcofA5Ofh0Ed1PXcrS7xO1CikXdoRS0kirF270kz87f09/PHt7dx1RXeu6tve6zgiIiLSwqiwG2eD+nfh2lH9KCuvZv+BMPsPhEkJBrhl/DCy26R7HU8kvpL6QPKlEN1V13YhWgKR3YAfa/V5teMQkZat+5Vw00zYtw6emwTV5V4n8pwvJYn04R2p2HCQmv16PaRp23monG+/8B4D8zL59tg+XscRERGRFkitGOLMzLgkvxsD++Vy8HAZSX4fbdukn7TvrkhTZ+aD1BsgMABX/W7d7t2kK7HkwZhPH7EVEaH3WLj+N/DCXTDndpg0C5KSvU7lqfQRnSldsovw4p1k3dTb6zgi56S6Nsp9s1YB8OjkQSQnab+MiIiIJJ4Kuw0kOZBEx3atvY4h0uDMfBDojQX05lxE5KQGTITqUvjLN2Del2Hik+Brua2L/GkB0oZ1oPTt3WRc1ZWkrBSvI4mctZ++vIm1hUeYcdtg8rJaeR1HREREWij9aVlERESkoQ2ZAlf/EDbMryvwOud1Ik+lj8wFM8JLChv0eYqLi3n88ccBWLx4MZ/73Oca9PmkZXhtwz5+t/Qj7ri8K2P7d/Q6joiIiLRgKuyKiIiIJMLwr8HIb8Pqp+GV/2jRxd2k1kHSBrenbMVeIuHqBnue+oXdMxWJRBoojTQHu4or+NbctfTrlMF3x13kdRwRERFp4VTYPY1o1LFj9yH+sXQTry7ZyNYdB4hEol7HEhERkaZqzL/DpVPhncfg9f/xOo2n0kflQsRRunRXgz3HtGnTKCgoID8/nwceeIDS0lImTpxInz59uPXWW3Gx4nq3bt34zne+w+DBg5k7d26D5ZGmrSYS5evPrSYSdTx2y2BSAi23pYqIiIg0Duqxewq1kSh/ee091m/eTVKSDzNj2Zpt9OySzQ3XDiKYrJdOREREzpIZXPNjqArD4h9DMASX3+t1Kk8EslNJHZBN6Tt7CI3Ow5ca/9+tfvKTn7Bu3TrWrFnD4sWLGT9+POvXr6dTp06MGDGCN998kyuuuAKAtm3bsmrVqrhnkObjoVc/4N3th3l48iC6Zad5HUdEREREO3ZPZc2GnazbtIsO7TLIaRsiOyudDjkhtmwvYtmqrV7HExERkabK54N/eRguug5e+XdYNdPrRJ4Jjc7DVUUofXt3Qp5v2LBh5Obm4vP5yM/PZ9u2bUeP3XzzzQnJIE3T4s37+fXiAiYP68J1Azt5HUdEREQEUGH3lJat/og2ma0ws6NjZkZ2VhrL39uulgwiIiJy7vxJ8PnfQc9Pw4Kvw7p5XifyRHKndFL6ZFH65i6i1Q3f2zYYDB697/f7qa2tPfo4LU07MOXk9pVUcv+ctfTpEOL7/9LX6zgiIiIiR6mwewrhcCXB5MAJ48mBJKqqa6lVYVdERETOR1IQbn4GulwG874MH/zd60SeCI3JI1pWS9nyvXE976HdZfgJEg6H43peaVkiUcfXn1tNRXWER9VXV0RERBoZTwu7Zvakme03s3WnOG5m9rCZbTGz98xscKKydWjXmtLyqhPGyyuqaR1KJVm/1ImIiMj5Sm4Ft8yG9v1gzhdg21KvEyVcsGsGyd1bU/pGIa42Pn84Dx+q5Lnpyyj6oJoRI0bQv39/HnjggbicW1qWX/3jQ5Z9dIgfTujPBe3SvY4jIiIicgyvd+w+BYw9zfFrgV6x293ArxOQCYDhQ3oQLq2kpub/PhZYG4ly+Eg5I4b2PKZFg4iIiMg5S2kNt82DzC4waxLsankX8MoYk0ekpJry1fvjcr5QVgo5XUIUrCpi1qxZrFu3jhUrVvDSSy8dnfPoo48yZcoUALZt20Z2dnZcnluaj7e2HOCRf37IxCG5fH5IrtdxRERERE7gaWHXOfcGcOg0U8YDM12dd4BMM+uYiGy9urfj2lH9KC6pYG9RCXuLSjh4uIzRl11Ifl/9YiciIiJxlJYNt78IrbLgmRtg/0avEyVUsFcmgc7phF8vxEVdXM7Zc3AO+7eVED5UGZfzSctSFK7iG7PX0CM7jenj+3kdR0REROSkvN6x+0k6AzvrPS6MjTU4M+OS/G58/YtjuHHcYCaOG8zXp4xh5KW9tFtXRERE4i+jU11x1x+EmRPg0EdeJ0oYMyM0Oo/aAxVUrDsQl3P2HNQOgK2ri+JyPmk5olHHN2evoaSihsduHUyr5CSvI4mIiIicVGMv7J4xM7vbzFaa2cqiovj9At8qNZkLe7Snd4/2pKcFP3mBiIiIyLnK6g63z4dINcy8Dkp2e50oYVL7tSUpJ5Xwop04d/67djPbt6Jt5zQK4tTeQVqOxxdvYemWA/z3df3o0yHD6zgiIiIip9TYC7u7gLx6j3NjYydwzj3hnBvqnBuak5OTkHAiIiIicdfuIrjtBSg/XLdztyw+O1gbO/PV7dqt2VNG5ebDcTlnz8Ht2FNwhLIjJ14QV+Rkln90iIde/YDrBnbi5kvyPnmBiIiIiIcae2F3AXC71bkMOOKc2+N1KBEREZEG1Xkw3DIbirfD09dD5RGvEyVEq/wc/JnBuO3a7TEoB5zaMciZOVRWzdefW02XrFb8vxsGqP2aiIiINHqeFnbN7DngbaC3mRWa2ZfMbKqZTY1NWQhsBbYAvwXu8SiqiIiISGJ1GwE3PQ37N8Csm6G63OtEDc78PkKjcqneXkL1RyXnfb6sjmm06dCKAhV25RNEo47756zhUFk1j94ymPSg+uqKiIhI4+dpYdc5N9k519E5F3DO5Trnfu+cm+GcmxE77pxz9zrnejrnBjjnVnqZV0REpLExs7FmttnMtpjZtJMcH2lmq8ys1swmHnfsDjP7MHa7I3Gp5YxdeDXc8FvYuQxm3wa1zb+lQNrQ9vjSA5Qs3vnJkz+BmdFjUA67PyymIlwdh3TSXP12yVYWby7iPz93Ef07t/Y6joiIiMgZaeytGEREROQUzMwPPAZcC/QFJptZ3+Om7QCmALOOW5sFfB+4FBgGfN/M2jR0ZjkH/W+Af/kVFPwDXrgLIrVeJ2pQFvCTfkVnqj44TPWu0vM+X8/B7XBRx0drW0avYjl7724/zM9e2cy4AR247bKuXscREREROWMq7IqIiDRdw4Atzrmtzrlq4HlgfP0Jzrltzrn3gOhxa68BXnXOHXLOHQZeBcYmIrScg8G3wzX/DzYugL98HaLH/+9sXtIv64il+AnHYddudm46GdkpFKzeH4dk0twUl9f11e2YmcKPb7hYfXVFRESkSVFhV0REpOnqDNSvfBXGxuK21szuNrOVZrayqEh9Sj11+b0wahqseRZe+S7E4eJijZUvJYn0yztRse4ANfvPr7ewmdFzUDsKNx6msqwmTgmlOXDO8cCf3mN/uJJHJw+mdWrA60giIiIiZ0WFXRERETkl59wTzrmhzrmhOTk5XseR0dPgsnth2QxY9P+8TtOg0kd0wpJ8hF8vPO9z9RzcjmjUse19tWOQ//OHN7fx6oZ9TLv2IgbmZXodR0REROSsqbArIiLSdO0C8uo9zo2NNfRa8YoZXPMjGPQFeOOn8NYjXidqMP70ZNKGdaB89X5qD1ee17nadQuR3iZIwSrtOpc67xUW8+O/beSqi9pz54huXscREREROScq7IqIiDRdK4BeZtbdzJKBScCCM1z7CnC1mbWJXTTt6tiYNHZmdRdT63c9/P178O5TXidqMOlX5oJB+I3z27X7cTuGnRsOUV3ZvC8+J5+spLKG+2atJic9yM9vVF9dERERabpU2BUREWminHO1wH3UFWQ3AnOcc+vNbLqZXQdgZpeYWSFwI/AbM1sfW3sI+AF1xeEVwPTYmDQFPj9c/wRc8Bn4y7/C+3/yOlGDSMoM0mpQO8pW7CMSrj6vc/UYnEOkNsr29w/GKZ00Rc45pr3wHruKK3jklkFktkr2OpKIiIjIOVNh9wxFo45IpHlfgVpERJoe59xC59yFzrmezrkfxcYedM4tiN1f4ZzLdc6lOefaOuf61Vv7pHPugtjtD159DXKOkpLhppnQdTj8+Suw+WWvEzWI0KhciEQpfXP3eZ2nY4/WtGqdTMGq/XFKJk3Rs8t2sPD9vTxwTW+GdM3yOo6IiIjIeUnyOkBjdyRcwZsrC3hv4y4ikSg9u2Zz5bBedO6gCyyIiIiIx5JbweTnYeZ1MOd2uO1P0H2k16niKpDTitQB2ZS+vZvQqFx8qef266v5jB75OWx6ew81VRECQX+ck0pjt373Eaa/tIHRvXO4+8oeXscREREROW/asXsa4bJKZr7wDms3FNImsxXtskMU7i1m5gvvsHP3Ya/jSQtQUxNh05a9vLpkI0tXbOHAoVKvI4mISGOTkgG3zYOs7vDcZChc6XWiuAuNzsNVRSh95/x27fYclENtdZQd69WOoaUprarla7NW06ZVgP+9cSA+n/rqioiISNOnwu5pvPv+DkpKK2mXHSLJ78PnM9q0bkUwmMRrSzfinPM6ojRjJeEKnpz9Fn/62ypWrdvBkuVb+M2zS3hzZYG+90RE5FitsuAL8yEtG575POxb73WiuErulE5K7zaULt1FtDpyzufp1CuTlPQABauL4phOGjvnHN/78/tsO1jGw5MG0TY96HUkERERkbhQYfc01m3eTWZG6gnjGekp7Nl/hLLy87uIh8jpLFy0nuKScjq2a012VjrtskNkZ6Wx6O3NFO4p9jqeiIg0Nhkd4fYXIZAKMyfAwQKvE8VVaEwe0bJaylbsPedz+Pw+egzMZtt7B6itOfcCsTQtc1cWMn/Nbr551YVc2qOt13FERERE4kaF3XOk/ZLSkIpLytm6o4i2WWnHjCcl+UkOJLF6/Q6PkomISKPWplvdzl0XqSvuHin0OlHcBLu1JrlbBqVvFOJqz/2Ctj0Ht6OmKsLOjWqr1RJ8sC/MgwvWMeKCttwz5gKv44iIiIjElQq7p3Fx704Ul1ScMF5SWknn9pmktUr2IJW0BOUV1fjMMDux/1tKMInDR8o9SCUiIk1Cuz51PXcri+uKu6XNp+1Axpg8IkeqKV+z/5zP0bl3G4Ktkti66tzPIU1DeXUt9z67ivRggF/cnI9ffXVFRESkmVFh9zQGDehCRnoq+4pKqK2NEI06DhWXUVVVy1VX9Dlp0U0kHlqHUnFAJHLijqTyimo6tc9MfChp9MorqlmxZhuzX1rJX157j22FB9WPWaSl6pQPt8yp27H7zPVQ0Txa+AQvbEOgUxrhxYW46Ln9++ZP8tHt4mw+eu8AkfPY+SuN3/dfXM+WolJ+eXM+7UIpXscRERERiTsVdk8jlJbCHZ+/lMH9u1BcUsH+g2G6dm7LHRMvI7djG6/jSTOW1irIwL657D8YPqYwV1FZg3MwqF+eh+mkMTpwuJQnZi3h70s2smtvMRu37OXpect45fUNRM+x+CEiTVzXy2HSM7B/E8y6CarLvE503syM0Jg8ag9UULHuwDmfp+fgdlSV17Jrs9oxNFfzVhUy991C7htzAVf0yvY6jjRBZjbWzDab2RYzm3aS4/eb2QYze8/M/mFmXesdi5jZmthtQWKTi4hIS5LkdYDGLiOUytjR/bhmVF+cA58+wiUJctUVfaiqqmHDlr18/F0XTA4wcdxgsrPSPc0mjYtzjr/+431qaiN0aJdxdDwadaxYu51e3dvRs2tOwvKUV1Szt+gIPp+PTu1bkxzQjxoRz1xwFUz8PcydAs/fCrfMhqSg16nOS2q/bJJyUgkv2knqgOxz+gRV3kVtCAT9FKzaT5d+uphWc7Nlfynfm7+OYd2z+Mane3kdR5ogM/MDjwGfAQqBFWa2wDm3od601cBQ51y5mX0V+Clwc+xYhXMuP6GhRUSkRdK77dMor6imuqaW9LQUkvw+1HlBEik5kMT1Ywdx5aFS9h8MkxxIokvnNiqSyQkOHyln195i2mWHjhn3+YzU1ACr1+9MSGHXOcebKwpYsqLg6E7zYHISY0f3pd+FnRr8+UXkFPqOh+sehRfvgT/dCTf+EfxN92eJ+ez/s3fn4VFWZ+PHv2dmMjNZJnsCIQTCnkCAhH0RCi5IhbIpirtF7astlR99tbWlVWpb39b6ilh9bbUq1YqiCHFDW61GUBJZkrCDEAhb9n2yzUxmzu+PYCQkEBKSTAL357pyOfM8Z7kfEieTe85zH2zfi6F03TfUflOK75DQVo9h8jESOyKcIzuL+N4tHgxGuYntUlHrcrNkTTpWHyPPLErCJN9b0TbjgMNa6yMASqk3gblAQ2JXa/35Ge3TgNs6NUIhhBACSew2q9xew6eb93PwaAGK+s2qrhg7iDEj+khdXdHpwkMDZIWuOC+Hsw51js32zD5GKqscnRJHxp7jfJZ6kB7hNkwmY31sDhcb/pVJYIAvMb2khI0QXpN0Kzjs8PEv4N2fwLznwdB9E15+iRFUfHKsftVuGxK7AAOSIji0LZ+cQ2X0jmvbGKLreeyDfRzIs/PKD8fSM0jq6oo2iwZOnPH8JDD+PO3vBj4647lVKbUdqAP+qLVObv8QhRBCCKmx20RNrZN/bviaQ9mFRIQGEBluw2I28VHKHrbsOOLt8IQQoomQID8MSlFX525yrqrGSWxMx99m7HZ72Lwti7AQ/4akLoDF4oPV4kNqurx+CuF1E+6D6cth15vw0c+hG2+uqEwGbFOjcWZX4Dha3qYx+iSEYTIbyMoobOfohLe8vzOHNV8f57++15/pQyK9HY64TCilbgPGAH8+43BfrfUY4BbgaaXUgHP0/ZFSartSanthobwWCSGEaD1J7J5l/6E8ysqriQgLaKina7H4EBlu48ttWdQ6XF6OUAghGrNafBg/qh8FRZW43d/t8G6vrMVkMDAyvneHx1BT66K6xonV4tPkXIC/hZO5skGREF3C1Idg4hLY9iJ89jtvR3NR/Mb2xODvgz3lRMuNm+FjNtJ3WBhHMgrRsslkt5ddVMUv1+9mVJ9gHpwxxNvhiO7vFHDmbsW9Tx9rRCl1NbAcmKO1brhFSmt96vR/jwApQFJzk2itX9Baj9Faj4mI6Lz9EIQQQlw6JLF7lkPZBfj5mpsc9zEZ8Xg8FBZXeiEqIYQ4vyljBzJpTH+KS6vIL7KTV1iB2cfELfPGERLk1+Hzm81GlFLUnZFY/pbTWYfNv3tv1iTEJUMpmPF7GHUnbP5f+PJpb0fUZgazkYAroqk9WIrzVNven/UfFUF1hZPcI21b9Su6BkedmyVvpGM0KP5yyyh8pK6uuHjbgEFKqX5KKTOwCHjvzAZKqSTgb9QndQvOOB6ilLKcfhwOTOaM2rxCCCFEe5Iau2cx+xhxn2PVhtZgNEqNXSFE12M0GrhqchwTR/WnqKQSHx8jPcIDG+486GhmHxMj4qPJ3HuSHhHfbeKmtaa8opYpV8uu5EJ0GUrB7JXgrIRPHwWLDcbe7e2o2iRgYhT2lBPYU04Qdmt8q/vHJoRjNBk4kl5Ir4HBHRCh6Az/s/EAe05V8OIdY4gO9vV2OOISoLWuU0otAf4FGIGXtdZ7lVKPAdu11u9RX3ohAHj79D4Hx7XWc4B44G9KKQ/1C6n+qLWWxK4QQogOIYndsyTERbPnm1yCA3WjjYiqqh3YAiz0CA/0YnRCCHF+fr5m+kR7ZxOgaRMGk5tfTm5BBVaLCbfHg8vpJmFIL4bHRXslJiHEORiMMP9v4KyCD/8bLIEwYqG3o2o1g9VEwKRe2FNO4CqsxieidXcomH1NxAwNJSujgMkLB8omud3Qx3vyWL0lm8WT+3HN0B7eDkdcQrTWG4GNZx175IzHV5+j3xZgeMdGJ4QQQtST+5TO0j8mnKGDepKTX05llQOHs46ikkqqqp3MunI4Rrm1SwghmuXna+aO6ydw/cxEBveLZPiQaG6dP465M0ZiktdOIboeow8sXA2xV8CG/4IDG1vs0hUFTO6FMhmwp5xsU/8BoyKoLHVQkG1v58hERztRUs3P1+1kRO8gHv5+nLfDEUIIIYTodLJi9yxGo4G5MxIZFNuD7buPUV3jZNjgKMaOjJXVukII0QIfHyPxg6KIHxTl7VCEEBfCxxdufgNenQtv3wW3vgX9p3k5qNYxBpjxH9uTyrRcAq/pgynY2qr+scPDMRgUWRkF9Ogn7/W6C2edh5++kYHW8OzNozCb5ANEIYQQQlx+5B1QM0xGAyPio1l84ySW3DmN2VeNkKSuEEIIIS5NFhvcug7CBsAbt8CJrd6OqNUCptaXe6nc1GTT+hZZ/X3oGcdlIQAAIABJREFUHR9CVnoBWje/z4Loep7890EyT5TxpxtG0Ces4zcJFUIIIYToiiSxK4QQQghxufMLhds3QEAkvH4D5O32dkStYgq24pcUSdW2PNyVzlb3H5AUSUVRLUUnKzsgOtHePjuQzwubjnD7hL5cN1zuEBFCCCHE5UsSu0IIIYQQAmw94Y53wRwAr82HosPejqhVbNN6o+s8VH6V0+q+/UaGoxRkpRd0QGSiPeWW1/Czt3YyNCqQ5bPivR2OEEIIIYRXSWJXCCGEEELUC+kLtyeD1vV1d8tOeDuiC+YT4YdvQjiVW3Lw1Na1qq+vzUyvwSFkpRdKOYYurM7t4YE3MnDVeXj2liSsPkZvhySEEEII4VWS2BVCCCGEEN+JGAy3rweHvT65W9l9VrHapsWgHW4qU3Nb3XdAUgRl+dWU5FZ1QGSiPaz89Bu2ZZfy+ILh9I8I8HY4QgghhBBeJ4ldIYQQQgjRWNRIuPUtsOfWl2WoKfV2RBfEHB2AZXAIlV+ewuN0t6pv/6QIUHAko7CDohMXY9M3hfxfShaLxsYwNzHa2+EIIYQQQnQJkti9AC6Xm/Q9x3npza947h8pfJyyl6JS2VxDCCGEEJewPhNg0etQ9A28vhAc3eO9T+D0GDxVLqq35bWqn3+QhagBQWSlS2K3qymoqGXZ2kwGR9p49AfDvB2OEEIIIUSXIYndFtTVuXl74w4+/GwPlTUOlILMfSd5+c0tnMor83Z4QgghhBAdZ8CVcMPLcCod3rwFXLXejqhFln5BmGMDsW86ha7ztKrvgKRIik9VUpZf3UHRidZyezRL38yk2unm2VuS8DVLXV0hhBBCiG9JYrcFB7LyyDpWRFRkIAF+FiwWHyLCAvDxMbDxsz2t2mDD6apj/6FcNqV9Q8beE1RVOzowciGEEEKIdhD/A5j7HBz9AtYtBrfL2xG1yDY9Bne5g+rM1q2+7Z8UAUBWRvepK3yp+8tnh0g9Usxjc4cxqIfN2+EIIYQQQnQpJm8H0NVl7jtJgL8FpVSj47YAK/lFdkrKqgkL8W9xnKLSSt54dzsV9hoMRoXHrfm3ycD8axMZ3L9HR4UvuqBvPww4+2dKCCGE6LISbwZnJWx8EJJ/DPP/Boauuz7AOjgEnyh/7F+cwG9UJMpwYb9zbaFWImMDyUovZPTM2I4NUrRoS1YRq/5ziAWjolk4Jsbb4QghhBBCdDmS2G2By+XG2MwfA0opDEpR5255Yw6PR/POhxk4nC56RgY2HK91uFj/cSY/vn0qgTbfdo1bdD3VNU7SMo6QvucEDmcd/fuEM2XsQHpHhXg7NCHapKbWyf5DeRzKLsBiNpEwJJp+MWEYjV032SOEuAjj7oXacvjsd2AJgFlPQRf9kFIphW16DCVrDlCztwi/4REX3HfAqAhS12dRUVRDYLi8P/OWokoHS9/MpH+4P7+bm+DtcIQQQgghuiT567sZWmvq6txorRncP5LKqqYlExzOOixmE2HBLa/WPZVXRlFZJSFBfo2OWy0+eDwe9h1q3eYeonN4PJqyiupmv/+tVetw8c8NX5O64ygBfhYiw2ycyivjH+vSOHJcNmkR3U9ZRTUvr93CRyl7OZVfxqHsAt54bxvvfbqLOnfraloKIbqRKf8Nk5fC9pfh0xXejua8fBPCMYX7Yv/8RKtKZw1IigQgK0N+P3uLx6NZtjaTihoXz94yCn+LrEURQgghhGiOvEs6g9vtIX33cVLTj2CvchBos5I0LAY/XwvFpVWEBPlhMChqa12UlFUz66oETKaWN3CoqnZwrvUsPj5GSsur2vdCxEXbdyiXz7ccpNxei0bTNzqMGVPjiQxrW2233QdOUVBkJ6pHUMOxkCA/KqscfPzFPu67dSqGC7xNVIiu4JNN+6msdjS6C0FrzZ4DpxgUG0nCkF5ejE4I0WGUgqt/Cw47fPU0WAPrk71dkDIobN/rTek7h3B8U4p1SOgF9QuK8CU8JoAjGQUkXdOng6Ps/mJjY9m+fTvh4eHtNubzX2Sx+VARj88fTnxUYMsdmpGZmUlOTg7XXXcdAO+99x779u3j4Ycfbrc4hRBCCCG8TVbsnqa1ZmPKHj7+Yh9Gk4GekYEoBZ99dZCYXsH0jQ6lsLiSgiI7breHOTNGkDTswmp9BdqsaE2zq0WcTjcR4bIRRFey/1Ae73yUgUdrekTY6BFuI7egjFffSaO0vG27ZO85mIPNZm1yPMDfQml5dZvHFcIbqqodHMouJPSsOxaUUtgCrOzYfdxLkQkhOoVScN3/wvCF8J/HYOuL3o7onPySIjEGmalIOdGqfgOSIsk7UkFlqWx029m2ZZfw1Cff8IORvbh53Pnfa9fV1Z3zXGZmJhs3bmx4PmfOHEnqCiGEEOKSI4nd0wqK7ezcd4qekYFYLT4A+FrNRPUI5MDhfK6+Ip6li6dz/+1T+cmd0xgZ3/uCN7+KigwiumcwJaWNV+ZWVjuwWEzED+jZ7tcj2sbj0fxnywGCA33x8zUD9cmq0GB/6lxuduw+1qZxteacq7Zlna7obpyuOpSi2VXmPj5GqqolESLEJc9ggHnPw5Dr6jdU2/mmtyNqljIZCJjaG+fRChzZ5Rfcb8Co+pq8RzILOio0r8jOziYh4bt6tU8++SQrVqxg2rRpLF26lMTERBISEti6des5xyguLmbGjBkMGzaMe+65p9HChaeeeoqEhAQSEhJ4+umnAfjzn//MM888A8CyZcu48sorAfjss8+49dZbAQgICGD58uUkDB/B9KmT6WGq4fH5Cc2+177rrru47777GD9+PD//+c/ZunUrEydOJCkpiUmTJnHw4EGcTiePPPIIa9euJTExkbVr17J69WqWLFnS8O9w5ZVXMmLECK666iqOH5cPJIUQQgjRPUli97RjJ0tQNE1UGAwGNJqTuaX4+1kIDvRr9cZASikWzEwkNCSAvMIKcgsqyC+owOPWLPrBGPz9LO14JeJi2KtqsVfWNiR1z2SzWTl4JL9N4w4bHEVFZdNkV1W1g6BA3yb1l4Xoymz+VsxmEw5n05VSlVUOYmPCvBCVEKLTGX3ghleg31RI/jHsf9/bETXLf2xPDP4m7J9f+KrdkJ7+hPbyJyv98qmzW11dTWZmJv/3f//H4sWLz9nut7/9LVdccQV79+5l/vz5DUnRHTt28Morr/D111+TlpbGiy++SEZGBlOmTGHz5s0AbN++ncrKSlwuF5s3b2bq1KkAVFVVMX78eMb990uYo4cxujYDm9XnnDGcPHmSLVu28NRTTxEXF8fmzZvJyMjgscce41e/+hVms5nHHnuMm266iczMTG666aZG/X/6059y5513smvXLm699VYeeOCBi/3nE0IIIYTwCknsnnbexbf64tdUBtp8WXzjJG5fMJ4fXD2chbNHs+TOafSOCrnosUX7MRoMeLRutmyGx6PxuYCays0ZHhdNaLAf+YX2ho35yu01VFTWMmPKUKmvK7oVk8nIlLEDKSqppK7O3XC8qtqBR2vGjujrxeiEEJ3KxwqL3oDoUbBuMWR95u2ImjCYjQRcEU3twVKcOZUX3K9/UgQ5h8uornB2YHRdx8033wzA1KlTqaiooKysrNl2mzZt4rbbbgNg1qxZhITUv5f98ssvmT9/Pv7+/gQEBLBgwQI2b97M6NGj2bFjBxUVFVgsFiZOnMj27dvZvHkzU6ZMAcBsNpMXNIzPDhSw6PvTqCk5/8bCCxcuxGisf09WXl7OwoULSUhIYNmyZezdu7fFa01NTeWWW24B4Pbbb+fLL7+8gH8hIYQQQoiuRxK7p/XtHYZG4/E0Tui53R6Ugj7RF5+ANRgUfXqFMjK+N4P6ReLj07Ykoeg4Af4W+kaHNVvztqyihqSEtm2i4udr5vYF4xmVEENZRQ35hXbCQwK4bf54BvWLvNiwxXk4HC6KS6uodbi8HcolZcyIvlw1aQhlFTUUFNnJL7SjlOLmOWOJaOMmg0KIbsoSALe+DeGD4c1b4fjX3o6oiYAJvVAWI/ZW1NodOCoSNBzJ7P6rdg+VHiK7PBuj0YjH42k4Xltb2/D47LIHF1pyrCU+Pj7069eP1atXM2nSJKZMmcLnn3/O4cOHiY+PB8Bo8uGJfx1k5rCeTI/vcd7auQD+/t/VeP/Nb37D9OnT2bNnD++//36jaxJCCCGEuNRJYve0iNAARif0IbegnOoaJ1prqmuc5BVWMD4plpAg/5YHEZeEGVPjUUpRWGSn1uGiusZJTn45MVEhjBjSq83j2vytzJw2jIf+awa/uH8Gd1w/gdjecst6R3G66vj3pn2sfOkzXnhjM0+/9Bkfp+zFIQnedmEwKCaPHcjSxVdy6/xx3LVwAj++/XvyMy3E5co3BG7fALYoeH0h5O7ydkSNGHxNBEyMomZ3Ea7CC9uwNLSXP0GRvmSld/86u89mPMt9n95Hjx49KCgooLi4GIfDwQcffNDQZu3atUD9ytugoCCCgoKaHWvq1KmsWbMGgI8++ojS0lIApkyZQnJyMtXV1VRVVbFhw4aGFblTpkzhySefZOrUqUyZMoW//vWvJCUloZSivNqFo85NzyArf7phRKsTyuXl5URHRwOwevXqhuM2mw273d5sn0mTJvHmm/V1oV9//fWGOIUQQgghuhtJ7J6mlGLG1KHMvmo4BoMiv9COyWhk7jUjuXJSnLfDE50oMszG3TdNZszI+tvJrRYfZk4bxs1zxmCxnLve24UyGBSmNpZ0EBdGa827/97J1sxsQoJ8iQyzERLsx47dx1n/cWazpTZE21gtPvTpFUqvHsGtrj8uhLjEBETCHe+CxQavzYeiQ96OqJGAydFgNGD/4uQFtVdKMWBUJKe+KaO2svt+KFjnqWNr3lYmRE3AbDbzyCOPMG7cOK655hri4r57j2u1WklKSuK+++7jpZdeOud4jz76KJs2bWLYsGGsX7+ePn3q72YaNWoUd911F+PGjWP8+PHcc889JCUlAfWJ3dzcXCZOnEiPHj2wWq1MmTIFrTU/f2cnWsOzt4wiyLf177N+/vOf88tf/pKkpKRGK32nT5/Ovn37GjZPO9Nf/vIXXnnlFUaMGMFrr73GqlWrWj2vEEIIIURXoLyZ4FBKzQRWAUbg71rrP551/i7gz8Cp04ee1Vr/vaVxx4wZo7dv335RsWmt2+0WNCFE58otKOfltV/RIyKw0f/HWmvyCyu44/qJxPSS+taXK6XUDq31GG/H0R21x+9XcRkoOgyvzASjGRZ/DMFtK2PUEUrfPUzV1jx6PjQWU3DLm9cWHKvg7f/ZzpV3xBE/qe137XhTZkEmt390O09+70mujb222TbTpk3jySefZMyYzn1pXP3VUVa8v49fz4rnnin9O3Vu0THkd2zbye9YIYQQ53Ou37FeW16llDICzwHfB4YCNyulhjbTdK3WOvH0V4tJ3XaMr7OmEkK0s9z8cuDc9QJP5Te/IYwQQoh2ED6wviyDsxJenQv2fG9H1MA2tTdoqNx8Yat2I/rYsIVZyUrvvnV2U3NTUSjG9xzv7VAa2X2ynMc3HuCquEjuvqKft8MRQgghhOiWvHnf7DjgsNb6iNbaCbwJzPViPEKIS4SPjxFN8x/OaBRmKYUhhBAdq+dwuHVdfVL3tXlQXeLtiAAwhVjxS4qkamse7kpni+2VUgxIiuDE/hIcNeff0KurSs1JZVjYMIKtwedsk5KS0mS17iuvvEJiYmKjr5/85CftEpO91sWSN9IJCzDz5MKRzS6o+MMf/tBk/j/84Q/tMr8QQgghxKXC5MW5o4EztyY+CTS3lOB6pdRU4Btgmdb6wrczFkJclvr2DsNoULjq3PickcStq3OjFPTvG+7F6IQQ4jIRMw4WvQ5rboTXb/iu/q6X2b7Xm+r0fCq/yiHo2tgW2w8YFUnmpyfI3lXEkPE9Oz7AdlTprGRX4S4WJyxudd8f/vCH/PCHP2z3mLTWPLx+NydLa1j7owmE+Jubbbd8+XKWL1/e7vMLIYQQQlxKuvpON+8DsVrrEcAnwD/O1VAp9SOl1Hal1PbCwu57u5wQ4uIFBli5+op4ioorKSmrotbhorS8moLiSq6cNITgQD9vhyiEEJeHAdNh4WrIyYQ3bgZXrbcjwifSD99hYVSm5uCpbXkVbo/YQPyDLWSlF3RCdO1rW9423NrNxF4TvR1KgzVbj/Phrlz+e8ZgxsSGejscIYQQQohuzZuJ3VNAzBnPe/PdJmkAaK2LtdaO00//Dow+12Ba6xe01mO01mMiIiLaPVghRPcydmRf7rh+Av1iwlEo+vYK5bb545iQJHX8hBCiU8XNgnnPQ/aX8PZd4HZ5OyJs02LQtW4q03JbbKsMiv5JERzfV4LzAhLBXUlqbiq+Jl9GRoz0digA7M+t4Lfv72Pq4AjumzrA2+EIIYQQQnR73izFsA0YpJTqR31CdxFwy5kNlFJRWutv33HPAfZ3bohCiO6sT3QofaJlNZAQQnjdyJvqN1P78Gew4T5Y8AIYvFfv3NzbhmVwCJVfnsI2uRfK5/yxDBwVwe7PT3JsTzGDxvTopCgvXmpOKqN7jMZsbL7cQWeqctTxkzXpBPv68NSNIzEYZKNiIYQQQoiL5bUVu1rrOmAJ8C/qE7Zvaa33KqUeU0rNOd3sAaXUXqXUTuAB4C7vRCuEEEIIIS7K2Lvh6hWwZ119gldrr4YTOC0GT6WLqu35LbbtOSAYX5sPRzK6T7mvvKo8siuymRjl/TIMWmt+nbyH7KIqVi1KIjzA4u2QhBBCCCEuCd5csYvWeiOw8axjj5zx+JfALzs7LiGEEEII0QGuWAa1FfDlU/UbqV3zO1DeWblp7heIuW8g9i9O4j+uJ8p47vUOBoOif2IEB7fmU+d0YzJ7b7XxhUrNSQXoEvV1395xkg0Zp1h29WAmDgjzdjhCCCGEEJeMrr55mhBCCCGEuJRc9QiMvRe2/AU2Pem1MJRS2KbH4C5zUJ3Z8krcAaMiqXO4Ob6vpBOiu3ipOalE+EYwMHigV+M4lG/nkXf3MGlAGEuu9G4sQgghhBCXGknsCnGRtNZs2LABfdYtpec6LoQQQlzWlILvPwEjFsHnv4e0v3otFOuQEHyi/LGnnEB7zv/7utfgYCz+JrLSCzopurbzaA9puWlMiJqA8tKKaIAap5ufrEknwGLi6ZsSMUpdXSGEEEKIdiWJXSEuUnJyMgsWLGDZsmUNSVytNcuWLWPBggUkJyd7OUIhhBCiizEYYO5zEDcbPv4FZLzulTCUUtimxVBXWEPN3uLztjUaDfQfGUH2riLcLk8nRdg2B0oOUOoo9XoZhhXv7eVQQSUrb0okMtDq1ViEEEIIIS5FktgV4iLNmzePpUuXsmrVqobk7rJly1i1ahVLly5l3rx53g5RCCGE6HqMJrjhZeg/Dd5bAvve9UoYvsPDMYVZ61fttnCXTf+kCJy1bk4c6NrlGLpCfd3kjFOs3X6Cn0wbyJRBEV6LQwghhBDiUiaJXSEuklKKlStXNiR3DQZDQ1J35cqVXr0FUgghhOjSTBZYtAaix8C6u+Hwp50egjLUr9p1narEcajsvG1j4kIx+5rIymi5Jq83peamMihkEOG+4V6Z/0hhJb/asJtxsaH8v6sHeSUGIYQQQojLgSR2hWgH3yZ3zyRJXSGEEOICmP3h1rchIg7evA2OpXZ6CH5JkRiDzFR8fuK87Yw+BmJHhHF0ZyFud9csx1BbV0tGfgYTo7yzWrfW5eYnazKwmAysujkRk1H+3BBCCCGE6CjyTkuIdvBt+YUznVlzVwghhBDn4RsMt2+AoN6w5kbIyezU6ZXJQMCU3jiPluPILj9v2wFJkTiq6sg5eP7Vvd6Snp+O0+P0WhmG33+4j/25FTx1YyJRQb5eiUEIIYQQ4nIhiV0hLtLZNXU9Hk+TmrtCCNFRlFIzlVIHlVKHlVIPN3PeopRae/r810qp2NPHY5VSNUqpzNNff+3s2IVoJCAC7kgGaxD8cwEUHuzU6f3H9cTgb8KecvK87foMDcVkMZKVUdBJkbVOam4qPgYfRvcY3elzf7grl3+mHee/pvZnelxkp88vhBBCCHG5kcSuEBcpOTm5SU3dM2vuJicneztEIcQlSillBJ4Dvg8MBW5WSg09q9ndQKnWeiCwEvjTGeeytNaJp7/u65SghTifoN5wx7ugjPDqPCg91mlTG8xGAiZFU3ugBGdO5TnbmcxGYhPCOJJZiMfT9T68Tc1JJSkyCV9T566WPVZcxcPv7CKpTzAPXjukU+cWQgghhLhcSWJXiIs0b9481q9f36im7rfJ3fXr1zNv3jwvRyiEuISNAw5rrY9orZ3Am8Dcs9rMBf5x+vE64ColBcBFVxY2oH7lrqsaXp0DFbmdNnXAxCiUxYg95fy1dgeMiqTG7iL3cNcqx1BUU8TB0oOdXobBUedmyZoMlIJnFiXhI3V1hRBCCCE6hbzrEuIiKaWYP39+k43SznVcCCHaUTRwZgbq5OljzbbRWtcB5UDY6XP9lFIZSqkvlFJTOjpYIS5Yj2Fw2ztQWQivzYfqkk6Z1uDnQ8CEKGp2F+Eqqjlnuz7DQjH6GMjKKOyUuC5UWm4aQKdvnPbHjw6w+1Q5f144kphQv06dWwghhBDiciaJXSGEEOLylAv00VonAT8D1iilAs9upJT6kVJqu1Jqe2Fh10piiUtc7zFwy5tQcqS+5m5tRadMG3BFNBgNVH5x7lq7ZquJPkNDOZJegO5C5RhSc1IJtgQTFxrXaXP+a28er3yVzV2TYrl2WM9Om1cIIYQQQkhiVwghRDvSngp03WG0+xRae7wdzuXgFBBzxvPep48120YpZQKCgGKttUNrXQygtd4BZAGDz55Aa/2C1nqM1npMREREB1yCEOfRbyrc+A/I2w1vLALXuVfRthejzYz/2B5UpedTV+44Z7sBoyKpKneSn905CeeWaK1Jy0ljfNR4jAZjp8x5srSah97eyfDoIH55Xeclk4UQQgghRD1J7AohhLhoWjvxVK9H2x9HV72Mtv8FXbkS7c7xdmiXum3AIKVUP6WUGVgEvHdWm/eAO08/vgH4TGutlVIRpzdfQynVHxgEHOmkuIW4cEO+D/P/Bse2wFt3QJ2zw6e0Te0NGio3nXvVbuyIcAxGxeH0gg6P50IcKT9CQU1Bp5VhcLk9/PSNDLSGZ29JwmLqnGSyEEIIIYT4jiR2hRBCXDRdsxGcaaB6gKEnGHuBpxJd+SLaY/d2eJes0zVzlwD/AvYDb2mt9yqlHlNKzTnd7CUgTCl1mPqSCw+fPj4V2KWUyqR+U7X7tNadU8hUiNYafgPMXgmH/g0bfgQed4dOZwqx4pcYQdXWPNyVzSeSLb4mYoaGciS9EK29X44hNScVoNM2TnvyXwfJOF7G/1w/nL5h/p0ypxCdSSk1Uyl1UCl1WCn1cDPnf6aU2qeU2qWU+o9Squ8Z5+5USh06/XXn2X2FEEKI9mLydgACqmucbN+ZTca+k7jdHoYM6Mn4pFjCQwK8HZoQQrRIeyrAlQaGKFBnrNgyhIA7F+3MQFmntn18rckrrKDcXkOAn4VePYIxGGRTwm9prTcCG8869sgZj2uBhc30ewd4p8MDFKK9jPkhOOzwyW/AYoMfPAMduEGpbVoM1RkFVG7JIWhGbLNtBiRFcGx3MYXH7UT2bVKiulOl5qbSN7AvvQJ6dfhcnx8o4G+bjnDr+D7MHtHx8wnR2U7f0fIccA31G5NuU0q9p7Xed0azDGCM1rpaKXU/8ARwk1IqFHgUGANoYMfpvqWdexVCCCEuB5LY9bLqGievvpNGcWkVIUG+WMwmdh88xb5vcrj9+gn0jPDuHwlCiO5Pa43qwOQHnkLA0Dip+y3lC+6j1C8Obb0Kew0bPs7kZF4ZBqXwaE14aADXX5ckH34JcTma/AA4KmDTn8ESCDN+32HJXZ9IP3yHhlG5JQfb1N4YrE3fNvcbGYHBcJCs9EKvJnZdbhfb8rYxZ8CclhtfpNzyGn72ViZxPW38ZvbQDp9PCC8ZBxzWWh8BUEq9CcwFGhK7WuvPz2ifBtx2+vG1wCff3gWjlPoEmAm80QlxCyGEuMxIKQYv27H7OMWlVfSMDMRi8cHHx0hEaADKoPjPVwe8HZ4QopvSWuNx7sZjX4UufxhPxeN4HJvR2tX+kykraA80dyuydoBqW7LD49Gs25hOXlEFPSJsREbY6BkZiL2qljfe3Y7TVXeRgQshuqXpy2Hcf0Hqs/DFEx06lW16DLrWTdXXuc2et/r7ED0kmKz0Aq+WY9hZuJOaupoOL8NQ5/aw9I1MHHUenrt1FFYfqasrLlnRwIkznp88fexc7gY+amNfIYQQos0ksetlu/afJDjIt8nx4EBfjp0sprqm4zcIEUJcerRjM1T/Azx2MPQCjFDzLrp6LVp72ncyQy8wRoIuOysIN+BCmUe1adiTeaXkFlYQHhrQaMVxSJAfFfYasrILLyJoIUS3pRTM/CMk3gopj0Pa8x02lbm3DcugYOybT6Fdzdf17Z8USXlhDcWnqjosjpZsydmCURkZ13Nch87z9KeH2JpdwuPzhzMgQu6aEAJAKXUb9WUX/tyGvj9SSm1XSm0vLJT3NUIIIVpPErte5vac/xZpj8f7m3EIIboX7akEx8f1NW8NgfVJEOULhhhw7QZ3drvOp5RC+d0MKHDngKcM3PngyQXrVWDs06ZxS8qqqK11Ya+qxe1unIw2GhWFxbIpmxCXLYOhvsZu/Bz4+GFIf63DpgqcHoOn0kXV9vxmz/dPjEApyMooaHGsSZMmnff8ddddR1lZ2XnbNCctN42E8ARsZhurV69myZIlrR4DIDs7mzVr1jR7bvOhQp5LOcyNY3qTGOJq1G779u088MADbZpTiC7qFBBzxvPep481opS6GlgOzNFaO1rTF0Br/YLWeozWekxERES7BC6EEOLyIonVDGPlAAAgAElEQVRdL4sf2JPyipomxyurHESG2/D3M3shKiFEt+Y+Xl8aQfk0Pq4UYES79rf7lMoYhbItA+ssMPUF8xhUwE9Qlhltqu97Kq+Mj1P28s2RAjL3neTrjKOczC1tuNXZ7dbYbE3vdhBCXEaMJrj+7zDgSnj/Adi7oUOmMfcLwtw3EPsXJ9Hupnc8+AWaiRoYTFZ6y6vttmzZct7zGzduJDg4uFXxlTvK2Vu8t13KMJwrsVtQUcuytZkMjAjgt3MSmrQbM2YMzzzzzEXPL0QXsg0YpJTqp5QyA4uA985soJRKAv5GfVL3zE92/gXMUEqFKKVCgBmnjwkhhBDtThK7XjZ2ZF8sFhNFpZV4PBqtNRWVtVRVO7lyUlzHbngkRAucrjpO5ZWRV1ghq8e7lfN9r1QL59tOGWwYrFMw+N+JwW8+ytS3Ta9hJWVVvJ68FR+TkYAAM2aTEbOPiaxjReTkl1PrcGEyGRgUG9kBVyGE6FZMFrjpn9B7HLxzLxz6pN2nUEphm9Ybd5mD6szmk7cDRkVSmltFad75yzEEBNSXL8jNzWXq1KkkJiaSkJDA5s2bAYiNjaWoqOic/efNm8fo0aMZNmwYL7zwAgBb87ZSvKmYJ65/gnHjxvHVV181tH///fcZP348SUlJXH311eTn1686XrFiBbfffjsTJ05k0KBBvPjiiwA8/PDDbN68mcTERFauXEl2djZXTJlCXMJI9jx3P3cPduFrNjZpl5KSwuzZswEoKSlh3rx5jBgxggkTJrBr166GORcvXsy0adPo37+/JIJFl6a1rgOWUJ+Q3Q+8pbXeq5R6TCn17S6FfwYCgLeVUplKqfdO9y0Bfkd9cngb8Ni3G6kJIYQQ7a3p9r6iUwUH+nHH9RP4fMs3HDpa/2a7Z0Qg82aMpF9MuJejE5crrTU7dh8nJe0bXC43WkOgzcp10xPo30d+Lrs8Y5/61bm6DtQZL/NaAy4wDWHfoVxSdxyhuLSK8NAAJo7qR9zAnl3iw6Qdu4/jcXsIC7GRMDiaPQdzcLpcGBQczMpj2OBobrguiQB/i7dDFUJ0BWZ/uPUtWD0b1t4Gt62H2MntOoU1LhSfnv7YU07glxSJMjR+reyfGMHmtd+QlV7ImOv8WxxvzZo1XHvttSxfvhy32011dfUFxfHyyy8TGhpKTU0NY8eO5frrr+ffu/5NYXIhR/ceJTwknOnTp5OUlATAFVdcQVpaGkop/v73v/PEE0/wv//7vwDs2rWLtLQ0qqqqSEpKYtasWfzxj3/kySef5IMPPgCgurqa+b96npNfHOPRcTaeXPEzFs3e3qRdSkpKQ4yPPvooSUlJJCcn89lnn3HHHXeQmZkJwIEDB/j888+x2+0MGTKE+++/Hx+fs+4uEaKL0FpvBDaedeyRMx5ffZ6+LwMvd1x0QgghRD1J7HYB4SEBLJw1CoezDrfbg6/Vp0skV8Tla9eBU3z0+R7CQwMwB9W/TFRVO1j7/nZ+eOMkekYEejlCcT7KYENbrobaj0GFgCEAtAM8hWAayudf17FlRzqBNl+Cg3ypqKrh7Y3pTB0/iGkTBns7fLKOFWILsAL1HyiMHdmXwpJKqqodOBx13Dx3jHzwJYRozBoEt2+AV74Pa26CO9+D6LZt3NgcpRS26b0peeMgtfuK8U1o/BoUEGKhZ/8gsjIKGHNdbIvjjR07lsWLF+NyuZg3bx6JiYkXFMczzzzDhg31JSdOnDjBoUOH+M+X/6HfqH706tELgJtuuolvvvkGgJMnT3LTTTeRm5uL0+mkX79+DWPNnTsXX19ffH19mT59Olu3bm1SBmLLoXwefegBrBUneOVj/4Zxz+fLL7/knXfeAeDKK6+kuLiYiooKAGbNmoXFYsFisRAZGUl+fj69e/e+oGsXQgghhBBNSSmGLsRiNuHna5akrvAqt9vDprRDhAT7YzZ/99mPv58Fo9HA1xlHvRiduFDKciX4Lqqvs+s+BVSD9fuUOOeSlpFNj4hAAvzrv6c2fys9IwL5alsWpeUXtmqsI/n5mnHVfbf7vI+PkV49ghgYG0FEWABhIbITuxCiGf7hcHsy+IbAP6+HggPtOrzv8AhMYVYqUk401Ps+04BRERSdqKS8sOXX0alTp7Jp0yaio6O56667ePXVV1vsk5KSwqeffkpqaio7d+4kKSmJ4yXHKa4pJsK3+U2XfvrTn7JkyRJ2797N3/72N2praxvOnf1+8+znxZUO7lz2KKFhERw9uJft27fjdDpbjPN8LJbv7rQwGo3U1dVd1HhCCCGEEJc7SewKIRqpqnFir3Lga216a2RggJWjJ85d+090HUopDJbRKNuDqKDfo2yPYLBO59gpOxowGhu//BuNBrSGYyeLvRPwGUYl9MFe6WiSOCktryE2JpzA06t5hbiU3XXXXaxbt87bYXQ/QdFw57tgNMOrc6Gk/T6MVAaF7XsxuE5W4jhc1uR8/8T65Gpzm6jZP/ucI3PmNjw/duwYPXr04N577+Wee+4hPT29xfnLy8sJCQnBz8+PAwcOkJaWxt6ivfgO8OVI+hGKi4txuVy8/fbbjfpER0cD8I9//KPReO+++y61tbUUFxeTkpLC2LFjsdls2O12PB7Nsrd2Ul1lZ9H3RmDzNfPaa6/hdtd/6PZtu+ZMmTKF119/HahPRoeHhxMYKHf6CCGEEEJ0BEnsCiEaMfsYUQo8nqY7f7vq3Pj5mr0QlWgrpRRKfVfexePRnPueAN0lNsmLG9iT+EE9yS2ooKyihsoqB/mFdsw+Rq6dOtTb4QkhurrQ/nBHMrgd9cndipx2G9pvVCTGQDP2z080ORcY7ktkXxtZGU0Tu8rHhOObb+D079aUlBRGjhxJUlISa9euZenSpS3OPXPmTOrq6oiPj+fhhx9mwoQJ7CvZR0x0DL/77e+YOHEikydPJj4+vqHPihUrWLhwIaNHjyY8vHH5iBEjRjB9+nQmTJjAb37zG3r16sWIESMwGo30GTSUD15/keUPLuXj5LWMHDmSAwcO4O/v39DXaDQycuRIVq5c2WjcFStWsGPHDkaMGMHDDz/cJKEsur/k5GT27dvX8HzatGls377dixEJIYQQly+psSuEaMRq8SFuQE8OHskjIszWcFxrTWlFNbOnD/didOJixUQFo9ForRvdduvxaFDQu1eIF6OrZzIamHdtIglDCti5/xQOh4txI2MZHhctG6aJLi87O5uZM2cyevRo0tPTGTZsGK+++ir79+/nZz/7GZWVlYSHh7N69WqioqJ48cUXeeGFF3A6nQwcOJDXXnsNPz+/RmP+5je/4cSJE7z00ksYjUYvXVk3ExkPt70D/5gLr86DH34E/mEXPawyGQiY0pvyD4/gOFaBpW/jlaj9kyJISz6CvaQWW+h3dxdY4+IAOP788wDceeed3HnnnU3Gz87OPufcFouFjz76qOG52+NmytopXB11NYtvWMzixYub9Jk7dy5z585tchzqk7Nnl4Dw8fHhiZfXcdMLacxN6Ml/35DEgwt3NZz/05/+1NDus88+a9R32rRpAISGhpKcnNxkvhUrVjR6vmfPnnNeq/COZ555hueff55Ro0Y1rLpuTnJyMrNnz2boUPmwVQghhPA2WbErhGjiqslDsAVYySuowF5ZS3lFDbkFFQyO7cHwuGhvhycuQo+IQIYP7kVOQTkOZ31tQ4ezjryCCkbE9SYitGvUrzUZDcQN6MlNs0dzx/UTmDi6vyR1Rbdx8OBBfvzjH7N//34CAwN57rnn+OlPf8q6devYsWMHixcvZvny5QAsWLCAbdu2sXPnTuLj43nppZcajfXQQw9RWFjIK6+8Iknd1ooeDbe8CWXH4J8LoLa8XYb1H9cTg5+p2VW7A5IiAThy1qpdU0QExvBwave3X93fvcV7sTvtTOw1sd3GLK1y8sAbGUQH+/I/C4bLvg+XkezsbB566CHi4uJIT0/nhhtuoLq6mp///OcMHTqUESNG8OCDD7Jlyxbee+89HnroIRITE8nKygLg7bffZty4cQAJSqkpXr0YIYQQ4jIiK3aFEE0E2nxZfOMk9h7K5WBWPj4mIyPiohkYG4HJJImF7kwpxayrhhMeZiMt4yhl5dVYrT5cdcUQxiX2kz/ihWgHMTExTJ48GYDbbruNxx9/nD179nDNNdcA4Ha7iYqKAupXLf7617+mrKyMyspKrr322oZxfve73zF+/HheeOGFzr+IS0XsFXDja/DmzbBmUf0qXrNfy/3Ow2AxEjA5mopPjuHMrcIc5d9wLriHH2HRAWRlFDDyqphG/azx8dQeaDmxW1xczFVXXdXk+H/+8x/Cwr5bdZyakwrA+Kjxrb6Gs1fPQv2dOQ+t20lhpYP1908msJla++LStXz5cpxOJ7t27aKoqAiXy8XQoUMpKSmhvLwcpRRlZWUEBwczZ84cZs+ezQ033NDQv66ujq1bt6KUOgE8ClzttYsRQgghLiOS2BVCNMvXambM8L6MGd7X26GIdmYyGZk8ZgATkvrhcNZhMZuabKYmhGi7sz8gsdlsDBs2jNTU1CZt77rrLpKTkxk5ciSrV68mJSWl4dzYsWPZsWMHJSUlhIaGdnTYl67BM2DBC7Dubnjrdlj0Bpgurl58wMQo7F+cxJ5ygrCb4xqdGzAqgq0fHKWq3IF/0Hd3Gljj4ihOS0M7nSjzuecPCwsjMzOzxRhSc1OJD40n1No+PxsvfXmUT/cX8OgPhjK8d1C7jCm6jz/84Q+sXbuWr7/+mmeffZY1a9YQHx9PTk4Od999N7Nnz2b27Nnn7L9gwYJvH1YBsZ0QshBCCCG4gFIMSqlApdSAZo6P6JiQhBBCdAaj0YCfr1mSukK0A4/H07Dp5PHjxxuSuGvWrGHChAkUFhY2HHO5XOzduxcAu91OVFQULperSU3LmTNn8vDDDzNr1izsdnsnXs0lKOF6+MEqOPwprL8H3HUXNZzBzwf/iVHU7Cqkrqim0bkBSZGgm5ZjsMbHgcuF4/Dhi5oboNpVzc7Cne1WhiHzRBl/+vgAM4b24K5Jse0ypujeJk2ahNVqZevWrdxwww188MEHzJw585ztLZZG5ZJk8ZAQQgjRSc7717xS6kbgAPCOUmqvUmrsGadXd2RgQgghhBDdxdGjR3niiSf48MMPiY2N5emnnyY+Pp7S0tKG+rq/+MUvGDlyJImJiWzZsgX4rtzC5MmTiYuLazLuwoULuffee5kzZw41NTVNzotWGH0nzPgD7HsX3l8KpxPxbWW7IhqMCvumk42Oh/byJ6SnH1kZBY2OW+LiAdqlzu72/O3UeeraJbFbXuNiyZp0Im1W/nzDSCnJc5lxVFdTUVT/s+p2u9m2bRsA+/fvJzExkfLycq677jpWrlzJzp07gfq7EOTDJiGEEKJraOnT1F8Bo7XWuUqpccBrSqlfaq03APKuTwghhBAC8PPzIy4ujq1bt1JVVUV8fDzTpk1j4MCB5Ofnk5CQwKZNm5r0u//++7n//vubHF+9enXD48WLF7N48eKODP/yMWkJOCrgiz+BxQYz/wfamMg02sz4j+lJ1bY8Aq/qg/GMsgsDRkWy46NsauxOfG31ZRfMffug/PwuqM5uS1JzUrEYLSRFJl3UOFprfrFuF3nltbx130SC/KSu7qXOVVvLqQN7Ob5vNyf27iL/yGEGjZ/M8Hk3YTKZeOmll0hJSSEqKop77rmH2bNnU1tbi9aap556CoBFixZx77338swzz7Bu3TovX5EQQghxeWspsWvUWucCaK23KqWmAx8opWIA3eHRCSG8SmtNcnIy8+bNa7SC51zHhbgQte5aKlx2zAYfgnyC5GdIXBKioqKYN28eI0eOZP369Vx11VUcPnyY1NRUvvrqK8xmM/3792fgwIEMHDiQ4OBgb4d8+Zr2S6itgK+fB2sgTP9Vm4eyTe1N1dZc7JtPETy7f8Px/kkRbN+YzZHMQoZNiQZAGY1YBw/GsX//RV9Cak4qo3uMxmK0tNz4PF5LO8bHe/P41XVxjOoTctFxia7H5XSQc3A/J/bWJ3Lzsr7B43ZjMJqIHDiYwTNmQ2Aon3zyCUop/vrXv/Lss88SEBBAdHQ0W7dubTLm5MmT2bdvX8PzM2uDA3Va69iOvi4hhBBC1GspsWtXSg3QWmcBnF65Ow1IBoZ1dHBCCO9KTk5mwYIFLF26lJUrV6KUQmvNsmXLWLVqFevXr2f+/PneDlN0E27tZntJOnvK9wDgQRNpiWBqxBWEmCWhIC4N/fr148DpFZlTpkyhtraWo0ePcvjwYQ4fPtxwLjw8vCHJ27dvX3x8ZKVkp1EKrn0cnPbTK3cD61fytoEp1IrfyEiqvs7FNj0Go3/99zG8dwCBEb4cyfgusQtgiY+j4v0P0Fq3+UOtvKo8ssqzmDdwXpv6f2vPqXJ+/8F+royL5J4r+rfcQXQLdS4XeYcOcnzvTk7s3U3uoQO46+pQBgOR/QfRf/pM3AFBlFXXcCQnB/exHCAHk8nEoEGDCA8PZ8WKFd6+DCGEEEJcoJYSu/dzVskFrbVdKTUTuLHDohJCdAnz5s1j6dKlrFq1CoCVK1c2JHWXLl3KvHkX90eluLxsLdnGrrLdhJnDMCojWmvKnGV8mPsR1/eej6/R19shCtHurFYr8fHxxMfHo7WmqKioIcm7bds20tLSMJlMxMbGNiR6w8LCZCV7RzMY4AfPgKMS/r28vizD6DvbNJRtWm+qMwqo/OoUQTNiAVBKMSApgp2fnqC2yoX1dMLXGhdP2Rtv4jp5EnNMTJvmS8tNA7io+rr22vq6uqH+Zp5cOBKDQX7euit3XR15WYc4sXcXJ/buIufgfupcTlCK8H4DiZl6DR5/G6VVNRzJz8dzKh+lCoiKimLcuHH07duXPn364Ofnx69//WtvX44QQgghWqmlxG4V0AM4e/vecUBah0QkhOgylFKsXLkSgFWrVjUkeM9cwSvEhaiuq2Zv+T7CzeEYVP2+nUopAn0CKXIUkVV5hIQguRFEXNqUUkRERBAREcHEiRNxOp0cO3aMw4cPc+jQIT7++GMAgoODGTRoEAMHDiQ2Nvbs3eZFezEYYcGL4Kyq30zNEgAJ17d6GJ8e/liHhVG5JRfb93pjsNS/vR6QFEnGv4+TvauIuIlRAFiHfruB2v42J3ZTc1IJtYYyKGRQm/prrfnVhj2cKK3hzR9NINTf3KZxhHd43G7yjx5uKK1w6sA+XI5aAEL79idq0jTcfjZKq6o5WlgIuYUYjSVER0czefJk+vbtS0xMjLyuCCGEEJeIlhK7TwO/bOZ4xelzP2j3iIQQXcq3yd1vk7qAJHVFq5W5ygDVkNQ9k9Vo5VRNjiR2xWXHbDYzaNAgBg0axLFjx4iMjGTMmDEcPnyYzMxMtm3bRnl5OW+//TZr166ltraW2tpaZs2aBcCKFSsICAjgwQcf9PKVdGMmM9z4Kvzzelj/IzAHwOBrWz1M4LQYCvYWU5WWh+17vQGIjLUREGIhK6OwIbFrGTQIDAYcBw7AjBmtnsejPaTlpjEhakKzr6cX4s1tJ3h/Zw4PXTuEsbGhbRpDdB6Px01h9tH6Fbn7dnNy/16cNdUABMXEEjF2Em4/GyVVNRwrKYGCEkymCmJiYpiekEDfvn2Jjo6Wci9CCCHEJaqlxG4PrfXusw9qrXcrpWI7JCIhRJfybU3dMy1btkySu6JVfAw+6HPsuVnnqcPXaO3kiIToWu67776Gx+PGjaOuro7jx4/z5ZdfsnbtWj755BMyMzMpLCykrq6OgQMH4nK5vBjxJcTsB7eshX/8AN66A25dB/2mtG6IGBuWQcHYN58kYFIvlI/hdDmGSHZvOomzpg6zrwmD1Yq5fz9q9x9oU6iHSg9RUlvy/9m777io7nSP458zvQFDL9KLoEhEg73FZGNM1XQ1vbl3N21vNmWTzWZNNtnN5mb3prjlZnfTi2nGZLMxpiiJhahorIiCiCKISodhBqac+8fgAIqKFcvzfr18wZz5nXN+Z1Bn5sszz4/RcaOPav/i6iZmfbaRcRkR/GxC2lEdQ5xYqs9Hzc4dVGxYy46N66nctAGXowUVCOqXSGjucDwWG/UtrexsaoLaJowtbSQmJjJ06FCSkpKIjY1Fpzvc2zwhhBBCnAkO94x/qCWbpRmiEGe4rgul7Wu/sO82SOWu6L1wQzjBuiAcHgdWnTWw3af6cKtuMmxH95FiIU6WqVOnUlFRgcvl4v7772fmzJnYbDbuuusuvvrqK2JiYpgzZw6RkZEH7Ltnzx4uvvhiVq1axdq1a8nNzWX79u0kJiaSlpbG+vXree655wLVt6tWreL2228HYNKkSYSFhXH33XeTk5NDa2srP/vZzxg9ejQ1NTV4PB7efvtt6uvreeCBB7j//vtP9kNzZjAFw41z4fVL4L1pcPNnEH/uER0i6LwEav6xHseqamwj4wBIGxrJ2oUVlG+oof+wGP+psgbQumrVUU2zoKoAgJGxI494X0ebh7vfWU2wWc+fr8uVvrqnCFVVqavcGeiRW1G0HmdzEypgjYvHNmgoJpOVOoeDXY5WaGjB0u4jKSmJUaNHk5SURHR0NBrN0VVwCyGEEOL0drhgt1BRlLtUVf1H142KotwJHN0rUiHEaWPevHndQt39e+5OmDCBK6+8so9nKU4HGkXDxKjz+GLXfGrb6zBrTLhVN+2+dnJCBhFjij5gH5/qo8HdiE/1Ytfb0Wmk+kj0nVdffZWwsDCcTifDhg3j6quvxuFwkJeXx//+7//y1FNP8eSTTzJ79uwD9o2KisLlctHU1MTixYvJy8tj8eLFjB07lqioKCwWS7fxt912G7Nnz2b8+PE89NBDAERGRvLss89SWFjIiy++SGVlJY8//jhLly5l+vTptLe389hjjxEbG0tWVhZpaWlYrdYD5iIOwRoON82DVy+Ct6+C2+ZD9MBe725MDcGQGETzdzuxDotB0WqISQ3BEmJg6+q9ncHugCyaPv8cT309utDQI5piwa4C0kLSiLYe+H/m4Tzx6UbKahy8c+cIIoOkv2pfUVWVhuoqKjauZ8fGdewsWo+joR4VMEX3w5KZg85spa6lld0uFzS1EqRqSU1NIykpiaSkJCIiIuQX60IIIYQADh/s/gL4RFGUG+gMcvMAAyBpjhBnuKlTpzJ37lymTp0aeAOxL9ydMGECU6dO7eMZ+tU1OKje24RepyUxLhSjUfrInYqiTJFcE38Vm1u2sMtZjUVrITO4P3Gm2APeoFY7q/m+ZimN7kYUFPSKjmFheQwIzpI3s6JPvPTSS3zyyScAVFRUUFJSgkaj4frrrwfgxhtv5Kqrrjro/qNHj2bp0qV8//33PPbYY3z55Zeoqsq4cd0/8t/Q0EBDQwPjx48H4KabbmL+/Pndxmi1WhITE0lNTSUzM5P777+frVu38u6777JmzRqKiooAiIuLIz09nfT0dPr164dWqz1uj8cZKzgWbv4UXrsY3prqD3fDe9eyQFEUgs5LoPbNIlrX7sU6NBpFo5CWG8mmZbtwt3nRG7WYBvgXUGsrLkY3alSvp9bmbWPV7lVc2//aI76sj1bt5OPVO7n/ggxGp0Uc8f7i2DTuqWbHxnWBBc9a6mpRUTBExWBJzcJislLf4qDF7QZHG6EGC1lZWYEgNzQ0VJ77hBBCCNGjQwa7qqruBkYrijIRGNSx+T+qqi484TMTQvQ5RVF6rMg92PaTzePxMj9/I+uKK1FUQAG9TsulF+QwMCO2r6cnemDT2zg3dCgcokitrr2e/+z6EqPGSIQhHIB2n5vva5ag1WjJDOp/kmYrhF9+fj7ffPMNBQUFWCwWzjvvPFwu1wHjDhW8jB8/nsWLF7N9+3amTJnCH//4RxRFCSyEdrSMRiM2m43Bgwdjt9u58847MRqNlJaWUlpayuLFi/n+++8xmUykpqYGgt7g4OBjOu8ZLSzFX7n72sXw5lS4/UsI6derXU1ZYehjLDTn78SSG4WiUUgdGsX67yrZsbGWtKFRGLOyAHBtKsZ6BMHuj3t+pM3bxqi43u8DULqnmd/M28DI1DDuu0Da3pwMzbU1VGxcx44N66goWkfT3j2oigZdeBTmxAyM/c+hvqWVFq+XOqebSJuecwYPDgS58u9TCCGEEL11yGBXURQT8F9AOrAe+Jeqqp6TMTEhhDic75aXsKZoJzGRwYFegW1tbuYtWENoiIXYqJA+nqE4GhsaN6AoYNV1fjzdoNFj14Wwqm41Gbb0o14NXogj8XjJTgBat1WgDQpGMZooLi7mhx9+AMDn8/HRRx8xbdo03n33XcaOHXvQY40bN45f//rXjB8/Ho1GQ1hYGF988QV/+MMfuo2z2+3Y7XaWLFnC2LFjeeeddwL3BQUF0dzcfMg5azQa+vXrR79+/ZgwYQJOp5OysrJA0LuvmjcqKoqMjAzS09NJSEiQhZb2F5UFN82F1y/vrNy1Hr7SVdH4q3br5mzGtakWc3YEcekhmGx6tq7eQ9rQKHRhYeiio3EVbzqiKS2rWoZOoyMvOq/X+zjbvdz9zo9YDFpenDYErfTVPSEcDfUdFbn+Pw3Vu1A1WjRhkZj7JaNLHkBjqxOfz0dju5eYMBvDBmSTlJREYmKitE0RQgghxFE73Kv4NwA3sBi4GBiAvz2DEEL0qbY2N4XrthMVbuu2AIzRqEena6dw3XYu/8k5fThDcbR2tlZi1doO2G7UGqltr6XV04pNf+D9QhxvW1vbWN7owBGXSUNdI0Gp6YQkpxCWk8vH1XWYrFYWFRTw9NNPExUVxfvvv3/QYyUnJ6OqaqDFwtixY9m5cyehPfRYfe2117j99ttRFIVJkyYFtk+cOJFnn32W3NxcHn300V5dg9lsJjs7m+zsbFRVZffu3YGQt6CggKVLl2IwGEhJSQlU8/Y0p7NS3BC44QN46yp460q45d9gPtS6wn7mnEi0X7TbkpQAACAASURBVG+naVEFpoHhaLQaUnMjKVm5G4/bi06vxZSVRdumIwt2f6j6gcGRg7HoLYcf3OGpzzeyeXczb9w+nOhg0xGdTxxca1NjoK1CxcZ11FXtxKfVobFHYIyLR9MvnSanC1VVafFAXFQo2blDSEpKIiEhAZNJfhZCCCGEOD4UVVUPfqeirFdVNafjex2wQlXVocft5IoyGXgR0AL/VFX12f3uNwJvAucCtcD1qqqWH+64eXl5amFh4fGaphDiFFRT18I/3ltCVETQAfe5XG50Og0/vWF8H8xMHKuPd35Cm7cNs9bcbbtP9VHvrufGpBmYtEf/plhRlFWqqva+5E0EnI3Prz5VpdzZzsYWJ0UtToocTja2ONnpcrPnktFEfbGMML2WAVYz2TYzA20msm1m+ltNGE/xVerb2trYtm1bIOhtaGgAIDw8PBDyJicno9ef5X3LS76B96ZBv3P9VbyGw1dXtizfRcMnpUTcMQhTRig7Ntby75fXcsnPckgZHMmeF1+k9pV/kLmqEE0vQr46Vx0T3p/APbn38NPBP+3VtD9dU8n9c9bw8/PSeHhyVq/2ET1ztjSzs2h9IMytqdiOT6eHkDBMMfG0GUy0uNoA0Ol0xMfHB9oqxMfHYzAY+vgKTh55jj16Z+NzrBBCiN472HPs4Sp23fu+UVXVczyb9iuKogX+AlwI7ARWKorymaqqRV2G3QHUq6qarijKNOCPwPXHbRJCiNOWxWxAVVV8Ph+a/cITV5ub+DCpODtdZQcP4Pu9SzBpTN16ljZ6Gkm0JB5TqCvEkdIoCqkWI6kWI5dHdVZrNro9xGo1PJPRj6IWJxtbXLxVVYPT5/+FuVaBdIs/5B1o7fhqMxNl0J0yiyAZjUaysrLIyspCVVVqa2sDIe+qVatYvnw5Op2OpKQk0tPTycjIIDw8/JSZ/0mT8RO4+p/w0W3w/o0wfQ7ojIfcxXpuNE3f7KB5UQWmjFD6ZYVitOjY+uNeUgZHYsoaAF4vbSWlmHMGHfJYAMt3LQfodX/dbTUOHpu7nrykUB64UPqSH6m2Vgc7N22kYuNadmxcz57t21B1etTgUAzR/fAOjqO13f82ya0zkBifEAhy4+LipLWJEEIIIU6aw73qGKwoSlPH9wpg7ritAKqqqsfS2X84UKqqahmAoihzgClA12B3CjCr4/uPgNmKoijqocqMhRBnBYvZwMCMWIpKq4nuUrXr9fpodbnJOyepD2cnjkW6LZ1tjnJ2tO7EojWjQUOr14lFZ2Fk+Ii+np4QAITodbS2tHTb5lVVbvmvn7F06VLafD7W+1RWen3or5yO+eIpAITrdWTbTAzsCHqzbWYyLEYMfVzdqygKERERREREMHLkSNxuN9u3b6e0tJSSkhIWLFjAggULsNvtgWrelJQUjMZDB5xnjOyp0N4Cn94NH98B17wO2oO/jFZ0GoLG96PxP9to296EMSmYlHMi2LauBq/Hh2lAxwJqxZt6FewWVBUQZAgiOzz7sGNdbi93v7MavU7DS9OHoNOe2pXjp4J2l5PK4qJAa4Xqsq149QbUoBD0UXF4Bo3A5fEvM6KazIEQNykpiejoaLRabR9fgRBCCCHOVocMdlVVPZGvUvoBFV1u7wT2f8ceGNNRMdwIhAM1J3BeQojTxIXjBlBb72DXnkb0Oi0+n4rX52PcsDRSEw+/yI04Nek0Oi6M/gnbHTvY0lKC2+chxz6IjKD0A9ozCHEq0SoKb//f3w/YXu/2+Ns4tLj8LR0cTl6rrKGto7pXryhkWIzdwt6BNhORhr5rgaDX6wMB7uTJk6mvrw9U865bt47CwkI0Gg2JiYmBcdHR0Wd2Ne+QG6GtGb78FXx2D0z5KxwikLcOj6V5UQXN+RUYb8kmbWgUxT9Us3NzPYkD4tFYrbRtKj7saVVVpWBXASNjR6LVHP6l+e+/2ETRrib+dUsecXb5P7Mn7jYXVZuLqShax46N66jeWoJHZ8BnC0EXGUNb9jDcXi8AWpuNtC5BbmRk5AGfFBJCCCGE6CtnzOeEFEWZCcwESExM7OPZCCFOBqvFyC3XjmJbRQ3lFbUYjToyU6OJCg86s8OFs4BOoyMtKJW0oNS+nooQxyxUr2NMaBBjQjs/XeDxqWx1tnUEvv6+vUvqW/hod31gTJRBx0DrvrDXX+WbbjGh15z8/99CQ0MZNmwYw4YNw+PxUFFREQh6v/nmG7755htsNlsg5E1LS8NsPgNDxZE/A1cT5P8ejEFw8XNwkOcbjVGLbXQcTd/swF3tIGFAGHqTlrLVe0jKDseYlYWrFwuolTeVU+2o5q6cuw479ov1u3izYDt3jUvhggHRR3x5ZypPezu7SorZ0dEjd1fpZtw6I15bMLrwaNqyzsXj8wFgt9u7BblhYWHymkIIIYQQp6y+DHYrgYQut+M7tvU0ZmfH4m0h+BdRO4Cqqq8Ar4C/8fxxn60Q4pSk02rISI4iIzmqr6cihBC9ptMoZFpNZFpNXBnd2RO8tt3TbZG2ohYX/9y5l/aOLlQGRaG/1RRYpC3bZmaA1Uy44eS9pNPpdKSkpJCSksKFF15IU1MTW7dupbS0lOLiYtasWYOiKMTHxweC3tjY2DOnynHCw9DWBAWzwRgMF/zmoENto+No/r6SpvwKwqdlkZwTQdmaGibM8GEaMICGuXNRfT6UQzw2BVUFwOH76+6obeWRj9YxOMHOQxed3YuleT1uqktL2LFxLRUb11NVspk2nR6fJRhNeCRt/Yfg6/g3FRQRQVZHiJuYmIjdbj/M0YUQQgghTh19GeyuBDIURUnBH+BOA2bsN+Yz4BagALgGWCj9dYUQQghxpgo36BgXFsS4sM7qXrdPpbTVFVikrajFSX5dMx9Ud1b3xhj03cNem5k0sxHdSajuDQ4OZsiQIQwZMgSv10tVVRUlJSWUlpayaNEiFi1ahMViIS0tLVDNa7PZTvi8ThhFgUlP+8Pdxc+DKRjG3N/jUI1Fj3VkDC2LK/FcmETa0EhKVu6mqqQB24As1NZW3Dt2YEhOPujpCqoKiLfFkxCUcNAx7R4f9763GhSYPX0IBt0ZEqL3ks/rZXdZKTs6euTu3FJMm1aP1xKEJjSCtrQc9r2BiI6OJikpieTkZBITE0/vv4tCCCGEOOv1WbDb0TP3HmABoAVeVVV1o6IoTwGFqqp+BvwLeEtRlFKgDn/4K4QQQghx1tBrFAZ0hLVXd9m+t93d2be348/39c14OhIsk8Zf3ZttM3e0dPB/b9efuJd/Wq2WhIQEEhISOP/883E4HIFq3q1bt7J+/XoAYmNjA9W88fHxp9/iU4oCl70AbS3w9RP+tgx5t/c4NGhsPC1Lq2j+bieJl6WiM2jYunovwwfvW0Ct+KDBrtvnZuXulVyScskhp/PHL4tZu7ORv994LglhlmO6tNOBz+dlb/m2QJBbsaUYl6LFYwlCsYfTnuJfZE5RFOLi4gJtFRITE8/MFiFCCCGEOGv1aY9dVVW/AL7Yb9sTXb53Adee7HkJIYQQQpzqIg16JoTpmdClurfd56Okta1b2PtVTRPv7aoLjOln1DOgyyJt2TYzKWYj2hPQR9RqtXLOOedwzjnn4PP5qK6uDvTmXbJkCYsXL8ZoNJKamhoIekNCQo77PE4IjRau/D9od8DnD/jbMuRcc8AwbbABa140jsLdBF+QSNKgcMrW7GXsVcNAp8O1qZjgyZN7PMX6vetxuB2HbMPwddFu/rVkG7eOTmbyoJjjdnmnEtXnY++Ocio2rvcveLZ5E61o8VqCICQUd6I/JNdqtcTHxweC3Pj4eIxGYx/PXgghhBDixDljFk8TQgghhDjbGTSaQDuGfVRVZU+7pzPsdfirfBfVNeHtqO41axQyrZ2LtPl795oIOY7VvRqNhri4OOLi4hg/fjxOp5Nt27ZRWlpKSUkJmzoWEouKigqEvImJieh0p/DLVZ0BrnsD3rkW5s4EgxUyLz5gWND4eBwrq2leXEnakCi2rt7L7p1OjGlpuDYVHfTwBbsK0CgahscM7/H+ygYnD364lkH9gnn0kjOnr66qqtRVVvgrcjeso3xLMa0+8FqCUIPteOL7A6DX60lMTAwEuXFxcej1+j6evRBCCCHEyXMKv1IWQgghhBDHSlEUoo16oo16zg8PDmx3eX2UtLoCi7RtbHHyxd5G3ulS3Rtv0gdaOfgrfM0kmw1ojkN1r9lsZuDAgQwcONAfPu/ZE6jmXb58OcuWLUOv15OSkhIIesPCwo75vMed3gzT34M3roAPboEbPoTUCd2G6MLNWAZH4Vixi4RfDEWr07B19R7SsrJwLFt20EMXVBWQHZ5NiPHAKma318d97/2I16cye/pQjLrTrJ1FF6qqUr+rioqN69ixYS3lWzbT4lPxmm2oQXa8sakAGI3GQIiblJREbGzs6dfGQwghhBDiOJJgVwghhBDiLGTSasgJspAT1NmTVVVVqtvdgUXa9lX5fl3ThK9jjEWrYUBH794BNjPZVn+Vr+0YgkVFUYiOjiY6OpoxY8bQ1tZGeXl5IOjdsmULAGFhYYGQNzk5GYPBcCwPwfFjDIIbP4bXL4X3psMtn0F8XrchQefF0/rjHtpW7SFhYBhlP+5lYFYWjZ9+iqemBl1ERLfxze3NbKjZwO2Deu7d+6evtrBqez0vTx9CcoT1hF3aiaCqKo17dgeC3G1bNtPs8eK1BOGzBuOLTgLAYjaTnJISCHKjoqLQaM6uheGEEEIIIQ5Fgl0hhBBCCAH4A9ZYo4FYo4GfdKnudXp9bHZ0CXsdTj7d08CbVbWBMUkmQ0fYawq0g0gwHV11r9FoJDMzk8zMTABqa2sDIe/q1atZsWIFWq2WpKQkMjIySE9PJyIiAuUE9AnuNUsY3PQJvDoZ3r4abv0PxAwK3K2PtmIaGE7LsirSzk+kfF0NraGJALiKN2Mb2z3YXVG9Aq/qZXTc6ANOlb95D3//biszRiRy+eC4E3tdx0lTzR4qNq5nx4a1bN2ymeZ2D16LDa81GDUiHgCb1UpqWlogyA0PD+/bn6kQQgghxClOgl0hhBBCCHFIZq2G3GALucHdq3sr29yBRdr2VfnOr2mko3UvNq2GAdbORdqybWaybCasR/jx+fDwcMLDwxkxYgRut5sdO3YEevMuWLCABQsWEBISEqjmTUlJwWQyHcdHoJeCYuDmT/3h7ltXwu1fQnha4O7giQnsKaolqs2DRquwsyUUO9BWvAnb2DHdDlVQVYBZZ2Zw5OBu26sbXTzwwVqyYoJ44rKBJ+OqjkpLfR0VG9dRvn4tZSWbaXS58Vps+CxBqKGxAIQEB5OalkZycjJJSUnY7fY+nrUQQgghxOlFgl0hhBBCCHHEFEUh3mQg3mRgUkRnD1iH18vmFldgkbaiFidzd9fzRkd1rwIkmw2BRdr2LdSWYDL0qjpTr9eTlpZGWloaF110EQ0NDYFq3vXr17Nq1So0Gg0JCQmBoDcmJubkVX6GJvnD3dcmw5tT4Lb5YE8AwJAQhDHdjmv5LhIy7ZQWtzIyLg5X0aYDDlNQVcCwmGHotZ2LgXm8Pu6b8yMut5fZM4Zi0p86/WVbGxuoKFpP+fq1bN2yhQanC68lCK/FCsFREAxhoXbS0jMCFblBQUF9PW0hhBBCiNOaBLtCCCGEEOK4sWq1DA2xMjSks++rqqpUuNoDi7QVOfyB73/2NgbGBOs0DLSaA4HvAJuJLKsZi/bQPVXtdjt5eXnk5eXh9XqpqKgIBL3ffvst3377LTabjbS0NDIyMkhNTcVisRzymMcssj/cOBfeuBzemuoPd21RAASdl0DNP9eTmRzCV0X1aJLScRUXd9u9sqWSHc07mJ41vdv2l74tYcW2Ov583WDSo2wn9hoOw9ncxM6iDZStX8PWLVuod7r8FbkmK9jCwAaR4WGkZfQnOTmZxMTEE/+4CyGEEEKcZSTYFUIIIYQQJ5SiKCSajSSajUyO7FLd6/GyqUtlb1GLi/er63B4/Uu1aYBUi5GBNjMDOxZpy7aZiTPqe6zA1Wq1JCcnk5yczE9+8hOam5vZunVrYAG2tWvXoigK/fr1C1TzxsXFnZgFueJyYcYH/pYMb10Ft/4bzKEY00IwJAShqWhGo1FosvbDtG0xvtZWNB3BZ0FVAQCj4kYFDre0tIaXF5VyzbnxXDU0/vjP9zDaWh3s3LSB0rU/srWkhHpHq3+xM6MZLHYUq0J0RDhp/TNJSUkhPj6+b9phCCGEEEKcRSTYFUIIccKpqr/jpiyCI4ToyqrTkhdiJa9Lda9PVdnhau8W9q5pauWzPQ2BMXadNrBI276wt7/FhHm/6t6goCByc3PJzc3F5/NRVVVFSUkJpaWl5Ofnk5+fj9lsJi0tjfT0dNLS0o5ve4CkUTDtbXh3GrxzHdz0CYrRRtDEBGrfLCI73krl1gjSVJW2khLMg/39dAuqCogyR5EakgrAnmYX989ZQ1qkjaemZB+/+R1Cu7OVyuIitqxdTVlJKXUtDn9FrtEMxiA0pmBioiLJyMwiuSPI1ev1hz+wEEIIIYQ4biTYFUIIccI0NTspWF3G2uJKfF4fmakxjBmWRlS49FUUQvRMoygkm40km41cGtm5mFazx8umFicbHa6OxdqcvFNVh9Pnr+7VKpBqNgb69g60+RdtizH4q3s1Gg3x8fHEx8czceJEWltbA9W8paWlbNiwAYCYmJhANW9CQgLaI1zo7QDpP4FrXoUPb4H3b4Dp72PKCkMXbSHJ4eYbbyRpgGtTMebBg/H6vCyvXs6E+AkoioLXp/Lf76+hpc3NO3eOwGI4MS/f3W0udhYXsWXNaspKS6hracVjtqEajKC3oAu3ERcVSf8BA0lJTSU2NhadTt5KCCGEEEL0JXk1JoQQ4oRoanHxxsc/0NziIizUikZR2FK+m5LyPdx81QhiokIOfxAhhOgQpNMy3G5juL2zt6xXVdnu7Kzu3djipLDJwbwu1b1hei0DrZ19e7NtZvpbTVgsFnJycsjJycHn87F79+5AyLts2TKWLFmC0WgkNTU1UM1rt9t7mtrhDbwCpvwF5v0MPr4D5do3CD4vgbr3N2O3haOabbg2+RdQK64rprGtMdCG4a+LSllaWssfr84hM+b4/VLM095O5eZNFP9YSNnWrdQ1O/CYrah6A2jN6MOsJEZH03/gQFLT0omOjj4xLSuEEEIIIcRRk2BXCCHECVG4rpxmh4voyODAtohQG/WNrXy7bDM3TB3eh7MTQpwJtIpCqsVIqsXI5VGdoWuj20PRfr1736iqweXzt4XRKZBu8Ye8A6z+r9lhEYwbF8u4ceNwuVxs27aN0tJSSkpK2NQRukZGRgaqeRMTE4+s9UDuDGhrgfkPwad3Y77ir2i/NpGt09AcFI+52H+Ogl3+/rojY0eyvKyW//1mC1Ny47guL+GYHiuvx03lls0UrVrJtq1bqWtx4DFZUHV6UAwYQs0kx0STmZ1NWkZ/IiIipH2OEEIIIcQpToJdIYQQJ8S6TZXYgw9cAd0ebGb7zjqcrnbMJkMfzEz0tYkTJ/KrX/2Kiy66KLDthRdeYPPmzfztb3/rw5mJM0WIXscou41R+1X3lrW2sbHFGViwraChhY931wfGROh1DLR1LNIWGk32xGQmXXIJjbW1gWreFStWUFBQgF6vJzk5ORD0hoeHH35iI2ZCWyMsfNrfa3f8L/HO28oeYxyu4qWoXi8FVQVkhmaieIO4b85iksKtPHNlzhGHrF6Ph6qSzWxctZJtZWXUtjjwGC2g1QI6TPYwEmNjyByUQ3pGf0JDQyXIFUIIIYQ4zUiwK4QQZwiPz0OVaxetnlasOitx5li0yjH2hjwGqgoHzwhUOtZTE2eh6dOnM2fOnG7B7pw5c3juuef6cFbiTKdVFDKsJjKsJqZ22V7n9lDU4mRTS2eF72uVNbR1VPfqFYUMi5GB9n5kn5fOaJMOW+1eardtDVT0AoSGhpKRkUF6ejrJyckYDAf5xdW4B8HVBMtewjraRqP1YkLCEqFiIU2lxfy450dmZN3ALz9cS32rm1dvHYbNePiX7D6fl8qSLWwoXEF5IMg1g0YLaLCEhJISG0NWzjmk988kJETa4QghhBBCnO4k2BVCiDNATVsNC6q/ptXrBFRAIUhnY3LMJOyGo+wJeYyy+8exav12oiK694RsanYRHxuKxSzVumera665hscff5z29nYMBgPl5eVUVVXh9XqZMGECdrud9evXc91115GTk8OLL76I0+lk3rx5pKWl9XjMf//73zz99NO0t7cTHh7OO++8Q2RkJKmpqaxZsybQGzUjI4MlS5bQ0tLCDTfcgMPhYMqUKbzwwgu0tLSczIdBnCLC9DrGhgYxNrTz/yqPT2Wrsy3Qt3dji5PF9c181KW6NyokkewJmaRqwd5Uj2fndgrXrGHFihVotVqSkpIC1byRkZGd1bCKAhc+BW3NKMteICIVPFVZtALFK77E7XNTu2sI+Zv38rupg8iO6zmAVX0+Kku3sG7lCsq3lfkXOzOaQNGACtZgO2lxsQw4ZzAZWQOwWq0n8mEU4oyjKMpk4EVAC/xTVdVn97t/PPACcA4wTVXVj7rc5wXWd9zcoarqFSdn1kIIIc42EuwKIcRprt3nZn71VygqRBg6Pwrc5G5mQfXXXJNwVZ9U7g7PTaKopIq9dS2EhVjQaBQam5y0u71cMCbrpM/nTNWLN55G4E3gXKAWuF5V1fKO+x4F7gC8wH2qqi44GXMOCwtj+PDhzJ8/nylTpjBnzhyuu+46FEVh7dq1bNq0ibCwMFJTU7nzzjtZsWIFL774Ii+//DIvvPBCj8ccO3YsP/zwA4qi8M9//pPnnnuOP/3pT0yZMoVPPvmE2267jeXLl5OUlER0dDR33HEH999/P9OnT+fvf//7ybhscRrRaRQyrSYyrSaujA4NbK9p97BpX9jr8Ff5LnG4cKs6iEzDEJVOsl4h2uVgy55qFq9YTfjCRURZzIGQNzU1FZPJBJf+CdpbMK5/AVvYf+HQ6NhVWIAyMI0PfnBxSU4MN45IDJxbVVV2lm5h/coVbNu2jXpHKx690R8UqypBQcH0i4tl4OBc+g/M9p9DCHFUFEXRAn8BLgR2AisVRflMVdWiLsN2ALcCD/ZwCKeqqrknfKJCCCHOehLsCiHEaa7CsQOX19Ut1AUI1gdR017DLucu4i3xJ31e9mALt1wzku+Xl1JUsgufTyUlMZwJI/rTL6ZvqojPNL1843kHUK+qarqiKNOAPwLXK4oyEJgGZANxwDeKovRXVdV7Mua+rx3DvmD3X//6F83NzQwbNozY2FgA0tLSmDRpEgA5OTksWrTooMfbuXMn119/Pbt27aK9vZ2UlBQArr/+ep566iluu+025syZw/XXXw9AQUEB8+bNA2DGjBk8+GBP78uF6C7CoGNcWBDjwjqre90+ldLWfW0cXP4qXzTsjTFDjP/vYYjXTWhTPaErNxCev4xBQRZGJMaTOeI3xLY1E77l79QFZdNStot2+y+Is5v4w1U5VHQEueXl5dQ5WvHqjf6Tqj6CrTbi+8UxMHcI/QdmH7z1gxDiaAwHSlVVLQNQFGUOMAUIPL92+SWpry8meKLM+7GS/1mwmaoGJ3F2Mw9dlMnUIf36elpCCCEOQoJdIYQ4zTV5mlHouZmtqkKLx3GSZ9QpNMTKlEmDufSCHFBVdLq+6/l7hjrsG8+O27M6vv8ImK34PxM+BZijqmobsE1RlNKO4xWcjIlPmTKF//7v/2b16tW0trZy7rnnkp+fj9FoDIzRaDSB2xqNBo/Hc9Dj3XvvvTzwwANcccUV5OfnM2vWLABGjRpFaWkpe/fuZd68eTz++OMn9LrEmU3taA6uqv6mN6qqogDpZiNpJiOXR3T2D9/b7qaoxUVxq4tNDiebbDbWOaPwAt8AWreXsNVl9NNM40VbBWrEXt5M/yXtbSYm6H7kpWe+xavTA6D4fARbLMT360d27hAysgeh1+v74iEQ4mzRD6jocnsnMOII9jcpilIIeIBnVVWddzwnd6LM+7GSR+eux+n2/463ssHJo3P9HSUk3BVCiFOTBLtCCHGas+lsqGrPxSIKYNFZTu6EeqDTavp6Cmeq3rzxDIxRVdWjKEojEN6x/Yf99j3h79oWbd5D8a5mVFQSsodxxXU3cM6Yi5i9sISSNTspr3Hw0rclqCrsrHfy7vLtLK4PpmRtBWV7W/jTV5vxXwuoHYvwqcCWij18Xe5m4/xNfPTnF6mva+X3X2xCVVVizhnPhdfdgT48gb8s241KNeEp2Ux79AUGjbuYwi8/oN3r4zfzNviP3eW4nYv8dWzrOG/nHDrHqPg37Av8/Nu6h4D7ttFl/vtfT9f9OGCbut85O497wOPSZV775kBP2/Y7rtrlYGqXYwaOrx78vN0fF7XLsQ7cdsA1B772PKbrtsM+LvuOcZBr7M3jsv/P8HjQKaC16VCD9GiCNFhC6ggLK+Wv4SMpCB/Bns02cm0VWNxOojTRxGhDSbDHERcZh95qQGPRo3HpcBc14LXo/Lc7vioGTWcfXyFEX0tSVbVSUZRUYKGiKOtVVd26/yBFUWYCMwESExP3v/uk+58FmwOh7j5Ot5f/WbBZgl0hhDhFSbArhBCnuURLAgaNgVavE4vWHNje4mnBqrMSZ4rtw9mJ093xftM5f/0uPijcCUBr6BD25n+BOvF+ir7agmtHBU01Dv789RYAqutbeW9FBcYKE64dO2mqbWX2otJAfbqi+GvVFQUYeg1//+09aE02LMmDcTW6eLOgHAUFV1gu5Z/cT78pv+SDwgr//qNu5Yv3nuM/b/6VoPQ8VL2Fz9dVBYKxwHFRCKx51bFNOWBbZ5imKAcfo+y7sd+2znGd++x/ffuPUejcsXNePezX5YQK/nW1Ou7tNtcuh+uca5fj7r/tsI/LvuMedF77Ct9pYQAAIABJREFUnauH6zvgmrsMPOiYnn4ePc21y2PHwcbst42D/Ly6PVZK5/wOmBcqOnZhUddhVtdiUtdRg5aPmM77ys2YYlrIaNzGjGobmUFDiEsMgjYvvlY3nvJm2ls9qO2H6JSiVQIhr8aiQ2Pu+GrVozHr0AZC4H1j/LcVnfziTYgeVAIJXW7Hd2zrFVVVKzu+limKkg8MAQ4IdlVVfQV4BSAvL+84/Qrp6FU1OI9ouxBCiL4nwa4QQpzmjFojF8VOYkH119S01aAoGlR8mLUWLoq5EJ1G/qs/g/Xmjee+MTsVRdEBIfgXUevVm9bj/abzd1MH8eQVgzqCr8nAk11CtIuBRzqDxN9f0iUovBR49BBHvgR46iD3TYZ/3tdtS2trK+aXbkNRFObMmcN777Xx6ROTju3ihOhCVVWczu3UNyynoX459Q3LaWurBqBZl8oc7X0saMtC9akYKyq4a88bjMhbQ/v8y1iaGofdrTJ5Zg6RcdbOY3p8+JwefK1ufA4PPqcbX2vH7VYPvlYP3o7vPbVOfBX++/Ae/J+uYtD4Q15zRwhs0fm/7xL+avarDtaYdSgaqQ4WZ7SVQIaiKCn4nxunATN6s6OiKKFAq6qqbYqiRABjgOdO2EyPozi7mcoeQtw4u7mH0UIIIU4F8m5fCCHOADGmaKYlXMuO1gocHgdBuiASrQnoNdKD8QzXmzeenwG34O+dew2wUFVVVVGUz4B3FUX5M/7F0zKAFSd6wsZTpM/yqlWruOeee1BVFbvdzquvvtrXUxKnOX+QW059R4jbUL+ctvbdABgMEdjtI9AGj+Z9Rw6vVrtxu1UMla1ENMxjeFUBqdHtaDUGMikmotrFBtsNfPjsSs6bkUnmSP8nLxSdBm2QAW1Q7xdKU1UV1e3rEv52hsAHbnPjbmwL3OYQv8pRTDo01s6gV7t/+NvDV8WolXYR4rTQ0broHmABoAVeVVV1o6IoTwGFqqp+pijKMOATIBS4XFGUJ1VVzQYGAP/XsaiaBn+P3aKDnOqU8tBFmd167AKY9VoeuiizD2clhBDiUCTYFUKIM4RRayQjKL2vpyFOot688QT+BbzVsThaHf7wl45xH+BfaM0D3K2q6iE+531qeOaZZ/jwww+7bbv22mv59a9/fUTHGTduHGvXrj2eUxNnGVVVaW3dRkODP8itr19Oe/seAAyGSELtI7CHjiDUPhKMSbxaWcNL5btp9rSj2eVkWJuCQfdnKmzFDNrTH3tuKzq9hqhHHqH95z/nokvHsqJxIN+8vomq0kbGXZ+BTn/kvxhRFAXFoEVj0IL9CK7Pp6J2tILoGv56ewiDfa1uPDVOfA43atsh/hvRKJ0VwOaDhcDdq4W1Fh3KUVz3mWr06NEsW7bskGNeeOEFZs6cicVy8B77H374IU888QQxMTEsWrToeE/zjKCq6hfAF/tte6LL9yvxf9pl//2WATknfIInwL4+uv+zYDNVDU7i7GYeuihT+usKIcQpTFGP12oQp5C8vDy1sLCwr6chhBDiFKQoyipVVfP6eh6nI3l+FWczf5Bb1q21Qnv7XgAMhihCQ0cQah9BaOhIzOZkFEXB7VN5b1ctfyqvZne7B32NC+s2B78Zn876+t/xnz3LebI+mW0FKqN+Hoxi3MPIEV9TccedODdsIOWL+Tz15D9ZvGgZP5/+GJNnDiIksvcLYpaXl7Ns2TJmzDj0J8j3H1dYWMibb77JSy+9dOSPk3dfu4ieKoI7vnd68Dk6tnW0k1DdPS8CCqDoNV3aQxwY/vYYEJt1KGfpwp3JyckUFhYSERFx0DGTJ0/m8ccfZ+zYsd22ezwedLqjr/2R59ijJ8+xQgghDuVgz7FSsSuEEEIIIcR+/EHu1s7WCg3LaW+vAcBoiCY0dFRHkDsiEOTu41NVPt1dzx+37WKbs52gVi+G9XVcEB3CMzNH88XWV/jPnuXc61TQe4ZjDtqEOdiAxxOEoihEP/oryqZeSd1fZ5N+biI79obTXOvig2dWcv4tA0gbEtWraygvL+fdd9/tVbDbdVxeXh55eUeXzSlaDVqbAa2t9+0iAFS3t6MiuIdA2NnRU7gjFHbvaQ0ExfgO0T/YqA0sHneoFhFaS+cYxXRq9w+22Wy0tLSQn5/PrFmziIiIYMOGDZx77rm8/fbbvPzyy1RVVTFx4kQiIiJ6rMZ96qmnWLJkCXfccQdXXHEF2dnZzJ07l5aWFrxeL999910fXJkQQgghjoYEu0IIIYQQ4qynqiqO1tJANW59/XLc7loAjMYYwkLHYg8dTqh9BGZzUo+9YlVVZVFdM78v28WGFidRaDD/WIelxcNzlw9kam4/Fm7/hhc3/JNLHE5uvOB1RlxwLW06A88vamDG9AEMGwbvLlnC07ursf7hD+RdfjnBUVFc99gwnnngbzwz+b8wWBQSUuN49913iI6OZtasWWzdupXS0lJqamp4+OGHueuuu/jVr37Fpk2byM3N5ZZbbuHKK6/kpptuwuFwADB79mxGjx59wLghQ4bw/PPP8/nnn1NXV8ftt99OWVkZFouFV155hXPOOYdZs2axY8cOysrK2LFjB7/4xS+47777DnhMekvRa9GGaNGGGI/oZ+ZvF7FfGNytIti/zdvqwVvnwtvqQXUdon+wwn7Vwd0XjQv0Fd7XTqLjtqLXnPT+wT/++CMbN24kLi6OMWPGsHTpUu677z7+/Oc/s2jRooNW7D7xxBMsXLiQ559/nry8PF5//XVWr17NunXrCAsLO6nXIIQQQohjI8GuEOKU5PP5cLe50Rv1aDRn50cphRBCnDiqquJwlHRrreB21wH+IDc8fJy/T659BGZz4mFDu8JGB8+UVVHQ4CBWryO5wsmuojouy4ll1l3ZRAYZ2VS7iUe/f5hzXG08de6DbNxay3V5OfzXS6+wcdtd3HnHKn72s0p++9vfsryggJoZN3Dbd98x4pprCI4w89Dzt3DJxZey4btKNtQs5Omnfs/Lf3kRgHXr1vHDDz/gcDgYMmQIY8eOZeTIkURERPDggw/y/PPP89Of/pSvv/4ak8lESUkJ06dPp7CwkGeffTYQ5ALk5+cHruu3v/0tQ4YMYd68eSxcuJCbb76ZNWvWAFBcXMyiRYtobm4mMzOTxx57jJaWliP+WVxyySW8++672O29awJcXl7OZZddxoYNG/yLuJl0EGbq9flUnxoIfAPh736tIQI9hZvacFc78LW6UdsP3i4CrXJAawitRY9i6bKw3L7A2NrZY1jRHf1rnOHDhxMf72/xmpubS3l5+QGtFXrrwgsvlFBXCCGEOA1JsHsaqm9sZdX67Wwu241ep2XIwATOGdAPo1Hf11MT4ph5PB5eePplzA0hOJucGC1G8i7KJe+iwXz+n8+ZOnWqrKgthBDiiKmqLxDk1tcvp6FhRZcgN5bw8AmE2kcSGjockymh1881xQ4nz5bt4suaJiL0Oi7w6Pnhm+2EWQz8341DmTwoFoAaZw33fv1TQtwuXgwdjmHYTNY/ch8/1jTxk8un4HCUsnu3h7feeovzzjuP2IwMjPfey6SHHqSmshKAXbureOa1X7K9rILGWgcRIbFs/7m/qnjKlCmYzWbMZjMTJ05k8eLFfPrpp2RnZwfm6na7ueeee1izZg1arZYtW7Z0uxav14tW232hsiVLlvDxxx8DcP7551NbW0tTUxMAl156KUajEaPRSFRUFDt27DjSHwsAX3zxxeEHHUeKRkFr1aO1HtlrZ9Xj67l3sNNfEexzuAOBsafGSXtrM75WN3gP0S7CoD1En+COrx3tJHT7hddGY2d1s1arxePxHNkD0YXVaj3qfYUQQgjRdyTYPc3sqW3mzY9/wOP2EhRkoq3dw4Lvi9iwpYoZU4ZJuCtOe888+CyzXvwN5587iZsvvR13m5vvPljG7//8NJ/nf8rcuXO58sor+3qaQgghTnGq6qPFsSVQjesPcusBMBnjiAg/D3vHgmcmU/wR/9KwwtXO/2zbxYfV9di0Gm4KtbNyUTlLdzu45tx4fnPpQEIs/tdlLo+L+7/5OU2uOt50moi44a/sLi/jh8JVbGtopmDFSlasHMUjDzeRlZVFUVERAKHTp6H747M4V6/G197OvffeywMPPMAVV1zBv+fO55Ff/prPZ69lZ0M9cRkh3eb31ltvUVVVRV1dHbt378ZqtTJq1Ciqq6uZPHkyb7zxBmazmeTkZEaNGsXixYv58MMPmTZt2gHXOmfOHD755BPa29upra2ltbUVAIfDwahRo2hpaaGmpiYwPj8/nyeeeIKgoCBKS0uZOHEif/3rXw/6CZx9i321tLRw8cUXM3bsWJYtW0a/fv349NNPMZvNrFq1ittvvx2ASZMmHdHP6nhRdBq0wQa0wb3vH6yqKmq774A+wb7WjtvO7kGxu6EtMGb/dhFBExN6dc6goCCam5sPuXiaEEIIIc4MEuyeZr76fhOqqhIZERTYZjEbqKxuYN2mSoblJvfd5IQ4RvW7GzA1hHDh8Ml8veJLdDotMy66hYUlX/L18vnceetdTJ06ta+nKYQQ4hSkqj5aWjbT0LCvR+4KPJ4GAEymeCLCzyc0dF9rhfijPs/edjcvbd/NG5W1KArcGReBd0sj783fSFyImTduH86E/pFd5qXyxNLfsK5uEy/UNpJ1wxdgCmb9ondw+1TiEhMxm01s21bPmjW7cDqdfPfdd9TW1hIcHMw3Oh0pzc3Uv/U2jY2N9OvXD4C5//6AyMQgskbF8p+XGvj2+y/5xT0Pomrd5Ofn8+GHHzJjxgzi4+N58sknmTJlCtdeey2ZmZnMnTuXJ554Aq/XC0BkZCS5ubk9hrrjxo2jubmZlStXkp+fz7Rp0/jwww8B+PTTT3nkkUe4+eabiY2N7bbfihUrKCoqIikpicmTJzN37lyuueaawz6+JSUlvPfee/zjH//guuuu4+OPP+bGG2/ktttuY/bs2YwfP56HHnroqH9+J5uiKP5F3Ixa6F2nCcDfLkJ1eQKtIrytbnShvWs3MXPmTCZPnkxcXFyPi6cJIYQQ4swhwe5ppMXRxvbKWqK7hLr7hASb+bGoQoJdcVqr2robBQ03TL4VRVH4avl8vlo+H4CxAydy+9V3SRsGIYQQwL4gt7hLj9yVXYLcBCIjf9KlR26/Yz5fs8fL3yv28PeKvTi9PqbHhjEBA89/uokdda3cPCqJhydnYTN2f3n9yrpXmF/+JffXNXDBeU9DTA7u9jaKl+Zz2ZQp/N/X3zNw4ADCwmoZMjSV2NhYZs2axahRo7Db7eSOGEGbx0PN3/7Gbx54gGuvvZbQ0FDOP/98tm3bxgU3D2BufgR1LUkMHzIar97Jb37zG6KjozGZTGi1Wu644w5iYmJ45JFHuPrqq6msrCQ8PDzw8fv77ruPmTNnMnjwYG699VaGDBkSmP+sWbO44oorsFqtqKpKaGgoGzduJCYmhvLycqZPnw6A3W4PtGgAf//X1NRUAKZPn86SJUt6FeympKSQm5sLwLnnnkt5eTkNDQ00NDQwfvx4AG666Sbmz59/DD/NU5+iUVAsejSW7p/G29fD+LzzzuO8884LbJ89e3bg+3vvvZd77733kMfv2kf51ltv5dZbbz3mOQshhBDi5JNg9zTi9flQoMdgS6NRcHu8J39SQhxH/r/aKoqiMOOiWwKhLsDlw686oO+fEEKIM8esWbOw2Ww8+OCDPd6vql5/kFu/nA0bv6Fg2TLOm+h/Xlj4rZ5t5Vb+9PzzhIaOwGSKO27zcnl9vFFVw4vbd1Pn9nJZZAh394vkg0Vl3LeigpQIK+/PHMmI1PAD9v2q/Ctmr5nN5c0O7kicDENv9h+zpZmEgecwZNKlzH/gUVyuXSxdNpaszCfp188f2N12222B47SVbaPsiisYtaWEsrKyA84TmRjExXETSNOcT1ONi3MTU1F9PhRFYeHCheTn5/P888+TkZHBunXruOeee8jLy+Pzzz8nOTkZu93OwoULux1zX2gYFhZGZWUly5YtY/Dgwbz++uvk5+cza9YsXn755cDr0uXLlxMX1/m47/96tbe/mN2/b6zT6ezVfkIIIYQQZyMJdk8jQVYTIcFmWp3tWMzde3s1N7s495ykPpqZEMdHfGYcGo2Cx+3h/W/f7nbfZ8s/5pYnr++jmQkhhDjZVNVLc8umLj1yV+Lx+CtCy8qCWLxYx8/vfp5Q+3AqdnxFY2MhsbGH7sHe0+JgB+PxqXy4u47nt1VT2eZmQmgQj6bGUlvZzM/+tpw9zS5+Oj6V/76wPyb9gcfcWLuRXy95jNx2L7MIQ7nsf/f9BpOgsAimPPjrznN5/VWYOt2Bn8oCMKamEHbDDOrefIvQGdMxDRhwwBir3ch1dw9j4VubKPhkK2NuTKC5ublX13o4zc3NxMbG4na7eeeddwLtIMaMGcOcOXO48cYbeeedd7rts2LFCrZt20ZSUhLvv/8+M2fOPOrz2+127HY7S5YsYezYsQecSxzciBEjaGtr67btrbfeIicnp49mJIQQQojjqecVDMQpSaNROH90Fg1NTlqd7YC/b1tdgwOdXkveOYl9PEMhjk1wWBCjrsjjX3Nf4avl87lw+GT++ovXGJ01gaWb8vn9n55GVQ++srQQQohTi8Ph4NJLL2Xw4MEMGjSI999/n+Tk5MBCW4WFhYHKUFX1UVj4HUOHppGYGMLDDyezcuUUSkp/j8NRSlTkZLIH/pkxo5fw9lsmfvxxLxdPnsXf/vY+AFVVVUyePJmMjAwefvjhwBxsNhu//OUvGTx4MAUFBYeds6qqfLG3gYkri/nv4goiDXo+HJzG3zISeO2LzdzxRiF2i55Pfj6GRy8Z0GOou6d1D/ctvI9Qj4cX9tZjuPZNMNoOek6vxx/A6nQHHxPx85+jDQlh9+//cMBz4axZs3jwwQcxmHVcdNcgLr93MIPHpDNmzBgGDRp0zD1pf/e73zFixAjGjBlDVlZWYPuLL77IX/7yF3JycqisrOy2z7Bhw7jnnnsYMGAAKSkpx7zw6Wuvvcbdd99Nbm6uvBY4AsuXL2fNmjXd/kioK4QQQpw5lDPxhVFeXp5aWFjY19M4YTaVVrNwWTGNTU5UIKlfOJPGDyAqvOcqDyFOJ3PnzuXqq69m0oiLmTToMuxRIQybnMu/Pn6Fl156iblz5x7zm0NxdlMUZZWq/j979x0ddZW/cfx9Z9JJp4ei1FBCJxQVwYKxoFJ1XRs2dF0U9WcEVFYEC6K7WFdEReyyIgIrKMIqCygqoYfeghBaSEhIT2bm/v4IZClBWpIJyfM6h5PMt91nhpzznXxy53NtZ2/nOB9V9vurlL6vvvqK7777jnfffReAjIwM2rVrR0JCApGR4Sxc+CUjRo5m4sQevDrh3yxalM4bb0ZhTAPuvmsl3377Gi1aXkOAf51jrnuktcA333wDwJQpUxgzZgwrVqzA39+f6OhoFi9eTIMGDTDGMHXqVG666aZT5l18MJPnt+5hRWYOzYL8GdG4LtdUD2X2mr2MnrWWQ3mF/PWypjzYqyl+PiXPj8h15XLXd3exPW0jH+3cSfS1r0GHW/9w3NTUhaxcdRedO31JWFjHkx538PPP2fvsGOq99hqhcVed8vl4y/H/P1J16B579nSPFRGRP3Kye6xaMZyHWjatQ3Tj2mRm5+F0OAiu5n/qk0TOE/369WP69On07dv3mH58r/Z4lV69etG3b18vphMRkTPRpk0b/u///o/hw4dz7bXX0KFDJC5XFmsSh2FMIuvWp5Kbm0pu7g6qBTfnxhujuOLy1/H3r03v3neQlBRB+/Z1Tj0QcMUVVxAWFgZAq1at2LFjBw0aNMDpdDJgwIA/PHdVZg4vbt3DgoOZRPn78o8WDbipdiSpWfnc/8ly5q3bR7v6YYwf2I3oOif/Q7q1llE/jWJd6jpe37uf6NY3nbKoC+A6PGPX6Tz5jF2A8EGDOPjZ5+wfP57gXj1x+Os9oIiIiEhVpsLuecrhMISFBHo7hkipM8aUOCP3ZNtFRKRi8nhc1K6dw8yZD/PN7Jk8POwtOrT3w9pMcnKSadLkBjIyggkLnUX3bvOY+91orLX4+9cuvsbpLrgFJy665XK5AAgICDhpX92tOXm8tH0vs/anE+nrZHSTKAbXq4G/w/Blwi7Gzl5HgcvDk9e24O6LG+Hj/OMuZhNXTWRu0lweyyygV/CFcO0rp5X9VD12jzA+PtR+ciS/33U3aVM+pMb9Z9+3tiR//etf+emnn47ZNmzYsGMWcjsdvXr1Km6xcTT1exUREREpXSrsioiIiEip2rr17+zc9SH79mUQGurg8subExnZh1kzk4iO9sNhhtMi+hremfgoDodv8XkzZ85k5MiRZGdns2DBAsaNG1fi9UNCQs5pYbA9+QX8I2kfn+1Jxd/h4NELavOXhrUI9XGyMy2HJ79ew6LNB+jSKJKXBrSlUY1qp7zmd9u/45+r/smNniAGZ6TCkFngF3RaeVyn0WP3iGrduxN8xRUceOcdwvr1xbdWrdMa43S89dZbpXatkvz6669len0RERGRqkaFXREREREpVYGBDahTpx979zh5In4qTuc+fH3TePvtt8nNzeWee+5h1KhRJ8zqbNu2LZdddhkHDhxg1KhRREVFlXj9tm3b4nQ6adeuHYMHDyYiIuK0ch0sdPHGjv1MTk7BbWFwVA0eubA2Nf188XgsU37azvi5GzHA2L4x3NqlIQ7HqWcNJx5I5OmfnqajX3X+tnEFpv+7UDP6tDIBuF1ZgMHpPHUBGaD2E/Fs7XM9KRNeJerFF057HBERERGpXLR4moiIVCla2OXs6f4q56tst5v3dh7grZ37yHR5GFA7gvhGdbggsKh9w9aULIZPW03CjoP0bF6TF/q3oV746bW82pe9j1tm34Kfx8Vnm9YQ2f52uOH1M8q3adNYdu+ZRq+eq077nH0vv0za+5O58MsvCWwTc0bjncy9997LY489RqtWrUrcP2XKFK666qqTFtxFdI89e7rHiojIH9HiaSIiIiJSpRR4PHy6J41/JO0lpcBFXI1QRjSqS8vgoqKty+1h0qJtvDp/M4G+Tv4+qB39O9Y77d6+ua5cHvrhIXIKs3hn7wEia7aGa14645wud9Yp++ser8Zf/kLGjJnse/FFLvj0kxIzW2ux1uJw/HFv4CPee++9P9w/ZcoUYmJiVNgVERERqSBO712eiIiIiEg5W7NmDe3btz/mX9euXU95nsdapu87SI9fNzBy0y6aBPrz747N+LBN4+Ki7rrdh+j7z58Y/91GrmhRi3mPXcqATvVPu6jrsR6eWvwUGw9uZHxeAM3y82HQFPA988VtXa7M0+qvezRncDA1HxlG7vLlHJozp3h7UlIS0dHR3HHHHcTExDB27FhiY2Np27YtzzzzDADZ2dlcd911tGvXjpiYGKZOnQoULXqWkJCA2+1m8ODBxMTE0KZNGyZMmMC0adNISEjg1ltvpX379uTm5jJmzBhiY2OJiYlhyJAhHPkkYK9evRg+fDhdunShefPmLFq0CAC3283jjz9OTEwMbdu25Y033gBg2bJl9OzZk06dOhEXF8eePXvO+DUUERERqYo0Y1dEREREKqQ2bdqwcuXK0z7eWst/0jJ5cdtu1mbl0To4gE/bNubyyJDigm2+y82bP2zh7QVbCQ/y5Z+3duTaNnXPONs/V/6TeTvm8XhIay5d/S0MnAw1mp7xdaCox+6ZztgFCO/fn4Offc7+V/5OyOWX4wgsKipv3ryZDz/8kEOHDjFt2jR+++03rLXccMMNLFy4kJSUFKKiopg9ezYAGRkZx1x35cqVJCcnk5iYCEB6ejrh4eG8+eabvPLKK3TuXPQpwKFDh/K3v/0NgNtvv51vvvmG66+/HgCXy8Vvv/3GnDlzePbZZ5k/fz6TJk0iKSmJlStX4uPjQ1paGoWFhTz00EPMnDmTmjVrMnXqVJ566ikmT558Vq+liIiISFWiGbsiIiIict77LT2Lfiu2cNvqbWS5PLzd6gLmdY7miuqhxUXdFb8fpM/ri3njhy3c0D6KeY/2PKui7uxts3ln9Tv0rxnLHau/hc73QMyAs87ucmXi4zyzGbsAxumkzpMjce3ZQ+pRhdALLriAbt268f333/P999/ToUMHOnbsyIYNG9i8eTNt2rRh3rx5DB8+nEWLFhEWFnbMdRs3bsy2bdt46KGH+O677wgNDS1x/B9//JGuXbvSpk0bfvjhB9auXVu8r3///gB06tSJpKQkAObPn8/999+Pj0/R3JLIyEg2btxIYmIivXv3pn379jz33HPs2rXrjF8LERERkapIM3ZFRERE5Ly1PiuXF7ft4fvUQ9Ty82Fc8/r8uW4kfkf1lc0tcPP37zcy+aft1A4N4IO7YrksutZZjbc6ZTV/++lvdKoew9Or52PqtIW4F87pObjcWQQENjirc4NiYwmJiyP1vfcJH1BUXK5WrRpQNIN55MiR3H///Sect3z5cubMmcPTTz/NFVdcUTzzFiAiIoJVq1Yxd+5cJk6cyL/+9a8TZtDm5eXx4IMPkpCQQIMGDRg9ejR5eXnF+/39ixamczqduFyuk+a31tK6dWuWLFlyVs9fREREpCrTjF0REREROe/syM1n6LodXL50I79kZPFk47os6daSwfVqHFPUXbI1latfW8h7i7dzS5eGfP/opWdd1N2bvZeHf3iYWkE1mbB7N77Wwk0fgm/AOT2Xs+mxe7Ra8fHgdrP/7/84ZntcXByTJ08mKysLgOTkZPbv38/u3bsJCgritttuIz4+nuXLlx9z3oEDB/B4PAwYMIDnnnuueH9ISAiZmZkAxUXcGjVqkJWVxbRp006Zs3fv3rzzzjvFhd60tDSio6NJSUkpLuwWFhYeM/NXRERERE7OKzN2jTGRwFTgQiAJuMlae7CE49zAmsMPf7fW3lBeGUVEREQPzJjTAAAgAElEQVSk4kkpKGRC0j4+3p2K08BfG9birw1rEeF77NvazLxCXvx2A5/9+jsXVA/i8/u60b1J9bMeN6cwh4d+eIh8dz7vB7UiYvencNNHENn4XJ8SrrPssXuEX/16RN51F6nvvouzf7/i7VdddRXr16+ne/fuAAQHB/PJJ5+wZcsW4uPjcTgc+Pr68vbbbx9zveTkZO666y48Hg8AL774IgCDBw/mgQceIDAwkCVLlnDfffcRExNDnTp1iI2NPWXOe++9l02bNtG2bVt8fX257777GDp0KNOmTePhhx8mIyMDl8vFI488QuvWrc/69RARERGpKsyR1WvLdVBjxgNp1tpxxpgRQIS1dngJx2VZa894+kLnzp1tQkJCaUQVEZFKxhizzFrb2ds5zke6v4o3HXK5efv3/byzK4V8j4db61bn0QtrU9ff74Rjf9ywnye/XsO+Q3ncc0kjHusdTaCf86zH9lgPjy14jB93/shbzW7jkrnPQdcH4JqXzuUpFV3bU8iPC1rQuNEjNGr00NlfJzubgh07CGjV6pwziZwt3WPPnu6xIiLyR052j/VWj90bgV6Hv/8QWACcUNgVERERkaotz+3hg+QDvL5jHwddbm6sFc7wRnVpHOR/wrEHswsY8806vl6RTPPawbx928W0bxB+zhneXPEm//n9P4xofS+XzHsFojpC77HnfF0Atzsb4Jxm7AI4qlVTUVdERESkivFWYbe2tXbP4e/3ArVPclyAMSYBcAHjrLUzyiWdiIiIiHiVy2P51940Xknay+78Qi6LDGFk47q0DQk64VhrLXPW7OWZWYmk5xTy8BXN+OtlTfD3OftZukf8e+u/eXfNuwxs2o8/L5sGxsCgKeBz4kzhs+FyFfWsdZ5Dj10RERERqZrKrLBrjJkP1Clh11NHP7DWWmPMyfpBXGCtTTbGNAZ+MMassdZuPcl4Q4AhAA0bNjyH5CIiIiLiLdZaZqdkMG77Hrbk5NMxNIjXWzbkkoiSZ7TuP5THqJmJzF27jzb1wvj4nq60rBtaKllW7l/JMz8/Q2ydWJ48mInZsxr+9BlEXFAq14ei/rpw7jN2RURERKTqKbPCrrX2ypPtM8bsM8bUtdbuMcbUBfaf5BrJh79uM8YsADoAJRZ2rbWTgElQ1J/oHOOLiIiISDlblJbJc9t2syozl2ZB/nwQcyFX1wjDGHPCsdZapi3bxdhv1pHn8jDimhbce0kjfJyOUsmyO2s3w34cRt1qdflH7cvwnTEUug+FFteVyvWPODJj18epGbsiIiIicma81YphFnAnMO7w15nHH2CMiQByrLX5xpgawMXA+HJNKSIiIiJlbsWhHF7ctpuFB7Oo5+/Lqy0aMKhOJM4SCroAuw7m8OTXiSzclELshRG8NKAtjWuWXmE0pzCHh354iEJ3IW90e4LwT2+F+l3gytGlNsYRbrdm7IqIiIjI2fFWYXcc8C9jzD3ADuAmAGNMZ+ABa+29QEvgHWOMB3BQ1GN3nZfyioiIiEgp25ydx7jte5idkkGkr5OxTetxR73q+DtKnnXr8Vg++XUHL327AQuMubE1t3W9AIej5ALw2fBYD8MXDWdr+lb+2etVGs9+Cpw+MOgDcPqW2jhHFM/YVWFXRERERM6QVwq71tpU4IoSticA9x7+/megTTlHExEREZEylpxXwN+T9vLFnjQCnQ4ev7AODzSoSfAfLHa2LSWLEV+t4bekNHo0q8GL/dtQP+LEhdTO1WvLX2PBzgU82fVJLlo5HfatgT9/CWH1S30s+F+PXadaMYhIJTJjRTIvz93I7vRcosIDiY+Lpm+Het6OJSJS6Xhrxq6IiIiIVDFphS5e37GPD5IPYC3cW78mD19Qmxp+J39L6nJ7eG/xdibM24S/j4PxA9syqFP9EvvunquZW2YyOXEyN0ffzC0FTlj+IVz8CDS/qtTHOkIzdkWkspmxIpmR09eQW+gGIDk9l5HT1wCouCsiUspU2BURERGRMpXtcjNpVwr//H0/2W4Pg+pE8nijOjQI8PvD8zbsPcQT01azelcGV7WqzXN9Y6gVGlAmGZfvW87oJaPpWrcrwxv1h/euhIbd4fJRZTLeES53Fsb44HD4l+k4IiLl5eW5G4uLukfkFrp5ee5GFXZFREqZCrsiIiIiUiYKPB4+3p3KhKR9HCh0Efzqcwwf1J9hl/35j89zeXjs9S+Y9OJIfHx8+GTmPPrFNiqVWbpTpkwhISGBN998s3hbclYyj/z4CPWD6/P3i57D96N+4BsAAycX9dctQy5XJj4+IWUyA1lExBt2p+ee0XYRETl7Ja9MISIiIiJyltzWMm1vGhf/uoGnNifTrJo/szs245KIYOqdYpbuyp3p9HljER9+/DGX33Qfu7asp3+XxsWFT5fLVapZswqyGPqfobisizcuf4Ow+WNh/3roPwlCo0p1rJK4XVnqrysilUpUeOAZbRcRkbOnGbsiIiIictays7O56aab2LVrF263mxuG/R//eOZpnJf2xiT8TP3QEMZP/YJmYdWOOW/UqFHs3LmT999/H6fTSW6BmwnzN/Heom2w4QfM9l/YmL6Bh9LXc9999zFq1CgiIiLYsGEDmzZtKjFL37592blzJ3l5eQwbNowhQ4YA8MEHH/Diiy8SHh5Ou3bt8Pcvantw5513kpCWwPbE7dRw1CAx5U0uTPsEejwOTa8s2xfuMJc7U/11RaRSiY+LPqbHLkCgr5P4uGgvphIRqZxU2BURERGRs/bdd98RFRXFqE+n8sK2PbyXvBcs9GtUn48+38gnH3/Mo48+yjfffFN8Tnx8PJmZmXzwwQcYY/h1WyrDv1pNUmoOt3RpyMhnxvHwA6n06dOHgQMHsmDBApYvX05iYiKNGjU6aZbJkycTGRlJbm4usbGxDBgwgIKCAp555hmWLVtGWFgYl112GR06dABgXeo6ft/xO5PnTKZTRhiXxfVhyytXEdBrZJm/bke4XFn4+GjGrohUHkf66L48dyO703OJCg8kPi5a/XVFRMqAWjGIiIiIyFkLaNyMz2d/yxV/eYh1vyzh751aUsfflzH33Y3DGG655RaWLFlSfPzYsWPJyMhg4sSJZOW7eHrGGm6e9AseC5/d15UX+7chNMD3hHG6dOnyh0VdgNdff5127drRrVs3du7cyebNm/n111/p1asXNWvWxM/Pj5tvvhmArzd/zZb0LVx141X8qXEfmiU8Q+Pqfmxo9X9l3lf3aEd67JaGwYMHM23atFK5FkBSUhIxMTFnff4LL7xwVsdddNFFZz2miFQMfTvU46cRl7N93HX8NOJyFXVFRMqICrsiIiIicsaScvN5cN0O7k33UOfdLxjYtTN1v3if7e/9E+CYxcCO/j42NpZly5Yx69eNxE1YyKe//s49lzTiu0d6cFGTGicdr1q1aifdB7BgwQLmz5/PkiVLWLVqFR06dCAvL6/EY/fn7GfML2OoFVSLaxpdA7MfgwOboEZzTHDNM3kZzpnblYVPJe2xe7aF3Z9//rks4oiIiIhUOirsioiIiMgZ+3R3Kt+mpHOnv4fFl7bnw0f+yvAn4lm+fDkAU6dOLf7avXv34vN6XHYldS/9E4P634ifLeCrv1zEqD6tCPI7t1myGRkZREREEBQUxIYNG/jll18A6Nq1K//9739JTU2lsLCQT774hMXJi6kfXJ/OdTozffIbeFZ9wdZm97FtdyrR0eXbA9LlzmTvXjctWrTg1ltvpWXLlgwcOJCcnByWLVtGz5496dSpE3FxcezZsweAd999l9jYWNq1a8eAAQPIyck54bqjRo1i8ODBuN3uE/YBjBkzhtjYWGJiYhgyZAjWWgCWLVtGu3btaNeuHW+99Vbx8UlJSfTo0YOOHTvSsWPH4uLrggULuPTSS7nuuuuIjo7mgQcewOPxMGLECHJzc2nfvj233norUNQDuVOnTrRu3ZpJkyYBlHhccHBRodtaS3x8PDExMbRp06b4Z2rBggX06tWLgQMHFr9uR/KLiIiIVCUq7IqIiIjIGXvogtr80q0Vl2WmcNXFF9G+fXueffZZnn76aQAOHjxI27Ztee2115gwYQIAyQdzGfPvdWwIbE3fP92B/f4lWtYMKJU8V199NS6Xi5YtWzJixAi6desGQN26dRk9ejTdu3en20Xd2B+8H2stb13xFr75WTQs3ESXjxxc8+xMJk6cSEBA6eQ5HdZaXK4snD5BbNy4kQcffJD169cTGhrKW2+9xUMPPcS0adNYtmwZd999N0899RQA/fv3Z+nSpaxatYqWLVvy/vvvH3Pd+Ph4UlJS+OCDD3A6nSWOPXToUJYuXUpiYiK5ubnFPZDvuusu3njjDVatWnXM8bVq1WLevHksX76cqVOn8vDDDxfv++2333jjjTdYt24dW7duZfr06YwbN47AwEBWrlzJp59+ChT1QF62bBkJCQm8/vrrpKamlnjcEdOnT2flypWsWrWK+fPnEx8fX1zcXrFiBa+++irr1q1j27Zt/PTTT+fwPyEiIiJyftLiaSIiIiJyxkJ9nIT6OImLiyMuLu6E/fHx8bz00ksA7M/M4y+fLGNzyzuIqRfKSwPa0jrqWuDJk15/ypQpxd/36tWLXr16/WEef39/vv322xL33XXXXdx+5+0M/WEov+7+lXd6v0ND/whIWsyVLcKZ+MMqCK51yudc2jyefKwtxMcZTIMGDbj44osBuO2223jhhRdITEykd+/eALjdburWrQtAYmIiTz/9NOnp6WRlZR3z+o8dO5auXbsWz4g9mR9//JHx48eTk5NDWloarVu3pkePHqSnp3PppZcCcPvttxe/poWFhQwdOpSVK1fidDrZtGlT8bW6dOlC48aNAbjllltYvHgxAwcOPGHM119/na+//hqguAdy9erVT5px8eLF3HLLLTidTmrXrk3Pnj1ZunQpoaGhdOnShfr16wPQvn17kpKSuOSSS/7wOYuIiIhUNirsioiIiEiZsNYyfXkyY75ZR26hmyeujmZIj8b4OMv/Q2NX3381azPX8saYN+hSJxa+ugfyM6HbqBOKugsWLOCVV17hm2++YcGCBfj5+ZXJgl4udxYATmfQMX2IAUJCQmjduvUxC88dMXjwYGbMmEG7du2YMmUKCxYsKN53pIdxWloakZGRJY6bl5fHgw8+SEJCAg0aNGD06NEn7Ud8xIQJE6hduzarVq3C4/EcM7P5+OzHP4ZjeyAHBQXRq1evU475R/z9/Yu/dzqduFyus76WiIiIyPlKrRhEREREpFQlJSWR71ONu6Ys5f++XEXTWsHMebgHD/ZqekJRt1+/frRv3/6Yf3Pnzj3ptVNTU084vn379qSmpp70nGmbprE6ZTUda3VkYPOBkDAZEr9iyusvMPDBUX/4XBYsWFBmi3m5XZkA+PhU4/fffy8u4n722Wd069aNlJSU4m2FhYWsXbsWgMzMTOrWrUthYeEJ7QuuvvpqRowYwXXXXUdmZmaJ4x4pqNaoUYOsrCymTZsGQHh4OOHh4SxevBjgmGtnZGRQt25dHA4HH3/88TG9e3/77Te2b9+Ox+Nh6tSpxTNnfX19KSwsLD6/pB7Ixx93tB49ejB16lTcbjcpKSksXLiQLl26nNZrKyIiIlIVaMauiIiIiJQaj8fy6W+/M27Oeiww+vpW3N79QpyOE2dxAsUfzT9d1atXZ+XKlad17PPPP887k98hzZlG3Xp16VqzK106xPBb//3Q9EqS6vfn+jZtWLNmDd999x2PPPIIQUFBxYXJpKQkJk6ciNPp5JNPPuGNN96gR48eJ4wzePBgQkNDSUhIYO/evYwfP77EVgTHCwhowMUX/8SunWlER0fz1ltvcffdd9OqVSseeugh4uLiePjhh8nIyMDlcvHII4/QunXr4nYLNWvWpGvXricUcAcNGkRmZiY33HADc+bMITAw8Jj94eHh3HfffcTExFCnTh1iY2OL933wwQfcfffdGGO46qqrirc/+OCDDBgwgI8++oirr76aatWqFe+LjY1l6NChbNmyhcsuu4x+/foBMGTIENq2bUvHjh2ZPHkyEydOpGXLlkRHRxf3QD7+uKOLyf369WPJkiW0a9cOYwzjx4+nTp06bNiw4ZSvrci5MsZcDbwGOIH3rLXjjtt/KfAq0Bb4k7V22lH77gSePvzwOWvth+WTWkREqhpTGVeQ7dy5s01ISPB2DBERqYCMMcustZ29neN8pPurnErSgWyGf7WaX7encUnTGrzYvw0NIoO8kmXZsmXcesetRDwRQYRvBBtGbeDBe+/mkzef5+s7o2j01G+89OZ7FBYW8vjjj9OsWTN++OEHmjZtys0330xOTg7ffPMNo0ePJjg4mMcff/ykYw0ePJjs7GymTp3Khg0buOGGG9iyZctpZ01KSqJPnz4kJiaWxlMvV0e3rRCpLPdYY4wT2AT0BnYBS4FbrLXrjjrmQiAUeByYdaSwa4yJBBKAzoAFlgGdrLUH/2hM3WNFROSPnOweq1YMIiIiInJO3B7LpIVbiXt1Iev2HOKlAW34+J4uXivqAsz7cR6e1h58/H14p8879L3hRlj7NTe1gKmeOKhWnalTp3LzzTezYcMGGjVqRLNmzTDGcNttt53xeH379sXhcNCqVSv27dtXBs9IRMpRF2CLtXabtbYA+AK48egDrLVJ1trVgOe4c+OAedbatMPF3HnA1eURWkREqh61YpAT7M7dQ2LGWlIL0gj3DSMmrDX1A+uVuBCGiIiIVG0b92byxLRVrNqVwZUta/N8vxhqhwac+sQy5PK4mLV1FocKDvFZr89oENoA9q6B1LXcPORxBr00h/53b8IYQ7NmzU67tcMfOXoxrzP9RNyFF15YZrN1+/Xrx/bt24/Z9tJLLxEXF1cq1+/Vqxe9evUqlWuJVCD1gJ1HPd4FdD2Hc+uVUi4REZFjqLArx1ibsY7FB34mwOFPgDOAlLwU5uR8S2xkZzpGdPB2PBEREakgClwe3l6wlTd/3ExIgC+v39KB69vWrRB/CH556cvsr7Mf/x/9aR3WmsyNC/n39z9yf1wMTQaNwfnKXMaOHcvNN98MQIsWLUhKSmLr1q00adKEzz//vPhaISEhHDp0yFtP5ZydaQ9jESk/xpghwBCAhg0bejmNiIicj1TYlWI5rhyWpP5KpG8EPo6iHw0/hx9BNohlB5fTJLgxYb5hXk4pIiIi3rZ6VzpPTFvNhr2Z3NAuimeub0X1YP9Tn1gOCt2F7Di0gweufYB8n3zatW1DLfceYi8IhpgB4HBw8803Ex8fXzyTNSAggEmTJnHdddcRFBREjx49ihcku/766xk4cCAzZ8486eJpIlLpJAMNjnpc//C20z2313HnLijpQGvtJGASFPXYPdOQIiIiWjxNim3J2sqP+xdQw6/GCfsO5B+ge41uxIS19kIyEZHSU1kWdvEG3V8lr9DNhPmbeHfhNmqG+PN83zZc2aq2t2OdwOVxYTA4jQO+uBU2z4W7voMGsd6OJlKpVZZ7rDHGh6LF066gqFC7FPiztXZtCcdOAb45bvG0ZUDHw4csp2jxtLQ/GlP3WBER+SMnu8dqxq4Uc1s3J6vzGwwuj6t8A4mIiEiF8dv2NIZ/tZrtB7L5U2wDRl7bkrBAX2/HKtGRTx6x5C3YOBviXlBRV0ROm7XWZYwZCswFnMBka+1aY8wYIMFaO8sYEwt8DUQA1xtjnrXWtrbWphljxlJUDAYYc6qiroiIyNlSYVeK1fCrgaFowY+j++NZa/FgqRNQ8WbkiIiISNnKyncx/rsNfLRkBw0iA/n03q5c3PTET/dUODuXwry/QYs+0O3BUrnk888/z5dffnnMtkGDBvHUU0+VyvVFpOKw1s4B5hy37W9Hfb+UojYLJZ07GZhcpgFFRERQYVeOEukXQePgxmzN2kaEbzg+Dh/c1s3BgoPUD4qiVkAtb0cUERGRcrRwUwojp69hd0Yud118IfFx0QT5nQdvH3PSYNpdEBoFN74JpbSg21NPPaUiroiIiIhUGOfBO3MpL8YYetbsQbBPMOsOrcPt8uAwhpahLelSvTMO4/B2RBEROexwD7+pwIVAEnCTtfZgCcfdCTx9+OFz1toPD29fANQFcg/vu8pau79sU8v5IiOnkLGz1zFt2S6a1KzGtAe60+mCSG/HOj0eD3z9AGTuhXvmQmCEtxOJiIiIiJQJFXblGD4OH7pWj6VDRHvy3LkEOAPwc/h5O5aIiJxoBPAfa+04Y8yIw4+HH33A4eLvM0BnwALLjDGzjioA32qt1UotcozvEvcyamYiadkF/PWyJjx0eTMCfJ3ejnX6fn69aLG0a8ZDvU7eTiMiIiIiUmZU2JUS+Tl88XNUzAVRREQEgBuBXoe//xBYwHGFXSAOmHdk0RZjzDzgauDz8oko55OUzHxGz1rL7DV7aFU3lA8GxxJTL8zbsc7MjiXwnzHQ6kboMsTbaUREREREypQKuyIiIuen2tbaPYe/3wuUtMJlPWDnUY93Hd52xAfGGDfwFUVtGmyZJJUKzVrLjJXJPPvvdeTku4mPi2bIpY3xdZ5nLZiyD8C0uyG8IdzwRqn11RURERERqahU2BUREamgjDHzgTol7Dpm9SZrrTXGnGlR9lZrbbIxJoSiwu7twEclZBgCDAFo2LDhGQ4hFd3u9FyenpHIDxv207FhOOMHtqVprRBvxzpzHg9MHwI5qXDP9xBwns00FhERERE5CyrsioiIVFDW2itPts8Ys88YU9dau8cYUxcoaeGzZP7XrgGgPkUtG7DWJh/+mmmM+QzoQgmFXWvtJGASQOfOnTWjt5LweCyfL/2dF+dswO2xjOrTisEXXYjTcZ7Ocl38D9j6H7juHxDV3ttpRERERETKhQq7IiIi56dZwJ3AuMNfZ5ZwzFzgBWNMxOHHVwEjjTE+QLi19oAxxhfoA8wvh8xSAexIzWb4V6v5ZVsaFzWpzrj+bWlYPcjbsc5e0mL48XmIGQCd7/Z2GhERERGRcnOeNU+T8mStC1u4EU/eImzBSqwnx9uRvM5ay9dff83xbShPtl1EpAyNA3obYzYDVx5+jDGmszHmPYDDi6aNBZYe/jfm8DZ/YK4xZjWwkqKZve+W/1OQ8uT2WN5btI24VxeyNvkQ4/q34dN7u57fRd2s/UV9dSMbw/Wvqa+uiIiIiFQpmrErJbKeNGz2B+BOASwWwPhhg27D4Rvt5XTeM2PGDPr378+wYcOYMGECxhistTz66KO89tprTJ8+nX79+nk7pohUAdbaVOCKErYnAPce9XgyMPm4Y7KBTmWdUSqOTfsyeWLaalbuTOeKFrV4rl8MdcMCvR3r3Hjc8NW9kJcBt00H//OwN7CIiIiIyDlQYVdOYK3FZn8KnnRwRh21IwdyPsaGPI5xhHsvoBf17duXYcOG8dprrwEwYcKE4qLusGHD6Nu3r5cTioiI/E+h28PEBVt544ctVPN38tqf2nNDuyhMZZjZuvBl2P5fuP51qBPj7TQiIiIiIuVOhV05kXsXuJPBUffY7SYIPOnYglWYgJ7eyeZlxhgmTJgAwGuvvVZc4D16Bq+IiEhFkJicQfy01azfc4g+besy+obW1Aj293as0rFtASwYB21vho53eDuNiIiIiIhXqMeunMhmAqbkPnXGDzwlLbxedRxd3D1CRV0REako8grdvPTdBm586ydSs/KZdHsn3vxzx8pT1M3cW9SCoUYzuO4f6qsrIiIiIlWWCrtyIhMKeKCkhcBsAThql3ukiuRIT92jPfroo1o4TUREvC4hKY1rX1/E2wu2MqBjPeY92pOrWtfxdqzS43YVFXXzs+Cmj8A/2NuJRERERES8RoVdOZGzHjjrgz1w7HabA8YH49fWO7kqgKMXShs2bBgej6e4566KuyIi4i3Z+S5Gz1rLoHeWkF/o4eN7ujB+YDvCgny9Ha10/XccJC2CPv+AWi29nUZERERExKvUY1dOYIyBardis6cU9dot3uEPQXdU2YXTAGbMmFFc1D3SfuHonrs9e/akX79+Xk4pIiJVyaLNKYycvobk9Fzu7H4h8XHRVPOvhG/xtsyHha9A+9ug/Z+9nUZERMrBjBXJvDx3I7vTc4kKDyQ+Lpq+Hep5O5aISIVRCd/1S2kwjkgIHgaubVhPCsZUA9/mGBPo7Whe1bdvX6ZPn07fvn2Le+oeKe727NmTvn37ejmhiIhUFRm5hTw/ex3/SthF4xrV+Nf93Ym9MNLbscpGRjJMH1I0S/fal72dRkREysGMFcmMnL6G3EI3AMnpuYycvgZAxV0RkcNU2JWTMsYJvs0wNPN2lArDGFPijNyTbRcRESkL36/dy9MzEknNLuAvvZow7IpmBPg6vR2rbLhd8NU9UJgHgz4EvyBvJxIRkXLw8tyNxUXdI3IL3bw8d6MKuyIih6mwKyIiInKeSM3K55lZa/lm9R5a1Anh/TtjaVM/zNuxytYPY+H3JdD/XajZ3NtpRESknOxOzz2j7SIiVZEKuyIiIiIVnLWWWat2M3rWWrLyXTzWuzkP9GyCn08lXwd301z46VXoeCe0vcnbaUREpBxFhQeSXEIRNyq8arcHFBE5WiX/bUBERETk/LY3I497P0xg2BcruaB6NWY/3IOHr2hW+Yu66Tvh6/uhdhu45iVvpxERkXIWHxdN4HFthgJ9ncTHRXspkYhIxaMZuyIiIiIVkLWWL5bu5IXZ6yn0eHj6upbcdXEjnA7j7Whlz10I0+4u6q9704fgq9lZIiJVzZE+ui/P3cju9FyiwgOJj4tWf10RkaOosCsiIiJSwfyemsOI6av5eWsq3RpHMq5/Wy6sUc3bscrP/NGw6zcY+AFUb+LtNCIi4iV9O9RTIVdE5A+osCsiIiJSQbg9lik/J/HK3I04HYbn+8VwS2xDHFVhlu4RG2bDkjch9l6I6e/tNCIiIiIiFZZXCtwL+88AACAASURBVLvGmEHAaKAl0MVam3CS464GXgOcwHvW2nHlFlLkKNZajKlCv1RXIdbmYQsSwbUO8MH4dQCf5hjjPOW5IiKlacv+TJ6Ytprlv6dzWXRNnu/XpuotEHMwCWb8Beq2g7gXvJ1GRERERKRC89aM3USgP/DOyQ4wRVWVt4DewC5gqTFmlrV2XflElKrO2nxs/s9QsBg8WVjnBZiAKzG+zb0dTUqJ9RzCZr8L7n1gqgFubOEK8G0DQbdgjK+3I4pIFVDo9jBp4TZem7+ZIH8nE25uR9/29areHxRdBfDlXWAtDJoCPv7eTiQiIiIiUqF5pbBrrV0PnOoXli7AFmvttsPHfgHcCKiwK2XO2kJs9ofg2gKOGuAIBU8qNvtdbOCfcPh38nZEKQU27zvwpIKz/lEbLRSuxhZEY/y7ei+ciFQJickZPDFtNev2HOK6NnUZfUNraoZU0YLmvFGweznc9BFENvZ2GhERqSRmrEjWAmwiUmlV5B679YCdRz3eBajKIuXDtQlcm8FRH478AcKEgfWHvFlYvzYY4+fdjHJOrM2HgpXgqHnsDmPARELBz6DCroiUkbxCN2/8sJmJ/91GZDU/Jt7Wiatj6ng7lvesmwm/ToSuD0CrG72dRkREKokZK5IZOX0NuYVuAJLTcxk5fQ2AirsiUimUWWHXGDMfKOk3lKestTPLYLwhwBCAhg0blvblpYqxBavABP2vqHuECQBPGrh3gY9mE53XbD5goaReusYPbGa5RxKRqmHZjoM8MW0VW1OyGdipPqOua0VYUBVu/ZK2DWYOhXqdoPdYb6cREZFK5OW5G4uLukfkFrp5ee5GFXZFpFIos8KutfbKc7xEMtDgqMf1D2872XiTgEkAnTt3tuc4tohUdqYaOELA5hQV8Y/mOQS+0d7JJSKVVk6Bi5fnbmTKz0lEhQXy4d1d6Nm85qlPrMwK8+DLwUV/SB34Afjo0zAiIlJ6dqfnntF2EZHzTUVuxbAUaGaMaURRQfdPwJ+9G0mqDN8YKFxZ1G/16Fm7Nr9oNqdTf9093xnjxPpfCblfgsOn6P8VwJMN5GP8e3g1n4hULj9tOcCI6avZmZbLHd0v4ImrWxDsX5HfhpWT75+CPavgT59DxAXeTiMiIpVMVHggySUUcaPCA72QRkSk9Dm8Magxpp8xZhfQHZhtjJl7eHuUMWYOgLXWBQwF5gLrgX9Za9d6I69UPca3Bfg0Ak8y2IKiAq/nEHj2Q8C1GFNFF7apZIxfLARcDzYD3LvBvQeMG4IGY3zU0kVEzt2hvEJGfLWaW9/7FR+Hg6lDujHmxhgVdQESv4Kl70H3odDiWm+nERGRSig+LppA32NbrwX6OomP06fzRKRy8MpvFdbar4GvS9i+G7j2qMdzgDnlGK1CcXlcbMvezsZDmyiwBVwQ1JAWodEE+wR7O1qlZ4wfVBuMzf8v5C8BmwfOuuDfD+PbytvxpJQYYzABl2L9uxQVdXGCMwpjVHARkXM3f90+npqxhpTMfO6/tDGP9m5OgG8Jfb2rogNbYNbDUL8LXDna22lERKSSOtJH9+W5G9mdnktUeCDxcdHqrysilYaqFxWUy+Ni3r75/J6zi2rOIJzGyYr0Vaw7tIE+UdcS6Rfh7YilxmM95Lpz8XX44ueoOL31jAnEBFyN9b8KcAM+mOMXU5NKwZiAohnaIiKlIDUrn2f/vY5Zq3bTok4Ik27vTLsG4d6OVXEU5sKXd4LTFwZ9UPRVRESkjPTtUE+FXBGptFTYraC2Zm3j95xd1PSrUVxMDHAGkFF4iJ8PLKFP1Pn/kUVrLRszN7Hs4HJy3bmAoUm1RnSpHks1n2rejlfMGAde6loiIiLnEWst/169h9Gz1pKZV8gjVzbjwV5N8fPRPeQY3w6HfYnw5y8hrL6304iIiIiInLdU2K2gNmRuINhZ7YQZoqE+IezN20u2K7tCFT/PxpqMRH4+8AsBTn+CnEH4OfzYlp1ESsEBboy6Hn+n+tiKiMj5Yd+hPJ76OpH56/fRrn4Y4wd2I7pOiLdjVTyr/wXLP4RLHoXmV3k7jYiIiIjIeU2F3QqqwFOA05zYh+9IobfQ4yqVcdLSs9mbcggfp4OG9SIJ8C+fj0MWeApYsH8haQVpuKwbA/g5/GgQVJ+Mggy2ZyfRIlQN7UVEpGKz1vKvhJ08N3s9BS4PT17bgrsvboSPU7N0T5CyCf79CDS8CC572ttpRERERETOeyrsVlANghqwNmPdCbNWCzwFBDgCCPE9twXUXC433/53HavX76KoVGzwcTq49vLWxESXff+hDYc2sjNnJyG+IQQd7qvrsi62ZG2lfmB9krJ3qLBbSVhrScpOYmX6atIKDhLqG0LbsDY0C2mKw6jwISLnr51pOYycvobFWw7QpVEkLw1oS6Ma5/enacpMQU5RX13fQBj4Pjj1FlRERERE5FzpXXUF1Sq0FRsyN5FZmEmwTzDGGAo8BaQXZtCzZo8SZ/OeiUW/bWHl2p3UqRmKw1FU2s0vcDHz+1VEhFWjXp2yXeRl7aF1OI0TH/O/H0Ef44PHeNiXt5+mIU3KdHwpPyvSV7E0bSnVnMGE+4aR7ylgQcpC9uencEmNi7QgnYicdzwey0dLkhg/dyMGGNs3hlu7NCy+n0oJ5sTD/vVw21cQGuXtNCIiIiIilYIKuxVUqG8Ifepew+IDS0jJT8Fg8Hf4cWmNS4gOaX5O184vcPHbqh3Uqh58zC+h/n4++Pg6SVi9o0wLu27rJrMgk0BnIC6PCx/H/34MfY0vBwvTaRrcuMzGl/KT7cpm+cHlVPerXvzHiEBnAP4OP9ZnbqBVaAuq+1f3ckoRkdO3NSWL4dNWk7DjID2b1+SF/m2oFx7o7VgV24pPYeUncGk8NL3C22lERERERCoNFXYrsBr+Nbgxqg+Zrizc1kWIT8gxRdCzlZmdh8fjwcfnxFm/wUH+7Nmfcc5j/BGDwdfpS72AeuzI3YHL7cLP4Yfbusn35BPmG0r9QK2SXRnsyduLxZ4ww9xhHBgMO3N2qbArIucFl9vDpEXbeHX+ZgJ9nfx9UDv6d6ynTx2cyr51MPv/4MIe0Gukt9OIiIiIiFQqKuxWcMYYQn1Ld1XtoICinrYejweH49gep3n5hdSrE1Gq4x3PYRy0CI0mMWMd0SHR7M/bR6YrCx+HD0E+1bi4RvdSKWBLBWAt2JJ3GcCebKeISAWybvchnvhqFYnJh7gmpg7P3tiaWiEB3o5V8eVnFfXV9Q+BAe+B49zaSImIiIiIyLFUPauCggL9aNW8Lms37qF2zaKicYG7gMzCbFIzc7nmilZlnqF9eDt25SRzsDCd2gG1qU1tsj05RPpF0CGiXZmPL+WjVkBtMOCxnmMWSrPW4sESFag+iyJSceW73Lz5wxbeXrCV8CA/3r61I9e0qevtWOcHa2H2Y3BgM9wxA0LqeDuRiIiIiEilo8JuFdX7kpakHsxm9750Ul0HOJifjrVQr4UvS/gek9GdlmEtymz8QGcgN0T1YUvWVrZkbQWgQ3A7mgQ3wd/pX2bjSvkK9Q0hJqw1q9MTCfMJxd/pT4GnkPTCdBpXa0Qt/5rejigiUqLlvx9k+LTVbN6fRf+O9fhbn1aEB/l5O9b5Y/lHsHoq9HoSGvfydhoRERERkUpJhd0qKijQjzsGdGPuuiUs3rSdVoER1G4QSEi4Dy7rYtGBxYT5hREVWHYzk/yd/rQOa0XrsNKbIezxFH20XyuTVxxdI7sQ6hPKyvRVHChIxd/hR2xkZ9qGxag3pYhUOLkFbl75fiOTf9pOndAAPrgrlsuia3k71vllbyJ8+0RRQffSx72dRkRERESk0lJhtwozDktqyHbax9bGz+FbvN3X+OLvCGB1+poyLeyWpgNpWSxauoX1m/diDLRqVpdLYptSPaKat6NVeQ7joHVYK1qGtsBlXfgYn2PaMoiIVBQ/bz3AiK/W8HtaDrd2bciIa1oQEuB76hPlf/Izi/rqBoRDf/XVFREREREpSyrsVmF57jwKPIWE+Jy4OFuQM5AD+Qe8kOrMHUjLYsqXS3B7PNSsXg1rYcPWvWzZkcLggd1V3K0gHMaBn9HHmEWk4jmUV8iLczbw+W+/c0H1ID6/rxvdm1T3dqzzj7Xw72GQtg3u/DcEq92OiJy/jDFXA68BTuA9a+244/b7Ax8BnYBU4GZrbZIx5kJgPbDx8KG/WGsfKK/cUnZmrEjm5bkb2Z2eS1R4IPFx0fTtUM/bsUSkilNhtwrzc/jhMAa3deM0x86oyffkE+Ib7KVkZ2bhb5txezzUiPxf3hqRwRxIy+KnhK3c0LutF9OJiEhF9sOGfTw5PZH9mXnc16MRj/WOJtBPs0zPSsJkSPwKLh8FF17i7TQiImfNGOME3gJ6A7uApcaYWdbadUcddg9w0Frb1BjzJ+Al4ObD+7Zaa9uXa2gpUzNWJDNy+hpyC90AJKfnMnL6GgAVd0XEq/R56CrM1+FLi5AWpBUcxFpbvN1jPWS7s4kJjfFiutPjdnvYsGUvkeFBJ+yLCAti3abdxzw3ERERgLTsAh75YgV3T0kgNNCH6Q9ezFPXtVJR92ztXgnfjYCmV8Ilj3k7jYjIueoCbLHWbrPWFgBfADced8yNwIeHv58GXGG0gESl9fLcjcVF3SNyC928PHfjSc4QESkfmrFbxXWK6EBqQSp7cvfiY5x48GCtJSasNY2CLzzh+AJPAfvz9uPBUsu/JgHOgPIPLSIicpastcxes4dnZq4lI7eQh69oxl8va4K/jwq6Zy0vo6ivblAN6DcJHJo3ICLnvXrAzqMe7wK6nuwYa63LGJMBHOnj08gYswI4BDxtrV1UxnmljO1Ozz2j7SIi5UWF3SrO3+nPdXWvYXfubnblJuNrfGlYrSE1/Kpz/B+c12ds4Je0X3Hbor9UGgydIjrSLrztCceWF6fTQYumddictJ8aEce2jjiYkUOr5lFeyyYiIhXL/kN5PD0jke/X7aNNvTA+ubcrLeuGejvW+c1amDkU0nfCXXOgmnoTi0iVtwdoaK1NNcZ0AmYYY1pbaw8df6AxZggwBKBhw4blHFPORFR4IMklFHGjwgO9kEZE5H9U2BUcxkH9oPrUD6p/0mN25uxi4YHFRPiG4+soWiHc5XHxa9pvVPMJollIs/KKe4JLuzRj244DHEjLIjI8CGuLiro+TgcXd27itVwiIlIxWGv5ctkunvtmHXkuDyOuacG9lzTCx6mZpefst0mwfhb0HgMNu3k7jYhIaUkGGhz1uP7hbSUds8sY4wOEAam2qA9cPoC1dpkxZivQHEg4fhBr7SRgEkDnzp3VP64Ci4+LPqbHLkCgr5P4uGgvphIRUWFXTtPK9JUEOQOLi7oAPg4fQnxCWX5wBU2Dm3ptZmyNyGAGD+rOoqVb2LB5LwCtmtfl4tgmVI+o5pVMIiJSMew6mMPI6WtYtPkAsRdG8NKAtjSueX4sDlrhJS+DuU9B86uh+0PeTiMiUpqWAs2MMY0oKuD+CfjzccfMAu4ElgADgR+stdYYUxNIs9a6jTGNgWbAtvKLLmXhyAJpL8/dyO70XKLCA4mPi9bCaSLidSrsymnZl5dChG/4CdsDnQGkFqRSaF34Gd8SziwfNSKD6RfXHk/voj90OxxqvyAiUpV5PJZPft3BuG83ADDmxtbc1vUC3R9KS+5B+HIwhNSBvm+rr66IVCqHe+YOBeYCTmCytXatMWYMkGCtnQW8D3xsjNkCpFFU/AW4FBhjjCkEPMAD1tq08n8WUtr6dqinQq6IVDgq7MppqeYTRKGnEH+n/zHbCz0ufIwPPqZiLDqjX9hFRGRbShbDv1rN0qSD9GhWgxf7/397dx4fRZ3nf/z1SXcuCBAugSAIKocKCMixI8OMioo6eCEYZ8b5eTu662/H3Z+4+mNnxt+4rs7qjj/nWBkcx2N0FUFBRQUPxGPGAxDkkiscAwEPjkCAhFzf/aMrmUC6k07S6epK3s/Hox/prqqufld1dVX1J9/+1lCO79zO71ith3Mw7x/gwE64bgG06+J3IhGRhHPOvQ68fsywn9W6XwpMjfK8F4EXWzygiIgIKuxKnIZ2HMKf93xE97RuNV0uOOcoKi9iRO7ppJla6oiIiL8qKqv4w4db+NVbG8gKp/HglGFMOeN4XUQz0T76Hax/DSb+O/QZ7XcaEREREZE2S4VdicvgjoPYWbqLrYe2kW5hMKO8qpy87J6c3nmY3/FERKSN+2LXAe6cs5JVhfuZeFoP7r10CMd1zPI7VuuzfQm8/XMYPAn+7u/9TiMiIiIi0qapsCtxCaeFObfHOewq/ZItB7dSRRX92p9A7+w8QinSDYOIiLQ9Ryoq+d27BfzXu5volJ3O734wkouG9lQr3ZZweG+kX92OeXDpb0HrWERERETEVyrsStzSLI3e2Xn0zs7zO4qIiAgrthdx55zP2fDVQS4f0ZufTjqVLu0z/I7VOlVVwdxb4NDXcP1CyO7sdyIRERERkTZPhV0REREJlJKySn711noe/3ALx3XI4o/XjuKcwT38jtW6/eXXsHEhXPgg9B7pdxoREREREQF0xSsRERFJKVu3bmXIkCFRx328eQ8XPvI+j32whfzRfXnzn7/TokXde+65h4ceeqjF5h8I2z6Cd34Bp14GY27yO42IiIiIiHjUYldERERSXnFpOQ+8sY5nP/krfbu0479vGsuZJ3XzO1brd2g3zLkecvvCJb9Wv7oiIiIiIilELXZFREQk5VRWVnLTTTdx2mmnMWrcWYy/62keuvVybvh2fxbcPp7uVfsYOTLSJUC/fv248847GTp0KGPGjGHTpk1R57l//35OOOEEqqqqADh06BB9+vShvLycxx57jNGjR3P66adzxRVXcPjw4TrPP+uss1i6dCkAu3fvpl+/fjVZp02bxujRoxk2bBi///3vW2CN+KCqCl66GQ7vgSufgqxOficSEREREZFaVNiVoxSVFfHpniUs2PUmn+5ZQlFZkd+RRESkDdq4cSM/uv5mJv7sWb7YU0n5N5sZ2r8XFx9fRruMME888QTXXXddzfSdOnVi1apV3Hbbbdx+++1R59mpUyeGDx/Oe++9B8D8+fOZOHEi6enpTJ48mSVLlvD5559zyimn8Pjjj8ed9fHHH6dTp04sWbKEJUuW8Nhjj7Fly5bmrYBU8OF/QsE7cMH90Ot0v9OIiIi0CvOWFzLugUX0v+s1xj2wiHnLC/2OJCIBpsKu1Nh8cAtzdsxl1f7V7D6ym1X7VzNnx1w2H2wFX05TVJWrYsfhQpbtW86q/avZX77f70giEhBm1sXM3jKzjd7fzjGmW2BmRWY2/5jh/c3sEzPbZGazzCwjOcnj06N3X/75nSJe+XwnZ40by9RBmfzTbbfwxBNPUFlZyaxZs/jBD35QM/33v//9mr8fffRRzPnm5+cza9YsAJ5//nny8/MBWL16NePHj2fo0KE8++yzrFmzJu6sb775Jk8//TTDhw9n7Nix7Nmzh40bNzZlsVPHlg/g3X+HIVfAqOv9TiMiItIqzFteyN0vraKwqAQHFBaVcPdLq1TcFZEmU2FXACipLGHxN+/RIZxDl4wutA+3p0tGFzqEc3jvm/cpqSzxO2KrU1JZwis7X+P1XQtYsW8FH+/+lNnbX+TzopU45/yOJyKp7y7gHefcAOAd73E0DwI/ijL8l8DDzrmTgX3ADS2SspG+Li5l+kur+OZwJT07ZfHybeM4+5SeUFXFFVdcwRtvvMH8+fM544wz6Nq1a83zrFbfr1ZPP7CXXHIJCxYsYO/evSxbtoxzzjkHgGuvvZbf/va3rFq1ip///OeUlpbWeW44HK7pxqH2eOccv/nNb1ixYgUrVqxgy5YtnH/++c1eF745+DW8eAN0OREufkT96oqIiCTIgwvXU1JeedSwkvJKHly43qdEIhJ0KuwKAIWHC6lwlWSkHd1gKyMtg3JXQeFh/Qcx0T7a/Qm7j+yme2Y3umR0oVtmV3LTc/l4z6d8WfqV3/FEJPVdCjzl3X8KuCzaRM65d4Di2sMsUvk8B5jT0POTxTnHnGU7OO9X7/OXzXvolpPJvL8fx2l5f+vXNSsri4kTJ3Lrrbce1Q0DUNMKd9asWXzrW9+K+To5OTmMHj2an/zkJ0yaNIlQKARAcXExvXr1ory8nGeffTbqc/v168eyZcsAmDNnTs3wiRMn8uijj1JeXg7Ahg0bOHToUBPWQgqoqoQXb4TS/TD1Kcjs4HciERGRVmNnUfQGU7GGi4g0RIVdAaC06ggWo5Gouch4SZySyhI2H9pM5/Tco4aHLERmWgZrDqz1KZmIBEgP59wu7/6XQI9GPLcrUOScq/Ae7wB6JzJcY5VXOma8V8DJx+XwxLWj6dI+g3Co7mnKD3/4Q9LS0uq0iN23bx/Dhg3jkUce4eGHH673tfLz83nmmWdqumEAuPfeexk7dizjxo1j8ODBUZ93xx138OijjzJixAh2795dM/zGG2/k1FNPZeTIkQwZMoQf//jHVFRURJ1Hynv/QdjyHlz0IPQc4ncaERGRViUvN7tRw0VEGmKt8Sffo0aNctVXrZb47CzZyfydb9A9s1udcd8c2c2kvAvJy87zIVnrtLdsLy/teJmuGV3qjCutLCUjlMEVx1/uQzKR1s/MljnnRvmdIx5m9jbQM8qo6cBTzrncWtPuc87F6mf3LOAO59wk73E34GOvGwbMrA/whnOuTiXPzG4Gbgbo27fvGdu2bWveQtXjqwOldMvJJJQW+6f/Dz30EPv37+fee++tGdavXz+WLl1Kt251j2HSCJsXw9OXwbB8uHyGumAQkUYL0jE21eg7bNtQ3cdu7e4YstND3D95KJeNaNz/2OctL+TBhevZWVRCXm420yYOavQ8RCQ4Yh1jw36EkdTTM6sn3bO6se/IPnLTczEznHMUlRfRPasbPbOi1RWkqdqF2mFApaskZKGjxpVWlZKX3cufYCKSUpxz58YaZ2ZfmVkv59wuM+sFfN2IWe8Bcs0s7LXaPR6I2ueOc24mMBMiXzob8RqN1qNjVr3jL7/8cgoKCli0aFFLxmibir+MdMHQbSBM+pWKuiIiIi2guvDa3ILssQXi6ouw1X4NEWkbVNgVANIsjfN7nMf737zPjpJCjDQcVRyf3Zvvdv8OaaZeOxIpK5TFoA6D+OLAWrpmdKu50E9ZVTkVroJTO53ic0IRCYBXgGuAB7y/L8f7ROecM7N3gSnA8419vl/mzp0bdfjWrVvrDLvvvvuYPXv2UcOmTp3K9OnTWyJasFVWwJwboOwQXPMqZLT3O5GIiEirddmI3s0uvtZ3ETYVdkXaFhV2pUb7cDsu7HUBRWX7OVx5iHah9uRmdGr4idIkY7qOpqTyMFsObYsUdp0jlBbmrO7fpXtmd7/jiUjqewB4wcxuALYBVwKY2SjgFufcjd7jD4DBQI6Z7QBucM4tBP4FeN7M/g1YDjzuwzK0mOnTp6uIG6/F98O2D+GyR+E4/WNRREQk1SXyImzq0kEk2FTYlTpyMzqRiwq6LS0jLZ1ze0xgb9k+9pTtIWxh8rJ7kRWq/6fIIiIAzrk9wIQow5cCN9Z6PD7G8zcDY1osoATDprfhg/+E4VfD8B/4nUZERETikJebTWGUIm5jL8KmLh1Egk+/rxfxkZnRNbMLAzsM4MSc/irqiohI8uwvhJdujrTSvehBv9OIiIhInKZNHER2+tHXaslODzFt4qBGzae+Lh1EJBjUYldERESkramsgBdvgPJSmPoUZLTzO5GIiIjEKVEXYUtklw4i4g8VdkVERETamkX3wl8/gsl/gO4D/U4jIiIijZSIi7AlqksHEfGPCrvSKJWukk3FBXxRvI6SihLy2uUxpONpdM3s4nc0ERERiceGhfDn/w9nXAvDpvqdRkRERHwybeKgo/rYhaZ16QC6CJuIX1TYlbhVuSoWfbWYzYc2kxPKIZwWpuBgAZuKN3FBz/Pp3U47bRERkZRWtB3m/hh6DIULHvA7jYiIiPgoUV066CJsIv5RYVfitv3wDjYf2kL3jO6YGQAZaRmUVJbw/u4Pye8zlTTT9fhERERSUkUZzLku0r/ulU9Bun5mKSIi0tYlokuH+i7CpsKuSMvypQpnZlPNbI2ZVZnZqHqm22pmq8xshZktTWZGqWtj8Say0jJrirrVskPZHKw4xJ6yvT4lExERkQa98/9gxxK45NfQ9SS/04iIiEgroYuwifjHr+aVq4HJwPtxTHu2c264cy5mAViSo8KV19sit8pVxhwnIiIiPlr3Gnz0Wxh9IwyZ7HcaERERaUViXWxNF2ETaXm+dMXgnPsCqNPyU1Jb33Z92F5SSA45Rw2vqKogbCE6Z3SOaz6HS8pY+cUO1mzYhZkxdFAeQwbnkZ2V0RKxRURE2rZ9W2HerdBrOEz8d7/TiIiISCuTyIuwiUjjpHofuw5408wc8Hvn3Ey/A7VlJ+WcxMr9q9lXvo9O4U6kWRplVWUUlRcxtutYMtIaLswWHyrl6Rc/oWj/YTrkZAKw8IO1fLZ2Oz+6fCztsoNT3C2vKmfdgfWsObCW0spSemX3ZHju6fTI6uF3NBERkYiKMph9XeSMauqTEM70O5GIiIi0Mom6CJuINF6LFXbN7G2gZ5RR051zL8c5m2875wrN7DjgLTNb55yL2n2Dmd0M3AzQt2/fJmWW+mWGMpnU6yI+2vsJ2w5tAyArLYtvdxvHqR1PiWsef15SwIHiEnoe17FmWPt2mXz59QE++mwzE8YNbpHsiVZRVcHbX73DXw/voFO4IznhHL4s+YpXDs/nvB4T6Ne+n98RRURE4K2fws7PIP8Z6NLf7zQiIiLSSiXiImwA85YXqkAs0ggtVth1zp2bgHkUen+/NrO5wBhi9MvrteadCTBq1CjX3NeW6HLSczivxwRKK0spryqnXbgdIQvF8wTMJAAAF+xJREFU9dzKyio+/2IHXTq3rzOua+f2rFizPTCF3e2Ht/PXwzvontGtpkuRjukdKa0s5cPdf6FPuz5xrxcREZEWsfZl+GQGjL0VTrnY7zQiIiIi9Zq3vPCoLh0Ki0q4+6VVACruisTg18XTGmRm7c2sQ/V94HwiF12TFJAVyqJDeodGFS+dc1RUVBFKq9u3ciiUxpGyCpwLRk2+4OBmstKy6vQTnRXKorSylD1H9vqUTEREBNi7GV6+DXqfAef9wu80IiIiIg16cOH6o/rpBSgpr+TBhet9SiSS+nwp7JrZ5Wa2A/gW8JqZLfSG55nZ695kPYAPzexz4FPgNefcAj/ySmKEwyH65HXmwMHSOuP2F5dwYt9ugbmgXiWVpMXMalRRldQ8IiIiNcpLYfa1YAZTnoBwcPqvFxERkbZrZ1FJo4aLiE8XT3POzQXmRhm+E7jIu78ZOD3J0aSFfXfsAJ6Z+wnhUBrt22XinOPQ4TLKyioYP2aA3/Hi1q9dP/56aDs54ZyjhpdXlRO2MF0zuviUTERE2ryF/xd2fQ5XPQedT/A7jYiIiEhc8nKzKYxSxM3LzW70vNRXr7QVKdsVg7ROJxzflfyLRxEOpfHlNwf4encxmRlhrrpkNL175vodL279c/rRObMze8r2UOkiPxUpqSxlX/k+Rnc5g/S0dJ8TiohIm7T6RVj6OJz5v2HwRX6nEREREYnbtImDyE4/urvH7PQQ0yYOatR8qvvqLSwqwfG3vnrnLS9MYFqR1OBLi11p207udxwn9u1O0YHDAHTu1C4wXTBUy0jL4Hu9LmTp3s/YULyRKqroGO7AhOPO4aScE/2OJyIibdHuTfDKP0KfsTDh536nEREREWmU6ha1zW1pW19fvY2dl1r+SqpTYVd8kZZmdMlt73eMZskOZTO++zi+1XUsFa6CzLTMwBWoRUSklSgvgdnXQCgDpvwRQvrliIiIiATPZSN6N7twmqi+eqtb/lYXiatb/lbnFEkF6opBpJnCaWGyQlkq6oqIiH/e+Bf4ajVMngmdjvc7jYiIiIhvYvXJ29i+eutr+SuSKlTYFREREQmylS/AZ0/Bt/8JBpzndxoRERERXyWqr95EtfwVaUkq7IqIiIgE1Tfr4dXboe+ZcPa/+p1GRERExHeXjejN/ZOH0js3GwN652Zz/+Shje4+IVEtf0VakvrYFREREQmiskPwwjWQng1THoeQTutEREREIDF99U6bOOioPnahaS1/QRdhk5ajbwAiIiIiQfT6NPhmHVz9InTM8zuNiIiISKtSXXhtbkFWF2GTlqTCroiIiEjQLH8WVjwL37kTTp7gdxoRERGRVikRLX/ruwibCrvSXOpjV0RERCRIvlrLPf/nxzz0RR6cdVeDky9evJhJkybV3P/LX/7S0glFRERExJOoi7DNW17IuAcW0f+u1xj3wCLmLS9sUp5EzUdSg1rsioiIiATFkYMw+xoIZcCwKyEt1PBzalm8eDE5OTmceeaZLRRQRERERGrLy82mMEoRtzEXYUtUdw6J7BZC/QanBrXYFREREQmA+/7t3xjYvzff/o/lrM8aQalLZ8yYMTXjt27dytChQwFYsGABgwcPZuTIkbz00ks142fMmMHDDz/M8OHD+eCDD6K+zrXXXsstt9zCqFGjGDhwIPPnz2/5hRMRERFppaZNHER2+tH/jG/sRdjq686hMRI1n+oCcWFRCY6/FYib0vpXLYibRy12pVXbW7aXFftWsuXQVkKWxsAOAxmWO4SccI7f0UREROK2bNkynn/y96y4zlFx5k8Z+ZM/ccZ3sygrK2PLli3079+fWbNmkZ+fT2lpKTfddBOLFi3i5JNPJj8/H4B+/fpxyy23kJOTwx133FHv623dupVPP/2UgoICzj77bDZt2kRWVlYyFlVEJGWY2QXAI0AI+INz7oFjxmcCTwNnAHuAfOfcVm/c3cANQCXwj865hUmMLiIpJBEXYUtUdw6Jmk+i+g1WC+LmU2FXWq3dR3bz6s7XwEGn9I4451h7YC3bDm/jkrxJtA+39zuiiIhIXD54bRaX99lLu0HnwoX/yiXv7AfgyiuvZNasWdx1113MmjWLWbNmsW7dOvr378+AAQMAuPrqq5k5c2ajXu/KK68kLS2NAQMGcOKJJ7Ju3TqGDx+e8OUSEUlVZhYCfgecB+wAlpjZK865tbUmuwHY55w72cyuAn4J5JvZqcBVwGlAHvC2mQ10zh1dBRGRNqO5F2FLRHcOiZyPCsTJm09D1BWDtFqf7FlKGmnkZuQSshDhtDBdM7pysOIQa/avbXgGIiIiqaD0AKz4bwhnweQ/HNWvbn5+Pi+88AIbNmzAzGqKuc1lZvU+FhFpA8YAm5xzm51zZcDzwKXHTHMp8JR3fw4wwSI7zEuB551zR5xzW4BN3vxERJokEd05JHI+sQrBqVggboxEdTGRyK4qGqLCrrRKRyqPsLO0kA7hDnXGdQx3ZMPBjT6kEhERaSTn4NWf8J3u+5m3vTMloRyKi4t59dVXATjppJMIhULce++9NV0uDB48mK1bt1JQUADAc889VzO7Dh06UFxc3ODLzp49m6qqKgoKCti8eTODBjXuZF9EpBXoDWyv9XiHNyzqNM65CmA/0DXO54qIxO2yEb25f/JQeudmY0Dv3Gzunzy00S1AEzUfFYiTM594qCsGaXOMyPdkERGRlFdxBCrLGHn1PeT3LeX000/nuOOOY/To0TWT5OfnM23aNLZs2QJAVlYWM2fO5Hvf+x7t2rVj/PjxNcXciy++mClTpvDyyy/zm9/8hvHjx0d92b59+zJmzBgOHDjAjBkz1L+uiEgLMLObgZshst8VEalPc7tzSOR8EtFvMEQKxLW7UICmF4hTqYuJRM0nHirsSquUkZZBj6weFJXtp8MxF0o7UFHMqR1P8SmZiIhII6RnQf4z4BzTx6cxffr0OpPccccddS6GdsEFF7Bu3bo60w4cOJCVK1c2+LLnnnsuM2bMaHpuEZHgKwT61Hp8vDcs2jQ7zCwMdCJyEbV4notzbiYwE2DUqFFqeiIigaICccvPJx7qikFaJTNjbJfRlFeVcaD8AM45qlwV+8r3kZmWwdBOp/kdUUREJD5mkKZTNhGRJFsCDDCz/maWQeRiaK8cM80rwDXe/SnAIuec84ZfZWaZZtYfGAB8mqTcIiKBctmI3vz5rnPY8sD3+PNd5zSpWJxqXUwkaj7xUItdabV6ZPXg4rxJLN27jMLSnRjGSe37M7LLCDqk1+17V0REpC257777mD179lHDpk6dypNPPulPIBGRFOKcqzCz24CFQAj4o3NujZn9AljqnHsFeBz4k5ltAvYSKf7iTfcCsBaoAP7BOVcZ9YVERCQhUqkFcaLmEw9zrbCz0VGjRrmlS5f6HUNSSEVVBWZGyEINTywirZqZLXPOjfI7RxDp+CoiIvXRMbbpdIwVEZH6xDrGqsWutAnhNG3qIiIiIiIiIiLSeqjDNhEREREREREREZGAUWFXREREREREREREJGBU2BUREREREREREREJGBV2RURERERERERERAJGhV0RERERERERERGRgFFhV0RERERERERERCRgVNgVERERERERERERCRgVdkVEREREREREREQCRoVdERERERERERERkYBRYVdEREREREREREQkYFTYFREREREREREREQkYFXZFREREREREREREAkaFXREREREREREREZGAUWFXREREREREREREJGDMOed3hoQzs2+AbX7naKJuwG6/QySRlrd10/K2bkFd3hOcc939DhFEST6+BnX7qhbk/EHODsHOH+TsEOz8Qc4OqZNfx9gmSuAxNlW2hcYIWuag5QVlTpagZQ5aXmjbmaMeY1tlYTfIzGypc26U3zmSRcvbuml5W7e2trySXEHfvoKcP8jZIdj5g5wdgp0/yNkh+PklcYK4LQQtc9DygjInS9AyBy0vKHM06opBREREREREREREJGBU2BUREREREREREREJGBV2U89MvwMkmZa3ddPytm5tbXkluYK+fQU5f5CzQ7DzBzk7BDt/kLND8PNL4gRxWwha5qDlBWVOlqBlDlpeUOY61MeuiIiIiIiIiIiISMCoxa6IiIiIiIiIiIhIwKiw6zMzm2pma8ysysxiXiXPzC4ws/VmtsnM7kpmxkQysy5m9paZbfT+do4xXaWZrfBuryQ7Z3M09F6ZWaaZzfLGf2Jm/ZKfMnHiWN5rzeybWu/njX7kTBQz+6OZfW1mq2OMNzP7tbc+VprZyGRnTKQ4lvcsM9tf6/39WbIzSnDFc0wws+Fm9pF3rFxpZvm1xvX39qObvP1qRqrl96ZbYGZFZjb/mOFPmtmWWp+f4clJnpDsQVn313jTbDSza2oNX+wdu6rX/XFJyNzk8wMzu9sbvt7MJrZ01ijZmpTdzPqZWUmt9Twj2dm9HA3l/46ZfWZmFWY25ZhxUbehZGlm9sCeT0vDmrNPSTYz62Nm75rZWu94/pMo06TcOaWZbTWzVV6epVHGm6XQeb+ZDaq1/laY2QEzu/2YaXxfzxbl+0Vzj+s+ZX7QzNZ57/1cM8uN8dx6t6Mk5r3HzAprvfcXxXiuL7WnGJln1cq71cxWxHhu0tex97pR921J356dc7r5eANOAQYBi4FRMaYJAQXAiUAG8Dlwqt/Zm7i8/wHc5d2/C/hljOkO+p21icvX4HsF/D0ww7t/FTDL79wtvLzXAr/1O2sCl/k7wEhgdYzxFwFvAAb8HfCJ35lbeHnPAub7nVO3YN7iOSYAA4EB3v08YBeQ6z1+AbjKuz8DuDXV8nvjJgAXH/tZAZ4EpqTqum8ge8qve6ALsNn729m739kbF/O8q4XyNvn8ADjVmz4T6O/NJxSQ7P1iHT9SLH8/YBjwdO3PZH3bUKpn98YF8nxat4RtGynznQPoBYz07ncANkTJe9axxxq/b8BWoFs941P2vN/bRr4ETki19UyU7xc087juU+bzgbB3/5fRMsezHSUx7z3AHXFsN77UnqJlPmb8fwI/S5V17L1u1H1bsrdntdj1mXPuC+fc+gYmGwNscs5tds6VAc8Dl7Z8uhZxKfCUd/8p4DIfs7SEeN6r2utgDjDBzCyJGROpNW2bcXHOvQ/srWeSS4GnXcTHQK6Z9UpOusSLY3lFmqPBY4JzboNzbqN3fyfwNdDd22+eQ2Q/GvP5LSyuY5pz7h2gOFmh4tTk7AFa9xOBt5xze51z+4C3gAuSlO9YzTk/uBR43jl3xDm3BdjkzS9Zgn5u02B+59xW59xKoOqY5/q9DTUnu7RugfpcOud2Oec+8+4XA18Avf3IkmCpfN4/AShwzm3zO8ixYny/SOnjerTMzrk3nXMV3sOPgeOTkSUezfgO59v3+/oye/uuK4HnkpElXvXs25K6PauwGwy9ge21Hu8guAfCHs65Xd79L4EeMabLMrOlZvaxmQWp+BvPe1UzjXcg2A90TUq6xIt327zC+4nKHDPrk5xovmlNn9d4fcvMPjezN8zsNL/DSKDEe0wAwMzGEGk9UEBkv1lU64Taj89ao/LHcJ+3f3zYzDITmK0hzckelHXf0P74Ce8nez9NQrGjOecHfh9Xmntu09/MlpvZe2Y2vqXDRtGc9ReEdV+foJ5PS8MC+53DIl1CjAA+iTI61c4pHfCmmS0zs5ujjPd7H1Gfq4hdBEu19QyJOa776XoirbejaWg7SqbbvPPOP8boHiBV1/F44Kvqxh5R+L6Oj9m3JXV7DjflSdI4ZvY20DPKqOnOuZeTnael1be8tR8455yZuRizOcE5V2hmJwKLzGyVc64g0VklKV4FnnPOHTGzHxP5j9U5PmeSxPmMyOf1oNdP0zxggM+ZJIUk6JiA1wLmT8A1zrmqZDU6SlT+GO4mcrKXAcwE/gX4RVNyRtPC2VtcC+f/oXee0QF4EfgRkZ+yS2LtAvo65/aY2RnAPDM7zTl3wO9gbYTOpyWlmFkOkX3u7VH2A6l4Tvlt7zN0HPCWma3zWhWmNIv0e38JkfOMY6Xiej5Kqp6XxGJm04EK4NkYk6TKdvQocC+RIui9RLo2uN6HHE3xfepvrevrOj5231b7e0oytmcVdpPAOXduM2dRCNRu5Xi8Nywl1be8ZvaVmfVyzu3yvqR/HWMehd7fzWa2mMh/PoJwIhrPe1U9zQ4zCwOdgD3JiZdwDS6vc672sv2BSH8zrVmgPq/NVfuk3Dn3upn9l5l1c87t9jOXpI5EHBPMrCPwGpF/iH7sDd5D5CePYa8lUot81hKRv555V/8n/4iZPQHc0Yyo0ebfUtmDsu4LifQlWO14In3r1j7PKDaz/yby08OWLOw25/zA7+NKk7M75xxwBMA5t8zMCoj0m520C5vQvPUXcxtKkma99wE+n5aGBe47h5mlEyl8POuce+nY8al4TlnrM/S1mc0lcqyoXSzye/8cy4XAZ865r44dkYrr2dOs47pfzOxaYBIwwTvm1RHHdpQUtbcHM3sMmB9lspTbpr3912TgjFjT+LmOY+zbkro9qyuGYFgCDLDIFagziPysIqhXtn0FqL7a3zVAnRbLZta5+ueoZtYNGAesTVrC5onnvaq9DqYAi2IdBAKgweW1o/uZuoRIvzOt2SvA/7KIvwP21yretDpm1tO8f0la5GfyaQT3HxWSfPEcEzKAuUT6sKvu0xVvv/kukf1ozOe3sAbz16d6/+h9hi4DVtf/jIRqcvYArfuFwPneeUVnIhc5WWhmYe/8ovpkfBItv+6bc37wCnCVRa5w359Iy6pPWzhvbU3ObmbdzSwE4LUaHUDk4iDJ1Jzz6KjbUAvljKbJ2QN+Pi0NC9R3Du849zjwhXPuVzGmSalzSjNr7/2qAzNrT+Tzf+yxIlXP+2O2bky19VxLk4/rScpXh5ldANwJXOKcOxxjmni2o6Q45nv55TFypGLt6VxgnXNuR7SRfq7jevZtyd2eXZKvGqdbnSvhXU6kL40jwFfAQm94HvB6rekuInKFvQIiLZZ8z97E5e0KvANsBN4GunjDRwF/8O6fCawicgXGVcANfudu5DLWea+I/LT2Eu9+FjCbyMVPPgVO9DtzCy/v/cAa7/18Fxjsd+ZmLu9zRH5aWu59dm8AbgFu8cYb8DtvfawiiVdd92l5b6v1/n4MnOl3Zt2Cc4vzmHC1t/2tqHUb7o070duPbvL2q5mplt97/AHwDVDifY4mesMXefuJ1cAzQE6Asgdl3V/vZdwEXOcNaw8sA1Z6+69HgFASMjf5/IBI9xMFwHrgwmSu6+ZkB67w1vEKIj//vTjZ2ePMP9rbvg8RKXCsqW8bCkJ2An4+rVtCto2U+c4BfJvIz79X8rdj+UWk8DklkePc595tTa11nNLn/USOcXuATrWGpdR6Jvr3iyYf133MvIlIH6nV2/QMb9qaWk6s7cinvH/yttOVRAqPvY7N6z32pfYULbM3/Mnq7bfWtL6vY++1Y+3bkro9mzczEREREREREREREQkIdcUgIiIiIiIiIiIiEjAq7IqIiIiIiIiIiIgEjAq7IiIiIiIiIiIiIgGjwq6IiIiIiIiIiIhIwKiwKyIiIiIiIiIiIhIwKuyKBJyZVZrZCjNbbWazzaydN7ynmT1vZgVmtszMXjezgd64BWZWZGbz/U0vIiIiIiIiIiJNocKuSPCVOOeGO+eGAGXALWZmwFxgsXPuJOfcGcDdQA/vOQ8CP/InroiIiIiIiIiINJcKuyKtywfAycDZQLlzbkb1COfc5865D7z77wDF/kQUEREREREREZHmUmFXpJUwszBwIbAKGAIs8zeRiIiIiIiIiIi0FBV2RYIv28xWAEuBvwKP+5xHRERERERERERaWNjvACLSbCXOueG1B5jZGmCKT3lERERERERERKSFqcWuSOu0CMg0s5urB5jZMDMb72MmERERERERERFJEBV2RVoh55wDLgfONbMCrwXv/cCXAGb2ATAbmGBmO8xson9pRURERERERESksSxS/xERERERERERERGRoFCLXREREREREREREZGAUWFXREREREREREREJGBU2BUREREREREREREJGBV2RURERERERERERAJGhV0RERERERERERGRgFFhV0RERERERERERCRgVNgVERERERERERERCRgVdkVEREREREREREQC5n8AlpygJi1txt4AAAAASUVORK5CYII=\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } ], "source": [ @@ -1378,6 +1362,41 @@ }, { "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 696 + }, + "id": "w-xwXTag4uaM", + "outputId": "e4c2ef26-9b3f-435a-e786-550dc5391615" + }, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], "source": [ "import numpy as np\n", "import pandas as pd\n", @@ -1441,41 +1460,6 @@ "features = ['a','b','c','d','e','f']\n", "rand_df = pd.DataFrame(data=np.random.randn(100,6),columns=features)\n", "plot_pca_with_loadings(rand_df,features)" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 696 - }, - "id": "w-xwXTag4uaM", - "outputId": "e4c2ef26-9b3f-435a-e786-550dc5391615" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "display_data", - "data": { - "text/plain": [ - "
" - ], - "image/png": "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\n" - }, - "metadata": { - "needs_background": "light" - } - }, - { - "output_type": "display_data", - "data": { - "text/plain": [ - "
" - ], - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYgAAAEJCAYAAACOr7BbAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAcU0lEQVR4nO3df5RdVX338feHIZhRG4Myy5KECLSQx/AzOMQiioKVhFogpUECokJdYlWsPxZRsuySB3y6tOaxtVqWiIg/6o8IErKCDzJQQdQqmkkCxEBDYxZCJippJWBgxPz4PH/cM3AzuTNzJpkzdzL381rrrnvOPmef+z1hkW/O3vvsLdtERET0t1+zA4iIiLEpCSIiIhpKgoiIiIaSICIioqEkiIiIaCgJIiIiGqo0QUiaK2mdpPWSLm9w/IOSHpB0v6TvSXpZ3bG3Sfqv4vO2KuOMiIjdqar3ICS1AQ8BbwA2AiuA820/UHfOqcBPbT8t6V3A62yfJ+nFQDfQCRhYCbzC9uOVBBsREbvZv8JrzwbW294AIGkJcDbwbIKwfVfd+fcAFxbbc4A7bP+2qHsHMBf45kA/dtBBB/nQQw8dyfgjIsa9lStX/rftjkbHqkwQU4FH6/Y3Aq8c5Py3A98dpO7U/hUkXQJcAjB9+nS6u7v3Jt6IiJYj6ZcDHRsTndSSLqTWnLR4OPVsX2u703ZnR0fDBBgREXuoygTRAxxStz+tKNuFpD8HPgKcZfuZ4dSNiIjqVJkgVgBHSDpM0gHAAmB5/QmSZgGfp5YcHqs71AWcLulASQcCpxdlERExSirrg7C9XdKl1P5ibwOut71W0lVAt+3l1JqUXgjcKAngEdtn2f6tpI9RSzIAV/V1WEdExOiobJjraOvs7HQ6qSMihkfSStudjY5VOYppn7BsdQ+Lu9axaUsvUya3s3DODObN2m3AVEREy2npBLFsdQ+Llq6hd9sOAHq29LJo6RqAJImIaHljYphrsyzuWvdscujTu20Hi7vWNSmiiIixo6UTxKYtvcMqj4hoJS2dIKZMbh9WeUREK2npBLFwzgzaJ7TtUtY+oY2Fc2Y0KaKIiLGjpTup+zqiW20UU0ZuRUQZLZ0goJYkWukvx4zcioiyWrqJqRVl5FZElJUE0WIycisiykqCaDEZuRURZSVBtJiM3IqIslq+k7rVtOrIrYgYviSIFtRqI7ciYs+kiSkiIhpKgoiIiIaSICIioqEkiIiIaCgJIiIiGkqCiIiIhpIgIiKioSSIiIhoqNIEIWmupHWS1ku6vMHxUyStkrRd0vx+x/5R0s+Lz3lVxhkREburLEFIagOuBs4AZgLnS5rZ77RHgIuAb/Sr+0bgBOB44JXAZZImVRVrRETsrsoniNnAetsbbP8BWAKcXX+C7Ydt3w/s7Fd3JvAD29ttPwXcD8ytMNaIiOinygQxFXi0bn9jUVbGfcBcSc+XdBBwKnBI/5MkXSKpW1L35s2b9zrgiIh4zpjspLZ9O3Ar8GPgm8BPgB0NzrvWdqftzo6OjlGOMiJifKsyQfSw67/6pxVlpdj+B9vH234DIOChEY4vIiIGUWWCWAEcIekwSQcAC4DlZSpKapP0kmL7WOBY4PbKIo2IiN1Uth6E7e2SLgW6gDbgettrJV0FdNteLulE4GbgQOBMSVfaPgqYAPxQEsCTwIW2t1cVa0RE7K7SBYNs30qtL6G+7KN12yuoNT31r/d7aiOZIiKiScZkJ3VERDRfEkRERDSUBBEREQ0lQURERENDJghJL5X0RUnfLfZnSnp79aFFREQzlXmC+DK1oapTiv2HgPdXFVBERIwNZRLEQbZvoJhQr3gfYbdpLyIiYnwpkyCeKt5qNoCkPwOeqDSqiIhoujIvyn2Q2hQZfyLpP4AOYP7gVSIiYl83ZIKwvUrSa4EZ1CbNW2d7W+WRRUREU5UZxfQe4IW219r+OfBCSe+uPrSIiGimMn0Q77C9pW/H9uPAO6oLKSIixoIyCaJNxbSq8Oxa0wdUF1JERIwFZTqpbwO+Jenzxf47i7KIiBjHyiSID1NLCu8q9u8ArqssooiIGBPKjGLaCXyu+ERERIsYMkFIOhn438DLivMF2Pbh1YYWERHNVKaJ6YvAB4CVZIqNiIiWUSZBPGH7u5VHEhERY0qZBHGXpMXAUuCZvkLbqyqLKiIimq5Mgnhl8d1ZV2bgtJEPJyIixooyo5hOHY1AIiJibCm15KikN0r6kKSP9n1K1psraZ2k9ZIub3D8FEmrJG2XNL/fsU9KWivpQUmfqX+bOyIiqldmsr5rgPOA91Ib4noutSGvQ9VrA64GzgBmAudLmtnvtEeAi4Bv9Kv7KuBk4FjgaOBE4LVD/WZERIycMk8Qr7L9VuBx21cCJwFHlqg3G1hve4PtPwBLgLPrT7D9sO37KVarqz8ETKQ259PzgAnAb0r8ZkREjJAyCaK3+H5a0hRgG3BwiXpTgUfr9jcWZUOy/RPgLuBXxafL9oP9z5N0iaRuSd2bN28uc+mIiCipTIL4jqTJwGJgFfAw8M0qg5L0p8DLgWnUksppkl7T/zzb19rutN3Z0dFRZUgRES2nzCimjxWbN0n6DjDRdpk1qXuAQ+r2pxVlZfwVcI/trQCSvkutaeuHJetHRMReGvAJQtJpxfc5fR/gjcDri+2hrACOkHSYpAOABdTWti7jEeC1kvaXNIFaB/VuTUwREVGdwZ4gXgvcCZzZ4JipvVk9INvbJV0KdAFtwPW210q6Cui2vVzSicDNwIHAmZKutH0U8G1qL+KtKX7rNtu3DPPeIiJiL8j2wAel/YD5tm8YvZD2TGdnp7u7u5sdRkTEPkXSStudjY4N2gdhe6ekDwFjPkFEDGTZ6h4Wd61j05ZepkxuZ+GcGcybVWpAXURLKzMX079Lugz4FvBUX6Ht31YWVcQIWba6h0VL19C7rTZTfc+WXhYtXQOQJBExhDIJ4rzi+z11ZQayYFCMeYu71j2bHPr0btvB4q51SRARQygzzPWw0QgkogqbtvQOqzwinlPmCQJJR1ObT2liX5ntr1YVVMRImTK5nZ4GyWDK5PYmRBOxbykzWd8VwGeLz6nAJ4GzKo4rYkQsnDOD9gltu5S1T2hj4ZwZTYooYt9RZqqN+cDrgV/bvhg4DnhRpVFFjJB5s6by8XOOYerkdgRMndzOx885Jv0PESWUaWLqLYa7bpc0CXiMXafQiBjT5s2amoQQsQfKJIjuYrK+LwArga3ATyqNKiIimq7MKKZ3F5vXSLoNmFSs4RAREeNYmU7q5ZIukPSCugV+IiJinCvTSf0p4NXAA5K+LWm+pIlDVYqIiH1bmSamu4G7izWmTwPeAVwPTKo4toiIaKKyL8q1U5v2+zzgBOArVQYVERHNN2SCkHQDMBu4DfhX4G7bO6sOLCIimqvME8QXgfNt7xjyzIiIGDfK9EF0jUYgERExtpQZxRQRES0oCSIiIhoasIlJ0gmDVbS9auTDiYiIsWKwPohPFd8TgU7gPkDAsUA3cFK1oUVERDMN2MRk+1TbpwK/Ak6w3Wn7FcAsoGe0AoyIiOYo0wcxw/aavh3bPwdeXubikuZKWidpvaTLGxw/RdKqYirx+XXlp0q6t+7ze0nzyvxmRESMjDLvQdwv6Trga8X+m4EhJ+wrpua4GngDsBFYIWm57QfqTnsEuAi4rL6u7buA44vrvBhYD9xeItaIiBghZRLExcC7gPcV+z8APlei3mxgve0NAJKWAGcDzyYI2w8XxwZ7M3s+8F3bT5f4zYiIGCFlXpT7vaRrgFttrxvGtacCj9btbwReOcz4ABYA/9TogKRLgEsApk+fvgeXjoiIgZRZD+Is4F5qczEh6XhJy6sOrPitg4FjgIZvc9u+tug87+zo6BiNkCIiWkaZTuorqDUXbQGwfS9wWIl6Pey6dvU0hj/66U3Azba3DbNeRETspTIJYpvtJ/qVuUS9FcARkg6TdAC1pqLhPnmcD3xzmHUiImIElEkQayVdALRJOkLSZ4EfD1XJ9nbgUmrNQw8CN9heK+mqotkKSSdK2gicC3xe0tq++pIOpfYEcvcw7ykiIkaA7MEfBiQ9H/gIcDq1N6m7gI/Z/n314ZXX2dnp7u7uZocREbFPkbTSdmejY2VGMT1NLUF8ZKQDi4iIsavMinJHUnuR7dD6822fVl1YERHRbGVelLsRuAa4DsiqchERLaJMgthuu8yb0xERMY6UGcV0i6R3SzpY0ov7PpVHFhERTVXmCeJtxffCujIDh498OBERMVaUGcVU5q3piIgYZwZbcvQ023dKOqfRcdtLqwsrIiKabbAniNcCdwJnNjhmIAkiImIcGzBB2L6i+L549MKJiIixokwnNZLeCBwFTOwrs31VVUFFRETzlVkP4hrgPOC91OZiOhd4WcVxRUREk5V5D+JVtt8KPG77SuAk4Mhqw4qIiGYrkyB6i++nJU0BtgEHVxdSRESMBWX6IL4jaTKwGFhFbQTTdZVGFRERTVfmRbmPFZs3SfoOMLHBCnMRETHODPaiXMMX5IpjeVEuImKcG+wJotELcn3yolxExDg32ItyeUEuIqKFlXkP4iWSPiNplaSVkv5F0ktGI7iIiGieMsNclwCbgb8G5hfb36oyqIiIaL4yw1wPrhvJBPB/JJ1XVUARETE2lHmCuF3SAkn7FZ83AV1lLi5prqR1ktZLurzB8VOKpqvtkub3OzZd0u2SHpT0gKRDy/xmRESMjDIJ4h3AN4Bnis8S4J2SfifpyYEqSWoDrgbOAGYC50ua2e+0R4CLiuv391Vgse2XA7OBx0rEGhERI6TMi3J/tIfXng2st70BQNIS4GzggbprP1wc21lfsUgk+9u+ozhv6x7GEBERe6jMKKa399tvk3RFiWtPBR6t299YlJVxJLBF0lJJqyUtLp5I+sd2iaRuSd2bN28ueemIiCijTBPT6yXdKulgSUcD9wB7+lRR1v7Aa4DLgBOBw6k1Re3C9rW2O213dnR0VBxSRERrKdPEdEExamkN8BRwge3/KHHtHuCQuv1pRVkZG4F765qnlgF/BnyxZP2IiNhLZZqYjgDeB9wE/BJ4i6Tnl7j2CuAISYdJOgBYACwvGdcKYLKkvseC06jru4iIiOqVeQ/iFuA9tr8nScAHqf0FftRglWxvl3QptSGxbcD1ttdKugrotr1c0onAzcCBwJmSrrR9lO0dki4D+n5zJfCFPb7LiBazbHUPi7vWsWlLL1Mmt7NwzgzmzSrbBRhRI9uDnyBNsv1kv7IjbT9UaWTD1NnZ6e7u7maHEdF0y1b3sGjpGnq37Xi2rH1CGx8/55gkidiNpJW2OxsdG7CJSdKHAGw/KencfocvGrnwImIkLe5at0tyAOjdtoPFXeuaFFHsqwbrg1hQt72o37G5FcQSESNg05beYZVHDGSwBKEBthvtR8QYMWVy+7DKIwYyWILwANuN9iNijFg4ZwbtE3Z9r7R9QhsL58xoUkSxrxpsFNNxxVxLAtrr5l0SMLHyyCJij/R1RGcUU+ytwVaU221qi4jYN8ybNTUJIfZamak2IiKiBSVBREREQ0kQERHRUBJEREQ0VGayvnMk/ZekJyQ9OdRKchERMT6Umazvk8CZth+sOpiIiBg7yjQx/SbJISKi9ZR5guiW9C1gGfBMX6HtpZVFFRERTVcmQUwCngZOryszkAQRETGOlVly9OLRCCQiIsaWMqOYpkm6WdJjxecmSdNGI7iIiGieMp3UX6K2lvSU4nNLURYREeNYmQTRYftLtrcXny8DHRXHFRERTVYmQfyPpAsltRWfC4H/qTqwiIhorjIJ4m+ANwG/Bn4FzAfScR0RMc6VGcX0S+CsUYglIiLGkAEThKQP2f6kpM/SYIlR23831MUlzQX+BWgDrrP9iX7HTwE+DRwLLLD97bpjO4A1xe4jtpOkIiJG0WBPEH3Ta3TvyYUltQFXA28ANgIrJC23/UDdaY8AFwGXNbhEr+3j9+S3IyJi7w225OgtxebTtm+sPybp3BLXng2st72hqLMEOBt4NkHYfrg4tnN4YUdERNXKdFIvKlnW31Tg0br9jUVZWRMldUu6R9K8RidIuqQ4p3vz5s3DuHRERAxlsD6IM4C/AKZK+kzdoUnA9qoDA15mu0fS4cCdktbY/kX9CbavBa4F6Ozs3K2fJCIi9txgfRCbqPU/nAWsrCv/HfCBEtfuAQ6p259WlJViu6f43iDp+8As4BeDVoqIiBEzWB/EfcB9km4GnrK9A57tfH5eiWuvAI6QdBi1xLAAuKBMUJIOpNb38Yykg4CTqS1cFBERo6RMH8TtQHvdfjvw70NVsr0duBToojYi6gbbayVdJeksAEknStoInAt8XtLaovrLqa1DcR9wF/CJfqOfIiKiYmXWg5hoe2vfju2tkp5f5uK2bwVu7Vf20brtFdSanvrX+zFwTJnfiIiIapR5gnhK0gl9O5JeAfRWF1JERIwFZZ4g3g/cKGkTIOCPgfMqjSoiIpquzFxMKyT9L2BGUbTO9rZqw4qIiGYr8wQBteQwE5gInCAJ21+tLqyIiGi2IROEpCuA11FLELcCZwA/ApIgIiLGsTKd1POB1wO/tn0xcBzwokqjioiIpiuTIHpt7wS2S5oEPMaub0hHRMQ4VKYPolvSZOAL1Kbc2Ar8pNKoIiKi6QZNEJIEfNz2FuAaSbcBk2zfPyrRRURE0wyaIGxb0q0UbzX3rd8QERHjX5k+iFWSTqw8koiIGFPK9EG8ErhQ0sPAU9TeprbtY6sMLCIimmuwBYOm234EmDOK8URExBgx2BPEMuAE27+UdJPtvx6toCIiovkG64NQ3fbhVQcSERFjy2AJwgNsR0RECxisiek4SU9Se5JoL7bhuU7qSZVHFxERTTPYmtRtoxlIRESMLWXeg4iIiBaUBBEREQ0lQURERENJEBER0VClCULSXEnrJK2XdHmD46dIWiVpu6T5DY5PkrRR0r9WGWdEROyusgQhqQ24mtoSpTOB8yXN7HfaI8BFwDcGuMzHgB9UFWNERAysyieI2cB62xts/wFYApxdf4Lth4u1JXb2ryzpFcBLgdsrjDEiIgZQZjbXPTUVeLRufyO1mWGHJGk/4FPAhcCfD3LeJcAlANOnT9/jQCNi37dsdQ+Lu9axaUsvUya3s3DODObNmtrssPZpY7WT+t3ArbY3DnaS7Wttd9ru7OjoGKXQImKsWba6h0VL19CzpRcDPVt6WbR0DctW9zQ7tH1alQmiBzikbn9aUVbGScClxRoU/xd4q6RPjGx4ETFeLO5aR++2HbuU9W7bweKudU2KaHyosolpBXCEpMOoJYYFwAVlKtp+c9+2pIuATtu7jYKKiADYtKV3WOVRTmVPELa3A5cCXcCDwA2210q6StJZAJJOlLQROBf4vKS1VcUTEePXlMntwyqPcmSPj5m8Ozs73d3d3ewwIqIJ+vog6puZ2ie08fFzjklH9RAkrbTd2ehYlU1MERGjoi8JZBTTyEqCiIhxYd6sqUkII2ysDnONiIgmS4KIiIiGkiAiIqKhJIiIiGgoCSIiIhpKgoiIiIaSICIioqEkiIiIaCgJIiIiGkqCiIiIhjLVRkTEPqrqVfSSICIi9kH9Z7DtW0UPGLEkkSamiIh90GisopcEERGxDxqNVfSSICIi9kGjsYpeEkRExD5o4ZwZtE9o26WsfUIbC+fMGLHfSCd1RMQ+aDRW0UuCiIjYR1W9il6amCIioqEkiIiIaCgJIiIiGkqCiIiIhpIgIiKiIdludgwjQtJm4Jd7cYmDgP8eoXD2Fa12z612v5B7bhV7c88vs93R6MC4SRB7S1K37c5mxzGaWu2eW+1+IffcKqq65zQxRUREQ0kQERHRUBLEc65tdgBN0Gr33Gr3C7nnVlHJPacPIiIiGsoTRERENJQEERERDbV8gpA0V9I6SeslXd7seKom6XpJj0n6ebNjGS2SDpF0l6QHJK2V9L5mx1Q1SRMl/UzSfcU9X9nsmEaDpDZJqyV9p9mxjBZJD0taI+leSd0jeu1W7oOQ1AY8BLwB2AisAM63/UBTA6uQpFOArcBXbR/d7HhGg6SDgYNtr5L0R8BKYN44/+8s4AW2t0qaAPwIeJ/te5ocWqUkfRDoBCbZ/stmxzMaJD0MdNoe8ZcDW/0JYjaw3vYG238AlgBnNzmmStn+AfDbZscxmmz/yvaqYvt3wINAdZPojwGu2VrsTig+4/pfg5KmAW8Ermt2LONFqyeIqcCjdfsbGed/cbQ6SYcCs4CfNjeS6hXNLfcCjwF32B7v9/xp4EPAzmYHMsoM3C5ppaRLRvLCrZ4gooVIeiFwE/B+2082O56q2d5h+3hgGjBb0rhtUpT0l8Bjtlc2O5YmeLXtE4AzgPcUzcgjotUTRA9wSN3+tKIsxpmiHf4m4Ou2lzY7ntFkewtwFzC32bFU6GTgrKI9fglwmqSvNTek0WG7p/h+DLiZWtP5iGj1BLECOELSYZIOABYAy5scU4ywosP2i8CDtv+p2fGMBkkdkiYX2+3UBmL8Z3Ojqo7tRban2T6U2v/Hd9q+sMlhVU7SC4qBF0h6AXA6MGIjFFs6QdjeDlwKdFHruLzB9trmRlUtSd8EfgLMkLRR0tubHdMoOBl4C7V/Vd5bfP6i2UFV7GDgLkn3U/uH0B22W2boZwt5KfAjSfcBPwP+n+3bRuriLT3MNSIiBtbSTxARETGwJIiIiGgoCSIiIhpKgoiIiIaSICIioqEkiBhXJO0ohrH+XNKNkp5flP+xpCWSflFMSXCrpCObHW/VJL2/788gYriSIGK86bV9fDFT7R+Avy1elLsZ+L7tP7H9CmARtTHk4937gSSI2CNJEDGe/RD4U+BUYJvta/oO2L7P9g/7V5D0Vkn3F+so/FtRdqikO4vy70maXpR/WdLnJN0jaYOk1xXrbTwo6ct119wq6Z+LdRm+J6mjKD++qHu/pJslHViUf1/SPxbrOTwk6TVFeZukxZJWFHXeWZS/rqjzbUn/Kenrqvk7YAq1F+buKup/uXi6WiPpA9X8scd4kQQR45Kk/alNXrYGOJraGhBD1TkK+HvgNNvHAX0LC30W+IrtY4GvA5+pq3YgcBLwAWrTtPwzcBRwjKTji3NeAHTbPgq4G7iiKP8q8OHiumvqygH2tz2b2hNAX/nbgSdsnwicCLxD0mHFsVnFuTOBw4GTbX8G2AScavtU4Hhgqu2jbR8DfGmoP5NobUkQMd60F1NcdwOPUJuDqazTgBv7Fl6x3bduxknAN4rtfwNeXVfnFtemI1gD/Mb2Gts7gbXAocU5O4FvFdtfA14t6UXAZNt3F+VfAepn4eybUHBl3XVOB95a3N9PgZcARxTHfmZ7Y/Hb99bVqbcBOFzSZyXNBcb9jLaxd/ZvdgARI6y3mOL6WZLWAvMr+r1niu+dddt9+wP9/1Vmfpu+a+2ou46A99ruqj9R0uv6/XZ9ned+1H5c0nHAHOBvgTcBf1MilmhReYKIVnAn8Lz6xVQkHdvXtt/vvHMlvaQ458VF+Y+pzRAK8GZqfRvDsR/PJagLgB/ZfgJ4vC6Gt1BrfhpMF/CuYupyJB1ZzOA5mN8BfbN9HgTsZ/smak1pJwzzPqLF5Akixj3blvRXwKclfRj4PfAwtTb7+vPWSvoH4G5JO4DVwEXAe4EvSVoIbAYuHmYIT1FbsOfvqa3udl5R/jbgmmIY6oYS172OWtPRqmJk1mZg3hB1rgVuk7SJ2v1+SVLfPwwXDfM+osVkNteIiknaavuFzY4jYrjSxBQREQ3lCSIiIhrKE0RERDSUBBEREQ0lQURERENJEBER0VASRERENPT/Aa0Mph+7pwEeAAAAAElFTkSuQmCC\n" - }, - "metadata": { - "needs_background": "light" - } - } ] }, { @@ -1490,26 +1474,26 @@ }, "outputs": [ { - "output_type": "execute_result", "data": { "text/plain": [ "Text(0.5, 0.92, 'Excitatory')" ] }, + "execution_count": 11, "metadata": {}, - "execution_count": 11 + "output_type": "execute_result" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } ], "source": [ @@ -1575,97 +1559,7 @@ }, { "cell_type": "code", - "source": [ - "#@title plot PCA one off \n", - "scalar_inh = StandardScaler()\n", - "scalar_inh.fit(data_inh['all'])\n", - "scalar_exc = StandardScaler()\n", - "scalar_exc.fit(data_exc['all'])\n", - "data_inh_pca = scalar_inh.transform(data_inh['all'])\n", - "data_exc_pca = scalar_exc.transform(data_exc['all'])\n", - "size_inh = data_inh['all'].shape\n", - "size_exc = data_exc['all'].shape\n", - "min_size = min(size_inh[0],size_exc[0])\n", - "\n", - "for m in range(21):\n", - " data_inh_pca = np.array(data_inh_pca[:min_size,:])\n", - " data_exc_pca = np.array(data_exc_pca[:min_size,:])\n", - " \n", - " # mask\n", - " # mask_exc = np.ones_like(data_exc_pca)\n", - " # mask_inh = np.ones_like(data_inh_pca)\n", - " # mask_inh[:,m] = 0\n", - " # mask_exc[:,m] = 0\n", - " # data_inh_pca= data_inh_pca*mask_inh\n", - "\n", - "\n", - "\n", - "\n", - " pca_x = PCA(n_components=10,whiten=True)\n", - "\n", - " fig = plt.figure(figsize=[14,7])\n", - "\n", - " ax = fig.add_subplot(1, 2, 1, ) #projection='3d'\n", - " # Project the data in 2D\n", - " reduced_data_inh = pca_x.fit_transform(data_inh_pca)\n", - " n_components = 2\n", - "\n", - " kmeans = KMeans(n_clusters=5).fit(reduced_data_inh)\n", - " centroids_inh = kmeans.cluster_centers_\n", - " label = kmeans.labels_.astype(float)\n", - " labels = [] \n", - " for i in kmeans.labels_.astype(float):\n", - " if i ==0:\n", - " labels.append('r')\n", - " if i ==1:\n", - " labels.append('b')\n", - " if i ==2:\n", - " labels.append('green')\n", - " if i ==3:\n", - " labels.append('cyan')\n", - " if i ==4:\n", - " labels.append('purple') \n", - " ax.scatter(reduced_data_inh[:,0], reduced_data_inh[:,1], c='red', s=50, alpha=0.5,marker = 'o') #,reduced_data_inh[:,2]\n", - " # ax.scatter(centroids_inh[:, 0], centroids_inh[:, 1],c='black', s=50,marker = 'x')\n", - " ax.set_xlabel('PC1')\n", - " ax.set_ylabel('PC2')\n", - " ax.set_title('Inhibitory w/ '+cols[m])\n", - " \n", - " ## Excitatory plot \n", - "\n", - " # mask\n", - " # data_exc_pca = data_exc_pca*mask_exc\n", - "\n", - "\n", - "\n", - " pca_x = PCA(whiten=True)\n", - "\n", - " # Project the data in 2D\n", - " reduced_data_exc = pca_x.fit_transform(data_exc_pca)\n", - " n_components = 2\n", - " ax = fig.add_subplot(1, 2, 2, ) #projection='3d'\n", - "\n", - " kmeans = KMeans(n_clusters=5).fit(reduced_data_exc)\n", - " centroids_exc = kmeans.cluster_centers_\n", - " label = kmeans.labels_.astype(float)\n", - " labels = [] \n", - " for i in kmeans.labels_.astype(float):\n", - " if i ==0:\n", - " labels.append('r')\n", - " if i ==1:\n", - " labels.append('b')\n", - " if i ==2:\n", - " labels.append('green')\n", - " if i ==3:\n", - " labels.append('cyan')\n", - " if i ==4:\n", - " labels.append('purple') \n", - " ax.scatter(reduced_data_exc[:,0], reduced_data_exc[:,1], c='blue', s=50, alpha=0.5,marker = 'o') #,reduced_data_exc[:,2]\n", - " ax.set_xlabel('PC1')\n", - " ax.set_ylabel('PC2')\n", - " ax.set_title('Excitatory w/ '+cols[m])\n", - " plt.show()" - ], + "execution_count": null, "metadata": { "colab": { "base_uri": "https://localhost:8080/", @@ -1674,526 +1568,616 @@ "id": "sIMdoO1Hr8BD", "outputId": "59c624cd-196c-4b67-d373-6359f6ab7167" }, - "execution_count": null, "outputs": [ { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAz8AAAG5CAYAAACgI4qvAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nO3de3hdV3nv+98rO5KT4tjIUiCQSCJOYAcJGhwTEjiwKcUQKGwKTwMNl24orTd9SgvHnFNuPRQKnFL3bPeW7u6mhB0gKYRLuRTojgMFEloTYvtJipSUJCSW45AQXSInIc5SHL3njzEXXlpekpakNeeYl+/nefwsr7mWpl5NLc0x3zHeMaa5uwAAAACg7LpiBwAAAAAAWSD5AQAAAFAJJD8AAAAAKoHkBwAAAEAlkPwAAAAAqASSHwAAAACVQPKD3DGz75jZby3w2oCZPWRma5b73qIys+eZ2W3Jz/KrZvbPZvZfcxDX883sRw3PD5jZi2PGBAB5kJyvz4gdR5bM7EQz+yczO2xmnzezN5jZ7thxSZKZjZnZC5P/f9DMrogcEiIi+UEmOnVh7O4H3f1x7v7Yct+7WKIUm5k9ycwOLfDyH0u6JPlZvuzuL3P3T7axTzezMzsb6THufp27Py2t/QNAFpL26UiSsNT/XbKafSbn6zuS/V9uZh9ZRjxvNrPvreb7p8nMrjazl7R46dckPUHSJne/yN2vdPdW72ve37KOz0q4+7C7fyfN74HiWBs7AKAIzMwkmbvPpfQtXi7pfy/w2qCksZS+LwBAeqW7fzN2EJ1gZmvd/WhK+/4FSVslfbfFy4OSbk3rewOdwsgPMlfv1TKz/8/M7jezO83sZU1vGzSzfzWzB81st5n1JV87lIxoNCbum83sB2b2gJl9xcx6m99rZh+V9HxJlzT26pnZc83shmSY/gYze25DnN8xs4+a2b9KeljSu8xsX9PPssPMvtLiZ/wlM/thw/NrzOyGhufXmdmvNnzJyyV9o8V+fizpDEn/lMTd0ziCZWZnmtl3k/gnzeyqZPu1yS5uSr7udS1/GeG9fWb2NTObMbPpJLau5LUDZvZeM7s5+V39LzNbl7z2woVGq8zs7OT3enHy/BVmdmPyPf7NzJ65UDwAkBdm9rdm9sWG539qZt+yYI2Zvc/Mfpy0VfvM7PTkfZ6cn7dLeoOkP0jOxf+UvP6ehq+72cxenWw/W9L/lHRB8v6ZZPsGM/uUmU2Y2biZ/WHDefrNSXv552Y2JemPk3P5MxriPsXMHjaz/hY/47iZnZv8/w1J7MPJ87ea2Zcb3v7Lkv7V3WtN+/iQpA9Iel0S91utYQQrOV5/bmb3JW31D81sZKHjs8jv491mdndy3H5kZr+cbP+gmX3BzK5KXttvZr/Y8HUtq0/M7AQz+4yZfdHMui1UYXwxOc53mtnvLxYPionkB7E8R9KPJPVJ2inpMjOzhtdfL+ktkk6R1C3p/1pkX78h6TclnSrpqKS/an6Du79f0nWS3p6UI7zdQpL09eT9myTtkvR1M9vU8KVvkrRd0vrkfU9JGqfG1z/VIqbvSzorSSxOkPRMSU8ys/VmdqJCz9l1Ujj5SnqBpGtaxL1Z0kGFXsnHNTc4kj4sabekx0s6TdJfJ1/3guT1X0y+7qoWMda9S9IhSf0KJQvvk+QNr79B0kslbZb0VEl/uMi+ZGZbJF0t6ffc/TNm9ixJn5D03xSO899J+qqZ9Sy2HwDIgXdJekZyIf98SW+V9F/d3SXtkHSxQufVyQrt0MONX+zul0q6UtLO5Fz8yuSlHyt0yG2Q9CFJV5jZqe5+i6S3SdqTvH9j8v6/Tt57hqT/rNDuvaXhWz1H0h0K5/APS/qspDc2vH6xpG+5+0SLn/G7kl6Y/P8/J/t5QcPzxlGelyu0m/O4+x9J+n8lXZXEfVnTW16S7POpyc/xWklTixyf45jZ0yS9XdKz3X29Qrt0oOEtr5L0eUm9kv5B0peT9nWh/Z0o6cuSakk8RyX9k6SbJD1ZIdF7p5m9dKF9oJhIfhDLuLv/fTIf55MKicsTGl7/X+5+q7sfkfQ5Secssq9Pu/uou/9M0v8j6bXW3iIHvyLpNnf/tLsfdffPSPoPSY0n38vdfSx5vSbpKiUNStIzNiTpa807TuK+QeFkf67CyfRfJT1P0vnJ951K3v4CSTe5+4NtxNzsUYVSgye5+yPuvpI68UcVjv+guz+azOVpTH4ucfe73H1a0kcVGtGFPF/SVyX9hrvXj8t2SX/n7te7+2PJfKWawnEAgDz4cjIyXf/325Lk7g8rdHLtknSFQqdOfcT7tyT9obv/yIObGs7ri3L3z7v7T9x9Lumcuk3Sea3em7Rnvy7pve7+oLsfkPTfk7jqfuLuf520VUcU2tWLGzoV3yTp0wuE812FJEcK5/A/aXjeKvk5rkqhDY8qdCL+J4US8lvc/Z5l7uMxST2Snm5mJ7j7AXf/ccPr+9z9C+7+qMLva50WbmdOVig1/7GktyTXIs+W1O/uf+zus8mcrb9XOPYoEZIfxHJv/T9J4yJJj2v1ukJPWuNrze5q+P+4pBMURpSW8qTk/Y3GFXp8Wu1bCg3K65MG5U2SPtdiNKau3pv2guT/31FoSDrVmEjSH0gyST+wsJrNb65gH38m6XZJu83sDjN7T9Przcf3SYvs622S/q1pYumgQsngzy8sJJ2+xH4AIEu/6u4bG/79ff0Fd79eYTTEFDrj6k5XuHheNjP7DTtWCjwjaUQLt1t9Cu1aY3u1aFuVxPywpBea2X+SdKZCx1Qr35X0fDM7VdIahZ/xeWY2pDBKc2MS8zMkHXb35nZxSe7+L5IukfQ3ku4zs0vN7ORl7uN2Se+U9MFkH581s8Z25K6G984pVDQs1M6cr1CR8bGGzr5BhQqNxrbqfZrfMYsSIPlBGZze8P8BhR6myRbv86bnP1E42TUakHT3Ql/j7t+XNKvQO/Z6LdyTJh2f/NR71zqW/Lj7ve7+2+7+JIWysv9hy1zhLelJfJe7nyHpv0jaUa+jTjQf358ssru3SRowsz9v2HaXpI82XViclIy0AUCumdnvKow4/EShw6nuLoVy4KXMa0fMbFBhROHtCiujbZQ0qpBcHfd+hfasPspft2hblfikQqXCmyR9wd0faRlcSCoelvR7kq519wcUOiC3S/pew0I/q+mok7v/lbufK+npCuVv//cisS+0j39w9/9D4Vi4pD9tePnnbVUyH+o0Ldxe7VYY4fqWmdWTm7sk3dnUVq1395e3Gx+KgeQHZfBGM3u6mZ2ksCz0F7z1Utg/VaiXrvuGpKea2estLIrwOoWT8nFlbE0+pdCD9egSZWb/JulpCqUMP3D3MYUT9nMkXStJZvYUST1JnfeymdlFZnZa8vR+hcag3lA1/7wL7eMVFibmmqTDCqUFjava/a6ZnZbMkXq/QunfQh6UdKGkF5jZx5Jtfy/pbWb2nGTS6y+Y2a+Y2fp2f04AiMHMnirpIzqWRPyBmdXLsD8u6cNmdlZybntm05zRuuZz8S8onKsnku/xFoWRn8b3n2Zm3ZKUtGefk/TRZN7ooMJ8o6XuVXOFpFcnsbeam9rouwrJWL1j7jtNz6UF5vu0w8yenbQBJ0j6maRHtPy26mlm9qJkvugjko5oflt1rpm9xsKCSO9UKK/+/kL7c/edCnODvmVhUaUfSHrQwqIKJ1pY0GLEzJ69/J8YeUbygzL4tKTLFXqq1klaaHWWv5T0axZWLfurpDb7FQoTWqcUevRe4e6tRo2av9+Ilmh4kjlI+yWNuftssnmPwnyn+5Lnv6JV9KQp1Chfb2YPKZQ0vCOpU5ZCacAnk+H71y6yj7MkfVPSQ0l8/8Pdv93w+j8o9JLdoVDisej9GNx9RtI2SS8zsw+7+15Jv62QMN6vUGL35uX8kACQsvqKmvV/X0ouoq+Q9KfJfJ7bFMqgPp1cgO9SSEp2S3pA0mWSTmyx78sU5qnMmNmX3f1mhTk7exQu/J+hMCe07l8Ubm9wr5nV26PfU0ga7pD0PYXz8icW+4GS8rT9ConWdUv8/N9VmJNzbavnZrZRoXPw35bYz0JOVugIu1+hZG9KoeRaajo+i+yjR9LHFEbC7lVYEOm9Da9/RdLrku/xJkmvSeb/LMjdP6yw6ME3FUr8XqEwx/jO5Pt8PNmOErH585oBLCVZIeY+SVuSxnA1+/qGwoICq0mAUmNmByT9lpfk/hcAUCVm9gmFxRAWXaWzjf28VtKvuftiHWnRmNkHJZ3p7m9c6r0ANzkFlu93JN2w2sQn8R1J317qTQAALEeyYMFrJD2rA7ubkfTnS74LKACSH2AZkpEQk/SrS7y1LUnNcerM7H0K5RrNrnP35hvMAgAKzMw+LOn/lPQn7n7navfn7rtXH9XSzGxA0s0LvPx0dz+YRRwoN8reAAAAAFQCCx4AAAAAqIRoZW9mtk5hFZGeJI4vuPsfLfY1fX19PjQ0lEF0AICF7Nu3b9Ld+2PHkUe0UwAQ32LtVMw5PzVJL3L3h5J1379nZv+c3ESypaGhIe3duze7CAEAxzGz8aXfVU20UwAQ32LtVLTkx8Nko4eSpyck/5iABAAAACAVUef8JHfPvVHhninXuPv1Ld6z3cz2mtneiYmJ7IMEAAAAUApRkx93f8zdz5F0mqTzzGykxXsudfet7r61v58ScwAAAAArk4vV3tx9RuFGjxfGjgUAAABAOUVLfsys38w2Jv8/UdI2Sf8RKx4AAAAA5RZztbdTJX3SzNYoJGGfc/evRYwHAAAAQInFXO3t3yU9K9b3BwAAAFAtuZjzAwAAAABpI/kBAAAAUAkkPwAAAAAqgeQHAAAAQCWQ/AAAAACohJhLXQMAslSrSWNj0uSk1NcnDQ9LPT2xowKAjuAUh3aQ/ABAFRw8KO3aJc3MSGaSu7Rxo7RjhzQwEDs6AFgVTnFoF2VvAFB2tVq4Kpibk4aGpMHB8Dg3F7bPzsaOEABWjFMcloPkBwDKbmwsdIf29s7f3tsbto+OxokLQOnVatL+/dLu3eGxVuv89+AUh+Wg7A0Aym5yMtSBtGImTU1lGw+ASsiqFI1THJaDkR8AKLu+vnDV0Yq7tGlTtvEAKL0sS9E4xWE5SH4AoOyGh0N36/T0/O3T02H7yEicuACUVpalaJzisBwkPwBQdj09oc6kq0s6cEAaHw+PXV1he3d37AgBlEyWpWic4rAczPkBgCoYGJB27gzdrVNToQ5kZISrAgCpyLoUjVMc2kXyAwBV0d0tbdkSOwoAFdBYitZY+pZmKRqnOLSDsjcAAAB0FKVoyCtGfgAAANBxlKIhj0h+AAAAkApK0ZA3lL0BAAAAqASSHwAAAACVQPIDAAAAoBJIfgAAAABUAskPAAAAgEog+QEAAABQCSQ/AAAAACqB5AcAAABAJZD8AAAAAKgEkh8AAAAAlUDyAwAAAKASSH4AAAAAVALJDwAAAIBKIPkBAAAAUAkkPwAAAAAqgeQHAAAAQCWQ/AAAAACoBJIfAAAAAJVA8gMAAACgEkh+AAAAAFQCyQ8AAACASiD5AQAAAFAJJD8AAAAAKoHkBwAAAEAlkPwAAAAAqASSHwAAAACVQPIDAAAAoBJIfgAAAABUAskPAAAAgEog+QEAAABQCSQ/AAAAACphbewASqFWk8bGpMlJqa9PGh6WenpiRwUAAACgAcnPah08KO3aJc3MSGaSu7Rxo7RjhzQwEDs6AAAAAAnK3lajVguJz9ycNDQkDQ6Gx7m5sH12NnaEAAAAABIkP6sxNhZGfHp752/v7Q3bR0fjxAUAAADgOCQ/qzE5GUrdWjGTpqayjQcAAADAgkh+VqOvL8zxacVd2rQp23gAAAAALIjkZzWGh8PiBtPT87dPT4ftIyNx4gIAAABwHJKf1ejpCau6dXVJBw5I4+PhsasrbO/ujh0hAGAVzGydmf3AzG4yszEz+1DsmAAAK8dS16s1MCDt3BkWN5iaCqVuIyMkPgBQDjVJL3L3h8zsBEnfM7N/dvfvxw4MALB8JD+d0N0tbdkSOwoAQIe5u0t6KHl6QvJvgcmeAIC8o+wNAIBFmNkaM7tR0n2SrnH365te325me81s78TERJwgAQBtIfkBAGAR7v6Yu58j6TRJ55nZSNPrl7r7Vnff2t/fHydIAEBbSH4AAGiDu89I+rakC2PHAgBYGeb8AEBaajVpbCzcELmvLyyP39MTOyosg5n1S3rU3WfM7ERJ2yT9aeSwAAArRPIDAGk4eFDatUuamZHMwo2PN24My+APDMSODu07VdInzWyNQrXE59z9a5FjAgCsEMkPAHRarRYSn7k5aWjo2Pbp6bB9506Wwy8Id/93Sc+KHQcAoDOY8wMAnTY2FkZ8envnb+/tDdtHR+PEBQBAxZH8AECnTU6GUrdWzMINkQEAQOZIfgCg0/r6whyfVtylTZuyjQcAAEgi+QGAzhseDosbTE/P3z49HbaPjLT+OgAAkCqSHwDotJ6esKpbV5d04IA0Ph4eu7rCdhY7AAAgClZ7A4A0DAyEVd1GR8Mcn02bwogPiQ8AANGQ/ABAWrq7pS1bYkcBAAAS0crezOx0M/u2md1sZmNm9o5YsQAAAAAov5gjP0clvcvd95vZekn7zOwad785YkwAAAAASirayI+73+Pu+5P/PyjpFklPjhUPAAAAgHLLxWpvZjYk6VmSrm/x2nYz22tmeycmJrIODQAAAEBJRE9+zOxxkr4o6Z3u/kDz6+5+qbtvdfet/f392QcIAAAAoBSiJj9mdoJC4nOlu/9jzFgAAAAAlFvM1d5M0mWSbnH3XbHiAAAAAFANMUd+nifpTZJeZGY3Jv9eHjEeAAAAACUWbalrd/+eJIv1/XOnVpPGxqTJSamvTxoelnp6YkcFAAAAlEbM+/yg7uBBadcuaWZGMpPcpY0bpR07pIGB2NEBAAAApRB9tbfKq9VC4jM3Jw0NSYOD4XFuLmyfnY0dIQAAAFAKJD+xjY2FEZ/e3vnbe3vD9tHROHEBVVGrSfv3S7t3h8daLXZEAAAgJZS9xTY5GUrdWjGTpqayjQeoEkpOAQCoFEZ+YuvrCxdcrbhLmzZlGw9QFZScAgBQOSQ/sQ0Ph57m6en526enw/aRkThxAWVHySkAAJVD8hNbT08osenqkg4ckMbHw2NXV9je3R07QqCcKDkFAKBymPOTBwMD0s6doad5aiqUuo2MkPgAaaLkFACAyiH5yYvubmnLlthRANXRWHLaWPpGySkAAKVF2RuAaqLkFACAymHkB0B1UXIKAEClkPwAqDZKTgEAqAzK3gAAAABUAskPAAAAgEog+QEAAABQCcz5AQAAAFJUq0ljY+H+2n194W4LPT2xo6omkh8AAAAgJQcPSrt2STMzklm4j/bGjeGuCgMDsaOrHsreAAAAgBTUaiHxmZuThoakwcHwODcXts/Oxo6wekh+AAAAgBSMjYURn97e+dt7e8P20dE4cVUZyQ8AAACQgsnJUOrWilm4vzayRfIDAAAApKCvL8zxacVd2rQp23hA8gMAAACkYng4LG4wPT1/+/R02D4yEieuKiP5AQAAAFLQ0xNWdevqkg4ckMbHw2NXV9je3R07wuphqWsAAAAgJQMD0s6dYXGDqalQ6jYyQuITC8kPAAAAkKLubmnLlthRQKLsDQAAAEBFkPwAAAAAqASSHwAAAACVQPIDAAAAoBJIfgAAAABUAskPAAAAgEog+QEAAABQCSQ/AAAAACqB5AcAAABAJayNHQAa1GrS2Jg0OSn19UnDw1JPT+yoAAAAgFIg+cmLgwelXbukmRnJTHKXNm6UduyQBgZiRwcAAAAUHmVveVCrhcRnbk4aGpIGB8Pj3FzYPjsbO0JgvlpN2r9f2r07PNZqsSMCAABYEiM/eTA2FkZ8hobmb+/tlQ4ckEZHpS1bYkQGHI9RSgAAUFCM/OTB5GS4iGzFTJqayjYeYCGMUgIAgAIj+cmDvr7Qe96Ku7RpU7bxAAupj1L29s7f3tsbto+OxokLAACgDSQ/eTA8HMqGpqfnb5+eDttHRuLEBTRjlBIAABQYyU8e9PSE+RJdXWGOz/h4eOzqCtu7u2NHCASMUgIAgAJjwYO8GBiQdu4MZUNTU+EicmSExAf50jhK2Vj6xiglAAAoAJKfPOnuZlU35Ft9lHLXrjA62bzaG8k6SsTMTpf0KUlPkOSSLnX3v4wbFQBgNUh+ACwPo5SojqOS3uXu+81svaR9ZnaNu98cOzAAwMqQ/ABYPkYpUQHufo+ke5L/P2hmt0h6siSSHwAoKBY8AABgCWY2JOlZkq5v8dp2M9trZnsnJiayDg0AsAwkPwAALMLMHifpi5Le6e4PNL/u7pe6+1Z339rf3599gACAtpH8AACwADM7QSHxudLd/zF2PACA1SH5AQCgBTMzSZdJusXdd8WOBwCweix4AABAa8+T9CZJPzSzG5Nt73P3b0SMqaVaTRobkyYnw72Ih4fDyvQAgPlIfgAAaMHdvyfJYsexlIMHw623ZmaOv/XWwEDs6AAgXyh7AwCgoGq1kPjMzUlDQ9LgYHicmwvbZ2djRwgA+ULyAwBAQY2NhRGf3t7523t7w/bR0ThxAUBekfwAAFBQk5Oh1K0VM2lqKtt4ACDvmPMDAK0wgxwF0NcX5vi04i5t2pRtPACQdyQ/ANCMGeQoiOHh8NGcnp5f+jY9HbaPjMSLDQDyiLI3AGjEDHIUSE9PyMm7uqQDB6Tx8fDY1RW2d3fHjhAA8oWRHwBoVJ9BPjQ0f3tvb7iqHB2VtmyJERnQ0sCAtHNn+GhOTYVSt5EREh8AaIXkBwAaMYMcBdTdTU4OAO2g7A0AGjGDHACA0iL5AYBGjTPIGzGDHACAwiP5AYBGzCAHAKC0mPOTN9xbBIiPGeQAAJQSyU+ecG8RID+YQQ4AQOlQ9pYX3FsEAAAASBXJT17U7y3SeItuKTyfmQnlNwAAAABWjOQnL7i3CAAAAJAqkp+84N4iAAAAQKpIfvKCe4sAAAAAqSL5yQvuLQIAAACkKupS12b2CUmvkHSfuzO0wb1FAAAAgNTEvs/P5ZIukfSpyHHkB/cWAQAAAFIRtezN3a+VNL3kGwEAAABglXI/58fMtpvZXjPbOzExETscAAAAAAWV++TH3S91963uvrW/vz92OAAAAAAKKvfJDwAAAAB0AskPAAAAgEqImvyY2Wck7ZH0NDM7ZGZvjRkPAAAAgPKKutS1u18c8/sDAAAAqA7K3gAAAABUAskPAAAAgEog+QEAAABQCSQ/AAAAACqB5AcAAABAJZD8AAAAAKgEkh8AAAAAlUDyAwAAAKASSH4AAAAAVALJDwAAAIBKWBs7AAAVVKtJY2PS5KTU1ycND0s9PbGjAgAAJUfyAyBbBw9Ku3ZJMzOSmeQubdwo7dghDQzEjg4AAJQYZW8AslOrhcRnbk4aGpIGB8Pj3FzYPjsbO0IAAFBiJD8AsjM2FkZ8envnb+/tDdtHR+PEBQAAKmHJ5MfMTjazzS22PzOdkACU1uRkKHVrxUyamso2HpQC7RSQX7WatH+/tHt3eKzVYkeEqlt0zo+ZvVbSX0i6z8xOkPRmd78heflySVvSDQ9AqfT1hTk+rbhLmzZlGw8Kj3YKyC+meCKPlhr5eZ+kc939HElvkfRpM3t18toC3bcAsIDh4dDyTU/P3z49HbaPjMSJC0VGOwXkEFM8kVdLJT9r3P0eSXL3H0j6JUl/aGa/L2mB7lsAWEBPT+jy6+qSDhyQxsfDY1dX2N7dHTtCFA/tFJBDTPFEXi211PWDZrbZ3X8sSe5+j5m9UNKXJQ2nHRyAEhoYkHbuDC3f1FQodRsZIfHBStFOATnEFE/k1VLJz++oqWzA3R80swslvTa1qDqNGyoC+dLdLW1hKgY6ohztFFAyTPFEXi2V/PxM0hMk3d60/TxJ308lok5jth0AlFnx2ymghBqneDaWvjHFE7EtNefnLyQ90GL7A8lr+cZsOwAou2K3U0BJMcUTebXUyM8T3P2HzRvd/YdmNpRKRJ1Un203NDR/e29v+AscHaX0BgCKrdjtFFBiTPFEHi2V/Gxc5LUTOxlIKphtBwBlV+x2Cig5pngib5Yqe9trZr/dvNHMfkvSvnRC6iBm2wFA2RW7nQIAZGqpkZ93SvqSmb1BxxqRrZK6Jb16wa/KC2bbAUDZFbudAgBkatHkx91/Kum5ZvZLkuqZwtfd/V9Sj6wT6rPtdu0Kc3yaV3uj6BQACq3w7RQAIFOLJj9mtk7S2ySdKemHki5z96NZBNYxzLYDgNIqRTsFAMjMUmVvn5T0qKTrJL1M0tkKJQbFwmw7ACircrRTAIBMLJX8PN3dnyFJZnaZpB+kHxIAAG2jnQIAtG2p1d4erf+HMgIAQA6l2k6Z2SfM7D4zG+30vgEA2Vtq5OcXzax+52yTdGLy3CS5u5+canQAACwu7XbqckmXSPrUKvcDAMiBpVZ7W5NVIAAALFfa7ZS7X2tmQ2l+DwBAdpYqewMAAACAUiD5AQBgFcxsu5ntNbO9ExMTscMBACyC5AcAgFVw90vdfau7b+3v748dDgBgEUsteIAqqtWksTFpclLq65OGh6WenthRAQAAAKtC8oP5Dh6Udu2SZmYkM8ld2rhR2rFDGhiIHR0AZMrMPiPphZL6zOyQpD9y98viRgUAWCmSHxxTq4XEZ25OGho6tn16OmzfuVPq7o4WXm4wMgZUhrtfHDsGAEDnkPzgmLGxMOLTmPhIUm+vdOCANDoqbdkSI7L8YGQMAACgsFjwAMdMToYL+lbMpKmpbOPJm+aRscFB6bTTpJ/+VHrHO6Trrw/vAQAAQC4x8oNj+vrCSEYr7tKmTdnGkzfNI2OHD0t79kiPPCI99JD0kY9ImzczCgQAEVCRDKAdJD84Zng4lHBNT4dSt7rp6bB9ZCRebHnQODJ29GhIfOplb1J4nJtjfhQAZIyKZADtouwNx/T0hJaiqyvM8RkfD49dXWF71S/mG0fGJibCiM+JJx57/aSTQtI4MxPmRwEAUteqInlo6Fhf1Oxs7AgB5AkjP5hvYCCMWoyOhjk+mzaFEZ+qJz7S/JGxhx8+tv3IETl2pysAAB3uSURBVGndOumUU8Jz5kcBQGZYqwfAcpD84Hjd3bQUrdRHxuq1FQ89FLavWyddcIG0Zk14zvwoAMgMa/UAWA6SH2A56iNj+/cfm9dz1lnHEh/mRwFAplirpzxYtAJZIPkBlqu7Wzr/fOkv/iKMAt111/EzbCkTBIBMsFZPObBoBbJC8gOsFPOjACC6xorkAwfoiyqi5kUr6qanWUAVnUfyk1dVHfst2s/N/CgAiI6+qGJj0QpkieQnj6o69lvVn7sIipaUAqgc+qKKi0UrkCWSn7yp6thvVX/uIiApBQCkiEUrkCVucpo39bHfxlmbUvlvnpn1z12rhRXbdu8Oj7VaZ/dfFtw9EACQssZFKxqxaAXSwMhP3pRt7Lfdcqksf25GMtpHITYAIGUsWoEskfzkTZnGfpeTZGT1c1NetzxlS8YBALnEohXICslP3pTlhgXLTTLS/LkbR58mJsJZdfPm+e9hJKO1MiXjQEm0O6DOOiUoGhatQBZIfvKmLGO/yy2XSuvnbh59uvtu6dChcCWwYcP89652JKOMVxplScaBkmh3QJ3qXgBojeQnj8ow9ruScqlO/9ytRp+6u6W77pL27JG2bZPWrDn2/tWMZNSvNKampMOHpSNHpP5+6UMfks46a2X7zIOyJONACbQ7oE51LwAsjOQnr4o+9rvScqlO/tytRp/6+8OIz+HD0n33SaeeGravZiSjfqVx+LB0223SI4+E7YcOSa99rfT5z0tnnrnqHyeaMiTjQAm0O6DOOiUAsDCSH6QjD+VSrUaf1q6VLrhAuvpq6c47w1LNqx3JGBsLScFttx3bV91Pfyp94APS5ZcXO1koejIOlEC7A+qsUwIACyP5QTryUC610OjThg3SuedKF14onXLK6kcyJifDqM8jj8xPfKRwHCYn6WoFsGrtDqizTgkALIzkB+mJXS612OjTpk3SRRd1Jpa+vjDHZyHr1tHVCmDV2h1Qz8PAOwDkVVfsAFBy9XKpbdvCY5alX/XRp66uMPo0Ph4eu7o6O/o0PBzmEtVq87cfORISnw0b6GoFsGrtntKyOvUBQBGZLzQ2nkNbt271vXv3xg4DRTM7m/7o0223hcUNHnnk2PLW69ZJZ58dkh+WV0KJmNk+d98aO448yqKdaveUlsWpDwDyaLF2irI3lN9KJ+sv5749Z50VVnX7wAfC++sjPhs20NUKoKPaPaWxTgkAHI/kB2hlJXcIPPPMsKobXa0AAAC5RPIDNFvNHQLpagUAAMgtFjwAmtXvENi4TJIUns/MhJEdAAAAFA7JD9CMOwQCAACUEskP0Iw7BAIAAJQSyQ/QrPEOgY24QyAAAEChkfwAzbhDIAAAQClFXe3NzC6U9JeS1kj6uLt/LGY8wM8NDIRV3Vi2GgAAoDSiJT9mtkbS30jaJumQpBvM7KvufnOsmIB5WLYaAACgVGKO/Jwn6XZ3v0OSzOyzkl4lieQH+VWrhaWwJyfDwgjDw6FMDgAAALkXM/l5sqS7Gp4fkvSc5jeZ2XZJ2yVpYGAgm8iAVg4eDDc5nZkJS167hwUQduwIZXIAAADItdwveODul7r7Vnff2t/fHzscVFWtFhKfuTlpaEgaHAyPc3Nh++xs7AgBAACwhJgjP3dLOr3h+WnJNiAfGkvcJibCwgebN89/T29vWAludJT5QQAAADkXM/m5QdJZZvYUhaTn1yW9PmI8wDHNJW6HDkl33x3m+WzYMP+9ZiExAgAAQK5FK3tz96OS3i7pakm3SPqcu4/Figf4uVYlbmecEeb47NkjPfbY/Pe7h6WwAQAAkGtR7/Pj7t+Q9I2YMQDHGRsLIz5DQ8e29feHEZ/Dh6X77pNOPTVsn54Oix6MjEQJFQAAAO3L/YIHQOYmJ0MpW6O1a6ULLgjb77xTGh8Pc326usJqb9z8FAAAIPeijvwAudTXF0rZmm3YIJ17rnThhdIpp4RSt5EREh8AAICCIPkBmg0Ph1K26emwmlvd9HRIeC66iIQHAACggCh7A5r19IRStq6uUNpGiRsAAEApMPJTdo33qunrC6MaPT2xo8q/gQFp585w/56pKUrcAAAASoDkp8ya71XjHsq5duwIF/dYXHd3/BuXkrwCAAB0DMlPXq32orf5XjV109Nh+86djGLkHckrAKSi0/1K9FMBxUHyk0eduOhtda8aKUzgP3AglHPFHtXAwkheASAVne5Xop8KKBYWPMib5ovewcHwODcXts/OtrefVveqqTML81iqqlaT9u+Xdu8Oj7Va7IiOV09eG1ebk8LzmZmQvAIAlqVTTWxa+wOQPkZ+8qZTIzYL3atGCts3bVptpMVUlC46klcAFZZWGVmniyIosgCKh+Qnbzp10bvYvWo2bgwrl1VNkUrJSF4BVFSafVSd7leqYj/VQolpjHlPzLXCSpD85E2nLnrr96rZtSt0PzW3IHm5yM9SkbroSF4BVFDafVSd7leqWj/VQonp614nXXVVtkUVRSnkQP6Q/ORNJy9627lXTZW6TYrURUfyCqCC0u6j6nS/UpX6qRZKTCcmpN/5HempTw1N10knSf390gMPpFdUUaRCDuQPyU/edPqid7F71VSt2yQvXXTtJpzcaBVAxaTdR9XpJrYI/VSd6uNcKDGdmQk/+8MPh8RHktatky64QLr//nSKKopUyIH8IfnJoywueqvYbZKHLrrlJpx5uNEqAGSk3kd19GgYUahfUPf3d66PqtNNbJ77qTrZx9kqMT16VNq3L+x33bqwb0k6ckTasyeMBqVRVFGkQg7kD8lPXqV90VvFbpPYXXRVTDgBYBmGh6WuLulrXzv+tS1bOtdH1ekmNo/9VJ1ucloVT0xMhP2vWSOdcEL4/89+Jj36qPTII8eSwU7LSyEHionkp6qq2m0Ss4uuigknACzTYk0T2tfpJqdV8cTDD4ffS3d3GAW6447wKIXRn7k56eSTO/HTLB2LVM65Vug8bnJaVVXuNql30W3bFh6zGm2pasIJFJiZXWhmPzKz283sPbHjKbuxMemxx6RXvlJ6znOkZzwjPL7ylWE793duX6ebnHrxRFdXSJ7Gx0NytWaNdOGF0k9/GkZ76rq7pbPPli65pPM3e20Vy4ED4Xle5lohvxj5qSq6TbJX5YQTKCAzWyPpbyRtk3RI0g1m9lV3vzluZOVVv2Bfs0Y69dT5r9FHtDxpNDnNxRPr10tXXhl+b6ecEkrfHn00jPicdJJ0zjnSXXelU9iQ57lWyDeSn6qKPf+likg4gaI5T9Lt7n6HJJnZZyW9ShLJT0qWc8FepTs1rERaTU7z/KYnPUl6xztCCdzjHhdGX046Kaz2tmZNuklrHudaIf9IfqqMbpNskXACRfNkSXc1PD8k6TmRYqmEdi/Yq3anhpXIqskZGJDe8x7pIx8J+z7ppDAKtGZNeJ3CBuQNyU/V0W2SLRJOoHTMbLuk7ZI0wJX3qrRzwc7Cme3Lqsk55xxp8+bwO6GwAXlH8gNkLe8JJ7UkQN3dkk5veH5asm0ed79U0qWStHXr1gWKttCupS7YWThzeeplhM2PnURhA4qE5AfFx8V651BLAjS6QdJZZvYUhaTn1yW9Pm5I1bBYHxELZ7Yvy1M6hQ0oCpIfFBsX651DLQkwj7sfNbO3S7pa0hpJn3D3schhVR4LZ7Ynxik974UNgMR9flBkzWf2wcHwODcXtnf6xgJlV68laSzYlsLzmRlusIFKcvdvuPtT3X2zu380djyYvyhCI+aXzMcpHWiN5Adx1WrS/v3S7t3hsVZr/2s5s3cWtSQACoAbXLaHUzrQGmVviGe1JWuc2TuLWhIABVGm+SVpTVvllA60RvKDODpRjMyZvbOqfBNWFs0ACqcM80vSnLa62Cl9/Xrp0UdD0QWnPFQNyQ/i6MRapVW+WE9DVdcqZdEMABGkvSDBQqf0NWvC49/+bXunPPqGUDYkP4ijEyVrVb1YT1OZaknawQp3ACLJ4n5Fzaf09eulK68MzWVzn2GrUx59Qygjkh/E0amStapdrGehDLUk7eJuiQAiyWraauMpff9+6cEH2zvl0TeEsiL5QRydLFmr0sV6VaVVd8GiGQAiiTFtdTmnvLL1DeWtfC9v8VQJyQ/ioGQN7Uqz7oJFMwBEEmPa6nJOeWXqG8pb+V7e4qkakh/EQ8kalpJ23QWLZgCIJEYf4HJOeWXpG8pb+V7e4qkibnKKuOola9u2hUf+4tEo7RvZcrdEABHV+wDf9jbp2c8O/y6+WHrCE9L5fss55TUmSo2K1jeUt/uhrzSe1dwTHvMx8gMgv7Kou2AEEkBE994rffazx0qgbrgh3RKo5Zzytm2TPv5x6c47pcc/PiRJRatOz1v53krioUyus0h+AORXVnUXLJoBIIJYJVBLnfIaL7bXr5fuv1966CHprW8NCVFREh8pf+V7y42HMrnOo+wNQH6Vpe4CQKFkVWKUt5Is6fiL7TPOkM49VzrtNOmaa9L/3p0+7nlrRpYbTx4/I0XHyA+A/GJVQAAZy7LEKG8lWVK8Ja7TOu55a0aWG08ePyNFR/IDIN+YkwMgI1mXGOWtJEs6/mL76FFpYkJ6+GHp8OEwR6nT0j7ueWtGlhNPHj8jRUfyAyD/mJMDIANZj3rkcbX9xovtw4elPXukRx4Jzx96SLriihBXJ0fBsjjuzc1IvcQu1k1G223W8vgZKTqSH6AMuFV0+jjGQOllXWKUt5IsSTrzTGl2Vtq3L8S0bl2I58iR8P/HP77zo2BZH/cirZ623M8ITdXSSH6AoivSWbyoOMZAJcQoMcpTSVb9VDc7Gy6gJyZCwtPfL518snTBBdKGDZ0fBcvyuBdx9bR2PyM0Ve1htTegyJrP4oOD4XFu7lgLhtXhGAOVEWtlsDzc77vxVDcyIp1/vnTqqeFCe80a6UUvComP1PnRmCyPe1FXT1vqM0JT1T6SH6DIinoWLxKOMVBKrZZVrpcYdXWF0Y3x8fDY1VX+BSabT3Xr10snnSQ98Ykh+WlMdjo9GpPlcS/a6mntLv9NU9U+yt6AIivaWbyIOMZA6SxVHpSXMrQsNZ/q+vtDyduRI+H5ww+Hx7RGwbI67kVaPW05ZWw0Ve0j+QGKrEhn8aLiGCMDTFLOTrtzPvKywGRWn43mU93atWGOz549YZW3w4fDaEyaizGkcdybj9+ZZxZj9bTlzk2iqWofyQ9QZKyBmT6OMVLGJOVsxbqJ50pk+dlodarbsEE691zp/vulN74xlMAVaRRsoeP3utdJV12VnxX2Wlnu55Smqn0kP0BRLNT9l7d1UsuGY4wUFXHlqaIrSnlQ1p+NxU51H/tY8RLxxY7fVVdJH/mIdOut+S1tXO7nlKaqfSQ/QBFQoB4XxxgpKdIoRFkUpTwoxmejTKe6pY7frbfm+29rJZ/TMv3+0kTyA+Rd0QrUy4pjjBQUZRSiTIpSHhTrs1GWU13R/7ZW+jkty+8vTSx1DeQd61cCpVWUUYgyKcpy1nw2Vqfox68on9MiYuQHyLuid18BWFBRRiHKpgjlQXw2VqcMx68In9MiIvlB+RV9Ddmid18BWBCTlOPJe3kQn43V6eTxi3kZkffPaRGR/KDcyrCGbBm6rwAsiN5dLITPxup04viV4TIC85kv1KOcQ1u3bvW9e/fGDgNFUatJ7353WCigOWno6irWGrKcfVeu6CN/OWRm+9x9a+w48oh2Clnq5OmNU+XxynQZUTWLtVOM/KC8yrSGLN1/K0PSCKCkOnl641TZWpkuI3AMq72hvPK6UECtJu3fL+3eHR5rtfa+rl74u21beCTxWVzzEuGDg+Fxbi5sn52NHSEArEgnT2+cKheW18sIrA4jPyivPC4UQPdaduiyA1BSnTy9capcWB4vI7B6jPygvBoXCmgUa6EAuteyRZcdgJLq5OmtjKfKlRZYNFvoMmJiIjTZ99yzuv0jDkZ+UF55WyeU7rVs0WUHoKQ6eXor26mykwUWrS4jHnpIuv12afNm6QtfoICjiEh+UG55WiigjN1recYS4UApsSpZZ09vq9lX3n4XzQUWddPTYftKVmdrvIy4917piiuk5z5X6u/vzP6RPZIflF9e7hCWRvda3lqePMnbyB+AVcvjtMkYp+FOnt5Wuq88/i7SKrCoX0bs3x/+35j4dGL/OCaLvyeSHyArnR6JyGPLkzd5GvkDsCpp9OqvVszTcCdPb8vdVx5/F1L6BRYUcKQrq78nFjwAslLvXuvqCl1E4+Phsatr+V11LJ7QPpYIB0qh3qvf2HckheczM+HCPUt5OA138vS2nH3l7XdRl/b8pbLNj8qTLP+eGPkBstSprjoWTwBQMXnrda/yaThvv4u6tKd6MpU0PVn+PTHyA2StE111eW15ACAleet1r/JpOG+/i7pOFljE2H+VZfn3xMhPETCpHc3y2vIAQEry1ute5dNw3n4XjdKe6slU0nRk+fdE8pN3TGpHK3lueQAgBXlbwLHKp+G8/S6apb3Ia14WkS2TLP+ezBdKs3Jo69atvnfv3thhZKdWk9797jDbq/mT0NXFgvJ5EHNUjsQYkZjZPnffGjuOPKpcOxXB7Gx+et2rfhrO0+8CxdfJv6fF2qkoIz9mdpGkD0o6W9J57k5L0UqVZ1MWQexWj7F3ABWUp173qp+G8/S7QPFl9fcUq+xtVNJrJP1dpO9fDFWeTZl3ebnJAS0PAETFaRjonCz+nqKs9ubut7j7j2J870Kp8mzKvMvrTQ4AAACwoNwvdW1m281sr5ntnZiYiB1OthpnfzWqwmzKvGNUDgAAoHBSK3szs29KemKLl97v7l9pdz/ufqmkS6UwkbRD4RVD3pdTqbKFRuWOHg2J0a23hpG5pRZAyNMy5nmKBQAAIAWpJT/u/uK09l0pVZ9NmVet1mQ8fFj69rfD8jfXXy/dcMPiCyDEXjAhr7EAAACkJPdlb9Cx2V/btoVHEp/4mm/zfMcd0tVXh9de+lLpjDPCQghzcyGpmJ2d//XNCyYMDi7+/jTlKRYAAIAURUl+zOzVZnZI0gWSvm5mV8eIA1iV+qjc7/++dP750ubN0itfKW3YcOw9Cy2AkKcFE/IUCwAAQIqiLHXt7l+S9KUY3xvoqPqoXH2ezJo1x7+n1QIIeVgwoT7H5+qrQ/neaadJa5tOCSzeAAAASiTWfX6AclnusuSxlzFvnOMzPS3dfLN0333SBRfMH7liSXUAAFAizPkBOmG5y5LHXMa8eY7PM58ZkrEjR6Q9e6THHssuFgAAgAyR/CAfajVp/35p9+7wWKvFjmh5mhdAGB8Pj11drZclX+77O6l5js/atWHE58QTQzneTTdlFwsAAECGKHtDfGVZZnm5y5LHWsa81XyjDRvCaoI33SQ997nSS17CkuoAAKB0SH4QV3MJVt30dNi+c2exLsDrCyCk9f5OWGi+0Zo1YTToJS/JPiYAqBDuKQ3EQ/KDuOolWI2JjxQuwg8cCKMiXIh3VqsbtErM8QEamNlFkj4o6WxJ57n73rgRoSzKUuwAFBVzfhBXHpZ8rpqY842A4hiV9BpJ18YOBOXBPaWB+Bj5QVyxl3yuqljzjYCCcPdbJMkW6pwBVoBiByA+kh/ERQlWPDHmGwElZGbbJW2XpAHqlrAIih2A+Ch7Q1yUYAGIxMy+aWajLf69ajn7cfdL3X2ru2/t7+9PK1yUAMUOQHyM/CA+SrAARODuL44dA6qFYgcgPpIf5AMlWOXA+q0AIsvzaahe7LBrVyhyaF7tjT4/IH0kP0Be5LnFbgfrt6JEzOzVkv5aUr+kr5vZje7+0shhYQlFOA1R7ADERfID5EERWuzFlO1mtag8d/+SpC/FjgPtK9JpiGIHIB4WPABiK8ONH+rrtzYWsUvh+cxM6OIEgBRxGgLQDpIfILYytNis3wogMk5DANpB8gPEVoYWm/VbAUTGaQhAO0h+gNjK0GI3rt/aiPVbAWSE0xCAdpD8ALGVocXmZrUAIuM0BKAdrPYGxFaWGz+wfiuAyDgNAVgKyQ+QB2VpsVm/FUBknIYALIbkB8gLWmwAAIBUMecHAAAAQCWQ/AAAAACoBJIfAAAAAJXAnB8AACquVpPGxsI9l/v6wgr8PT2xowKAziP5AQCgwg4eDCvtz8wcv9L+wEDs6ACgsyh7AwCgomq1kPjMzUlDQ9LgYHicmwvbZ2djRwgAnUXyAwBARY2NhRGf3t7523t7w/bR0ThxAUBaKHtDNVHgDgCanAylbq2YhXsuA0VHk49GJD+oHgrcAUBSuBB0b/2au7RpU7bxAJ1Gk49mlL2hWihwB4CfGx4OF4LT0/O3T0+H7SMjceICOoEmH62Q/KBaKHAHgJ/r6Qk94F1d0oED0vh4eOzqCtu7u2NHCKwcTT5aoewN1UKBOwDMMzAg7dwZLgSnpkKp28gIiQ+KjyYfrZD8oFoocAeA43R3S1u2xI4C6CyafLRC2RuqhQJ3AAAqgSYfrZD8oFoocAcAoBJo8tEKZW+oHgrcAQCoBJp8NCP5QTVR4A4AQCXQ5KMRZW8AAAAAKoHkBwAAAEAlkPwAAAAAqASSHwAAAACVQPIDAAAAoBJIfgAAAABUAskPAAAAgEog+QEAAABQCSQ/AAAAACqB5AcAAABAJZD8AAAAAKgEc/fYMbTNzCYkjXdgV32SJjuwnyrgWLWPY9U+jlX78nisBt29P3YQedTBdqqVPH4W8ojj1B6O09I4Ru3J43FasJ0qVPLTKWa21923xo6jCDhW7eNYtY9j1T6OFer4LLSH49QejtPSOEbtKdpxouwNAAAAQCWQ/AAAAACohKomP5fGDqBAOFbt41i1j2PVPo4V6vgstIfj1B6O09I4Ru0p1HGq5JwfAAAAANVT1ZEfAAAAABVD8gMAAACgEiqb/JjZn5nZf5jZv5vZl8xsY+yY8srMLjKzMTObM7PCLGWYJTO70Mx+ZGa3m9l7YseTV2b2CTO7z8xGY8eSd2Z2upl928xuTv7+3hE7JsRH29Ue2q2F0V4tjbaqPUVtpyqb/Ei6RtKIuz9T0q2S3hs5njwblfQaSdfGDiSPzGyNpL+R9DJJT5d0sZk9PW5UuXW5pAtjB1EQRyW9y92fLul8Sb/L5wqi7WoX7VYLtFdtu1y0Ve0oZDtV2eTH3Xe7+9Hk6fclnRYznjxz91vc/Uex48ix8yTd7u53uPuspM9KelXkmHLJ3a+VNB07jiJw93vcfX/y/wcl3SLpyXGjQmy0Xe2h3VoQ7VUbaKvaU9R2qrLJT5PflPTPsYNAYT1Z0l0Nzw+pAH/8KA4zG5L0LEnXx40EOUPbheWivUIqitROrY0dQJrM7JuSntjipfe7+1eS97xfYdjuyixjy5t2jhWA7JnZ4yR9UdI73f2B2PEgfbRd7aHdAvKhaO1UqZMfd3/xYq+b2ZslvULSL3vFb3i01LHCou6WdHrD89OSbcCqmNkJCg3Kle7+j7HjQTZou9pDu7UitFfoqCK2U5UtezOzCyX9gaT/4u4Px44HhXaDpLPM7Clm1i3p1yV9NXJMKDgzM0mXSbrF3XfFjgf5QNuFVaK9QscUtZ2qbPIj6RJJ6yVdY2Y3mtn/jB1QXpnZq83skKQLJH3dzK6OHVOeJJOP3y7paoXJfp9z97G4UeWTmX1G0h5JTzOzQ2b21tgx5djzJL1J0ouSc9SNZvby2EEhOtquNtButUZ71R7aqrYVsp2yCo+YAwAAAKiQKo/8AAAAAKgQkh8AAAAAlUDyAwAAAKASSH4AAAAAVALJDwAAAIBKIPkBOsjMHkuWehw1s8+b2UnJ9iea2WfN7Mdmts/MvmFmT01e+99mNmNmX4sbPQCg7GinUHUkP0BnHXH3c9x9RNKspLclNwH7kqTvuPtmdz9X0nslPSH5mj9TWCcfAIC00U6h0kh+gPRcJ+lMSb8k6VF3//nNCN39Jne/Lvn/tyQ9GCdEAECF0U6hckh+gBSY2VpJL5P0Q0kjkvbFjQgAgGNop1BVJD9AZ51oZjdK2ivpoKTLIscDAEAj2ilU2trYAQAlc8Tdz2ncYGZjkn4tUjwAADSinUKlMfIDpO9fJPWY2fb6BjN7ppk9P2JMAADU0U6hMkh+gJS5u0t6taQXJ0uIjkn6E0n3SpKZXSfp85J+2cwOmdlL40ULAKga2ilUiYXPOwAAAACUGyM/AAAAACqB5AcAAABAJZD8AAAAAKgEkh8AAAAAlUDyAwAAAKASSH4AAAAAVALJDwAAAIBK+P8BRlA8i6FOy3wAAAAASUVORK5CYII=", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAz8AAAG5CAYAAACgI4qvAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nO3dfZxkVX3n8e+veugeiMOMPd0oAj0tA2axWxeHCYIuMT6MGU3YLG7wKbprorL6StRk3FWTuEYTXM1kM2s2ZOOS4KLCKhglPmYZslFAM0FmZiF2QwQC08Pw2A/0ADJUM/Rv/zi3mOqa6u7q7rp17sPn/Xr1q7puVVf/6nb1Ofd3z++ca+4uAAAAACi6SuwAAAAAAKATSH4AAAAAlALJDwAAAIBSIPkBAAAAUAokPwAAAABKgeQHAAAAQCmQ/KDtzOx7ZvaueR4bMLPHzaxrqc/F/Mzs42Z2Rew42oG/O4AsSNqhU2PHUTRmNmhmbmarYsfSDmb2N2b272PHgdaR/KAlZrbPzF6z0tdx9/3u/ix3f3qpz10oUYrNzJ5nZgdix5FHjZ+tpXxGAEB6ph05lCQsta9LVvKaSTt0d/L6l5vZxUuI5x1m9v2V/P40mdm1Zvba2HHkTbMTje7+Onf/fKyYsHSFyLqBxZiZSTJ3n03pV7xe0v9J6bVzy8xWufvh2HEAKIXz3f1vYwfRDmm2nWb2U5I2S7o+jdfPK/qr8mDkB0tWO6NlZv/VzB4xs3vM7HUNT9tgZj8ws8fMbKeZ9SU/22y4e6OZ/dDMHjWzr5tZb+NzzeyTks6TdEn9GT0ze5mZ3WxmB5Pbl9XF+T0z+6SZ/UDSE5I+aGZ7Gt7LNjP7epP3+Eoz+1Hd/evM7Oa6+zea2b+p+5HXS/pOk9c56v3Wj2Al+/IHZnZJ8h7+ycxePd++r3uN55vZ9cn+vU5SX8Pj/9rMRs1sOvl9ZyTbf9XMvln3vDvN7Ct19+81szOT793M3pM8Z9rM/ixJIheKq/Z+/puZTUr6uJltNLO/M7NJM5swsyvNbF3y/C9KGpD0zeTv+qHGfZaMqn3DzKbM7C4ze/di+wcAaszsz83sq3X3/9DM/q8FXWb2O2b2z0l7usfMTkme52Z2mpldJOlXJH0oaae+mTz+kbqfu83MLki2nyHps5LOTZ4/nWxfa2ZfMLNxMxszs4+aWSV5rLHt/P2kzXtRXdwnmNkTZtbf5D2OmdlZyfe/ksQ+lNx/p5n9dd3TXy3pB+5ebfI6cyosrGEEK3nd95vZ3Ul7/ke197DA/u+ycLwwYWZ3S/qFhsebtvFmttrCaF7t+OF3zeywmR2f3P8DM/tM8v3lSR/17eTvcZOZbVworrr38+tmdqekO5Ntf5L0hY8mn4fzku1bJf2OpDclf9dbG/eZmVWSv+uYmT2c/L3XLhYHOszd+eJr0S9J+yS9Jvn+HZKekvRuSV2S3ivpfoWRFUn6nqR/lvQCSccm9z+dPDYoySWtqnvufZKGJf2UpK9KumKB576rLqZeSY9IervCKOZbkvvr656/X9JQ8niPpClJZ9S9xv+T9G+bvN9jJT2pkFQcI+mhJM41yWOH6n7PMZImJK1p8jpz3kPj+0j25WFJv5W8zpskHZTUu8jfY5ekHcl7+llJj9XttxdI+omkLclrfkjSXZK6JZ0qaVrhxMfzJI1JOpD83KnJ/qsk913StyStU0hQxiVtXSSu2vt5X7LPj5V0WhJLj6R+STdI+kyzz9Y8f/cbJP0PSaslnZnE8arY/xN88cVXdr4a25GGx46TdEfSPp2XtNcnJ4/9J0k/kvTTkkzSv6xr213Sacn3l0u6uOF1L0za0UrSdv9E0onJY++Q9P2G539B0teTfmQwiemddc9vbDv/h6Q/rPv5D0j65jzv8QuSPph8f6lCH/zeusd+q+65n5X0H+Z5ne9pbj87530k++S7Cv3vQPIe3tXstep+5j2S/knSKcnPfbfVNj557N8m3+9M3tfr6h67oO7vMynp7GT/XSnpyy18blzSdUlcxybb3iZpffI6H5T0oKTVyWMfV9LXNttnkn5Nob89VdKzJH1N0hdj/3/wNfeLkR8s15i7/4WHeRmfl3SipOfUPf6/3P0Odz8k6WqFBm0+X3T3EXf/iaT/LOmN1tpk91+QdKe7f9HdD7v7lxQa2PPrnnO5u48mj1clXaXQsCk5KzaocIA/RxL3zQqJxVmSbpX0A0kvl3RO8nsnk6f/rKRb3f2xFmJu5mGFZOApd79K0o/VcGasnpkNSPoZSf/Z3avufoOkb9Y95U2Svu3u17n7U5L+q0JH+jIP9euPKfw9flbStZLuN7N/IekVkm70uaWBn3b3aXffr9BhLfR3rLnf3f802eeH3P2uJJaqu48rJG2vaGXHJGdgXy7pw+7+pLvfIukvJf27Vn4eQKn8tYVR6trXuyXJ3Z9QOEm2Q9IVkt7n7rU5mu+S9FF3/7EHt9a17Qty96+4+/3uPpu03XcqHHwfJenT3izpt939MXffJ+mPk7hq5rSdCn3rW8yeGXF/u6QvzhPO9TrSrp4n6VN191+huSVuTSsVluAP3X0q6Rc+o3DicSFvVOjj7nX3qSQ2SS218ddLekVSCfBiSf89ub9aoR+8oe73XOPuP/RQunalWuuvJOlTyfs5JEnufoW7TyZ/hz9WOHH30y2+1q9I2uHud7v745J+W9KbrSCLOxQFyQ+W68HaN0nHIoWzHEc9rlByVv9Yo3vrvh9TGK3om+e59WojF/XGJJ00z2tLoTN5a9KZvF3S1d5k6D9xvaSfU0gSrlc4u/MKtb8juc89nDJKjCm8t/k8T9IjSbJY/zP1jz9zP0lm7tWR/dLq+5KW9nesmbPPzew5ZvZlM7vPzB5VOPho5e9bey9TDYll498YACTp37j7urqvv6g94O43SbpbYXTn6rqfOUVhNGHJzOzfmdkttWRLoYJhvratVkVQ31Yv2F8lMT8h6eeSE1SnSfrGPK9/vaTzzOxEhYqMqyW93MwGJa2VdEsS84skHXT3xr5xKRr77IX6KyWPN/5M/WMLtfG1/mqTwgjddQp91TmS7mpIVJfTX0lH91n/0cxut1CKPq2w/5bSZzX+jVdp7slhREbygyw4pe77AYWSuokmz/OG+/dL2tCwbUChPK3pz7j7P0iaUTgz9lbNfxZNOjpJqJ1ZW0ryU0tQjqvb9tyG55xUd2av9h7uXyCuByQ928Kk1fqfqZmzX5LXPkVH9kvtfZ2nhd/XcjX+nf5Lsu1F7n68wsibLfD8evdL6jWzNXXbGv/GALAgM/t1hTP49yuUAtfcK2nRuSFqaKfMbIOkv5D0GwplcuskjehI29bYrk0o9G31fdaC/VXi8wpt5tsl/ZW7P9k0OPe7FA743yfpBnd/VCEZuEihbK02or/YybqfaOH+Sjq6z16ov5JCn9X4MzWLtfF/rzDqcoGk6939tuTx16t9CzY8s9+T+T0fUhitenbydz2o+f+ujRqPSwYUyhkfalOsaAOSH2TB28zshWZ2nKTfV2jgmy1z/JBCHW3NdyS9wMzeamFRhDdJeqGalLE1+IKkSyQ95e4LLUVaa3TPlvRDdx9VaNReqmSo3cyeL6nH3W9v9gJJmdd9yXvsMrNf09Ed7QmS3m9mx5jZhZLO0AKdk7uPSdot6RNm1m1m/0pzS/2ulvQLZvZqMztGoWa5mrwfKXQYr1Sobz4g6UZJWxVqnP/fAvtjudZIelzSQTM7SaHGvl7j3/UZydnJv5f0qWTy64slvVNh9AgAFmVmL5B0sY4kER+yZGEXhRKrPzCz0y14sZmtb/Iyje3UTykcCI8nv+NXFUZ+6p9/spl1S1LSp10t6ZNmtiZJnrZp8bbsCoUD/7cp9F0LuV4hGaslBd9ruC+FpOHbC7zGLZLeYGbHmdlpCu1to/9kZs9OStY+oFBOvpCrFfq4k83s2ZI+UntgsTY+qSzZI+nX697H3yvMI0pjtbo1CsnKuKRVZvYxScfXPf6QpMEFFnn4kqTfsrAo0bMUTv5d5awilykkP8iCLypMVnxQYcLj++d53p9I+mULK8z992S4+xcVDu4nFc7W/KK7Nxs1avx9w1qk00nKyvZKGnX3mWTzLoX5Tg8n939Bi5e8vVvhgH9SYfGFv294/CZJpyucGfykpF9uoeb8rQpJ2JSk31Ndp+juP1boKP80ec3zFZaAnUkev0MhGbkxuf+oQjnID+ZJOlfqEwolCwcVOt2vNTz+KUkfTUpH/mOTn3+Lwtys+yVdI+n3vCDL2QJoq9qqkbWva5K5FlcozFO51d3vVFix64tm1qMwD+hqhcn0j0q6TGGOZKPLJL0waaf+OhmB+GOFPuEhSS9SmBda83eSRiU9aGa1Pul9CiMrd0v6vqT/LelzC72hJDnYq5Bo3bjI+79e4eD9hmb3Layy+UId3QfV+28K1REPKYw6XdnkOV9XSEhuUWjTL1skrr9QmF96a/JeGvuAxdr46xVKBn/Y7H212bUKl624Q6Fk7UnNLYurrY46aWZ7m/z85xSOMW6QdE/y8+9LIU6sQG11LqA0zOxYhUUGNiUd4Upe6zuSLnH3Zc35MbN3KKwS869WEgcAoJjM7HMKiyF8dIWv80aFk2tvXMFruKTTkzI7IJdYfQJl9F5JN6808Ul8T2EVNAAA2ipZsOANkl7ShpebVhjZAUqNsjeUipntU6hR/mA7Xs/dt9eWx2y3hvKN+q/z0vh9S4jrs/PE9dmYcQFAkZjZHygsovBH7n7PSl/P3Xe6+66VR3a0rPYLZnbefH1pzLgQF2VvAAAAAEqBkR8AAAAApRBtzk9ydd4bFNbdX6WwvPHvLfQzfX19Pjg42IHoAADz2bNnz4S798eOI4vopwAgvoX6qZgLHlQlvcrdH0+uRfJ9M/ub5CKUTQ0ODmr37t2dixAAcBQzG1v8WeVEPwUA8S3UT0VLfjxMNqpNODsm+WICEgAAAIBURJ3zk1zx/haFa65c5+43NXnORWa228x2j4+Pdz5IAAAAAIUQNflx96fd/UxJJ0s628yGmzznUnff7O6b+/spMQcAAACwPJlY7c3dpxUuFLk1diwAAAAAiila8mNm/Wa2Lvn+WElbJP1TrHgAAAAAFFvM1d5OlPR5M+tSSMKudvdvRYwHAAAAQIHFXO3tHyW9JNbvBwAAAFAumZjzAwAAAABpI/kBAAAAUAokPwAAAABKgeQHAAAAQCmQ/AAAAAAohZhLXQMAOqlalUZHpYkJqa9PGhqSenpiRwUAbUETh1aQ/ABAGezfL+3YIU1PS2aSu7RunbRtmzQwEDs6AFgRmji0irI3ACi6ajUcFczOSoOD0oYN4XZ2NmyfmYkdIQAsG00cloLkBwCKbnQ0nA7t7Z27vbc3bB8ZiRMXgMKrVqW9e6WdO8Nttdr+30ETh6Wg7A0Aim5iItSBNGMmTU52Nh4ApdCpUjSaOCwFIz8AUHR9feGooxl3af36zsYDoPA6WYpGE4elIPkBgKIbGgqnW6em5m6fmgrbh4fjxAWgsDpZikYTh6Ug+QGAouvpCXUmlYq0b580NhZuK5Wwvbs7doQACqaTpWg0cVgK5vwAQBkMDEjbt4fTrZOToQ5keJijAgCp6HQpGk0cWkXyAwBl0d0tbdoUOwoAJVBfilZf+pZmKRpNHFpB2RsAAADailI0ZBUjPwAAAGg7StGQRSQ/AAAASAWlaMgayt4AAAAAlALJDwAAAIBSIPkBAAAAUAokPwAAAABKgeQHAAAAQCmQ/AAAAAAoBZIfAAAAAKVA8gMAAACgFEh+AAAAAJQCyQ8AAACAUiD5AQAAAFAKJD8AAAAASoHkBwAAAEApkPwAAAAAKAWSHwAAAAClQPIDAAAAoBRIfgAAAACUAskPAAAAgFIg+QEAAABQCiQ/AAAAAEqB5AcAAABAKZD8AAAAACgFkh8AAAAApUDyAwAAAKAUSH4AAAAAlALJDwAAAIBSIPkBAAAAUAokPwAAAABKgeQHAAAAQCmQ/AAAAAAoBZIfAAAAAKWwKnYAhVCtSqOj0sSE1NcnDQ1JPT2xowIAAABQh+Rnpfbvl3bskKanJTPJXVq3Ttq2TRoYiB0dAAAAgARlbytRrYbEZ3ZWGhyUNmwIt7OzYfvMTOwIAQAAACRIflZidDSM+PT2zt3e2xu2j4zEiQsAAADAUUh+VmJiIpS6NWMmTU52Nh4AAAAA8yL5WYm+vjDHpxl3af36zsYDAAAAYF4kPysxNBQWN5iamrt9aipsHx6OExcAAACAo5D8rERPT1jVrVKR9u2TxsbCbaUStnd3x44QALACZrbazH5oZrea2aiZfSJ2TACA5WOp65UaGJC2bw+LG0xOhlK34WESHwAohqqkV7n742Z2jKTvm9nfuPs/xA4MALB0JD/t0N0tbdoUOwoAQJu5u0t6PLl7TPI1z2RPAEDWUfYGAMACzKzLzG6R9LCk69z9pobHLzKz3Wa2e3x8PE6QAICWkPwAALAAd3/a3c+UdLKks81suOHxS919s7tv7u/vjxMkAKAlJD8AALTA3aclfVfS1tixAACWhzk/AJCWalUaHQ0XRO7rC8vj9/TEjgpLYGb9kp5y92kzO1bSFkl/GDksAMAykfwAQBr275d27JCmpyWzcOHjdevCMvgDA7GjQ+tOlPR5M+tSqJa42t2/FTkmAMAykfwAQLtVqyHxmZ2VBgePbJ+aCtu3b2c5/Jxw93+U9JLYcQAA2oM5PwDQbqOjYcSnt3fu9t7esH1kJE5cAACUHMkPALTbxEQodWvGLFwQGQAAdBzJDwC0W19fmOPTjLu0fn1n4wEAAJJIfgCg/YaGwuIGU1Nzt09Nhe3Dw81/DgAApIrkBwDaracnrOpWqUj79kljY+G2UgnbWewAAIAoWO0NANIwMBBWdRsZCXN81q8PIz4kPgAAREPyAwBp6e6WNm2KHQUAAEhEK3szs1PM7LtmdpuZjZrZB2LFAgAAAKD4Yo78HJb0QXffa2ZrJO0xs+vc/baIMQEAAAAoqGgjP+7+gLvvTb5/TNLtkk6KFQ8AAACAYsvEam9mNijpJZJuavLYRWa228x2j4+Pdzo0AAAAAAURPfkxs2dJ+qqk33T3Rxsfd/dL3X2zu2/u7+/vfIAAAAAACiFq8mNmxygkPle6+9dixgIAAACg2GKu9maSLpN0u7vviBUHAAAAgHKIOfLzcklvl/QqM7sl+Xp9xHgAAAAAFFi0pa7d/fuSLNbvz5xqVRodlSYmpL4+aWhI6umJHRUAAABQGDGv84Oa/fulHTuk6WnJTHKX1q2Ttm2TBgZiRwcAAAAUQvTV3kqvWg2Jz+ysNDgobdgQbmdnw/aZmdgRAgAAAIVA8hPb6GgY8entnbu9tzdsHxmJExdQFtWqtHevtHNnuK1WY0cEAABSQtlbbBMTodStGTNpcrKz8QBlQskpAAClwshPbH194YCrGXdp/frOxgOUBSWnAACUDslPbEND4Uzz1NTc7VNTYfvwcJy4gKKj5BQAgNIh+YmtpyeU2FQq0r590thYuK1Uwvbu7tgRAsVEySkAAKXDnJ8sGBiQtm8PZ5onJ0Op2/AwiQ+QJkpOAQAoHZKfrOjuljZtih0FUB71Jaf1pW+UnAIAUFiUvQEoJ0pOAQAoHUZ+AJQXJacAAJQKyQ+AcqPkFACA0qDsDQAAAEApkPwAAAAAKAWSHwAAAAClwJwfAAAAIEXVqjQ6Gq6v3dcXrrbQ0xM7qnIi+QEAAABSsn+/tGOHND0tmYXraK9bF66qMDAQO7ryoewNAAAASEG1GhKf2VlpcFDasCHczs6G7TMzsSMsH5IfAAAAIAWjo2HEp7d37vbe3rB9ZCROXGVG8gMAAACkYGIilLo1Yxaur43OIvkBAAAAUtDXF+b4NOMurV/f2XhA8gMAAACkYmgoLG4wNTV3+9RU2D48HCeuMiP5AQAAAFLQ0xNWdatUpH37pLGxcFuphO3d3bEjLB+WugYAAABSMjAgbd8eFjeYnAylbsPDJD6xkPwAAAAAKeruljZtih0FJMreAAAAAJQEyQ8AAACAUiD5AQAAAFAKJD8AAAAASoHkBwAAAEApkPwAAAAAKAWSHwAAAAClQPIDAAAAoBRIfgAAAACUwqrYAaBOtSqNjkoTE1JfnzQ0JPX0xI4KAAAAKASSn6zYv1/asUOanpbMJHdp3Tpp2zZpYCB2dAAAAEDuUfaWBdVqSHxmZ6XBQWnDhnA7Oxu2z8zEjhCYq1qV9u6Vdu4Mt9Vq7IgAAAAWxchPFoyOhhGfwcG523t7pX37pJERadOmGJEBR2OUEgAA5BQjP1kwMREOIpsxkyYnOxsPMB9GKQEAQI6R/GRBX184e96Mu7R+fWfjAeZTG6Xs7Z27vbc3bB8ZiRMXAABAC0h+smBoKJQNTU3N3T41FbYPD8eJC2jEKCUAAMgxkp8s6OkJ8yUqlTDHZ2ws3FYqYXt3d+wIgYBRSgAAkGMseJAVAwPS9u2hbGhyMhxEDg+T+CBb6kcp60vfGKUEAAA5QPKTJd3drOqGbKuNUu7YEUYnG1d7I1lHgZjZKZK+IOk5klzSpe7+J3GjAgCsBMkPgKVhlBLlcVjSB919r5mtkbTHzK5z99tiBwYAWB6SHwBLxyglSsDdH5D0QPL9Y2Z2u6STJJH8AEBOseABAACLMLNBSS+RdFOTxy4ys91mtnt8fLzToQEAloDkBwCABZjZsyR9VdJvuvujjY+7+6XuvtndN/f393c+QABAy0h+AACYh5kdo5D4XOnuX4sdDwBgZUh+AABowsxM0mWSbnf3HbHjAQCsHAseAADQ3MslvV3Sj8zslmTb77j7dyLG1FS1Ko2OShMT4VrEQ0NhZXoAwFwkPwAANOHu35dkseNYzP794dJb09NHX3prYCB2dACQLZS9AQCQU9VqSHxmZ6XBQWnDhnA7Oxu2z8zEjhAAsoXkBwCAnBodDSM+vb1zt/f2hu0jI3HiAoCsIvkBACCnJiZCqVszZtLkZGfjAYCsY84PADTDDHLkQF9fmOPTjLu0fn1n4wGArCP5AYBGzCBHTgwNhY/m1NTc0repqbB9eDhebACQRZS9AUA9ZpAjR3p6Qk5eqUj79kljY+G2Ugnbu7tjRwgA2cLIDwDUq80gHxycu723NxxVjoxImzbFiAxoamBA2r49fDQnJ0Op2/AwiQ8ANEPyAwD1mEGOHOruJicHgFZQ9gYA9ZhBDgBAYZH8AEC9+hnk9ZhBDgBA7pH8AEA9ZpADAFBYzPnJGq4tAsTHDHIAAAqJ5CdLuLYIkB3MIAcAoHAoe8sKri0CAAAApIrkJytq1xapv0S3FO5PT4fyGwAAAADLRvKTFVxbBAAAAEgVyU9WcG0RAAAAIFUkP1nBtUUAAACAVJH8ZAXXFgEAAABSFXWpazP7nKRflPSwuzO0wbVFAAAAgNTEvs7P5ZIukfSFyHFkB9cWAQAAAFIRtezN3W+QNLXoEwEAAABghTI/58fMLjKz3Wa2e3x8PHY4AAAAAHIq88mPu1/q7pvdfXN/f3/scAAAAADkVOaTHwAAAABoB5IfAAAAAKUQNfkxsy9J2iXpp83sgJm9M2Y8AAAAAIor6lLX7v6WmL8fAAAAQHlQ9gYAAACgFEh+AAAAAJQCyQ8AAACAUiD5AQAAAFAKJD8AAAAASoHkBwAAAEApkPwAAAAAKAWSHwAAAAClQPIDAAAAoBRIfgAAAACUwqrYAQAooWpVGh2VJiakvj5paEjq6YkdFQAAKDiSHwCdtX+/tGOHND0tmUnu0rp10rZt0sBA7OgAAECBUfYGoHOq1ZD4zM5Kg4PShg3hdnY2bJ+ZiR0hAAAoMJIfAJ0zOhpGfHp7527v7Q3bR0bixAUAAEph0eTHzI43s41Ntr84nZAAFNbERCh1a8ZMmpzsbDwoBPopILuqVWnvXmnnznBbrcaOCGW34JwfM3ujpM9IetjMjpH0Dne/OXn4ckmb0g0PQKH09YU5Ps24S+vXdzYe5B79FJBdTPFEFi028vM7ks5y9zMl/aqkL5rZBclj85y+BYB5DA2Fnm9qau72qamwfXg4TlzIM/opIIOY4omsWiz56XL3ByTJ3X8o6ZWSPmpm75c0z+lbAJhHT0845VepSPv2SWNj4bZSCdu7u2NHiPyhnwIyiCmeyKrFlrp+zMw2uvs/S5K7P2BmPyfpryUNpR0cgAIaGJC2bw893+RkKHUbHibxwXLRTwEZxBRPZNViyc971VA24O6PmdlWSW9MLap244KKQLZ0d0ubmIqBtihGPwUUDFM8kVWLJT8/kfQcSXc1bD9b0j+kElG7MdsOAIos//0UUED1UzzrS9+Y4onYFpvz8xlJjzbZ/mjyWLYx2w4Aii7f/RRQUEzxRFYtNvLzHHf/UeNGd/+RmQ2mElE71WbbDQ7O3d7bG/4DR0YovQGAfMt3PwUUGFM8kUWLJT/rFnjs2HYGkgpm2wFA0eW7nwIKjimeyJrFyt52m9m7Gzea2bsk7UknpDZith0AFF2++ykAQEctNvLzm5KuMbNf0ZFOZLOkbkkXzPtTWcFsOwAounz3UwCAjlow+XH3hyS9zMxeKamWKXzb3f8u9cjaoTbbbseOMMencbU3ik4BINdy308BADpqweTHzFZLeo+k0yT9SNJl7n64E4G1DbPtAKCwCtFPAQA6ZrGyt89LekrSjZJeJ+kMhRKDfGG2HQAUVTH6KQBARyyW/LzQ3V8kSWZ2maQfph8SAAAto58CALRssdXenqp9QxkBACCDUu2nzOxzZvawmY20+7UBAJ232MjPvzSz2pWzTdKxyX2T5O5+fKrRAQCwsLT7qcslXSLpCyt8HQBABiy22ltXpwIBAGCp0u6n3P0GMxtM83cAADpnsbI3AAAAACgEkh8AAFbAzC4ys91mtnt8fDx2OACABZD8AACwAu5+qbtvdvfN/f39scMBACxgsQUPUEbVqjQ6Kk1MSH190tCQ1NMTOyoAAABgRUh+MNf+/dKOHdL0tGQmuUvr1knbtkkDA7GjA4COMrMvSfo5SX1mdkDS77n7ZXGjAgAsF8kPjqhWQ+IzOysNDh7ZPjUVtm/fLnV3R3iWKqQAAB8RSURBVAsvMxgZA0rD3d8SOwYAQPuQ/OCI0dEw4lOf+EhSb6+0b580MiJt2hQjsuxgZAwAACC3WPAAR0xMhAP6ZsykycnOxpM1jSNjGzZIJ58sPfSQ9IEPSDfdFJ4DAACATGLkB0f09YWRjGbcpfXrOxtP1jSOjB08KO3aJT35pPT449LFF0sbNzIKBAARUJEMoBUkPzhiaCiUcE1NhVK3mqmpsH14OF5sWVA/Mnb4cEh8amVvUridnWV+FAB0GBXJAFpF2RuO6OkJPUWlEub4jI2F20olbC/7wXz9yNj4eBjxOfbYI48fd1xIGqenw/woAEDqmlUkDw4eORc1MxM7QgBZwsgP5hoYCKMWIyNhjs/69WHEp+yJjzR3ZOyJJ45sP3RIWr1aOuGEcJ/5UQDQMazVA2ApSH5wtO5ueopmaiNjtdqKxx8P21evls49V+rqCveZHwUAHcNaPQCWguQHWIrayNjevUfm9Zx++pHEh/lRANBRrNVTHCxagU4g+QGWqrtbOucc6TOfCaNA99579AxbygQBoCNYq6cYWLQCnULyAywX86MAILr6iuR9+zgXlUeNi1bUTE2xgCraj+Qnq8o69pu39838KACIjnNR+caiFegkkp8sKuvYb1nfdx7kLSkFUDqci8ovFq1AJ5H8ZE1Zx37L+r7zgKQUAJAiFq1AJ3GR06ypjf3Wz9qUin/xzE6/72o1rNi2c2e4rVbb+/pFwdUDAQApq1+0oh6LViANjPxkTdHGflstl+rk+2Yko3UUYgMAUsaiFegkkp+sKdLY71KSjE69b8rrlqZoyTgAIJNYtAKdQvKTNUW5YMFSk4w033f96NP4eGhVN26c+xxGMporUjIOFESrA+qsU4K8YdEKdALJT9YUZex3qeVSab3vxtGn++6TDhwIRwJr18597kpHMop4pFGUZBwoiFYH1KnuBYDmSH6yqAhjv8spl2r3+242+tTdLd17r7Rrl7Rli9TVdeT5KxnJqB1pTE5KBw9Khw5J/f3SJz4hnX768l4zC4qSjAMF0OqAOtW9ADA/kp+syvvY73LLpdr5vpuNPvX3hxGfgwelhx+WTjwxbF/JSEbtSOPgQenOO6UnnwzbDxyQ3vhG6StfkU47bcVvJ5oiJONAAbQ6oM46JQAwP5IfpCML5VLNRp9WrZLOPVe69lrpnnvCUs0rHckYHQ1JwZ13Hnmtmocekj72Menyy/OdLOQ9GQcKoNUBddYpAYD5kfwgHVkol5pv9GntWumss6StW6UTTlj5SMbERBj1efLJuYmPFPbDxASnWgGsWKsD6qxTAgDzI/lBemKXSy00+rR+vXThhe2Jpa8vzPGZz+rVnGoFsGKtDqhnYeAdALKqEjsAFFytXGrLlnDbydKv2uhTpRJGn8bGwm2l0t7Rp6GhMJeoWp27/dChkPisXcupVgAr1mqT1qmmDwDyyHy+sfEM2rx5s+/evTt2GMibmZn0R5/uvDMsbvDkk0eWt169WjrjjJD8sLwSCsTM9rj75thxZFEn+qlWm7RONH0AkEUL9VOUvaH4ljtZfynX7Tn99LCq28c+Fp5fG/FZu5ZTrQDaqtUmjXVKAOBoJD9AM8u5QuBpp4VV3TjVCgAAkEkkP0CjlVwhkFOtAAAAmcWCB0Cj2hUC65dJksL96ekwsgMAAIDcIfkBGnGFQAAAgEIi+QEacYVAAACAQiL5ARrVXyGwHlcIBAAAyDWSH6ARVwgEAAAopKirvZnZVkl/IqlL0l+6+6djxgM8Y2AgrOrGstUAAACFES35MbMuSX8maYukA5JuNrNvuPttsWIC5mDZagAAgEKJOfJztqS73P1uSTKzL0v6JUkkP8iuajUshT0xERZGGBoKZXIAAADIvJjJz0mS7q27f0DSSxufZGYXSbpIkgYGBjoTGdDM/v3hIqfT02HJa/ewAMK2baFMDgAAAJmW+QUP3P1Sd9/s7pv7+/tjh4OyqlZD4jM7Kw0OShs2hNvZ2bB9ZiZ2hAAAAFhEzJGf+ySdUnf/5GQbkA31JW7j42Hhg40b5z6ntzesBDcywvwgAACAjIuZ/Nws6XQze75C0vNmSW+NGA9wRGOJ24ED0n33hXk+a9fOfa5ZSIwAAACQadHK3tz9sKTfkHStpNslXe3uo7HiAZ7RrMTt1FPDHJ9du6Snn577fPewFDYAAAAyLep1ftz9O5K+EzMG4Cijo2HEZ3DwyLb+/jDic/Cg9PDD0oknhu1TU2HRg+HhKKECAACgdZlf8ADouImJUMpWb9Uq6dxzw/Z77pHGxsJcn0olrPbGxU8BAAAyL+rID5BJfX2hlK3R2rXSWWdJW7dKJ5wQSt2Gh0l8AAAAcoLkB2g0NBRK2aamwmpuNVNTIeG58EISHgAAgByi7A1o1NMTStkqlVDaRokbAABAITDyU3T116rp6wujGj09saPKvoEBafv2cP2eyUlK3AAAAAqA5KfIGq9V4x7KubZtCwf3WFh3d/wLl5K8AgAAtA3JT1at9KC38Vo1NVNTYfv27YxiZB3JKwCkot3nlThPBeQHyU8WteOgt9m1aqQwgX/fvlDOFXtUA/MjeQWAVLT7vBLnqYB8YcGDrGk86N2wIdzOzobtMzOtvU6za9XUmIV5LGVVrUp790o7d4bbajV2REerJa/1q81J4f70dEheAQBL0q4uNq3XA5A+Rn6ypl0jNvNdq0YK29evX2mk+ZSXU3QkrwBKLK0ysnYXRVBkAeQPyU/WtOugd6Fr1axbF1YuK5s8lZKRvAIoqTTPUbX7vFIZz1PNl5jGmPfEXCssB8lP1rTroLd2rZodO8Lpp8YeJCsH+Z2Up1N0JK8ASijtc1TtPq9UtvNU8yWmb3qTdNVVnS2qyEshB7KH5Cdr2nnQ28q1asp02iRPp+hIXgGUUNrnqNp9XqlM56nmS0zHx6X3vld6wQtC13XccVJ/v/Too+kVVeSpkAPZQ/KTNe0+6F3oWjVlO22SlVN0rSacXGgVQMmkfY6q3V1sHs5Ttesc53yJ6fR0eO9PPBESH0lavVo691zpkUfSKarIUyEHsofkJ4s6cdBbxtMmWThFt9SEMwsXWgWADqmdozp8OIwo1A6o+/vbd46q3V1sls9TtfMcZ7PE9PBhac+e8LqrV4fXlqRDh6Rdu8JoUBpFFXkq5ED2kPxkVdoHvWU8bRL7FF0ZE04AWIKhIalSkb71raMf27Spfeeo2t3FZvE8Vbu7nGbFE+Pj4fW7uqRjjgnf/+Qn0lNPSU8+eSQZbLesFHIgn0h+yqqsp01inqIrY8IJAEu0UNeE1rW7y2lWPPHEE+Hv0t0dRoHuvjvcSmH0Z3ZWOv74drybxWORijnXCu3HRU7LqsynTWqn6LZsCbedGm0pa8IJ5JiZbTWzH5vZXWb2kdjxFN3oqPT009L550svfan0oheF2/PPD9u5vnPr2t3l1IonKpWQPI2NheSqq0vaulV66KEw2lPT3S2dcYZ0ySXtv9hrs1j27Qv3szLXCtnFyE9Zcdqk88qccAI5ZGZdkv5M0hZJByTdbGbfcPfb4kZWXLUD9q4u6cQT5z7GOaKlSaPLaSyeWLNGuvLK8Hc74YRQ+vbUU2HE57jjpDPPlO69N53ChizPtUK2kfyUVez5L2VEwgnkzdmS7nL3uyXJzL4s6ZckkfykZCkH7GW6UsNypNXlNM5vet7zpA98IJTAPetZYfTluOPCam9dXekmrVmca4XsI/kpM06bdBYJJ5A3J0m6t+7+AUkvjRRLKbR6wF62KzUsR6e6nIEB6SMfkS6+OLz2cceFUaCurvA4hQ3IGpKfsuO0SWeRcAKFY2YXSbpIkgY48l6RVg7YWTizdZ3qcs48U9q4MfxNKGxA1pH8AJ2W9YSTWhKg5j5Jp9TdPznZNoe7XyrpUknavHnzPEVbaNViB+wsnLk0tTLCxtt2orABeULyg/zjYL19qCUB6t0s6XQze75C0vNmSW+NG1I5LHSOiIUzW9fJJp3CBuQFyQ/yjYP19qGWBJjD3Q+b2W9IulZSl6TPufto5LBKj4UzWxOjSc96YQMgcZ0f5Fljy75hQ7idnQ3b231hgaKr1ZLUF2xL4f70NBfYQCm5+3fc/QXuvtHdPxk7HsxdFKEe80vmokkHmiP5QVzVqrR3r7RzZ7itVlv/WVr29qKWBEAOcIHL1tCkA81R9oZ4VlqyRsveXtSSAMiJIs0vSWvaKk060BzJD+JoRzEyLXt7lfkirCyaAeROEeaXpDltdaEmfc0a6amnQtEFTR7KhuQHcbRjrdIyH6ynoaxrlbJoBoAI0l6QYL4mvasr3P75n7fW5HFuCEVD8oM42lGyVtaD9TQVqZakFaxwByCSTlyvqLFJX7NGuvLK0F02njNs1uRxbghFRPKDONpVsla2g/VOKEItSau4WiKASDo1bbW+Sd+7V3rssdaaPM4NoahIfhBHO0vWynSwXlZp1V2waAaASGJMW11Kk1e0c0NZK9/LWjxlQvKDOChZQ6vSrLtg0QwAkcSYtrqUJq9I54ayVr6XtXjKhuQH8VCyhsWkXXfBohkAIolxDnApTV5Rzg1lrXwva/GUERc5RVy1krUtW8It//Gol/aFbLlaIoCIaucA3/Me6Wd+Jny95S3Sc56Tzu9bSpNXnyjVy9u5oaxdD3258azkmvCYi5EfANnViboLRiABRPTgg9KXv3ykBOrmm9MtgVpKk7dli/SXfyndc4/07GeHJClv1elZK99bTjyUybUXyQ+A7OpU3QWLZgCIIFYJ1GJNXv3B9po10iOPSI8/Lr3znSEhykviI2WvfG+p8VAm136UvQHIrqLUXQDIlU6VGGWtJEs6+mD71FOls86STj5Zuu669H93u/d71rqRpcaTxc9I3jHyAyC7WBUQQId1ssQoayVZUrwlrtPa71nrRpYaTxY/I3lH8gMg25iTA6BDOl1ilLWSLOnog+3Dh6XxcemJJ6SDB8McpXZLe79nrRtZSjxZ/IzkHckPgOxjTg6ADuj0qEcWV9uvP9g+eFDatUt68slw//HHpSuuCHG1cxSsE/u9sRupldjFushoq91aFj8jeUfyAxQBl4pOH/sYKLxOlxhlrSRLkk47TZqZkfbsCTGtXh3iOXQofP/sZ7d/FKzT+z1Pq6ct9TNCV7U4kh8g7/LUiucV+xgohRglRlkqyao1dTMz4QB6fDwkPP390vHHS+eeK61d2/5RsE7u9zyuntbqZ4SuqjWs9gbkWWMrvmFDuJ2dPdKDYWXYx0BpxFoZLAvX+65v6oaHpXPOkU48MRxod3VJr3pVSHyk9o/GdHK/53X1tMU+I3RVrSP5AfIsr614nrCPgUJqtqxyrcSoUgmjG2Nj4bZSKf4Ck41N3Zo10nHHSc99bkh+6pOddo/GdHK/5231tFaX/6arah1lb0Ce5a0VzyP2MVA4i5UHZaUMrZMam7r+/lDyduhQuP/EE+E2rVGwTu33PK2etpQyNrqq1pH8AHmWp1Y8r9jH6AAmKXdOq3M+srLAZKc+G41N3apVYY7Prl1hlbeDB8NoTJqLMaSx3xv332mn5WP1tKXOTaKrah3JD5BnrIGZPvYxUsYk5c6KdRHP5ejkZ6NZU7d2rXTWWdIjj0hve1sogcvTKNh8++9Nb5Kuuio7K+w1s9TPKV1V60h+gLyY7/Rf1tZJLRr2MVKUx5Wn8i4v5UGd/mws1NR9+tP5S8QX2n9XXSVdfLF0xx3ZLW1c6ueUrqp1JD9AHlCgHhf7GCnJ0yhEUeSlPCjGZ6NITd1i+++OO7L9v7Wcz2mR/n5pIvkBsi5vBepFxT5GCvIyClEkeSkPivXZKEpTl/f/reV+Tovy90sTS10DWcf6lUBh5WUUokjyspw1n42Vyfv+y8vnNI8Y+QGyLu+nrwDMKy+jEEWTh/IgPhsrU4T9l4fPaR6R/KD48r6GbN5PXwGYF5OU48l6eRCfjZVp5/6LeRiR9c9pHpH8oNiKsIZsEU5fAZgXZ3cxHz4bK9OO/VeEwwjMZT7fGeUM2rx5s+/evTt2GMiLalX68IfDQgGNSUOlkq81ZGl9ly/vI38ZZGZ73H1z7DiyiH4KndTO5o2m8mhFOowom4X6KUZ+UFxFWkOW03/LQ9IIoKDa2bzRVDZXpMMIHMFqbyiurC4UUK1Ke/dKO3eG22q1tZ+rFf5u2RJuSXwW1rhE+IYN4XZ2NmyfmYkdIQAsSzubN5rK+WX1MAIrw8gPiiuLCwVweq1zOGUHoKDa2bzRVM4vi4cRWDlGflBc9QsF1Iu1UACn1zqLU3YACqqdzVsRm8rlFlg0mu8wYnw8dNkPPLCy10ccjPyguLK2Tiin1zqLU3YACqqdzVvRmsp2Flg0O4x4/HHprrukjRulv/orCjjyiOQHxZalhQKKeHoty1giHCgkViVrb/O2ktfK2t+iscCiZmoqbF/O6mz1hxEPPihdcYX0spdJ/f3teX10HskPii8rVwhL4/Ra1nqeLMnayB+AFcvitMkYzXA7m7flvlYW/xZpFVjUDiP27g3f1yc+7Xh9HNGJ/yeSH6BT2j0SkcWeJ2uyNPIHYEXSOKu/UjGb4XY2b0t9rSz+LaT0Cywo4EhXp/6fWPAA6JTa6bVKJZwiGhsLt5XK0k/VsXhC61giHCiE2ln9+nNHUrg/PR0O3DspC81wO5u3pbxW1v4WNWnPXyra/Kgs6eT/EyM/QCe161QdiycAKJmsnXUvczOctb9FTdpTPZlKmp5O/j8x8gN0WjtO1WW15wGAlGTtrHuZm+Gs/S1q2llgEeP1y6yT/0+M/OQBk9rRKKs9DwCkJGtn3cvcDGftb1Ev7ameTCVNRyf/n0h+so5J7Wgmyz0PAKQgaws4lrkZztrfolHai7xmZRHZIunk/5P5fGlWBm3evNl3794dO4zOqValD384zPZq/CRUKiwonwUxR+VIjBGJme1x982x48ii0vVTEczMZOese9mb4Sz9LZB/7fx/WqifijLyY2YXSvq4pDMkne3u9BTNlHk2ZR7E7vUYewdQQlk66172ZjhLfwvkX6f+n2KVvY1IeoOk/xnp9+dDmWdTZl1WLnJAzwMAUdEMA+3Tif+nKKu9ufvt7v7jGL87V8o8mzLrsnqRAwAAAMwr80tdm9lFZrbbzHaPj4/HDqez6md/1SvDbMqsY1QOAAAgd1IrezOzv5X03CYP/a67f73V13H3SyVdKoWJpG0KLx+yvpxKmc03Knf4cEiM7rgjjMwttgBClpYxz1IsAAAAKUgt+XH316T12qVS9tmUWdVsTcaDB6Xvfjcsf3PTTdLNNy+8AELsBROyGgsAAEBKMl/2Bh2Z/bVlS7gl8Ymv8TLPd98tXXtteOznf1469dSwEMLsbEgqZmbm/nzjggkbNiz8/DRlKRYAAIAURUl+zOwCMzsg6VxJ3zaza2PEAaxIbVTu/e+XzjlH2rhROv98ae3aI8+ZbwGELC2YkKVYAAAAUhRlqWt3v0bSNTF+N9BWtVG52jyZrq6jn9NsAYQsLJhQm+Nz7bWhfO/kk6VVDU0CizcAAIACiXWdH6BYlroseexlzOvn+ExNSbfdJj38sHTuuXNHrlhSHQAAFAhzfoB2WOqy5DGXMW+c4/PiF4dk7NAhadcu6emnOxcLAABAB5H8IBuqVWnvXmnnznBbrcaOaGkaF0AYGwu3lUrzZcmX+vx2apzjs2pVGPE59thQjnfrrZ2LBQAAoIMoe0N8RVlmeanLksdaxrzZfKO1a8NqgrfeKr3sZdJrX8uS6gAAoHBIfhBXYwlWzdRU2L59e74OwGsLIKT1/HaYb75RV1cYDXrtazsfEwCUCNeUBuIh+UFctRKs+sRHCgfh+/aFUREOxNur2QVaJeb4AHXM7EJJH5d0hqSz3X133IhQFEUpdgDyijk/iCsLSz6XTcz5RkB+jEh6g6QbYgeC4uCa0kB8jPwgrthLPpdVrPlGQE64++2SZPOdnAGWgWIHID6SH8RFCVY8MeYbAQVkZhdJukiSBqhbwgIodgDio+wNcVGCBSASM/tbMxtp8vVLS3kdd7/U3Te7++b+/v60wkUBUOwAxMfID+KjBAtABO7+mtgxoFwodgDiI/lBNlCCVQys3wogsiw3Q7Vihx07QpFD42pvnPMD0kfyA2RFlnvsVrB+KwrEzC6Q9KeS+iV928xucfefjxwWFpGHZohiByAukh8gC/LQYy+kaBerRem5+zWSrokdB1qXp2aIYgcgHhY8AGIrwoUfauu31hexS+H+9HQ4xQkAKaIZAtAKkh8gtiL02KzfCiAymiEArSD5AWIrQo/N+q0AIqMZAtAKkh8gtiL02PXrt9Zj/VYAHUIzBKAVJD9AbEXosblYLYDIaIYAtILV3oDYinLhB9ZvBRAZzRCAxZD8AFlQlB6b9VsBREYzBGAhJD9AVtBjAwAApIo5PwAAAABKgeQHAAAAQCmQ/AAAAAAoBeb8AABQctWqNDoarrnc1xdW4O/piR0VALQfyQ8AACW2f39YaX96+uiV9gcGYkcHAO1F2RsAACVVrYbEZ3ZWGhyUNmwIt7OzYfvMTOwIAaC9SH4AACip0dEw4tPbO3d7b2/YPjISJy4ASAtlbygnCtwBQBMTodStGbNwzWUg7+jyUY/kB+VDgTsASAoHgu7NH3OX1q/vbDxAu9HloxFlbygXCtwB4BlDQ+FAcGpq7vapqbB9eDhOXEA70OWjGZIflAsF7gDwjJ6ecAa8UpH27ZPGxsJtpRK2d3fHjhBYPrp8NEPZG8qFAncAmGNgQNq+PRwITk6GUrfhYRIf5B9dPpoh+UG5UOAOAEfp7pY2bYodBdBedPlohrI3lAsF7gAAlAJdPpoh+UG5UOAOAEAp0OWjGcreUD4UuAMAUAp0+WhE8oNyosAdAIBSoMtHPcreAAAAAJQCyQ8AAACAUiD5AQAAAFAKJD8AAAAASoHkBwAAAEApkPwAAAAAKAWSHwAAAAClQPIDAAAAoBRIfgAAAACUAskPAAAAgFIg+QEAAABQCubusWNomZmNSxprw0v1SZpow+uUAfuqdeyr1rGvWpfFfbXB3ftjB5FFbeynmsniZyGL2E+tYT8tjn3Umizup3n7qVwlP+1iZrvdfXPsOPKAfdU69lXr2FetY1+hhs9Ca9hPrWE/LY591Jq87SfK3gAAAACUAskPAAAAgFIoa/JzaewAcoR91Tr2VevYV61jX6GGz0Jr2E+tYT8tjn3Umlztp1LO+QEAAABQPmUd+QEAAABQMiQ/AAAAAEqhtMmPmf2Rmf2Tmf2jmV1jZutix5RVZnahmY2a2ayZ5WYpw04ys61m9mMzu8vMPhI7nqwys8+Z2cNmNhI7lqwzs1PM7Ltmdlvy//eB2DEhPvqu1tBvzY/+anH0Va3Jaz9V2uRH0nWSht39xZLukPTbkePJshFJb5B0Q+xAssjMuiT9maTXSXqhpLeY2QvjRpVZl0vaGjuInDgs6YPu/kJJ50j6dT5XEH1Xq+i3mqC/atnloq9qRS77qdImP+6+090PJ3f/QdLJMePJMne/3d1/HDuODDtb0l3ufre7z0j6sqRfihxTJrn7DZKmYseRB+7+gLvvTb5/TNLtkk6KGxVio+9qDf3WvOivWkBf1Zq89lOlTX4a/Jqkv4kdBHLrJEn31t0/oBz88yM/zGxQ0ksk3RQ3EmQMfReWiv4KqchTP7UqdgBpMrO/lfTcJg/9rrt/PXnO7yoM213ZydiyppV9BaDzzOxZkr4q6Tfd/dHY8SB99F2tod8CsiFv/VShkx93f81Cj5vZOyT9oqRXe8kveLTYvsKC7pN0St39k5NtwIqY2TEKHcqV7v612PGgM+i7WkO/tSz0V2irPPZTpS17M7Otkj4k6V+7+xOx40Gu3SzpdDN7vpl1S3qzpG9Ejgk5Z2Ym6TJJt7v7jtjxIBvou7BC9Fdom7z2U6VNfiRdImmNpOvM7BYz+2zsgLLKzC4wswOSzpX0bTO7NnZMWZJMPv4NSdcqTPa72t1H40aVTWb2JUm7JP20mR0ws3fGjinDXi7p7ZJelbRRt5jZ62MHhejou1pAv9Uc/VVr6Ktalst+yko8Yg4AAACgRMo88gMAAACgREh+AAAAAJQCyQ8AAACAUiD5AQAAAFAKJD8AAAAASoHkB2gjM3s6WepxxMy+YmbHJdufa2ZfNrN/NrM9ZvYdM3tB8tj/MbNpM/tW3OgBAEVHP4WyI/kB2uuQu5/p7sOSZiS9J7kI2DWSvufuG939LEm/Lek5yc/8kcI6+QAApI1+CqVG8gOk50ZJp0l6paSn3P2ZixG6+63ufmPy/f+V9FicEAEAJUY/hdIh+QFSYGarJL1O0o8kDUvaEzciAACOoJ9CWZH8AO11rJndImm3pP2SLoscDwAA9einUGqrYgcAFMwhdz+zfoOZjUr65UjxAABQj34KpcbID5C+v5PUY2YX1TaY2YvN7LyIMQEAUEM/hdIg+QFS5u4u6QJJr0mWEB2V9ClJD0qSmd0o6SuSXm1mB8zs5+NFCwAoG/oplImFzzsAAAAAFBsjPwAAAABKgeQHAAAAQCmQ/AAAAAAoBZIfAAAAAKVA8gMAAACgFEh+AAAAAJQCyQ8AAACAUvj/6QWW9wv6698AAAAASUVORK5CYII=", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAz8AAAG5CAYAAACgI4qvAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nO3dfXylZX3n8e8vGZKBijNmEhCEJHVAFxJdHLII+rK11rFopVa3+Oy+7GpZfLVVd9y12qetW7R2ujtrt9h2abGoUBEfUCu4DK4PaHdEZmahJqCAmAyDPOSBDIwMJwz57R/XfZiTMyfJSXLuc90Pn/frldfJuc9Dfrlzcl/377p+13WbuwsAAAAAiq4jdgAAAAAA0A4kPwAAAABKgeQHAAAAQCmQ/AAAAAAoBZIfAAAAAKVA8gMAAACgFEh+kBoz+5aZvXORx/rN7KCZda70uXmV/A7PXuY5LzGzH7X45w6amZvZula+72qZ2d+a2R/FjgMAltPMcbtomj1Gm9mYmb20xT970XOBdkujPUY2kPxgRcxs3Mxevtb3cfd97v40d39ypc/N0sGxnpmdbGb7Gz2W/A73LPV6d/+Ouz83nejWzsyuMLNLVvD8t5vZd2u3ufvF7v6nrY8OQJkl7dOhJGGpfl26lvesPW634viXJWZ2g5m9on57s8dodx9y92+lEtwamdlLF2uLl3iNm9lp1ftZb4+xepnoCQbaxcxMkrn7fEo/4lWS/ndK7w0AWNoF7v712EG0gpmtc/fDKb33z0kakfTtNN4fyDJGfrBq1V4tM/tvZvawmf3EzF5Z97QBM/tnM3vUzHaaWW/y2kalWJvN7Ptm9oiZfdnMeuqfa2YflvQSSZfW9uqZ2YvM7BYzO5Dcvqgmzm+Z2YfN7J8lPSbpfWa2p+532WZmX27wO/6Smf2g5v6NZnZLzf3vmNmv17zkVZKuX2R/PdWrZGavMrPbk/1yn5n9p2R7U71VZvYBM/tx8vrbzey1NY91Jn+TKTO7R9Kv1r32N83sjuS195jZf6h57KVmtt/Mfj95/biZvSV57CJJb5H0/mTf/9NSsZjZGZL+VtJ5yfNnk+0Lek/N7LfM7G4zmzGzr5jZyXX77GIzu8vMZs3s40kCCwBNM7O/MbMv1Nz/czP7PxZ0Jse86nFsj5mdmjzPzey0Fh7/NpjZp8xs0swmzOwPzawjeeztSXv5P8xsWtJ/TY6Lz6uJ+wQze8zM+hr8jhNmdnby/VuS2IeS++8wsy/VPP2XJf2zu1cavM9Tx2gz6zWzrybH35mkzavGu2wliJmdY2a7ktffb2aXmllXzeNbzeyHFtruSyVZzWObzewbZjadtEdXmdnGmsfHzeyDyX5/2Mz+wczWW0jsvibpZDsyAnjyUrGY2U3J296WPP8NVtcem9kZFs4nZi2U/P1a3T77uJldl3wWbjazzUvtG0Tk7nzx1fSXpHFJL0++f7ukJyT9lqROSe+S9FOFkRVJ+pakH0t6jqRjk/sfTR4blOSS1tU89z5Jw5J+TtIXJF25xHPfWRNTj6SHJb1NYTTzTcn9TTXP3ydpKHm8W9KMpDNq3uP/Sfq3DX7fYyU9LqlX0jGSHkziPD557FDNzzlG0pSk4xfZdy7ptOT7+yW9JPn+GZK2JN+/VNL+Jv4OF0o6WaED4w2SfibppOSxiyX9UNKpyb75Zt3++1VJmxUamV9USAhrf/5hSTuS/fSLyXs/N3n8CkmXrCCWt0v6bt3zn3oPSS9L9tmW5Of9laSb6vbZVyVtlNQvaVLS+bH/D/jii6/sfammfWrw2HGS7kyOSS9JjjunJI/9Z0k/kPTc5Lj4r2uO67XH7VYc/z4l6ctJGzKYxPSOmucflvS7Cm3VsZL+WtKf17z+PZL+aZHf8VOS3pd8f5lC+/uumsf+Y81z/1bSf1jkfWqP0X+WPPeY5OslOtLGL7q/a97rbEnnJr/PoKQ7JL03eaxX0qOSfiN57/+Y/P7vTB4/TdLWpG3ok3STpI/V/b1HdaSt++eauF+qurZ0qVjq/9b175HEd7ek35fUpdB2PaqFbeO0pHOS979K0tWx/yf4avzFyA/WasLd/87DfJxPSjpJ0ok1j/+Du9/p7ockXSPprCXe69PuPuruP5P0R5Jeb80tcvCrku5y90+7+2F3/4zCyf8FNc+5wt3Hkscrkj4r6a2SlPSMDSqcZC+QxH2LpF9QOHDepnCAfbHCQfQud59Onv4Lkm5z90ebiPkJSWea2dPd/WF339vEa2rj+py7/9Td5939s5LuUjjoStLrFRqIe919RqHxqn3tde7+Yw++LWmnQoNW64/cvZI8fl3ynquJZTlvkfQJd9+b/F0+qNBTOljznI+6+6y771NI5Jb6DAEoty8lPfPVr9+SJHd/TKGDbIekKyX9rrtXe/XfKekP3f1HyXHxtprj+pJWcvxL2rM3Svqguz/q7uOS/nsSV9VP3f2vkrbqkEK7+qaaEe+3Sfr0IuF8W6HDSgrH9D+ruf+LWljitmiVQp0nFNr1AXd/wsM8GG/idZIkd9/j7t9Lfp9xSf+rJqZXSRpz98+7+xOSPibpgZrX3u3uNyZt0aTC3+4X637EpTVt3YcVOj9XE8tyzpX0NIX2aM7dv6FwzlD786519+97KFW8SrRVmUXyg7WqPVA9lnz7tEaPK4ww1D5W796a7ycUelp6m4jh5OT5tSYkPWuR95ZCg/LmpEF5m6RrvMHwf+LbCj1Av5B8/y2FA+ZqGxNJ+rfJ8yfM7Ntmdl6Tr5Mkmdm/M7Nbqw28wohZdV+drKP3Ze1rX2lm30tKGGaTOGr388NJAlr7+pO1iGViWc6Cv527H1ToPav9263kMwSg3H7d3TfWfP1d9QF3v1nSPQqjO9fUvOZUhVGSFVvh8a9aQVB7TF6yrUpifkzSS83sXymMhnxlkff/tqSXmNlJCtUY10h6cdKZtEHSrUnMz5N0wN3r28VG/kJhxGOnhTLpDzTxmqeY2XOSsrkHzOwRSR/RIm1VklTdW/PaE83sagul4Y8oJK31+7a+rVuqrVoqluWcLOleXzhfuP5vR1uVEyQ/yJJTa77vV+hxmmrwvPpep59KGqjb1q9QntbwNe7+PUlzCr1jb9biPWnS0clPtXdt1cmPu9/i7q+RdIKkL2lhQ7wkMxuQ9HeSfkehNGOjwtB/tWfwfh29L6uv7VYoKfxvkk5MXnt9zWsl6RlJzXTt639aDX2FsSzXQ7jgb5f83E1a+LcDgDUzs99WKKH6qaT31zx0r0Ip8HLWevybUmjXaturJduqxCcVKhXeJunz7v54w+Dc71Y46f5dhfLhRxROyC9SKL+rnrivpK161N3f5+7PlvRrkraZ2S8389rE3yhUYpzu7k9XKBtr2FYlnZG1bddHFPbH85LXvlUL2yrp6LauYVvVRCzL+amkU6vznWp+Hm1VDpH8IEveamZnmtlxkv6rwkG+0VLYD0qqve7C9ZKeY2ZvtrAowhsknakGZWx1PiXpUklPuPtSy5H+X4Va8HMkfd/dxxQarxcq1CDLzH5eUre737HcL2lmXRYmo25IhvofkbSS1ed+TuHAPpm8328q9DZWXSPp3WZ2ipk9Q1JtT12XQuM/KemwhQUqjlrqVNKHkjhfIunVkj6XbK/f98vF8qCkU2onuNb5jKTfNLOzksTsI5JuTkoSAKAlzOw5ki7RkSTi/WZWLUv6e0l/amanW/B8M9vU4G3WdPxL2rNrJH3YzI5PkqdtCiMaS7lS0muT2D+1zHO/rZCMVTvmvlV3XwrJz3XLvI8kycxebWHBB5N0QNKTWll7dbxCG3cwGbl6V81j10kaMrPXWVj86N2Snln32oOSDpjZsxTmZtX77aSt65H0Bwol7VLY95vMbEOTsVRfs9g1naojcO83s2MsXN/oAklXL/6rI6tIfpAln1aYNPiApPUKB8JG/lLSb1hY3eV/JrXZr5b0PoWSqfdLerW7Nxo1qv95w1qm4UlKwPYq1CbPJZt3Kcx3eii5/6tqvuRNCo3veDL0frHC3JemuPvtCnXiuxQO1s9TmIdU9XeSblCYn7RX0hdrXvuown69RmFRiDfr6BKKB5LHfqpQt3yxu/8weexyhblKs2b2pSZi+YakMUkPmNlRfw8PS9L+kcJo1P0Kva9vbHZfAECdf7KF1/m5NjmxvlJh4YDb3P0uhV7/TyedLjsUjok7FU6OL1dYbKBeK45/v6uwKMI9kr4r6R8lfWKpXygpT9urkGh9Z5nf/9sKJ/k3NbpvYbW0MxU69ZpxuqSvKyQhuyT9tbt/s8nXStJ/UmhnHlVom6rJiZI2+kJJH1Vou0/Xwv33IYXFcA4oJEpf1NH+UeHvdo9C6eIlyXv/UKFz7Z7k73XyUrEk/kTSJ5PnL5jnmrT9F0h6pcII3l9L+nc1bSNypLpiB1A6ZnaspIcUVjq7a43vdb3CxMuVJECZk/RmXenup8SOBQAQmNknFBZD+MM1vs/rJf2Guy+6iE1emNm4wspwhbiuE9qHi5yizN4l6Za1Jj6JbymsRAYAQMskCxa8TtILWvB2s5L+RwveB8gtkh+UUtJjZJJ+fZmnNsXdt7fifarMrF/S7Ys8fGay7DMAoMDM7E8Vrn/zZ+7+k7W+n7vvXHtUC5nZ13T05RIk6SPu/pFW/zxgrSh7AwAAAFAKLHgAAAAAoBSilb2Z2XqF1Ue6kzg+7+7/ZanX9Pb2+uDgYBuiAwAsZs+ePVPu3hc7jiyinQKA+JZqp2LO+alIepm7HzSzYyR918y+llx8sqHBwUHt3r27fRECAI5iZhPLP6ucaKcAIL6l2qloyY+HyUYHk7vHJF9MQAIAAACQiqhzfsys08xuVbjWyo3ufnOD51xkZrvNbPfk5GT7gwQAAABQCFGTH3d/0t3PknSKpHPMbLjBcy5z9xF3H+nro8QcAAAAwOpkYrU3d59VuEDk+bFjAQAAAFBM0ZIfM+szs43J98dK2irph7HiAQAAAFBsMVd7O0nSJ82sUyEJu8bdvxoxHgAAAAAFFnO1t3+R9IJYPx8AAABAuWRizg8AAAAApI3kBwAAAEApkPwAAAAAKAWSHwAAAAClQPIDAAAAoBRiLnUNAGinSkUaG5OmpqTeXmloSOrujh0VALQEhzg0g+QHAMpg3z5pxw5pdlYyk9yljRulbduk/v7Y0QHAmnCIQ7MoewOAoqtUwlnB/Lw0OCgNDITb+fmwfW4udoQAsGoc4rASJD8AUHRjY6E7tKdn4faenrB9dDROXAAKr1KR9u6Vdu4Mt5VK638GhzisBGVvAFB0U1OhDqQRM2l6ur3xACiFdpWicYjDSjDyAwBF19sbzjoacZc2bWpvPAAKr52laBzisBIkPwBQdENDobt1Zmbh9pmZsH14OE5cAAqrnaVoHOKwEiQ/AFB03d2hzqSjQxoflyYmwm1HR9je1RU7QgAF085SNA5xWAnm/ABAGfT3S9u3h+7W6elQBzI8zFkBgFS0uxSNQxyaRfIDAGXR1SVt2RI7CgAlUFuKVlv6lmYpGoc4NIOyNwAAALQUpWjIKkZ+AAAA0HKUoiGLSH4AAACQCkrRkDWUvQEAAAAoBZIfAAAAAKVA8gMAAACgFEh+AAAAAJQCyQ8AAACAUiD5AQAAAFAKJD8AAAAASoHkBwAAAEApkPwAAAAAKAWSHwAAAAClQPIDAAAAoBRIfgAAAACUAskPAAAAgFIg+QEAAABQCiQ/AAAAAEqB5AcAAABAKZD8AAAAACgFkh8AAAAApUDyAwAAAKAUSH4AAAAAlALJDwAAAIBSIPkBAAAAUAokPwAAAABKgeQHAAAAQCmQ/AAAAAAoBZIfAAAAAKVA8gMAAACgFEh+AAAAAJQCyQ8AAACAUiD5AQAAAFAKJD8AAAAASmFd7AAKoVKRxsakqSmpt1caGpK6u2NHBQAAAKAGyc9a7dsn7dghzc5KZpK7tHGjtG2b1N8fOzoAAAAACcre1qJSCYnP/Lw0OCgNDITb+fmwfW4udoQAAAAAEiQ/azE2FkZ8enoWbu/pCdtHR+PEBQAAAOAoJD9rMTUVSt0aMZOmp9sbDwAAAIBFkfysRW9vmOPTiLu0aVN74wEAAACwKJKftRgaCosbzMws3D4zE7YPD8eJCwAAAMBRSH7Wors7rOrW0SGNj0sTE+G2oyNs7+qKHSEAYA3MbL2Zfd/MbjOzMTP7UOyYAACrx1LXa9XfL23fHhY3mJ4OpW7DwyQ+AFAMFUkvc/eDZnaMpO+a2dfc/XuxAwMArBzJTyt0dUlbtsSOAgDQYu7ukg4md49JvhaZ7AkAyDrK3gAAWIKZdZrZrZIeknSju99c9/hFZrbbzHZPTk7GCRIA0BSSHwAAluDuT7r7WZJOkXSOmQ3XPX6Zu4+4+0hfX1+cIAEATSH5AQCgCe4+K+mbks6PHQsAYHWY8wMAaalUpLGxcEHk3t6wPH53d+yosAJm1ifpCXefNbNjJW2V9OeRwwIArBLJDwCkYd8+accOaXZWMgsXPt64MSyD398fOzo07yRJnzSzToVqiWvc/auRYwIArBLJDwC0WqUSEp/5eWlw8Mj2mZmwfft2lsPPCXf/F0kviB0HAKA1mPMDAK02NhZGfHp6Fm7v6QnbR0fjxAUAQMmR/ABAq01NhVK3RszCBZEBAEDbkfwAQKv19oY5Po24S5s2tTceAAAgieQHAFpvaCgsbjAzs3D7zEzYPjzc+HUAACBVJD8A0Grd3WFVt44OaXxcmpgItx0dYTuLHQAAEAWrvQFAGvr7w6puo6Nhjs+mTWHEh8QHAIBoSH4AIC1dXdKWLbGjAAAAiWhlb2Z2qpl908xuN7MxM3tPrFgAAAAAFF/MkZ/Dkt7n7nvN7HhJe8zsRne/PWJMAAAAAAoq2siPu9/v7nuT7x+VdIekZ8WKBwAAAECxZWK1NzMblPQCSTc3eOwiM9ttZrsnJyfbHRoAAACAgoie/JjZ0yR9QdJ73f2R+sfd/TJ3H3H3kb6+vvYHCAAAAKAQoiY/ZnaMQuJzlbt/MWYsAAAAAIot5mpvJulySXe4+45YcQAAAAAoh5gjPy+W9DZJLzOzW5OvV0WMBwAAAECBRVvq2t2/K8li/fzMqVSksTFpakrq7ZWGhqTu7thRAQAAAIUR8zo/qNq3T9qxQ5qdlcwkd2njRmnbNqm/P3Z0AAAAQCFEX+2t9CqVkPjMz0uDg9LAQLidnw/b5+ZiRwgAAAAUAslPbGNjYcSnp2fh9p6esH10NE5cQFlUKtLevdLOneG2UokdEQAASAllb7FNTYVSt0bMpOnp9sYDlAklpwAAlAojP7H19oYTrkbcpU2b2hsPUBaUnAIAUDokP7ENDYWe5pmZhdtnZsL24eE4cQFFR8kpAAClQ/ITW3d3KLHp6JDGx6WJiXDb0RG2d3XFjhAoJkpOAQAoHeb8ZEF/v7R9e+hpnp4OpW7DwyQ+QJooOQUAoHRIfrKiq0vasiV2FEB51Jac1pa+UXIKAEBhUfYGoJwoOQUAoHQY+QFQXpScAgBQKiQ/AMqNklMAAEqDsjcAAAAApUDyAwAAAKAUSH4AAAAAlAJzfgAAAIAUVSrS2Fi4vnZvb7jaQnd37KjKieQHAAAASMm+fdKOHdLsrGQWrqO9cWO4qkJ/f+zoyoeyNwAAACAFlUpIfObnpcFBaWAg3M7Ph+1zc7EjLB+SHwAAACAFY2NhxKenZ+H2np6wfXQ0TlxlRvIDAAAApGBqKpS6NWIWrq+N9iL5AQAAAFLQ2xvm+DTiLm3a1N54QPIDAAAApGJoKCxuMDOzcPvMTNg+PBwnrjIj+QEAAABS0N0dVnXr6JDGx6WJiXDb0RG2d3XFjrB8WOoaAAAASEl/v7R9e1jcYHo6lLoND5P4xELyAwAAAKSoq0vasiV2FJAoewMAAABQEiQ/AAAAAEqB5AcAAABAKZD8AAAAACgFkh8AAAAApUDyAwAAAKAUSH4AAAAAlALJDwAAAIBSIPkBAAAAUArrYgeAGpWKNDYmTU1Jvb3S0JDU3R07KgAAAKAQSH6yYt8+accOaXZWMpPcpY0bpW3bpP7+2NEBAAAAuUfZWxZUKiHxmZ+XBgelgYFwOz8fts/NxY4QWKhSkfbulXbuDLeVSuyIAAAAlsXITxaMjYURn8HBhdt7eqTxcWl0VNqyJUZkwNEYpQQAADnFyE8WTE2Fk8hGzKTp6fbGAyyGUUoAAJBjJD9Z0Nsbes8bcZc2bWpvPMBiqqOUPT0Lt/f0hO2jo3HiAgAAaALJTxYMDYWyoZmZhdtnZsL24eE4cQH1GKUEAAA5RvKTBd3dYb5ER0eY4zMxEW47OsL2rq7YEQIBo5QAACDHWPAgK/r7pe3bQ9nQ9HQ4iRweJvFBttSOUtaWvjFKCQAAcoDkJ0u6uljVDdlWHaXcsSOMTtav9kayjgIxs1MlfUrSiZJc0mXu/pdxowIArAXJD4CVYZQS5XFY0vvcfa+ZHS9pj5nd6O63xw4MALA6JD8AVo5RSpSAu98v6f7k+0fN7A5Jz5JE8gMAOcWCBwAALMPMBiW9QNLNDR67yMx2m9nuycnJdocGAFgBkh8AAJZgZk+T9AVJ73X3R+ofd/fL3H3E3Uf6+vraHyAAoGkkPwAALMLMjlFIfK5y9y/GjgcAsDYkPwAANGBmJulySXe4+47Y8QAA1o4FDwAAaOzFkt4m6Qdmdmuy7ffd/fqIMTVUqUhjY9LUVLgW8dBQWJkeALAQyQ8AAA24+3clWew4lrNvX7j01uzs0Zfe6u+PHR0AZAtlbwAA5FSlEhKf+XlpcFAaGAi38/Nh+9xc7AgBIFtIfgAAyKmxsTDi09OzcHtPT9g+OhonLgDIKpIfAAByamoqlLo1YiZNT7c3HgDIOub8AEAjzCBHDvT2hjk+jbhLmza1Nx4AyDqSHwCoxwxy5MTQUPhozswsLH2bmQnbh4fjxQYAWUTZGwDUYgY5cqS7O+TkHR3S+Lg0MRFuOzrC9q6u2BECQLYw8gMAtaozyAcHF27v6QlnlaOj0pYtMSIDGurvl7ZvDx/N6elQ6jY8TOIDAI2Q/ABALWaQI4e6usjJAaAZlL0BQC1mkAMAUFgkPwBQq3YGeS1mkAMAkHskPwBQixnkAAAUFnN+soZriwDxMYMcAIBCIvnJEq4tAmQHM8gBACgcyt6ygmuLAAAAAKki+cmK6rVFai/RLYX7s7Oh/AYAAADAqpH8ZAXXFgEAAABSRfKTFVxbBAAAAEgVyU9WcG0RAAAAIFUkP1nBtUUAAACAVEVd6trMPiHp1ZIecneGNri2CAAAAJCa2Nf5uULSpZI+FTmO7ODaIgAAAEAqopa9uftNkmaWfSIAAAAArFHm5/yY2UVmttvMdk9OTsYOBwAAAEBOZT75cffL3H3E3Uf6+vpihwMAAAAgpzKf/AAAAABAK5D8AAAAACiFqMmPmX1G0i5JzzWz/Wb2jpjxAAAAACiuqEtdu/ubYv58AAAAAOVB2RsAAACAUiD5AQAAAFAKJD8AAAAASoHkBwAAAEApkPwAAAAAKAWSHwAAAAClQPIDAAAAoBRIfgAAAACUAskPAAAAgFIg+QEAAABQCutiBwCghCoVaWxMmpqSenuloSGpuzt2VAAAoOBIfgC017590o4d0uysZCa5Sxs3Stu2Sf39saMDAAAFRtkbgPapVELiMz8vDQ5KAwPhdn4+bJ+bix0hAAAoMJIfAO0zNhZGfHp6Fm7v6QnbR0fjxAUAAEph2eTHzJ5uZpsbbH9+OiEBKKypqVDq1oiZND3d3nhQCLRTQHZVKtLevdLOneG2UokdEcpuyTk/ZvZ6SR+T9JCZHSPp7e5+S/LwFZK2pBsegELp7Q1zfBpxlzZtam88yD3aKSC7mOKJLFpu5Of3JZ3t7mdJ+k1Jnzaz1yaPLdJ9CwCLGBoKLd/MzMLtMzNh+/BwnLiQZ7RTQAYxxRNZtVzy0+nu90uSu39f0i9J+kMze7ekRbpvAWAR3d2hy6+jQxoflyYmwm1HR9je1RU7QuQP7RSQQUzxRFYtt9T1o2a22d1/LEnufr+ZvVTSlyQNpR0cgALq75e2bw8t3/R0KHUbHibxwWrRTgEZxBRPZNVyyc+7VFc24O6Pmtn5kl6fWlStxgUVgWzp6pK2MBUDLVGMdgooGKZ4IquWS35+JulESXfXbT9H0vdSiajVmG0HAEWW/3YKKKDaKZ61pW9M8URsy835+ZikRxpsfyR5LNuYbQcARZfvdgooKKZ4IquWG/k50d1/UL/R3X9gZoOpRNRK1dl2g4MLt/f0hP/A0VFKbwAg3/LdTgEFxhRPZNFyyc/GJR47tpWBpILZdgBQdPlup4CCY4onsma5srfdZvZb9RvN7J2S9qQTUgsx2w4Aii7f7RQAoK2WG/l5r6RrzewtOtKIjEjqkvTaRV+VFcy2A4Ciy3c7BQBoqyWTH3d/UNKLzOyXJFUzhevc/RupR9YK1dl2O3aEOT71q71RdAoAuZb7dgoA0FZLJj9mtl7SxZJOk/QDSZe7++F2BNYyzLYDgMIqRDsFAGib5crePinpCUnfkfRKSWcolBjkC7PtAKCoitFOAQDaYrnk50x3f54kmdnlkr6ffkgAADSNdgoA0LTlVnt7ovoNZQQAgAxKtZ0ys0+Y2UNmNtrq9wYAtN9yIz//2syqV842Sccm902Su/vTU40OAIClpd1OXSHpUkmfWuP7AAAyYLnV3jrbFQgAACuVdjvl7jeZ2WCaPwMA0D7Llb0BAAAAQCGQ/AAAsAZmdpGZ7Taz3ZOTk7HDAQAsgeQHAIA1cPfL3H3E3Uf6+vpihwMAWMJyCx6gjCoVaWxMmpqSenuloSGpuzt2VAAAAMCakPxgoX37pB07pNlZyUxylzZulLZtk/r7Y0cHAG1lZp+R9FJJvWa2X9J/cffL40YFAFgtkh8cUamExGd+XhocPLJ9ZiZs375d6uqKFl5mMDIGlIa7vyl2DACA1iH5wRFjY2HEpzbxkaSeHml8XBodlbZsiRFZdjAyBgAAkFsseIAjpqbCCX0jZtL0dHvjyZr6kbGBAemUU6QHH5Te8x7p5pvDcwAAAJBJjPzgiN7eMJLRiHysMK0AAB6rSURBVLu0aVN748ma+pGxAwekXbukxx+XDh6ULrlE2ryZUSAAiICKZADNIPnBEUNDoYRrZiaUulXNzITtw8PxYsuC2pGxw4dD4lMte5PC7fw886MAoM2oSAbQLMrecER3d2gpOjrCHJ+JiXDb0RG2l/1kvnZkbHIyjPgce+yRx487LiSNs7NhfhQAIHWNKpIHB4/0Rc3NxY4QQJYw8oOF+vvDqMXoaJjjs2lTGPEpe+IjLRwZe+yxI9sPHZLWr5dOOCHcZ34UALQNa/UAWAmSHxytq4uWopHqyFi1tuLgwbB9/XrpvPOkzs5wn/lRANA2rNUDYCVIfoCVqI6M7d17ZF7P6acfSXyYHwUAbcVaPcXBohVoB5IfYKW6uqRzz5U+9rEwCnTvvUfPsKVMEADagrV6ioFFK9AuJD/AajE/CgCiq61IHh+nLyqP6hetqJqZYQFVtB7JT1aVdew3b78386MAIDr6ovKNRSvQTiQ/WVTWsd+y/t55kLekFEDp0BeVXyxagXYi+cmaso79lvX3zgOSUgBAili0Au3ERU6zpjr2WztrUyr+xTPb/XtXKmHFtp07w22l0tr3LwquHggASFntohW1WLQCaWDkJ2uKNvbbbLlUO39vRjKaRyE2ACBlLFqBdiL5yZoijf2uJMlo1+9Ned3KFC0ZBwBkEotWoF1IfrKmKBcsWGmSkebvXTv6NDkZjqqbNy98DiMZjRUpGQcKotkBddYpQd6waAXageQna4oy9rvScqm0fu/60af77pP27w9nAhs2LHzuWkcyinimUZRkHCiIZgfUqe4FgMZIfrKoCGO/qymXavXv3Wj0qatLuvdeadcuaetWqbPzyPPXMpJRPdOYnpYOHJAOHZL6+qQPfUg6/fTVvWcWFCUZBwqg2QF1qnsBYHEkP1mV97Hf1ZZLtfL3bjT61NcXRnwOHJAeekg66aSwfS0jGdUzjQMHpLvukh5/PGzfv196/eulz31OOu20Nf860RQhGQcKoNkBddYpAYDFkfwgHVkol2o0+rRunXTeedINN0g/+UlYqnmtIxljYyEpuOuuI+9V9eCD0h//sXTFFflOFvKejAMF0OyAOuuUAMDiSH6QjiyUSy02+rRhg3T22dL550snnLD2kYypqTDq8/jjCxMfKeyHqSm6WgGsWbMD6qxTAgCLI/lBemKXSy01+rRpk3Thha2Jpbc3zPFZzPr1dLUCWLNmB9SzMPAOAFnVETsAFFy1XGrr1nDbztKv6uhTR0cYfZqYCLcdHa0dfRoaCnOJKpWF2w8dConPhg10tQJYs2YPae069AFAHpkvNjaeQSMjI7579+7YYSBv5ubSH326666wuMHjjx9Z3nr9eumMM0Lyw/JKKBAz2+PuI7HjyKJ2tFPNHtLacegDgCxaqp2i7A3Ft9rJ+iu5bs/pp4dV3f74j8PzqyM+GzbQ1QqgpZo9pLFOCQAcjeQHaGQ1Vwg87bSwqhtdrQAAAJlE8gPUW8sVAulqBQAAyCwWPADqVa8QWLtMkhTuz86GkR0AAADkDskPUI8rBAIAABQSyQ9QjysEAgAAFBLJD1Cv9gqBtbhCIAAAQK6R/AD1uEIgAABAIUVd7c3Mzpf0l5I6Jf29u380ZjzAU/r7w6puLFsNAABQGNGSHzPrlPRxSVsl7Zd0i5l9xd1vjxUTsADLVgMAABRKzJGfcyTd7e73SJKZXS3pNZJIfpBdlUpYCntqKiyMMDQUyuQAAACQeTGTn2dJurfm/n5JL6x/kpldJOkiServ729PZEAj+/aFi5zOzoYlr93DAgjbtoUyOQAAAGRa5hc8cPfL3H3E3Uf6+vpih4OyqlRC4jM/Lw0OSgMD4XZ+Pmyfm4sdIQAAAJYRc+TnPkmn1tw/JdkGZENtidvkZFj4YPPmhc/p6QkrwY2OMj8IAAAg42ImP7dIOt3Mfl4h6XmjpDdHjAc4or7Ebf9+6b77wjyfDRsWPtcsJEYAAADItGhlb+5+WNLvSLpB0h2SrnH3sVjxAE9pVOL27GeHOT67dklPPrnw+e5hKWwAAABkWtTr/Lj79ZKujxkDcJSxsTDiMzh4ZFtfXxjxOXBAeugh6aSTwvaZmbDowfBwlFABAADQvMwveAC03dRUKGWrtW6ddN55YftPfiJNTIS5Ph0dYbU3Ln4KAACQeVFHfoBM6u0NpWz1NmyQzj5bOv986YQTQqnb8DCJDwAAQE6Q/AD1hoZCKdvMTFjNrWpmJiQ8F15IwgMAAJBDlL0B9bq7QylbR0cobaPEDQAAoBAY+Sm62mvV9PaGUY3u7thRZV9/v7R9e7h+z/Q0JW4AAAAFQPJTZPXXqnEP5VzbtoWTeyytqyv+hUtJXgEAAFqG5Cer1nrSW3+tmqqZmbB9+3ZGMbKO5BUAUtHqfiX6qYD8IPnJolac9Da6Vo0UJvCPj4dyrtijGlgcySsApKLV/Ur0UwH5woIHWVN/0jswEG7n58P2ubnm3qfRtWqqzMI8lrKqVKS9e6WdO8NtpRI7oqNVk9fa1eakcH92NiSvAIAVaVUTm9b7AUgfIz9Z06oRm8WuVSOF7Zs2rTXSfMpLFx3JK4ASS6uMrNVFERRZAPlD8pM1rTrpXepaNRs3hpXLyiZPpWQkrwBKKs0+qlb3K5Wxn2qxxDTGvCfmWmE1SH6yplUnvdVr1ezYEbqf6luQrJzkt1OeuuhIXgGUUNp9VK3uVypbP9Viiekb3iB99rPtLarISyEHsofkJ2taedLbzLVqytRtkqcuOpJXACWUdh9Vq/uVytRPtVhiOjkpvetd0nOeE5qu446T+vqkRx5Jr6giT4UcyB6Sn6xp9UnvUteqKVu3SVa66JpNOLnQKoCSSbuPqtVNbB76qVrVx7lYYjo7G373xx4LiY8krV8vnXee9PDD6RRV5KmQA9lD8pNF7TjpLWO3SRa66FaacGbhQqsA0CbVPqrDh8OIQvWEuq+vdX1UrW5is9xP1co+zkaJ6eHD0p494X3Xrw/vLUmHDkm7doXRoDSKKvJUyIHsIfnJqrRPesvYbRK7i66MCScArMDQkNTRIX31q0c/tmVL6/qoWt3EZrGfqtVNTqPiicnJ8P6dndIxx4Tvf/Yz6YknpMcfP5IMtlpWCjmQTyQ/ZVXWbpOYXXRlTDgBYIWWaprQvFY3OY2KJx57LPxdurrCKNA994RbKYz+zM9LT396K36b5WORijnXCq3HRU7LqszdJtUuuq1bw227RlvKmnACOWZm55vZj8zsbjP7QOx4im5sTHrySemCC6QXvlB63vPC7QUXhO1c37l5rW5yqsUTHR0heZqYCMlVZ6d0/vnSgw+G0Z6qri7pjDOkSy9t/cVeG8UyPh7uZ2WuFbKLkZ+yotuk/cqccAI5ZGadkj4uaauk/ZJuMbOvuPvtcSMrruoJe2endNJJCx+jj2hl0mhy6osnjj9euuqq8Hc74YRQ+vbEE2HE57jjpLPOku69N53ChizPtUK2kfyUVez5L2VEwgnkzTmS7nb3eyTJzK6W9BpJJD8pWckJe5mu1LAaaTU59fObTj5Zes97Qgnc054WRl+OOy6s9tbZmW7SmsW5Vsg+kp8yo9ukvUg4gbx5lqR7a+7vl/TCSLGUQrMn7GW7UsNqtKvJ6e+XPvAB6ZJLwnsfd1wYBersDI9T2ICsIfkpO7pN2ouEEygcM7tI0kWS1M+Z95o0c8LOwpnNa1eTc9ZZ0ubN4W9CYQOyjuQHaLesJ5zUkgBV90k6teb+Kcm2Bdz9MkmXSdLIyMgiRVto1nIn7CycuTLVMsL621aisAF5QvKD/ONkvXWoJQFq3SLpdDP7eYWk542S3hw3pHJYqo+IhTOb185DOoUNyAuSH+QbJ+utQy0JsIC7Hzaz35F0g6ROSZ9w97HIYZUeC2c2J8YhPeuFDYDEdX6QZ/VH9oGBcDs/H7a3+sICRVetJakt2JbC/dlZLrCBUnL36939Oe6+2d0/HDseLFwUoRbzSxbikA40RvKDuCoVae9eaefOcFupNP9ajuytRS0JgBzgApfN4ZAONEbZG+JZa8kaR/bWopYEQE4UaX5JWtNWOaQDjZH8II5WFCNzZG+tMl+ElUUzgNwpwvySNKetLnVIP/546YknQtEFhzyUDckP4mjFWqVlPllPQ1nXKmXRDAARpL0gwWKH9M7OcPs3f9PcIY++IRQNyQ/iaEXJWllP1tNUpFqSZrDCHYBI2nG9ovpD+vHHS1ddFZrL+j7DRoc8+oZQRCQ/iKNVJWtlO1lvhyLUkjSLqyUCiKRd01ZrD+l790qPPtrcIY++IRQVyQ/iaGXJWplO1ssqrboLFs0AEEmMaasrOeQVrW8oa+V7WYunTEh+EAcla2hWmnUXLJoBIJIY01ZXcsgrUt9Q1sr3shZP2ZD8IB5K1rCctOsuWDQDQCQx+gBXcsgrSt9Q1sr3shZPGXGRU8RVLVnbujXc8h+PWmlfyJarJQKIqNoHePHF0r/5N+HrTW+STjwxnZ+3kkNebaJUK299Q1m7Hvpq41nLNeGxECM/ALKrHXUXjEACiOiBB6Srrz5SAnXLLemWQK3kkLd1q/T3fy/95CfSM54RkqS8VadnrXxvNfFQJtdaJD8AsqtddRcsmgEgglglUMsd8mpPto8/Xnr4YengQekd7wgJUV4SHyl75XsrjYcyudaj7A1AdhWl7gJArrSrxChrJVnS0Sfbz362dPbZ0imnSDfemP7PbvV+z1ozstJ4svgZyTtGfgBkF6sCAmizdpYYZa0kS4q3xHVa+z1rzchK48niZyTvSH4AZBtzcgC0SbtLjLJWkiUdfbJ9+LA0OSk99ph04ECYo9Rqae/3rDUjK4kni5+RvCP5AZB9zMkB0AbtHvXI4mr7tSfbBw5Iu3ZJjz8e7h88KF15ZYirlaNg7djv9c1ItcQu1kVGm23WsvgZyTuSH6AIuFR0+tjHQOG1u8QoayVZknTaadLcnLRnT4hp/foQz6FD4ftnPKP1o2Dt3u95Wj1tpZ8RmqrlkfwAeZeno3hesY+BUohRYpSlkqzqoW5uLpxAT06GhKevT3r606XzzpM2bGj9KFg793seV09r9jNCU9UcVnsD8qz+KD4wEG7n54+0YFgb9jFQGrFWBsvC9b5rD3XDw9K550onnRROtDs7pZe9LCQ+UutHY9q53/O6etpynxGaquaR/AB5ltejeJ6wj4FCarSscrXEqKMjjG5MTITbjo7iLzBZf6g7/njpuOOkZz4zJD+1yU6rR2Paud/ztnpas8t/01Q1j7I3IM/ydhTPI/YxUDjLlQdlpQytneoPdX19oeTt0KFw/7HHwm1ao2Dt2u95Wj1tJWVsNFXNI/kB8ixPR/G8Yh+jDZik3D7NzvnIygKT7fps1B/q1q0Lc3x27QqrvB04EEZj0lyMIY39Xr//TjstH6unrXRuEk1V80h+gDxjDcz0sY+RMiYpt1esi3iuRjs/G40OdRs2SGefLT38sPTWt4YSuDyNgi22/97wBumzn83OCnuNrPRzSlPVPJIfIC8W6/7L2jqpRcM+RoryuPJU3uWlPKjdn42lDnUf/Wj+EvGl9t9nPytdcol0553ZLW1c6eeUpqp5JD9AHlCgHhf7GCnJ0yhEUeSlPCjGZ6NIh7rl9t+dd2b7f2s1n9Mi/f3SRPIDZF3eCtSLin2MFORlFKJI8lIeFOuzUZRDXd7/t1b7OS3K3y9NLHUNZB3rVwKFlZdRiCLJy3LWfDbWJu/7Ly+f0zxi5AfIurx3XwFYVF5GIYomD+VBfDbWpgj7Lw+f0zwi+UHx5X0N2bx3XwFYFJOU48l6eRCfjbVp5f6LeRqR9c9pHpH8oNiKsIZsEbqvACyK3l0shs/G2rRi/xXhNAILmS/Wo5xBIyMjvnv37thhIC8qFen3fi8sFFCfNHR05GsNWY6+q5f3kb8MMrM97j4SO44sop1CO7Xy8Mah8mhFOo0om6XaKUZ+UFxFWkOW7r/VIWkEUFCtPLxxqGysSKcROILV3lBcWV0ooFKR9u6Vdu4Mt5VKc6+rFv5u3RpuSXyWVr9E+MBAuJ2fD9vn5mJHCACr0srDG4fKxWX1NAJrw8gPiiuLCwXQvdY+dNkBKKhWHt44VC4ui6cRWDtGflBctQsF1Iq1UADda+1Flx2Agmrl4a2Ih8rVFljUW+w0YnIyNNn337+290ccjPyguLK2Tijda+1Flx2Agmrl4a1oh8pWFlg0Oo04eFC6+25p82bp85+ngCOPSH5QbFlaKKCI3WtZxhLhQCGxKllrD29rea+s/S3qCyyqZmbC9tWszlZ7GvHAA9KVV0ovepHU19ea90f7kfyg+LJyhbA0utey1vJkSdZG/gCsWRanTcY4DLfy8Lba98ri3yKtAovqacTeveH72sSnFe+PI9rx/0TyA7RLq0cistjyZE2WRv4ArEkavfprFfMw3MrD20rfK4t/Cyn9AgsKONLVrv8nFjwA2qXavdbREbqIJibCbUfHyrvqWDyheSwRDhRCtVe/tu9ICvdnZ8OJeztl4TDcysPbSt4ra3+LqrTnLxVtflSWtPP/iZEfoJ1a1VXH4gkASiZrve5lPgxn7W9RlfZUT6aSpqed/0+M/ADt1oquuqy2PACQkqz1upf5MJy1v0VVKwssYrx/mbXz/4mRnzxgUjvqZbXlAYCUZK3XvcyH4az9LWqlPdWTqaTpaOf/E8lP1jGpHY1kueUBgBRkbQHHMh+Gs/a3qJf2Iq9ZWUS2SNr5/2S+WJqVQSMjI7579+7YYbRPpSL93u+F2V71n4SODhaUz4KYo3IkxojEzPa4+0jsOLKodO1UBHNz2el1L/thOEt/C+RfK/+flmqnooz8mNmFkv5E0hmSznF3WopGyjybMg9it3qMvQMooSz1upf9MJylvwXyr13/T7HK3kYlvU7S/4r08/OhzLMpsy4rFzmg5QGAqDgMA63Tjv+nKKu9ufsd7v6jGD87V8o8mzLrsnqRAwAAACwq80tdm9lFZrbbzHZPTk7GDqe9amd/1SrDbMqsY1QOAAAgd1IrezOzr0t6ZoOH/sDdv9zs+7j7ZZIuk8JE0haFlw9ZX06lzBYblTt8OCRGd94ZRuaWWwAhS8uYZykWAACAFKSW/Lj7y9N671Ip+2zKrGq0JuOBA9I3vxmWv7n5ZumWW5ZeACH2gglZjQUAACAlmS97g47M/tq6NdyS+MRXf5nne+6RbrghPPYrvyI9+9lhIYT5+ZBUzM0tfH39ggkDA0s/P01ZigUAACBFUZIfM3utme2XdJ6k68zshhhxAGtSHZV797ulc8+VNm+WLrhA2rDhyHMWWwAhSwsmZCkWAACAFEVZ6trdr5V0bYyfDbRUdVSuOk+ms/Po5zRaACELCyZU5/jccEMo3zvlFGld3SGBxRsAAECBxLrOD1AsK12WPPYy5rVzfGZmpNtvlx56SDrvvIUjVyypDgAACoQ5P0ArrHRZ8pjLmNfP8Xn+80MyduiQtGuX9OST7YsFAACgjUh+kA2VirR3r7RzZ7itVGJHtDL1CyBMTITbjo7Gy5Kv9PmtVD/HZ926MOJz7LGhHO+229oXCwAAQBtR9ob4irLM8kqXJY+1jHmj+UYbNoTVBG+7TXrRi6RXvIIl1QEAQOGQ/CCu+hKsqpmZsH379nydgFcXQEjr+a2w2Hyjzs4wGvSKV7Q/JgAoEa4pDcRD8oO4qiVYtYmPFE7Cx8fDqAgn4q3V6AKtEnN8gBpmdqGkP5F0hqRz3H133IhQFEUpdgDyijk/iCsLSz6XTcz5RkB+jEp6naSbYgeC4uCa0kB8jPwgrthLPpdVrPlGQE64+x2SZIt1zgCrQLEDEB/JD+KiBCueGPONgAIys4skXSRJ/dQtYQkUOwDxUfaGuCjBAhCJmX3dzEYbfL1mJe/j7pe5+4i7j/T19aUVLgqAYgcgPkZ+EB8lWAAicPeXx44B5UKxAxAfyQ+ygRKsYmD9VgCRZfkwVC122LEjFDnUr/ZGnx+QPpIfICuy3GI3g/VbUSBm9lpJfyWpT9J1Znaru/9K5LCwjDwchih2AOIi+QGyIA8t9lKKdrFalJ67Xyvp2thxoHl5OgxR7ADEw4IHQGxFuPBDdf3W2iJ2KdyfnQ1dnACQIg5DAJpB8gPEVoQWm/VbAUTGYQhAM0h+gNiK0GKzfiuAyDgMAWgGyQ8QWxFa7Nr1W2uxfiuANuEwBKAZJD9AbEVosblYLYDIOAwBaAarvQGxFeXCD6zfCiAyDkMAlkPyA2RBUVps1m8FEBmHIQBLIfkBsoIWGwAAIFXM+QEAAABQCiQ/AAAAAEqB5AcAAABAKTDnBwCAkqtUpLGxcM3l3t6wAn93d+yoAKD1SH4AACixffvCSvuzs0evtN/fHzs6AGgtyt4AACipSiUkPvPz0uCgNDAQbufnw/a5udgRAkBrkfwAAFBSY2NhxKenZ+H2np6wfXQ0TlwAkBbK3lBOFLgDgKamQqlbI2bhmstA3tHkoxbJD8qHAncAkBROBN0bP+YubdrU3niAVqPJRz3K3lAuFLgDwFOGhsKJ4MzMwu0zM2H78HCcuIBWoMlHIyQ/KBcK3AHgKd3doQe8o0MaH5cmJsJtR0fY3tUVO0Jg9Wjy0QhlbygXCtwBYIH+fmn79nAiOD0dSt2Gh0l8kH80+WiE5AflQoE7ABylq0vasiV2FEBr0eSjEcreUC4UuAMAUAo0+WiE5AflQoE7AAClQJOPRih7Q/lQ4A4AQCnQ5KMeyQ/KiQJ3AABKgSYftSh7AwAAAFAKJD8AAAAASoHkBwAAAEApkPwAAAAAKAWSHwAAAAClQPIDAAAAoBRIfgAAAACUAskPAAAAgFIg+QEAAABQCiQ/AAAAAEqB5AcAAABAKZi7x46haWY2KWmiBW/VK2mqBe9TBuyr5rGvmse+al4W99WAu/fFDiKLWthONZLFz0IWsZ+aw35aHvuoOVncT4u2U7lKflrFzHa7+0jsOPKAfdU89lXz2FfNY1+his9Cc9hPzWE/LY991Jy87SfK3gAAAACUAskPAAAAgFIoa/JzWewAcoR91Tz2VfPYV81jX6GKz0Jz2E/NYT8tj33UnFztp1LO+QEAAABQPmUd+QEAAABQMiQ/AAAAAEqhtMmPmf2Fmf3QzP7FzK41s42xY8oqM7vQzMbMbN7McrOUYTuZ2flm9iMzu9vMPhA7nqwys0+Y2UNmNho7lqwzs1PN7Jtmdnvy//ee2DEhPtqu5tBuLY72anm0Vc3JaztV2uRH0o2Sht39+ZLulPTByPFk2aik10m6KXYgWWRmnZI+LumVks6U9CYzOzNuVJl1haTzYweRE4clvc/dz5R0rqTf5nMF0XY1i3arAdqrpl0h2qpm5LKdKm3y4+473f1wcvd7kk6JGU+Wufsd7v6j2HFk2DmS7nb3e9x9TtLVkl4TOaZMcvebJM3EjiMP3P1+d9+bfP+opDskPStuVIiNtqs5tFuLor1qAm1Vc/LaTpU2+anz7yV9LXYQyK1nSbq35v5+5eCfH/lhZoOSXiDp5riRIGNou7BStFdIRZ7aqXWxA0iTmX1d0jMbPPQH7v7l5Dl/oDBsd1U7Y8uaZvYVgPYzs6dJ+oKk97r7I7HjQfpou5pDuwVkQ97aqUInP+7+8qUeN7O3S3q1pF/2kl/waLl9hSXdJ+nUmvunJNuANTGzYxQalKvc/Yux40F70HY1h3ZrVWiv0FJ5bKdKW/ZmZudLer+kX3P3x2LHg1y7RdLpZvbzZtYl6Y2SvhI5JuScmZmkyyXd4e47YseDbKDtwhrRXqFl8tpOlTb5kXSppOMl3Whmt5rZ38YOKKvM7LVmtl/SeZKuM7MbYseUJcnk49+RdIPCZL9r3H0sblTZZGafkbRL0nPNbL+ZvSN2TBn2Yklvk/Sy5Bh1q5m9KnZQiI62qwm0W43RXjWHtqppuWynrMQj5gAAAABKpMwjPwAAAABKhOQHAAAAQCmQ/AAAAAAoBZIfAAAAAKVA8gMAAACgFEh+gBYysyeTpR5HzexzZnZcsv2ZZna1mf3YzPaY2fVm9pzksf9tZrNm9tW40QMAio52CmVH8gO01iF3P8vdhyXNSbo4uQjYtZK+5e6b3f1sSR+UdGLymr9QWCcfAIC00U6h1Eh+gPR8R9Jpkn5J0hPu/tTFCN39Nnf/TvL9/5H0aJwQAQAlRjuF0iH5AVJgZuskvVLSDyQNS9oTNyIAAI6gnUJZkfwArXWsmd0qabekfZIujxwPAAC1aKdQautiBwAUzCF3P6t2g5mNSfqNSPEAAFCLdgqlxsgPkL5vSOo2s4uqG8zs+Wb2kogxAQBQRTuF0iD5AVLm7i7ptZJeniwhOibpzyQ9IElm9h1Jn5P0y2a238x+JV60AICyoZ1CmVj4vAMAAABAsTHyAwAAAKAUSH4AAAAAlALJDwAAAIBSIPkBAAAAUAokPwAAAABKgeQHAAAAQCmQ/AAAAAAohf8PkXTGzuJCZUwAAAAASUVORK5CYII=\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } + ], + "source": [ + "#@title plot PCA one off \n", + "scalar_inh = StandardScaler()\n", + "scalar_inh.fit(data_inh['all'])\n", + "scalar_exc = StandardScaler()\n", + "scalar_exc.fit(data_exc['all'])\n", + "data_inh_pca = scalar_inh.transform(data_inh['all'])\n", + "data_exc_pca = scalar_exc.transform(data_exc['all'])\n", + "size_inh = data_inh['all'].shape\n", + "size_exc = data_exc['all'].shape\n", + "min_size = min(size_inh[0],size_exc[0])\n", + "\n", + "for m in range(21):\n", + " data_inh_pca = np.array(data_inh_pca[:min_size,:])\n", + " data_exc_pca = np.array(data_exc_pca[:min_size,:])\n", + " \n", + " # mask\n", + " # mask_exc = np.ones_like(data_exc_pca)\n", + " # mask_inh = np.ones_like(data_inh_pca)\n", + " # mask_inh[:,m] = 0\n", + " # mask_exc[:,m] = 0\n", + " # data_inh_pca= data_inh_pca*mask_inh\n", + "\n", + "\n", + "\n", + "\n", + " pca_x = PCA(n_components=10,whiten=True)\n", + "\n", + " fig = plt.figure(figsize=[14,7])\n", + "\n", + " ax = fig.add_subplot(1, 2, 1, ) #projection='3d'\n", + " # Project the data in 2D\n", + " reduced_data_inh = pca_x.fit_transform(data_inh_pca)\n", + " n_components = 2\n", + "\n", + " kmeans = KMeans(n_clusters=5).fit(reduced_data_inh)\n", + " centroids_inh = kmeans.cluster_centers_\n", + " label = kmeans.labels_.astype(float)\n", + " labels = [] \n", + " for i in kmeans.labels_.astype(float):\n", + " if i ==0:\n", + " labels.append('r')\n", + " if i ==1:\n", + " labels.append('b')\n", + " if i ==2:\n", + " labels.append('green')\n", + " if i ==3:\n", + " labels.append('cyan')\n", + " if i ==4:\n", + " labels.append('purple') \n", + " ax.scatter(reduced_data_inh[:,0], reduced_data_inh[:,1], c='red', s=50, alpha=0.5,marker = 'o') #,reduced_data_inh[:,2]\n", + " # ax.scatter(centroids_inh[:, 0], centroids_inh[:, 1],c='black', s=50,marker = 'x')\n", + " ax.set_xlabel('PC1')\n", + " ax.set_ylabel('PC2')\n", + " ax.set_title('Inhibitory w/ '+cols[m])\n", + " \n", + " ## Excitatory plot \n", + "\n", + " # mask\n", + " # data_exc_pca = data_exc_pca*mask_exc\n", + "\n", + "\n", + "\n", + " pca_x = PCA(whiten=True)\n", + "\n", + " # Project the data in 2D\n", + " reduced_data_exc = pca_x.fit_transform(data_exc_pca)\n", + " n_components = 2\n", + " ax = fig.add_subplot(1, 2, 2, ) #projection='3d'\n", + "\n", + " kmeans = KMeans(n_clusters=5).fit(reduced_data_exc)\n", + " centroids_exc = kmeans.cluster_centers_\n", + " label = kmeans.labels_.astype(float)\n", + " labels = [] \n", + " for i in kmeans.labels_.astype(float):\n", + " if i ==0:\n", + " labels.append('r')\n", + " if i ==1:\n", + " labels.append('b')\n", + " if i ==2:\n", + " labels.append('green')\n", + " if i ==3:\n", + " labels.append('cyan')\n", + " if i ==4:\n", + " labels.append('purple') \n", + " ax.scatter(reduced_data_exc[:,0], reduced_data_exc[:,1], c='blue', s=50, alpha=0.5,marker = 'o') #,reduced_data_exc[:,2]\n", + " ax.set_xlabel('PC1')\n", + " ax.set_ylabel('PC2')\n", + " ax.set_title('Excitatory w/ '+cols[m])\n", + " plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { + "cellView": "form", "colab": { "base_uri": "https://localhost:8080/", "height": 1000 }, "id": "8BAgz5_0nLMY", - "outputId": "97781771-500b-4a1e-a123-cfc9b17bb979", - "cellView": "form" + "outputId": "97781771-500b-4a1e-a123-cfc9b17bb979" }, "outputs": [ { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAfAAAAEWCAYAAACZscV5AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nO3de5xcdX3/8ddnN9lNNlcgyzUJUYIVEhEkFdGHLV5oEbVeft4vvx+tirZi7Q9/KtrLD622KspPW9Q2RWtRvFaptqACAgI2AkmImiXKRZMQbsnmQkI22WSzn98fn3Oc2cns7uzuzJxzZt7Px2Mfu3PmzMx3zp7v+Zzv3dwdERERKZaOrBMgIiIiE6cALiIiUkAK4CIiIgWkAC4iIlJACuAiIiIFpAAuIiJSQArgOWBmt5jZW0d5brGZPWFmnRPdt1WM9Z1reK2b2dJ6p0lkMpL8+eSs05ElM7vAzG6f5Gu/ZGYfqXeaikoBvEHMbKOZvXCq7+Pum919trsfmui+Uwl8jWZmx5vZliZ/pjK/1CzJw/uSoJv+XDGV90zy56+T95/Q+TiVwNcMZvZDM/uDJn7eOc2+huTNtKwTIPlkZgaYuw836CPOB37QoPcWqZeXuvuNWSeiHsxsmrsPNei9ZwErgB834v2lOpXAmyC9czazT5rZTjP7jZm9qGK3E83sJ2a2x8yuN7MFyWuXJNXA5TdbJ5nZnWa228y+a2ZHVu5rZh8FngtcUV5yMLNnm9ldZvZ48vvZZem8xcw+amY/AQaA95jZmorvcrGZfbfKd3yemf2i7PENZnZX2ePbzOzlZS85H7hulON1rpn9MknjFYAl27vNbJeZLS/btzcpJR2dPH6vmT1iZg+b2Z+U7Xch8Ebgfcnx+M9qn122/0Yz+z9m9vMkHd8wsxljvUbah5l93sy+Xfb442b2IwudZvZBM3sgyc9rzGxRsp+b2dLRzkczu6TsdfeY2SuS7acA/wScney/K9k+z8yuMrNtZrbJzP7KzDqS5y5Irin/z8y2Ax82sx1m9rSydB9tZgNm1lvlO24yszOTv9+YpH1Z8vgtZvYfZbu/APiJuw9WeZ+jzOx7yfXqTuCkiuP4yYr9v2tmFyd/n2Fma5Pj8Q1gRrJ9FvB94Hgr1Y4cP8b/61Iz+2ZyrPaYWZ+ZrRht/8Jwd/004AfYCLww+fsC4CDwNqAT+FPgYaKEC3AL8ADwFGBm8vhjyXNLAAemle37ELAcmAV8G/jKGPu+tSxNRwI7gTcTtS+vTx4fVbb/ZmBZ8nw3sAM4pew97gb+R5XvOxPYDywApgOPJemckzy3r+xzpgP9wJwq77MA2AO8KtnvfwND6fcAvgh8tGz/dwI/SP4+L/nc9Nh8NTkeS5PnvwR8ZAL/vzuB45PjtgF4R9bnlX6a91Oeh6s81wPcm+Tt5ybn88LkufcCvwB+h7j5fHrZuT/m+Qi8OjnnOoDXAnuB45LnLgBur9j/KuC7ST5bkqTpLWX7DwHvSvLzTOBzwMfLXv9u4D9H+Y5XAe9J/l5JXKP+tOy5/1227z8Bbx/lfb4OfDPJk8uT68LtyXO/BzxI6Vp4RHKtOB7oAjYl14DpyTXhYHrMgHOALTX+Ly8lrk/nE9fgvwd+mvU5NtUflcCbZ5O7/4tH+/S/AccBx5Q9/6/ufq+77yNO9tPHeK8vu/t6d98L/DXwGqut49qLgfvc/cvuPuTuXwN+Cby0bJ8vuXtf8vwg8A3gTQDJ3fcS4L8q3zhJ911EhjwT+BnwE+A5wLOSz92e7P57wM/cfU+VNJ4P9Ln7v7v7QeDTwKNlz38VeF3Z4zck2wBeQxzH9NhcWsMxGcs/uPvD7r4D+E/G/p9Ia/qPpNYn/XkbgLsPEDfClwNfAd7l7ml77FuBv3L3X3n4Wdm5PyZ3/1Zyzg27+zeA+4BnVts3yfOvAz7g7nvcfSPwqSRdqYfd/R+T/LyPuPa83swsef7NwJdHSc6Pgd9P/n4uEfTSx7/PyOryqjVqSRr/B/A37r7X3dcnaUjdRtzUPDd5/Cpglbs/TFw3pgOfdveD7v7vxDVmsm539+uSa/CXiRurQlMAb57fBqEk8wPMrvY8UX1d/lylB8v+3kSc5AtqSMPxyf7lNgEnjPLeEJntDUmGfzPwTa9STZb4MXFX/HvJ37cQGb2mzF6Wxt+mweP2uTxNNwM9ZnaWmS0hguo11V7L4d91oibyP5HW9HJ3n1/28y/pE+5+B/BropT9zbLXLCJKqxNmZv/TzNalNwxEiXW0vJ3WdpWf52Pm5yTNA8A5ZvZUYCnwvVHe/8fAc83sOKLU+k3gOUm+mwesS9L8NOBxd6+8dgD0EqX/qvkyyd9fJ2oDIW7Ir07+Ph54KNnnsNdOQmV+nmEjmyYLRwG8mBaV/b2YqFbqr7Jf5VJzDwMnVmxbTFRpVX2Nu/8UOEDcIb+B0e/W4fAAnt7BTySAP0LZ90tuHH77OLl7/iaR4V8P/FdZSX7Ea5PvNuLrjJF2kQkxs3cSzUwPA+8re+pBytp5xzDifDSzE4F/AS4iqtznA+tJ+oBU7k/k+YOMzNNj5ufEvxG1am8G/t3d91dNnPv9RKB7F3Cru+8mguCFRGk27eA6Vn7eRlTjj5Uvvwa8Kvn+ZxHNghD5+YSy2oLK17Z9flYAL6Y3mdmpZtYDfJjIhNWGmT0GlI85vQ54ipm9waKj22uBU6lSJV7hKuAK4KC7jzWM5b+Jdr9nAne6ex9xcTkLuBXAzJ4EdLv7hlHe41pgmZm9Mrk7/nPg2Ip9vkq0D76RUvU5RGC/oOzY/N+K11UeD5FJMbOnAB+hFAjfZ2ZpE8uVwN+a2clJp7bTzOyoKm9TeT7OIoLStuQz/pgogZfvv9DMumDEzexHzWxOEgAvJqr0x/IV4BVJ2q8aZ98fEzcU6Q34LRWPIQL4tdVenKTxO8ClZtZjZqcC/6tin7uJm5ErgR+6+67kqVVE8P9zM5tuZq9kZHPCY8BRZjZvnO/QshTAi+nLRAeYR4lemX8+yn6fIe5sd5rZPyTtcC8B3gNsJ0oNL3H3aqX3ys9bzjgXhqTdeS3Rhn0g2byKaP/fmjx+MaPfrZOk5dXAx5I0nky0pZfvcwfRued4oidquv37RJv5TcD9ye9yXwBOTaon/wOR8f2njRwHfk1yY/kVojPYz9z9PuCDwJfNrJtoF/8mcD2wmzjvZlZ57xHno7vfQ7RhryKC09MYee7fBPQBj5pZmmffReSFXwO3Eze0XxzrCyVV3WuJm4Xbxvn+PyY6yN1a7bGZzScKAf89xntcRDQ/PUpct/61yj5fBV5I2Q15cg15JdEZbwdx0/6dsud/SZTef50cw1F7obcqG9m8IHI4M5sJbAWekVyspvJe1wFXuPuoQVxEGsvMvkh0cPurKb7Pa4BXuftr6pMymYhCN+BL0/wpcNdUg3fiFqIjmohkIOmE9krgjDq83S7g/9XhfWQSVAKXMZnZRqITzcuTtqqWYGaLgXtGefpUd9/czPSINIOZ/S0xrvrv3f2jWaennszs+5SGo5X7O3f/u2anpxkUwEVERApIndhEREQKqFBt4AsWLPAlS5ZknQyR3FuzZk2/ux82v3UjJT2SryRGLDjwJ+6+qtq+yssitRkrL2cWwC0WhriVmAhhGjGWuXLc7ghLlixh9erVzUieSKGZ2VRnoZuMzxDz0r8qGavcM9qOyssitRkrL2dZAh8Enu/uT5jZdOB2M/t+MvOXiBRIMpnG7xFjdtMxvAfGeo2ITE1mbeDJJP9PJA+nJz/qUSdSTE8iZhD7VzO728yuTJZ8/C0zu9DMVpvZ6m3btmWTSpEWkmknNot1c9cRk4TckMywVbmPMr1I/k0DngF83t3PIGYHu6R8B3df6e4r3H1Fb29Tm+dFWlKmAdzdD7n76cBC4JlmtrzKPsr0Ivm3hVibOb0J/3cioItIg+SiF7q77zKzm4HziNV3RBpjcBD6+qC/HxYsgGXLoLs761QVnrs/amYPmtnvuPuvgBcw+kQ5UiOdrjKWLHuh9xKrW+1K5to+F/h4VumRNrB5M1x+OezaBWbgDvPnw8UXw+LKFQ5lEt4FXJ30QP818McZp6fQdLrKeLKsQj8OuNnMfg7cRbSBj7espcjkDA7G1XB4GJYsgRNPjN/Dw7H9gDpMT5W7r0uau05z95e7+86s01RUOl2lFln2Qv+5u5+RZPbl7v7hrNIibaCvL4oyRx45cvuRR8b29Wq5kfzQ6Sq10FSq0h76+6Meshoz2L69uekRGYNOV6mFAri0hwULohGxGnc46qjmpkdkDDpdpRYK4NIeli2LHkA7dozcvmNHbF9+2AhGkczodJVaKIBLe+juju67HR2wcSNs2hS/Ozpie1dX1ikU+S2drlKLXIwDF2mKxYvhE5+IHkDbt0c95PLluhpKLul0lfEogEt76eqCZ2iCMCkGna4yFlWhi4iIFJACuIiISAEpgIuIiBSQAriIiEgBqRObTJyWSBIRyZwCuEyMlkgSEckFVaFL7bREkohIbiiAS+20RJKISG4ogEvttESSiEhuKIBL7bREkohIbiiAS+20RJKISG4ogEvttESSiEhuaBiZTIyWSBIRyQUFcJk4LZEkIpI5VaGLiIgUkAK4iIhIASmAi4iIFJACuIiISAEpgIuIiBSQAriIiEgBKYCLiIgUkAK4iIhIASmAi4iIFFBmAdzMFpnZzWZ2j5n1mdm7s0qLiIhI0WQ5leoQ8B53X2tmc4A1ZnaDu9+TYZpEREQKIbMSuLs/4u5rk7/3ABuAE7JKj4iISJHkog3czJYAZwB3VHnuQjNbbWart23b1uykiYiI5FLmAdzMZgPfBv7C3XdXPu/uK919hbuv6O3tbX4CRUREcijTAG5m04ngfbW7fyfLtIiIiBRJlr3QDfgCsMHdL88qHSIiIkWUZQn8OcCbgeeb2brk5/wM0yMiU2RmnWZ2t5n9V9ZpEWl1mQ0jc/fbAcvq80WkId5NjCiZm3VCRFpd5p3YRKQ1mNlC4MXAlVmnRaQdKICLSL18GngfMFztSQ0JFakvBXARmTIzewmw1d3XjLaPhoSK1JcCuIjUw3OAPzKzjcDXic6pX8k2SSKtTQFcRKbM3T/g7gvdfQnwOuAmd39TxskSaWkK4CIiIgWU5WpkItKC3P0W4JaMkyHS8lQCFxERKSAFcBERkQJSABcRESkgBXAREZECUgAXEREpIAVwERGRAlIAFxERKSCNA5epGRyEvj7o74cFC2DZMujuzjpVIiItTwFcJm/zZrj8cti1C8zAHebPh4svhsWLs06diEhLUxW6TM7gYATv4WFYsgROPDF+Dw/H9gMHsk6hiEhLUwCXyenri5L3kUeO3H7kkbF9/fps0iUi0iZUhS6T8/DDsGMHHDoEPT3Q2wvTktPJDLZvzzZ9IiItTgFcJm7zZvjqV+Gee2D27Kg2d4eTT45APjQERx2VdSpFRFqaArhMTNr2fcQR0et8//4oie/fD48+GoF75kyYOzfrlIqItDS1gRfZ4CCsXQvXXx+/Bwcb/5lp23dvL/zu70bQ3r8/qs8PHYLp0+H00+GKK9SRTUSkgVQCL6qshnD198fnQQToY46J4H3wYNxAnHZa9EjfuDE6sj3jGY1Li4hIG1MAL6LKIVypHTti+yc+AV1d9fus8ola5s6NmwWAgYEI5nPmxONdu6JNHNSRTUSkwRTAiyitxi4P3hBDuOpZ8q1Wyp8zBzo64mahp6e07759MGMGHH10PHZXRzYRkQZSAC+i8mrsSvUq+Y5Vyk+D+cBAVKM/9hjMmwdnnw2dnbHP/PmwfPnU0yEiIlUpgBfRggWlauxK9Sr5jlXK370b3vSm6LC2YQNce20E7l27YOfOUlt8varxRUTkMArgRbRsWQTJHTtGzoRWz5LveKX83bvh3HOjqv7Vr45q++3b4+Zh+XIFbxGRBlMAL6Lu7ijhXn55tHlX9kKvR/CcSCm/q0u9zUVEmizTAG5mXwReAmx1dzWYTsTixdHbvFEl32aU8stpWVIRkQnJugT+JeAK4KqM01FMjSz5NqOUn9KypCIiE5ZpAHf3W81sSZZpaLrBQbj7blizJh6feSaccUY+S5uNLuVDc8e0i4i0kKxL4OMyswuBCwEWF700tnkzfOhDsGpVaZrRri541rPg0kvHL21mUc3c6Pbteo5pVzW8iLSR3Adwd18JrARYsWLFKL2qCmBwEC67LErec+bEgh8QE6CsXRvPfepTo5c2W7WauV5j2lv1+IiIjEKLmTRLX1+UKKEUvMv/Tkub1VRWM594YvweHo7tzVw0pN4LqNRjTHuejo+ISJPkvgTeMvr7xw52Bw6MXtps1tSp42lEKbcevd3zcnxERJoo0xK4mX0NWAX8jpltMbO3ZJmehlqwYOz22K6u0UubzZg6dTyNKuWmvd07OiLYbtoUvzs6au/tnofjIyLSZFn3Qn99lp/fVMuWRcB78MFo9y5vA4d4brTSZjOmTh1PI0u5U+3tnofjIyLSZKpCb5bubnjve2MBkFWrSqXCtBf6e987esBq9qQq1TS6lDuV3u55OD4iIk2mAN5MixfD5z9ffRz4WKXNZk6qMpo8l3LzcHxERJpMAbzZurrgrLPiZyKaManKWPJeys36+IiINJkCeJFkuWhIEUq5WlRFRNqIArjUTqVcEZHcUACXiVEpV0QkFzQTm4hMmZktMrObzeweM+szs3dnnSaRVqcSuIjUwxDwHndfa2ZzgDVmdoO735N1wkRalUrgIjJl7v6Iu69N/t4DbABOyDZVIq1NJfBWoaU0JSfMbAlwBnBHtikRaW0K4K1gtEVG3vlO2LNHQV2axsxmA98G/sLdd1c8dyFwIcBiLfEqddDu5RYF8KKrXGQktWkTvOY1Mcxr2jStjy0NZ2bTieB9tbt/p/J5d18JrARYsWLFKNP6idSmEYsjFo3awIsuXWSkfHa0oSHYsAH274eeHq2PLQ1nZgZ8Adjg7pdnnR5pbY1aHLFoWieADw7C2rVw/fXxe6y1t4ug1u9TbZGRbdsieHd3x+IpqSOPjGC/fn390yHt7jnAm4Hnm9m65Of8rBMlralauQUmd4krstaoQm+1upSJfJ9qi4yUB+2enpHPTWTlsFY7rtIw7n47MMpydSL11ejFEYui+CXwVqtLmej3KV9kJNXTE+8zYwYcffTI/WtdOWz3brjkEvjNb6Ikf8IJxT6uItIy8rw4YjMVP4C3Wl3KRL9PushIR0csMrJpU5TAZ8yAU06Bzs7SvunKYSefPHa1+ObN8I53wJ13xvvdcQfceCM8/nhxj6uItIxq5RbIz+KIzTJuFbqZzQV63f2Biu2nufvPG5ayWrVaXcpkvk+1RUbmzoUrrjh85bDXvhb++q9HrxZPawAGBmD27HgOYN8+WLUKzj23mMdVgALkZ5EaFGFxxGYYM4Cb2WuATwNbkyEiF7j7XcnTXwKyX9Wi1epSJvt9qi0yUhnUTz45gnflkLMdOyInfOITpRqAY46JnJGaOTO2b92a7XFt94GfU1CI/CxSIy2OOH4J/IPAme7+iJk9E/iymX3A3a8hLx1WyutSyqudi1qXUs/vUxnU166NIFwevCE+Z+PGyAlpDUBvb1TD79sXwTv12GPx+iyOqzrVTVX+87PIBLT74ojjtYF3uvsjAO5+J/A84K/M7M+BfEzEUK0NeOPGeFzEupRGfp9aqufTGoBp0+Dss2P7rl3x88QT0UEui+Paap0Vs5H//CwiNRuvBL7HzE5K28uSO/dzgP8AljU6cTVrtbqURn2fWqrnK2sAzj03qs0feyyC98qV0TbebGnV/li1B+18K16bYuRnEanJeAH8T6moWnP3PWZ2HvCahqVqMlqtLqWe3ydtN37kkSipbtsWVeSp8ur5rq7qvUOWLIntWQRvaL3OitkoTn4WkXGNF8D3AscA91dsfybw04akSOqrst344EH47/+Gk06COXOqd93MY41Gq3VWzIbys0gLGS+Afxr4QJXtu5PnXlr3FEn9jLbQydFHw86d8OpXw7HHVg/OeavRaLXOitlQfhZpIeN1YjvG3X9RuTHZtqQhKZL6GW1SmN7eCNDHHhtBugh9BVqts2I2lJ9FWsh4JfD5Yzw3c4znmk/jgw/Xau3GeazaL5bi5GcRGdd4AXy1mb3N3f+lfKOZvRVY07hkTVC7jg8e76Ylj+3GU73RylvVfrEUIz/njMoGklfjBfC/AK4xszdSyuArgC7gFY1MWM1Ga+ctn12sFUtotdy0VGs3HhqCBx6I5UYPHozj16yrUbveaOVH/vNzzuiUlTwbsw3c3R9z92cDHwI2Jj8fcvez3f3RqX64mZ1nZr8ys/vN7JJJvUmrLWZSi8HBuDF59FE4dChuUBYuPHxSk8p2474++M534Gc/i4laPv95eP/74yqVvm+j1v7WRCyZa3R+bjU6ZSXvxpsLfQbwDmAp8AvgC+4+VI8PNrNO4LPAucAW4C4z+5673zOhNypqO+9U6uVuvBFuumlkzcKMGTFz2s6dIyc1SduN166N36edFnOip6uUpTUVF10Ui580qqihiVgy18j83Ip0ykrejVeF/m/AQeA24EXAKUQ1XD08E7jf3X8NYGZfB14GTCyA57Gdt1JlsJ4zBz772ckFy8FBuPLK0mtS6WphT3nK4TctXV3xM3du9avRAw/A3/xNpK1RzRBFvdFqLY3Mzy1Hp6zk3XgB/FR3fxqAmX0BuLOOn30C8GDZ4y3AWZU7mdmFwIUAi6sFt7yPD65sRBsailv300+fXLDs64v3qCytp6uF7dxZ/aZlrKvR449Hm/hTnjJyez2LGkW40Wp9jczPLUenrOTdeOPAD6Z/ZFXV5u4r3X2Fu6/oLZ/+M5Xn8cHVGtF6eiJYbtgQ7depWtvs+/vhiCNKK4VVft706dVvWsa6Gu3fH+9XTb2KGuU3WuXycqPVHjLPzxPVyG4Z49EpK3k3Xgn86Wa2O/nbgJnJYwPc3edO4bMfAhaVPV6YbJu4vI4PrtaINjAQNx3798ciIccdV3qulmC5YEHcnJx9dlSZ79o18vVveUv17710afS6ufvumMCltzc6su3YEe+ZtolXqldRI73RqpxjvXIaV2mkRubnusu6B7hOWcm7MQO4u49yVa+Lu4CTzexJROB+HfCGSb9bHscHV6u27ukp/T0wMPK5WoJlWiw4dKi0UtjAQFSrL1gQ2yqlV8KDB+G+++LGoqsLTj01eq9/6EPRJt/oZoi83mi1iQbn57rKy+hQnbKSZ+OVwBvG3YfM7CLgh0An8EV378sqPQ1Rrdq6tzeqqx9/fGQwrzVYlhcLHnwwbhA6O+PKUq1YUH4lXLYMnvrU0vKgXV3wkY/ECmPNKmrk8UZLcidPPcB1ykpeZRbAAdz9OuC6LNPQUNU62E2bBqecAuvWRcl506aJB8uJFAsqr4SdnVFtf9xxcSW89964OqmoITmiHuAi48s0gLeU0cZ1j1ay/da3YPfuyQfLWosFE7kSqqghOaEe4CLjUwCvh/F622RZstWVUAoo76NDRfJgvGFkMp5a5ltMS7bnntv85Ts1FkYKKM+jQ0XyQiXwqapnb5vRquGnMu2qxsJIQU218mpwMEZNrkmWbTnzTDjjDK0kJq1DAXyq6tXbZrRq+Ne+Fr7xjakNhs26Gj8PtCZkIU22W8bmzTE6ctWq0qIjXV3wrGfBpZfWlnV0ykjeKYBPVT3amEcb9LptG/zZn8Gznz31wbDt3EEt6xlBpOHKg+3cuXDVVVHynjMnZhmGmLhw7Vq47DL41KfGzjo6ZaQIFMCnqh69bUarhh8agj174nc5LYdUu7zMCCINUxls+/sja8ycOXK9n5kz43SozDqVJe2lS2s/ZVRKlywpgE9VPdqYR6uGT2dqq5yxDTQYtlZ5mhFE6q7a/dnQUPxs3RpzJg0NxRIBs2bF8wcOlLLO5s0RkDdtivfq7o75ldJ5j8pVnjIqpUvWFMDrYaptzKNVw6cztZXP2JbSELDaaEaQlnb33bEa7vz5EYB7eyO7TJ8eLVCbNsXfEHMozZ0b2fKoo2L/Sy+NavWUe5wSw8Mwb14sGzCt7CqZnjKj3Tjcfz+8+91wySWx4KBK45On2o3xKYDXy1TamEerhp82LRrxplX8mxo1BKwVc4zGwbeszZvh4x+Phf1mz45tM2ZENty7N/69ZqWF9vbti6B+zjmRddauhZ/+tNROvn8/bNkCTzwRr//xj+P0OPvsCOZQOmUqK3Yefzw6zO3fH6//yEfgpJNUGp8s1W7URuPA82C0Qa/Tp8PnPhe/Gz0YdvNmeP/74R/+Ab72tfj9/vfH9iLTOPiWlJaAZ8yI4D1/fvy4w223xX3wrFlRKt69O36Gh2OfF784ss6aNVGdPnNmPLdlS7x+3ryYcfjAgXi8alWsHVR+ypRX7AwNxT5pkEnTUz4VhNSulqk1JKgEXqtGl07HqoY/88zGDgHLQ0evRh1fjYNvSWkJ+KST4De/idL1zJnx098fp/LSpbHswAMPxEX/+OMjqFf7l+/dG4E4La3PmBGnyuBg9CNNS+NvfWucPuUVO9u2Rcm7vMNcT4+6WUyWuq3UTgG8Fs2qzxmtGr7RQ8CyzjGNPr4aB99y0hLwtGlRxb1qVZw+EMHaDJ72tDi19++P7Rs3xnNvSBYtPvPMOAX27IkOb088UerwNn06PP/5EcBvvz1eN2dOLGFwww3wzneWKnbK+5ju2xfB/+ij47G6WUycuq3UTgF8PHkonTZaljmmWce3ncfBt6DyEvC8eTFL8datEUx37IgS8Jo1UQGTloz37YvfP/hB7H/GGfDkJ8OPfhSB+9Ch2Mcsth9zDNx8c7zX058eC/hBvP9nPwsXXQRXXBE3Dk88Ec/NmBE3FJ3JyuvqZnG48Srb1G2ldgrg48m6dNoMWeaYdji+UneV/T7TVXJ37IjT9Zxz4IMfjH0HB+P3jBnwvOfBzp1xWi1dGtXvPT1xmu/dG8HbLPZ59NHonDZvXqlEDaVTc/fuuL9cuzZ+d3fHe6bBO8/dLLLqr1pLZZsWsqmdAvh48lCf0+jc1sgcM17a83B8pS7M7DzgM1uLOeAAABIISURBVEAncKW7f6xRnzVe14Zf/hJWrIg28T17omQ+MAB33RXV4bfcAt/7XgTqE0+M1+7fH6ebWey7enX8XV6iLn3X2DednvXTn460PPhg/rtZZNXDu9bKNnVbqZ0C+Hiyrs+pd26b6LrlU8kxtaQ96+MrdWFmncBngXOBLcBdZvY9d7+nUZ85VteG/v4YrNHTA3feGQM4BgbilBoehnvuif0GB+NxZ2fcux5zTFSnd3RE1pg+vTSErFzlqVmUbhZZtghOpLKtKMczawrg45lK6XSqJed657Zmrltea9pVX9Yqngnc7+6/BjCzrwMvAxoWwGH0rg3LlsVwrh/9CB57LErd6YQu+/dHe3c6Y1tnZ1SV79wZHdXMYp/f/d0oUdd6ahahm0WWLVYTrWwrwvHMmsaBj2eyCxPXOq56cDAa0a6/Pn6nDXZQym3lVw+Ix7t2RW6rVbPXLa817Vr4uVWcADxY9nhLsu23zOxCM1ttZqu3bdvW0MR0d8OLXhTV5/v2RcBOS9/Tp0fQTudHSgNLR0f8PniwNP77bW9rrVMzyxYrVbbVn0rgtZho6bTW0ud4JeJ65rZm33pPJO2qL2sL7r4SWAmwYsWKUS7l9TN9eowTP3gwOqjNmBGBfO/e+J1O4jIwUOqFvn17BPaFC2HdOvjoR+HDH44Oa61wamYZRFXZVn8K4LWaSH1OLcFy2bLxg3w9c1uzb70nmnbVlxXdQ8CisscLk22ZWbAgAnQ6I1t3dwTrdIa14eEI2jNnxun46KORRZcsiSC+a1fsf8UVpXvu3bujQu2uu6KK/vzz4ayzijPjcJZBVJ3T6k8BvBFqCZa1BPmlS+Nqs25d9K7p7Y0ry2RyW7NvvXW73W7uAk42sycRgft1wBuyTNCyZdFStHlztHEfOBDV6WnwTieCcY/Z1Lq6Ipvs3h2l9+HhyHbbt8cELtu2wT/+Y2mmN4CVK6PF6bLLijFHd9ZBVJVt9aUAPlljdVCrJViOF+Q3bICrroqrzr33xhmfdvo64YSJ57ZmB9SsrxTSVO4+ZGYXAT8khpF90d37Gv25Y2XD7m543/uiFH3rrfDQQ6VZ2aDUiS3V2Qm/+lWUrN0juB84EBPCPPRQrHw2MBDBff78eH7fPrjpJvjYx2IoWRFO66yDqCrb6kcBfDLGa7uuJViuXz96kB8agmuvjSvS8uUxofPWrdGddvr0WOooXX6pVlkE1KyvFNJU7n4dcF2zPq+WUYqLF8eELtu2RZBPA3hHR2m1MvdSqfzgwej4dtRRkf2+//3STG6HDkX2M4tS+hFHRPX77t1xihdpziEF0dagAD5RtXRQqyVYjhXkDx0qDUyF0jRTxx0X73fvvZPLfVkEVF0ppAEqs+HQUATpjRtjLe5//ucYEjY4CJ/5TAwHmz07gvP06bF/Wg0+bVoE7s7OCOwQrVWdnVHC7u0tBXmI7UNDUTpPS/t792rOIWk+BfCJqrU393jBcqwgf/75MYC1mql2OMsqoLbiWuPNpmP4W+XZsHwtboh5yd/+9qjW7u+P7AWlYWOHDpWCd/oYojQN8T7btpVWJDv55NIUq+WVZuXvMWuWhkFJ8ymAT9REenOPFyxHC/Lr18ONN1Z/TREHTGY1d2Mr0TEcIc2GlWtxpwYG4nD9wR9EKXrfvrj/cR/Z7p0GZLPIrrNmxYQuJ5wAixZFu3fa2S1t806zf0dH6fH06XDdddF15aUvhblzm3cspH0pgE9UvXtzVwvyrdSDux1Wc2s0HcPDpNmw2lrcEL3Hd+2C++6L9cB37IiSebWsm27bty+CdHc3nHZaVJHv2ROl/RkzImtv2VKaa+mJJ0oTwNx/f0z0AvDJT8LnPhdzqIs0UiYzsZnZq82sz8yGzWxFFmmYtPLgWq6ewbWVZier52xy7UrH8DBpNnzssZHby9fjHh6OHuKzZ0eQT0vK1cyaFfvv3Blznw8MwC9+EauapTcHs2ZFZccxx8TQsXPPjecWLYInPSkmf1m4MN7nz/6stMSoSKNkVQJfD7wS+OeMPn/ymtWbu1V6cGu1sanTMTxMmg0vuWRkoCxfj3vnzth22mmRVfftK43/Lu+Q1tUVHd5mz47ObBdcEAG5szNmcnvqU0trjQ8Px4zHHR3xb9m5M7bNmhWfDdE7fcsW+O534Y1vbOZRkXaTSQB39w0ANtpFKe+aFVxboQe3JkCeOh3DqhYvjt7mb397BNdjjomSd2dnVIhNmxaB2T0GcPT3R4e1dOrUtP9fR0eM1Dz55AjyZ51VGgcOpUEgQ0Olrinz55fGhLtHwH7yk0u92CF6vos0khYzmax6LvzRyprR5NDqdAxHNWdO9DZfsiTaprdsKbU2vfWtpSVFZ8yIXubpWO50MZOOjgjke/dG8O/oKI0Br7xnStvbu7vjPdOq9XRY2t69I/dftAiRhmpYCdzMbgSOrfLUX7r7dyfwPhcCFwIsbsPetoWnGdmmTsdwTKNViLnDDTdEtXhPTwTfnTtLgXloKIL40qUR/O+7D449tvTayn6kAwOx37x5EeyPOAJ+8pNST/SDB2O/dFnSl70sm+Mh7cN8tKq5Zny42S3A/3H31bXsv2LFCl+9uqZdJW8OHCh+e37WJnAMzWyNu+e2g+h4ebleQ97T0XcPPQR33BHBddq0KI0PDkYg7u6OdvTTTovpUNNyQuXIvf7+6NH+h38YQRyimvzaa6P0PX9+vNecOeqFLvUzVl7WMDJpjlZoz89amxzDeg55Ly+d/+QnsSjJokXRYe2oo+JeaGAgPuv97x/5/pUl+zlz4OqrSxO/QLzXy18eFSPPfW5U5b/sZROf6VhkMjIJ4Gb2CuAfgV7gWjNb5+5/mEVaRCQ/GjHkPb3vWbYsStDDw6Vq8eOOi/c+6ig444zRX5s6/vjqLRkrV7blfDqSsax6oV8DXJPFZ4tIftU6U/Fk1KMrQauM7pTWoCp0EcmNRg95r0cAbpOWDCkABXA5nBbNkIw0Y8i7ArC0CgVwGUmLZkiGWmkZAJFG00QuRTc4GHM7Xn99/E5XWpjse5X3IDrxxPg9PBzbDxyoV6pFqmqlZQBEGk0l8CKrd2m5ry8aBnt6ortuTw/09tanB5FIjdRRTKQ2CuBF1YjxNvfcA2vWjHxdujpEmy6aIdlQO7XI+FSFXlT1XmJycBCuu65Uik9/3GHVqph3sk0XzRARySMF8KKq93ibvr5Y4WHevJjcOTVzJjz+eEw/pR5EIiK5oQBeVPUeb9PfH5NEp9Xlu3aVfszgxS9WI6SISI6oDbyo6j3eJr0hmDcvlkjdujUmie7pid+nnFLf9IuIyJSoBF5U9R5vU35D0NkZk0SfdFJ8TtoNWEREckMl8CKr53gbrTktIlIoCuBFV8/xNnkZgKupXEVExqUALiNlPQBXU7mKiNREbeCSH5rKVUSkZgrgkh/1npxGRKSFKYBLfjR6MWgRkRaiAC750YzFoEVEWoQCuORH+Vj0cloMWkTkMArgkh9aDFpEpGYaRib5kpex6CIiOacALvmT9Vh0EZECUBW6iIhIASmAi4iIFJACuIhMiZldZma/NLOfm9k1ZjY/6zSJtAMFcBGZqhuA5e5+GnAv8IGM0yPSFtSJTRpLK4u1PHe/vuzhT4FXZZUWkXaiAC6No5XF2tGfAN+o9oSZXQhcCLBY/3+RKVMVujSGVhZrKWZ2o5mtr/LzsrJ9/hIYAq6u9h7uvtLdV7j7it7e3mYlXaRlZVICN7PLgJcCB4AHgD92911ZpEUaJF1ZbMmSkduPPDJmV1u/XmO9C8TdXzjW82Z2AfAS4AXuo01oLyL1lFUJXJ1eWp1WFmsbZnYe8D7gj9x9IOv0iLSLTAK4u1/v7kPJw58CC7NIhzSQVhZrJ1cAc4AbzGydmf1T1gkSaQd56MQ2aqcXUMeXwipfWezII0vbtbJYy3H3pVmnQaQdNawEXo9OL6COL4WllcVERBqqYSVwdXoRrSwmItI4WfVCTzu9/L46vbQ4rSwmItIQWfVCV6cXERGRKcikBK5OLyIiIlOjmdhEREQKSAFcRESkgBTARURECkgBXEREpIAUwEVERAooD1OpijTX4GCsltbfH3O2L1sWM8eJiDRBvS5BCuDSXjZvjvXId+2KVdHcY272iy+OmeNERBqonpcgVaFL+xgcjJwzPBzrlJ94YvweHo7tBw5knUIRaWH1vgQpgEv76OuL297y1dEgHu/aFXO2i4g0SL0vQQrg0j76+6POqhqzWHBFRKRB6n0JUgCX9rFgQTQ4VeMeq6WJiDRIvS9BCuDSPpYti94iO3aM3L5jR2xfvjybdIlIW6j3JUgBXNpHd3d09ezogI0bYdOm+N3REdu1TrmINFC9L0EaRibtZfFi+MQnorfI9u1RZ7V8uYK3iDRFPS9BCuDSfrq64BnPyDoVItKm6nUJUhW6iIhIASmAi4iIFJACuIiISAEpgIuIiBSQ+WijynPIzLYBmybx0gVAf52TM1l5SgsoPeMpanpOdPfeRidmsiaRl/Pyf8hLOiA/aclLOiA/aalnOkbNy4UK4JNlZqvdfUXW6YB8pQWUnvEoPfmQl++dl3RAftKSl3RAftLSrHSoCl1ERKSAFMBFREQKqF0C+MqsE1AmT2kBpWc8Sk8+5OV75yUdkJ+05CUdkJ+0NCUdbdEGLiIi0mrapQQuIiLSUhTARURECqgtAriZXWZmvzSzn5vZNWY2P+P0vNrM+sxs2MwyG/JgZueZ2a/M7H4zuySrdCRp+aKZbTWz9VmmI2Vmi8zsZjO7J/lfvTvDtMwwszvN7GdJWj6UVVqykoc8k5f8kpe8kpc8krf8YWadZna3mf1Xoz+rLQI4cAOw3N1PA+4FPpBxetYDrwRuzSoBZtYJfBZ4EXAq8HozOzWr9ABfAs7L8PMrDQHvcfdTgWcB78zw+AwCz3f3pwOnA+eZ2bMySktWMs0zOcsvXyIfeSUveSRv+ePdwIZmfFBbBHB3v97dh5KHPwUWZpyeDe7+qyzTADwTuN/df+3uB4CvAy/LKjHufiuwI6vPr+Tuj7j72uTvPUSGPCGjtLi7P5E8nJ78tFXv0xzkmdzkl7zklbzkkTzlDzNbCLwYuLIZn9cWAbzCnwDfzzoROXAC8GDZ4y1kFKDyzsyWAGcAd2SYhk4zWwdsBW5w98zS0qaUX8aQdR7JUf74NPA+YLgZHzatGR/SDGZ2I3Bslaf+0t2/m+zzl0S1z9V5SI/kn5nNBr4N/IW7784qHe5+CDg96b9xjZktd/dc9BeoF+WZYspDHslD/jCzlwBb3X2NmZ3TjM9smQDu7i8c63kzuwB4CfACb8Lg9/HSkwMPAYvKHi9MtknCzKYTF6ar3f07WacHwN13mdnNRBtoSwXwnOcZ5Zcq8pZHMs4fzwH+yMzOB2YAc83sK+7+pkZ9YFtUoZvZeUS1xh+5+0DW6cmJu4CTzexJZtYFvA74XsZpyg0zM+ALwAZ3vzzjtPSmIyfMbCZwLvDLLNPUhpRfKuQlj+Qlf7j7B9x9obsvIc6PmxoZvKFNAjhwBTAHuMHM1pnZP2WZGDN7hZltAc4GrjWzHzY7DUmnvouAHxKdT77p7n3NTkfKzL4GrAJ+x8y2mNlbskpL4jnAm4HnJ+fMuuTOOgvHATeb2c+JQHKDuzd8iEqeZJ1n8pRfcpRX8pJH2jZ/aCpVERGRAmqXEriIiEhLUQAXEREpIAVwERGRAlIAFxERKSAFcBERkQJSAJcxmdmhZHjIejP7lpn1JNuPNbOvm9kDZrbGzK4zs6ckz/3AzHY1YzUeEamN8nLrUQCX8exz99PdfTlwAHhHMoHDNcAt7n6Su59JrPB2TPKay4jxoSKSH8rLLUYBXCbiNmAp8DzgoLv/dkIcd/+Zu9+W/P0jYE82SRSRGigvtwAFcKmJmU0j1kL+BbAcWJNtikRkMpSXW4cCuIxnZrJM32pgMzH3sYgUj/Jyi2mZ1cikYfa5++nlG8ysD3hVRukRkclRXm4xKoHLZNwEdJvZhekGMzvNzJ6bYZpEZOKUlwtMAVwmLFlP/RXAC5OhJ33A3wOPApjZbcC3gBckqyX9YXapFZHRKC8Xm1YjExERKSCVwEVERApIAVxERKSAFMBFREQKSAFcRESkgBTARURECkgBXEREpIAUwEVERAro/wPDavPbbQN8agAAAABJRU5ErkJggg==\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAfAAAAEWCAYAAACZscV5AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nO3de5hcVZnv8e+b7nQnkZAY0sRI6ISTIEcSFaEfFXicgUFGxCtzxCueQWWijnp0cES8zfH6jANjRh2do1EYQBgRcRhAUcARxEtAkhgkIdxJQiAx6YQQcutOp9/zx9qbqq7Uteuy9676fZ6nn+rae9eu1Umtevda611rm7sjIiIi2TIh6QKIiIhI7RTARUREMkgBXEREJIMUwEVERDJIAVxERCSDFMBFREQySAE8Y8zsdjM7r8S+fjPbZWZdtR7bLszsFDPbmHQ5RBohqqP/I+lyJM3M1pnZq5IuR9oogKdAoz6c7r7B3Q9x9wO1Hlsu2CfNzJ5fKiibmZvZglaXSaRQVI/3RkE3/vlmPeeM6uij0fkvM7Mv1VCec83sN/W8fzOZ2c1m9pdFttf0d3ay7qQLINlnZgaYu4826S3OBH7ejBObWVc1FzwiVXq9u/8i6UI0gpl1u/tIk879HGAA+FUTzt20cqeNWuApE181m9k/m9lTZvaYmb2m4LC5ZvZbM3vGzG4xs5nRa+dFLdL8C7P5ZvZ7M9tpZteb2YzCY83sy8ArgW/mtxrM7CQzu9vMno4eT8or5+1m9mUz+y2wB/iYma0o+FvON7Pri/yNp5rZvXnPbzWzu/Oe/9rM3pT3kjOBm4qc547o13uicr81b9/HzGyLmW0ys3fnbb/MzP6fmd1kZruBUwvPK9Jo0Wfux3nP/8nM/tuCLjP7lJk9EtXpFWZ2ZHScm9kCM1sMvBO4IPqs3xjtvzDvdfeZ2VnR9hcC3wZOjI7fEW2fZmZXmNlWM1tvZp8xswnRvnOj75V/MbNtwBfMbLuZvSiv3Ieb2R4z6yvyN643sxOi398ZlX1h9Py9ZvZfeYefBvzW3YcKzlH074wcZ2Z/jL6Pfmhmk6LXnGJmG83sE2a2Gfj3cfwXZZO76yfhH2Ad8Kro93OB/cDfAF3AB4AnCS1cgNuBR4AXAJOj51+J9s0DHOjOO/YJYBHwHODHwJVljj0vr0wzgKeAdxF6at4ePT8s7/gNwMJofy+wHXhh3jn+APyvIn/vZGAfMBOYCPwpKufUaN/evPeZCAwCU0v82zmwIO/5KcAI8IXotWcSLjCeG+2/DHgaOJlwATsp6f9//bTHT349LrJvCvBgVL9fGX2m50T7Pg7cCxwDGPCSvM//s5/v6LP7pYLzng08P/osvxXYDcyO9p0L/Kbg+CuA66O6Ni8q03vzjh8BPhzV6cnAvwH/lPf6jwA3lvgbrwA+Fv2+lPA99YG8fX+Xd+y3gfeVOE+xv3Md8Pvob50BrAXeH+2L6/w/Rd9Dk5P+LLTqRy3wdFrv7t/10LV7OTAbmJW3/9/d/UF33wtcAxxX5lzfd/fV7r4b+CzwFqsuce21wEPu/n13H3H3HwD3A6/PO+Yyd18T7R8CfgicAxBdec8DflJ44qjcdwN/BpwA3AP8lhBUXxG977bo8D8D7nH3Z6ooc2w/8AV33+/uNwG7CF+Osevd/bfuPuru+2o4r0gl/2VmO/J+/gbA3fcQLoaXAFcCH3b3OK/jPOAz7v6AB/fkff7LcvcfufuT0Wf5h8BDwMuKHRvV+7cBn3T3Z9x9HfDVqFyxJ939X6M6vZfw/fP2aJiM6NjvlyjOr4A/j35/JfCPec//nLHd5UV71Sr4RvS3bgduZOz33ijwf919KCp3R1AAT6fN8S9RxQc4pNh+Qusyf1+hx/N+X09olc6sogzPj47Ptx44osS5IVT2d0SV/V3ANV7QRZbnV4Qr5z+Lfr+dUMkbUdG3+dgxsMJ/o8JyizTKm9x9et7Pd+Md7n4X8CihlX1N3muOJLRWa2Zm/9vMVsUXDITetlL1O+7xyq/XZet0VOY9wClm9j+BBcANJc7/K+CVZjab0Ht4DXCymc0DpgGrojK/CHja3Wuth+W+97Z24sW4Anj7OzLv935C63SwyHGFt6V7EphbsK2f0NVd9DXuficwTLj6fgelr9Th4AAeX703IoBXolvwScuZ2QcJXbxPAhfk7XocmF/FKcZ8bs1sLvBd4EOELvfpwGrCBcJBxxPq/X7G1uuydTpyOaFn7V3AtaUCpbs/TAisHwbucPedhKC7mNCVHye5VqrT46mfHVmnFcDb3zlmdqyZTSGMC1/rxbOu/wTkzze9CXiBmb0jSnR7K3AsRbrEC1wBfBPY7+7lprD8jtCt/TLg9+6+hvDF8nLgDgAzOwrodfe1Zc5TWG6R1DGzFwBfIhcILzCzuAv4e8AXzezoKKntxWZ2WJHTFH7Wn0MIXFuj93g3oQWef/wcM+sBiOr9NcCXzWxqdAFwPqFLv5wrgbOisl9R4dhfES4o4ovw2wueQwjgPy1zDtXpKimAt7/vE5JCNgOTgP9T4rivA2+2kPn+jWgM7nXAx4BthBbD69y9WOu98P0WUeFLIRqTXwmscffhaPMywvj/luj5a6nc+v4ccHnUhfiWCseKNNuNNnYe+HUWZoVcSUgGu8fdHwI+BXzfzHoJ4+LXALcAO4FLCAlkhS4Bjo0+6//l7vcRxrCXEYLeiwi5JLFfAmuAzWYW19sPExLdHgV+A/wHcGm5Pyjq6l5JuFj4dYW//1eEBLk7ij03s+mEhsDvypxjzN9Z4f06WpzZLNIQZjYZ2AIcH31R1XOum4BvRoloIpIQM7uUkOD2mTrP8xbgze6ui+0G0EIu0mgfAO6uN3hHbgdua8B5RGScoiS0vwJe2oDT7QD+pQHnEdQClwYys3WEBJo3ufsfEi6OiNTJzL4I/B3wj+7+5aTLI2MpgIuIiGSQkthEREQyKFNj4DNnzvR58+YlXQyR1FuxYsWgux+0XnVaqC6LVKdcXc5UAJ83bx7Lly9PuhgiqWdmhavopYrqskh1ytVldaGLiIhkkAK4iDSEmU03s2vN7H4zW2tmJyZdJpF2lqkudBFJta8DP3f3N0fLd05JukAi7UwBXETqZmbTCDemORcgWh53uNxrRKQ+CuDSOENDsGYNDA7CzJmwcCH09iZdKmmNowg31fh3M3sJsAL4SLTmPQBmtphwZyr6+/sTKWTWqYpJPgVwaYwNG2DJEtixA8zAHaZPh/PPB31Zd4Ju4Hjgw+5+l5l9HbgQ+Gx8gLsvBZYCDAwMaAWpGqmKSSElsUn9hobCN8voKMybB3PnhsfR0bB9WD2pHWAjsNHd74qeX0sI6NIAqmJSjAK41G/NmtAsmDFj7PYZM8L21auTKZe0jLtvBh43s2OiTacB9yVYpLaiKibFqAtd6jc4GPr0ijGDbdtaWx5JyoeBq6IM9EeBdydcnrahKibFKIBL/WbODANyxbjDYYe1tjySCHdfBQwkXY52pComxagLXeq3cGHIptm+fez27dvD9kWLkimXSJtQFZNiFMClfr29IRV2wgRYtw7Wrw+PEyaE7T09SZdQJNNUxaQYdaFLY/T3w0UXhWyabdtCn96iRfpmEWkQVTEppAAujdPTA8dr5pBIs6iKST51oYuIiGSQAriIiEgGKYCLiIhkkAK4iIhIBimAi4iIZJACuIiISAYpgIuIiGSQAriIiEgGKYCLiIhkkAK4iIhIBimAi4iIZJACuIiISAYpgIuIiGSQAriIiEgGJRbAzexIM7vNzO4zszVm9pGkyiIiIpI1Sd4PfAT4mLuvNLOpwAozu9Xd70uwTCIiIpmQWAvc3Te5+8ro92eAtcARSZVHREQkS5JsgT/LzOYBLwXuKrJvMbAYoL+/v6XlaoqhIVizBgYHYeZMWLgQenuTLpWIiGRM4gHczA4Bfgx81N13Fu5396XAUoCBgQFvcfEaa8MGWLIEduwAM3CH6dPh/POhHS5ORESkZRLNQjeziYTgfZW7/2eSZWm6oaEQvEdHYd48mDs3PI6Ohu3Dw0mXUEREMiTJLHQDLgHWuvuSpMrRMmvWhJb3jBljt8+YEbavXp1MuUREJJOSbIGfDLwL+AszWxX9nJlgeZprcDB0mxdjBtu2tbY8IiKSaYmNgbv7b4ASEa0NzZwZxryLcYfDDmvM+yhJTkSkIySexNYxFi4MCWvbt4/tRt++PWxftKj+91CSnIhIx9BSqq3S2xsC6YQJsG4drF8fHidMCNt7euo7v5LkREQ6ilrgrdTfDxddFBLWtm0L3eaLFtUfvCGXJDdv3tjtM2aEC4XVq+H44+t/HxERSQUF8Fbr6WlOIFWSnIhIR1EXertoVZKciIikglrg7aIVSXLSeJo1ICLjpADeLuIkuSVLwph3YRZ6I8bZpbE0a0BE6qAA3k6amSQnjVU4ayC2fXvYftFF+n8TkbIUwNtNs5LkpLE0a0BE6qQkNpEktOmsATPrMrM/mNlPki6LSLtTABdJQvvOGvgIsDbpQoh0AgVwkSTkzxrIl+FZA2Y2B3gt8L2kyyLSCRTARZLQ7KV1k/E14AJgNOmCiHQCJbGJJKWNZg2Y2euALe6+wsxOKXHMYmAxQL+myYnUTQG802jhkHRpn1kDJwNvMLMzgUnAoWZ2pbufEx/g7kuBpQADAwMlEgBEpFoK4J1EC4dIk7j7J4FPAkQt8L/PD94i0ngaA+8U9d5udGgIVq6EW24Jj0NDrSi1iIiUoBZ4p6hn4RC13KUG7n47cHvCxRBpe2qBd4rxLhxSb8tdRESaQgG8U4x34ZC45Z5/hzMIz3fsCC13ERFpOQXwTjHehUPadMlPEZGsUwDvFONdOKR9l/wUEck0JbF1kvEsHJLfcs/vRs/wkp8iIu1AAbzT1LpwSNxyX7IktNgLs9AzuGqYiEg7UACXyvJb7ps3w1NPhQA+OAizZmklNxGRBCiAS3V6esJ4+BVXaD64iEgKKIlNqqP54CIiqdK5AVxLg9ZG88FFRFKlM7vQtTRo7TQfXEQkVTqvBa6u4PHRfHARkVRJNICb2aVmtsXMWtf/qq7g8RnvSm4iItIUSbfALwPOaOk7qit4fMa7kpuIiDRFomPg7n6Hmc1r6ZuqK3j8xrOSm4iINEXqk9jMbDGwGKC/EQlmWhq0PtWs5DY0FIYqBgfDBdPChY1d7KXZ5xcRyYDUB3B3XwosBRgYGCjRdK5Buy0NmrZg1uwMf80gEBEBMhDAm6JduoLTFswKM/xj27eH7RddVN+/cbPPLyKSIUknsSUn7go+/fTwmLUv/jROh2t2hr9mEIiIPCvpaWQ/AJYBx5jZRjN7b5LlyZQ0BrNmZ/hrBoGIyLOSzkJ/e5Lvn2lpDGbNzvDXDAIRkWd1bhd61qUxmDV7sRctJiMi8iwF8KxasCCMc69aBZs2wchI2J5kMGv2Yi9aTEZE5FmdmYWedXH2+fAwPPhgGO/u6Qkt1COOSDaYNTvDv11mEIiI1EkBPGvys88XLYIXvhC2bIE//QkmToQvfQkOOSTZMlaz2Euazy8ikgHqQs+awuzzri6YPRuOOy4EtgcfTLZ8IiLSEgrgWZPG7HMREWk5BfCsSWP2uYiItJwCeJoMDcHKlXDLLeFxaOjgY0pNpdq6NSS1bdpU+rUiItI2lMSWtPhmJPfdBzfdFMa0u7tLr2te7GYsu3bBww/D/Plw7bXJr4kuIiJNpwCepHg62LZtsGJFCLzTpsGJJ4bHUjfpyJ9KtXkzXHklnHQS9PXljtENPkRE2pq60JOSPx1sypQQZGfNCkF82TI4cKD8uubxVKrnPS/8nh+8QTf4EBFpcwrgScmfDrZnT2775Mmwb1+Y2w2VM8uVlS4i0pHUhV6reMx6cDBkhC9cGMala5UfeKdMOXh/HNQrZZYrK11EpCMpgNciHrPesSME33qSxfIDb18fTJoEe/eGFjiEoF7Nuub5Wen5txYtzEof74WGiIikkrrQq5U/Zj1vHsydGx5HR3PrktciP/B2d4fENbOwJOrwcGiBV3OTjmI3+FizBn73u3Cea6+Fb3wDPvGJcAGS//dUmrImIiKppRZ4teIx63nzxm6fMSMEztWra1ufu9h0sKOPDslrr31tWOO82pt01JqVvnlz43oSREQkEQrg1WpGslgj76wVZ6WvXFk6K33durD/6qtzPQkxTTuTOpjZkcAVwCzAgaXu/vVkSyXS3hTAq9WsZLFG31mr0oXGypWN7UlohEYlBkqSRoCPuftKM5sKrDCzW939vqQLJtKuFMCrVSpZrJpEs1aqdKHhnq5pZ41MDJTEuPsmYFP0+zNmthY4AlAAF2kSJbFVq1iy2Lp11SWatVKptdLjC42BgfRMO2t0YqCkgpnNA14K3FWwfbGZLTez5Vu3bk2iaCJtRS3wWjRyzLpZiiXH5bdqZ81KT09CoxMDJXFmdgjwY+Cj7r4zf5+7LwWWAgwMDJS4ihSRaimA16rRY9bNUOlCo1yAb+XFiFaRaytmNpEQvK9y9/9Mujwi7U4BvFZZSbjq6Qlli8u6enWurGnpSdAqcm3DzAy4BFjr7kuSLo9IJ1AAr0WWEq4qlTUNPQlZSQyUapwMvAu418xWRds+5e43JVgm6TBZaV81igJ4tQoTrmJpnD+dlbJWGq9PQxmlKu7+G6DEeIhI82WpfdUoFQO4mR0K9Ln7IwXbX+zuf2xaydImSwlXjShrqy5l09Kd3yFUn6UdZaXN0mhlA7iZvQX4GrAlSlA5193vjnZfBqQkYrVAlhKu6i1rqy9l09Cd3wFUn6VdZal91UiV5oF/CjjB3Y8D3g1838zOivZ1VnfZeBKukrphSD3JYZqb3c5Un6UtZal91UiVutC7ohWWcPffm9mpwE+idY87ax5nrQlXSQ7I1JMc1qmXsp1B9VnaUqdOaKnUAn/GzObHT6LKfwrwRmBhvW9uZmeY2QNm9rCZXVjv+ZqqlpXYkm7F1rNqXKdeynaGptZnkaRUWoCyXSe0VGqBf4CCrrVoneMzgLfU88Zm1gV8Czgd2AjcbWY3pPrmB9UmXKWhFTve5LBOvZTtDE2rzyJJ6tQJLZUC+G7C7QEfLtj+MuDOOt/7ZcDD7v4ogJldTWgJpDeAQ3UJV2lpxY4nOUxzs9tZM+uzSKI6cUJLpS70rwE7i2zfGe2rxxHA43nPN0bbsq+ZrdhKiXH1Js5l5aYtMh7NrM8iiYvbLKefHh7b/euqUgt8lrvfW7jR3e+N7jjUdGa2GFgM0J/22fjx3OlNm8I499at0NeX219vK7ZSYlyjEufiS9mVK8OPe7iL2axZ4yt3OZ22dFKyEq/PItI4lQL49DL7Jtf53k8AR+Y9nxNtGyMzdzAqDJ7798Pvfgfz58PUqfUPyFRaqeCLX6y8koF79cFy82a4+urc33P33Y3Pou/EpZOS1cz6LCItVimALzezv3H37+ZvNLPzgBV1vvfdwNFmdhQhcL8NeEed50xGqeB6+OHw1FNw9tnwvOeVHpAp1wqN9y1bBo88Ai95ydjXxolxN9xQPnHu1lvDTzXBshXLGnXq0knJamZ9FpEWqxTAPwpcZ2bvJFfBB4Ae4KySr6qCu4+Y2YeAm4Eu4FJ3X1PPOVuiWLAtlXXe1we7d4fgXSqZrFwrFHL7nnwSHn00dMufeCJMm5Y7h1k4T6nEudFRuOQSmDOnumDZiiz6NGTqd56m1WcRab2yAdzd/wScFC34EA/c/tTdf9mIN4/uVJSduxWVCrYDA7ngOTISguyePTBlSgiepbLOC1uh8WvXrYOPfzwEs4kTw77eXtiyJbznsmUhS6OrK5zHPbSiH3ig+Ps89VR4zM8qj58XC5atyKJPS6Z+B2l2fRaR1qq0Fvok4P3AAuBe4BJ3H2lFwWrW7GSocl2+N90UgunTT4fgum9fbv/wMLz1rcXPmd8KLXzttm3Q3Q1velN43tcHkyaFYL1vXwjms2fnEuPe8IYwTl1s+ld3dxiHL6ZYsGzFXHDNN2+5TNVnEamo0jSyywldbPcCrwH+ueklGo8NG+ATn4BvfAN+8IPw+IlPhO2NEgfbYq3Yrq4QqG+7Ldcqnz49XED09MDPf1589bW4FToyEoJ3/mt7enLbDxwIQfjEE8Pxu3bBY4+Nnd41dWrp6V/nnRceiykWLOtZ1qjaaWydunRSsrJRn0WkKpXGwI919xcBmNklwO+bX6QatSoZqlyXb3c3HHMM3HtvrkwQWsynnhq6sIuN6cat0K1bQ6t6+vSx5+zqGtvanjYtdJ2vWgWveQ284hVjE+NKrWTgHhLYql2cZbzLGtWSVd6pSyclK/31OaU021HSqFIA3x//EiWdNbk449CqZKhKXb7PfW4YC588OTf+ffjhIQjv2FF8TDduha5bN3b73r25JLVnngnniz39dJiadt55xYNcqdXXag2WtS5rNJ4LqU5cOilZ6a/PKaTZjpJWlQL4S8wsXrnJgMnRcwPc3Q9taumq0apkqEpLjA4MhDHo2bMPfm2pMd24FXrhhaFbPDZpEpx0Uvj95pvDN8f69fW1UPv7w1zxG24I30j9/WHcvNTYONS2FOt4L6RqeQ81g+qV/vpcIOn/cs12lDSrlIXe1aqCjFurkqEqdfnOmjW+NcT7++E734H3vS+0tGfNyrXct2+H006Dc86BnTvra6EWNiMeeCBccDSqGdHsCyk1g+qWifqcJw3/5ZrtKGlWqQWefq28+UalLt/xjulOnQpf+Uru22rjxsZ+W7WiGdHs9d/VDOooafkv12xHSbPsB/BWJ0OV6/KtZ0y3mePBrWhGNPNCSs2gjpOW/3LNdpQ0y34Ah/qDXyMH2sZzC89GvLacVjQjmnkhpWZQx0nLf7nuritp1h4BHMYf/NIw0NZsze7ezr/4+eIX4aGHGtuLoGZQx0nLf7lmO0qatU8AH4+0DLQ1W7OaEeUufhrZk6BmUMdJ03+5ZjtKWlVaia29lVtdbceOUGPbQdyMKLZKW2EzotqV1AovfubODY+jo2F7sZXnWlF+aQtp+y+PO/hOPz086iMnadDZLfC0DLS1QjXNiFqGE1qdZaRmUMfRf7lIeZ0dwNMy0NYq5fIEah1OSOLip1lJfpJa+i8XKa2zA3iaBtqSVmuLutMufiRzhobgD3+AFdGdz084AV760uonmCS9CpxIJZ0dwJVimlNri1oXP5ISxQLthg3w938fArh7qMq9veH+P5/7XOUJJrWMJinQS1I6O4CDBtpitbaodfEjKVAs0LqHVYI3bw5Jb93dYdvUqSEv8+KL4atfHfsR3bkTbrwxnO/5z4e77gqvqzSa1AmzUCW9FMBBA20wvha1Ln4kQcXSNkZG4JprYNOmcEPAyZPD9v37Qwu52IjQsmXwt38bbvwXn3f3bjjrrLFVofC1nTILVdKrs6eRpV21U7rGe3y+8c7b0fwaSUixWaBbt8KBA6ElPDKS2z5xYni+b1+Y4RiPCO3cGYL36CjMmRN+nvvc8Pqf/vTg2ZD5o0nF3n9kJNel/qMf1VYFRWqlFnha1do314i+PLWoJUPitI2RkRC49+wJH1uzcN05Onrwa0ZGwsc5HhG68cbQ8p4zJ3dMV1d4/a5dcOed4c6+3dE3Zf5oUmHayNNPh9b8vn3htZdf3tgb/okUUgBPo1r75hrZl6fhBMmImTND8P3FL0LQBNi7NwTSOAgPD4egOzoaqsnUqaGKxCNCGzaMPee+fbBlSzjP/v2wdm0IxkcfHR6f85zwe/z+cdrIyEgI3vF1M8BRR+XWNVJ3ujSDutDTqNYV4jplRTmRPAsWwCOPhGA7fXr4mTUrBFEzOPxweOqp0Crfvj0cN3EivOMduWCa3zIeHQ138oVQdeKLgIcfDt3pDz4YgvpnPxsCf37ayNatIfhPnhzeZ9Kk8P6qgtJMCuBpVOuUrk5aUU4k8vDDIYhPnhyC5I4dYUz7yCND4Ny3LwT0ww+HI44ISWmnngo//GFubPv1rw+t8m3bQhDevTsE8uFhOPRQmDYN+vrCOU4+OQTtuFVtlksbefTR0EKPR7BOPDFcAICqoDSPutDTqNYpXVpURTrQ4CAcckjIn9yyJYyBT5kSgu3KlSFoHn10blscUPMzyXt74Z3vDDfRGxoKXeG7d4cx75NPDkF9+vQQmOOEtMJs9IsuCglrl18eus3z3wvSWwWzNH89S2VtJQXwtMj/hB56aGgWVDulS4uqSAqY2RnA14Eu4Hvu/pVmvl983drVBbNnj923f38IpPPnFytnCO4PPQSf+UwYu542LbSgd+8Orzv88NCdPnFi7nVTphx8Dgjd8W9+c0hYGx0dG7zTWgXTNH+9UnBOU1nTRgE8DYp9QidMCL/v3Fl5kRQtqiIJM7Mu4FvA6cBG4G4zu8Hd72vWe5a7bp05MxdIR0bCoi5PPhmmmO3aBbffDtdeG7rZd+7MdambhUAyOhqq1YEDY8e0Y4Wt6ixVwTTNX68UnNNU1jRSAE9auU+oO5xzTviGqTSlS1PAJFkvAx5290cBzOxq4I1A0wJ4uaD5+c/Dt74VljNYtSq0pvfvD8HYHe65Jxzf0xOqYDxNLJ5+tnt3CPSHHhpa3qeemrsgKNWqzkoVbPWNBEupJjinpaxppQCetEqf0IkTwyAf5BZqKdXXpClgkpwjgMfznm8EXp5/gJktBhYD9Deo77Nc0PzgB0PX9uOPh2A9PByqU29vmH7W3R1a2Pv3h+PjOeWTJoWx9d274ZRTwmjWU0+FalqpVZ2FKpiWnNdqgnNayppWCuBJq/YTqoEgyTh3XwosBRgYGCiRdVm7UkHzmWdC9vm+faGVt317CMx794b9w8OhVe2eC+Jx6zteBGbTplDNzj477J86NVS/++8PVTeLyVRpyXmt5qsvLWVNKwXwpFXzCdVAkKTfE8CRec/nRNuaplLy0+BgaFFPnhyqzsSJocW9e3duudW4S31kJDzu2xeCek9PaAnOnx9ee9VV8Jd/GVYphtC9vndvmGL2+c/nFnfJgrTkvFbz1ZeWsqZVIgHczM4GPge8EHiZuy9PohypEH9Ct24N3yLxXJju7twndPXqdA4EaW6H5NwNHG1mRxEC99uAd8h+3ZoAAA4+SURBVDTrzarpkJo5M/dxnDgxHLNzZy4/NP/uZfv359ZOnzIlBPgdO0Ir/Z57QvVctiy8dseOsCLbpEmhHG95S5hGtmBBs/7axkpLwl01wbmnJx1lTaukWuCrgb8CvpPQ+6dHby+89a1jb4cEoa/u3/4tfEKr7WZvZUBVl77kcfcRM/sQcDNhGtml7r6mGe9VbYfUwoUwd24YA58wYWygHh3Ntf7iqhWvoT48HLra+/rgZz8LC8N0dYXtTz0VXr93b6iicav+H/4BLrssOwElDQl31V5IpKGsaZVIAHf3tQBWKih1kqGhsDTUSScd3AL/4Q/hhBOq62tqZUBVl74U4e43ATc1+32qzUzu7YULLghV6s47wzFDQ7mlViHXEh8dDR/ZuGt9//7cimyjo6Fq7tgRfp80KTyPLwqefjosJJO1jOg0JNxVG5zTUNY0Sv1Sqma22MyWm9nyrVu3Jl2cxou/jfr6wmoU8+eHx76+3CLK+X1N+eK+pqOPHhtQ584Nj/Gaj4X3RGxUmbX2uiSglszk/n749rfhO98J18Lx1LH4WMglrI2Ojg3omzaFAL1rVy5YT8j7xhwdDdfZ+/aFC4dLLw0XCrqFaG10R+Lxa1oAN7NfmNnqIj9vrOU87r7U3QfcfaCvr69ZxU1ONd9Gle7V/dBDrQ2omtshCSrWITUyEgLuE0+E1nB+EN28OXRvr1yZa1XHY9/554kT3eKEtuHhcJ64O767e+wtSt1ztzF94gm4/np4z3vgAx84+C5nIs3QtC50d39Vs87dVqqdJ1Gur+n++1sbUDW3QxJUmPwU34f76afDx/3nP8/dh3vWLLj44rB/z57c6FIx8bh4T0/oANuzJ2w/+eSwffbsMJ6+b184zzPPhGDf3R3Gw486KrdUw8UXw1e/qtakNFfqu9DbXqXu8fx5EqX6mlodUGsps0iD5XdIPfII3HxzCN7TpsGrX52b+rVkSViF7dFHQ6s8f43yYtzDGHhPTxgDnzoVvvCFMOYdB/9Zs0IG+vTpuduNTpwYgveECWHKGuTG4kWaKalpZGcB/wr0AT81s1Xu/uokypK4RszpaPVkybTMQ5GOFXdI/ehH8Kc/HXwXsDihbfnysAb6rl1hX6kW+IQJoSU9ZUr4GC9YAMccE+5U9s53hmC8dm24L7hZuCh48MEQvF/wglzgjg0PayRJmi+pLPTrgOuSeO9UqneeRBIBVXM7JGFxV/cRRxx8NzII1eDAgVwy2sSJIVDv3j02iPf05AL/gQPhdcccAx//eO7jfPzx4efss8NH/s474T/+I1w87N0butKf85xckltPj0aSpPm0Elta1DtPIomAqrkdkrBKo0eHHhq6wM1yc8ALW+ETJ4Zjpk4NAfw97wnTz8qtdT51ashs37Yt13rv7g7lgTAJRCNJ0mwK4O1EAVU6TKXRo76+MH3sjjvCIiyxOOczvk3oy18egv2ePXDmmeWve4eGwp3Ojj8+BO+NG0OX+d694fVveMPY1rtIsyiAi0hmVRo9GhwMreXTTgvZ6QcOhNcND4dj588P3eeHHhrONXly5ZZz/kIyc+aELvq4m94sTCPTYoTSCgrgIpJp5UaPZs0Kwfyxx8L6Rt3doSt9376wLrpZSHB77DE49tjqUkbyl0Ho6gpBfM6c8Hz9+nBekVZQABeR1Kl1Wf9So0dxC/3CC0Py2iGHhG7vGTPgjDPC+zz2GPz1X+duGVqJlkGQtFAAl/J0xzFpsUYv69/fHxLO3ve+MEY9a1Zuytn27aHlXW3wBt3iUtJDAVxK0x3HpMWadZ+cqVPhK1/JfZw3bhz/TEstgyBpoQAuxdXyTapWujRItXcaG49GzrTUMgiSBgrgUly136RqpUsDNfs+OY2caalZm5I0rYUuxVXzTVrYSm/2bUyl7SlBTKR6CuBSXDXfpLovuDSY7pMjUj0FcCmumm/SwcHQ2t60KdwWatOmsFYl6L7gMi75dxpbty7Mq163LjxXgpjIWBoDl+KqSbXdvz/c7in/W3XSJDjxRPV3yrgpQUykOgrgUlq5b9KhIfjZz8Lv8RqUEBaEvu02eOUr1d8p46YEMZHKFMClvFLfpGvWhDUoTz0Vli0LY96x4eGwzJWaTCIiTaMALuMTZ6lPmwannw5btoRlrqZMCa1wBW8RkaZSAJfxyc9S7+qC2bNz+9at0/i3iEiTKQtdxkfzfUREEqUALuOj+T4iIolSF7qMn+b7iIgkRgFc6qP5PiIiiVAXuoiISAYpgIuIiGSQAriIiEgGKYCLiIhkkAK4iIhIBimAi4iIZJACuIiISAYpgIuIiGSQAriIiEgGJRLAzexiM7vfzP5oZteZ2fQkyiEiIpJVSbXAbwUWufuLgQeBTyZUDhGpky7IRZKRSAB391vcfSR6eicwJ4lyiEhD6IJcJAFpGAN/D/CzUjvNbLGZLTez5Vu3bm1hsUSkGrogF0lG0wK4mf3CzFYX+Xlj3jGfBkaAq0qdx92XuvuAuw/09fU1q7gi0hglL8h1MS7SWE27nai7v6rcfjM7F3gdcJq7e7PKISL1M7NfAM8rsuvT7n59dEzZC3J3XwosBRgYGFCdF6lTIvcDN7MzgAuAP3f3PUmUQUSqpwtykfRJJIAD3wR6gVvNDOBOd39/QmURkTroglwkGYkEcHdfkMT7ikhT6IJcJAFJtcBFpE3oglwkGWmYRiYiIiI1UgAXERHJIHWhFxoagjVrYHAQZs6EhQuhtzfpUomIiIyhAJ5vwwZYsgR27AAzcIfp0+H886G/P+nSiYiIPEtd6LGhoRC8R0dh3jyYOzc8jo6G7cPDSZdQRETkWQrgsTVrQst7xoyx22fMCNtXr06mXCIiIkUogMcGB0O3eTFmsG1ba8sjIiJShgJ4bObMMOZdjDscdlhryyMiIlKGAnhs4cKQsLZ9+9jt27eH7YsWJVMuERGRIpSFHuvtDdnmS5bAunUHZ6H39CRdQul0muIo0hYaVZUVwPP198NFF4WEtW3bQrf5okUK3pI8TXEUaQuNrMrqQi/U0wPHHw+nnx4eFbwlaZriKNIWGl2VFcBF0k5THEXaQqOrsgK4SNppiqNIW2h0VVYAF0k7TXEUaQuNrsoK4CJppymOIm2h0VVZAVwk7eIpjhMmhCmO69eHxwkTNMVRJEMaXZU1jUwkCzTFUaQtNLIqK4CLZEU8xVFEMq1RVVld6CIiIhmkAC4iIpJBCuAiIiIZpAAuIiKSQealZpWnkJltBdYX2TUTGGxxccpRecpTecprRHnmuntfIwrTDGXqcjHt+P/TKCpLce1UlpJ1OVMBvBQzW+7uA0mXI6bylKfylJe28iQtbf8eaSqPylJcp5RFXegiIiIZpAAuIiKSQe0SwJcmXYACKk95Kk95aStP0tL275Gm8qgsxXVEWdpiDFxERKTTtEsLXEREpKMogIuIiGRQ2wRwM7vYzO43sz+a2XVmNj3h8pxtZmvMbNTMEpvOYGZnmNkDZvawmV2YVDmislxqZlvMbHWS5YiZ2ZFmdpuZ3Rf9X30k4fJMMrPfm9k9UXk+n2R50iQN9Ul1qWg5VIfKl6fLzP5gZj9pxvnbJoADtwKL3P3FwIPAJxMuz2rgr4A7kiqAmXUB3wJeAxwLvN3Mjk2qPMBlwBkJvn+hEeBj7n4s8Arggwn/+wwBf+HuLwGOA84ws1ckWJ40SbQ+qS6VpDpU3keAtc06edsEcHe/xd1Hoqd3AnMSLs9ad38gyTIALwMedvdH3X0YuBp4Y1KFcfc7gO1JvX8hd9/k7iuj358hVLQjEiyPu/uu6OnE6EdZpqSiPqkuFS+H6lAJZjYHeC3wvWa9R9sE8ALvAX6WdCFS4Ajg8bznG0mwcqWZmc0DXgrclXA5usxsFbAFuNXdEy2PPEt1qQLVoYN8DbgAGG3WG3Q368TNYGa/AJ5XZNen3f366JhPE7p1rkpDeST9zOwQ4MfAR919Z5JlcfcDwHFRDsd1ZrbI3VORM9Bsqk/ZpTo0lpm9Dtji7ivM7JRmvU+mAri7v6rcfjM7F3gdcJq3YIJ7pfKkwBPAkXnP50TbJGJmEwlfPFe5+38mXZ6Yu+8ws9sI45wdEcBTXp9Ul0pQHSrqZOANZnYmMAk41MyudPdzGvkmbdOFbmZnELor3uDue5IuT0rcDRxtZkeZWQ/wNuCGhMuUGmZmwCXAWndfkoLy9MWzJ8xsMnA6cH+ypZKI6lIRqkPFufsn3X2Ou88jfFZ+2ejgDW0UwIFvAlOBW81slZl9O8nCmNlZZrYROBH4qZnd3OoyREl9HwJuJiSXXOPua1pdjpiZ/QBYBhxjZhvN7L1JlSVyMvAu4C+iz8yq6Io5KbOB28zsj4SAcau7N2X6SdYkXZ9Ul0pSHUqQllIVERHJoHZqgYuIiHQMBXAREZEMUgAXERHJIAVwERGRDFIAFxERySAFcCnLzA5EU0NWm9mPzGxKtP15Zna1mT1iZivM7CYze0G07+dmtqNZd+ARkdqpLrcfBXCpZK+7H+fui4Bh4P3R4g3XAbe7+3x3P4Fw97dZ0WsuJswNFZH0UF1uMwrgUotfAwuAU4H97v7sYjnufo+7/zr6/b+BZ5IpoohUQXW5DSiAS1XMrJtwL+R7gUXAimRLJCLjobrcPhTApZLJ0a35lgMbCOsei0j2qC63mUzdjUwSsdfdj8vfYGZrgDcnVB4RGR/V5TajFriMxy+BXjNbHG8wsxeb2SsTLJOI1E51OcMUwKVm0b3WzwJeFU09WQP8I7AZwMx+DfwIOC26U9KrkyutiJSiupxtuhuZiIhIBqkFLiIikkEK4CIiIhmkAC4iIpJBCuAiIiIZpAAuIiKSQQrgIiIiGaQALiIikkH/HyA5RZRGCXZ0AAAAAElFTkSuQmCC", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAfAAAAEWCAYAAACZscV5AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nO3deZhcdZX/8fdJZwVCAqZZAwQJKIvKEsHIOMpoRkQc93WG3zg/GdRHHB0dFXXc9VFxJqMO/BRGHEQzKuggjiCLiKIYkCQGIcQhJCQkkpB9I0knnZzfH+eWVV2ptbuq7r1Vn9fz5OmupW99u3O/93zXc83dERERkXwZlXYBREREpHkK4CIiIjmkAC4iIpJDCuAiIiI5pAAuIiKSQwrgIiIiOaQAnhIz+4WZXVzltWPNbLuZ9TX73l5jZm5m01twnGvN7LOtKJNIuaSOPj3tcuRBq+qimb3IzFa1okxZpQDeQma23MxeMtLjuPvj7n6Qu+9t9r21gn3azOyoLFQoM3urmf067XJINiX1eGcSdAv/rhjJMZM6uiw5flMBKuvnq5ndZmZ/mYFytKQxnyej0y6AZIeZGWDuvq9NH3EBcGubjt0xZjba3QfTLoe01Svc/WdpF6IV2nm+mtmBwAzgl+04fieZWV8jnaYsUQ+8TQqtZjP7FzPbZGaPmdnLyt52nJndY2bbzOx2M5uS/Oy0pDVZ2sA6wcx+a2ZbzewmMzu0/L1m9jngBcAVpb0GM3u+md1vZluSr88vKecvzOxzZnYPsAN4v5nNL/td3mdmN1X4Hc8zswdLHt9hZveXPP6Vmb2q5EcuAG6pcBwzs38zs7XJ7/egmZ1WUr6LS95bqTdygZktM7P1ZvYlM6t6XpvZycDXgZnJ32hzycuHmNnNyf/HfWZ2QsnPuZm9y8yWAEuqHV+6m5l9zcx+WPL4i2Z2Z3IO95nZR8xsaXIOzTezY5L3uZlNN7NLgL8GPpicf/+TvH5Zyc89bGavTp6veL6a2SQzu87M1pnZCjP758J5n9SRe5I6tQH4tJltNLNnlZT7MDPbYWb9FX7HFWZ2VvL9XydlPzV5/DYz+1HJ218M3OPuAxWOc0Hyu2wzsz+a2T+VlO/XZe8t7z1PSa4n28zsl2Z2XJ3/l7uTbx9I/k5vLHnt/cm1ZbWZ/V3J89cm/5+3mNlTwHm1PiOT3F3/WvQPWA68JPn+rcAe4O+BPuCdwBNEDxfgF8BS4CRgQvL4C8lr0wAHRpe894/AacCBwA+B79R478UlZToU2ARcRIy4vDl5/LSS9z8OnJq8Pg7YCJxccozfAa+t8PtOAHYBU4AxwJNJOScmr+0s+ZwxwHpgYoXjvBSYD0wGDDgZOLLK7/NW4Ncljx24K/k9jwUeKX1/lf+nIcdInrsW2ACcnfwd5gDfK/ucO5LPmZD2uaZ/7ftXWo8rvHZAco69lWgsrwemJq99AHgQeEZyHj+n5Px3YHry/bXAZ8uO+3rgKKJT9UbgqZI6UOl8vQ64Kalr05Iyva3k/YPAu5NzeQLw/4Avlvz8e4D/qfI7Xge8P/n+auI69c6S1/6x5L1fB95e5TirgRck3x8CnFnj9yn/+2wD/py4Hn2l/P1VPu9Px0gevyj5O3yauP5cQHRSDin5nC3AucnffXza516z/9QDb68V7v4fHsMy3wKOBA4vef0/3f0Rd98JXA+cXuNY33b3h9z9KeBjwBussYVrLweWuPu33X3Q3b8L/AF4Rcl7rnX3RcnrA8D3gb8BSFre04CflB84Kff9REU7C3gAuIeoEM9LPndD8vY/Bx5w920VyriHuBA9k2jgLHb31Q38bgVfdPeN7v448GWikTIcN7r7bz2GG+ew///H55PP2TnM40t+/MjMNpf8+3sAd99BNIZnA98B3u3uhXUdFwP/7O7/6+GBkvO/Jne/wd2fcPd97v59YpTn7ErvTer9m4APu/s2d18O/GtSroIn3P3fkzq9k7j+vNnMLHn9IuDbVYrzS+CFyfcvAD5f8viFDB0urziqltgDnGJmB7v7JndfUOV9ldzs7ncn16OPEiMQxzTx86Vl+LS773H3W4DtRAOr4CZ3vyf5u+8axvFTpQDeXmsK3yQVH+CgSq8TLcPS18qtLPl+BdGinNJAGY5K3l9qBXB0lWNDVPa3JJX9IuB6rzBElvgl0dL98+T7XxCVvOGK7u4/B64ArgTWmtnVZnZwzd9qqPK/zVFN/Gypev8f5X8n6V6vcvfJJf/+o/CCu98HLCN62deX/MwxRG+1aWb2f8xsYaHBQIy2VavfhRGv0npds04nZd4BvMjMnglMB35c5fi/BF5gZkcSo4fXA+ea2TRgErAwKfOzgC3uXq1evJao9yuSYfCZVd5XyZ+O6e7biVHB4dTrDT50/r+8Xue6TiuA50dp6/NYomW5vsL7ym8v9wRQPn90LDHUXfFn3P1eYDfR+n4L1VvqsH8AL7Tem2mp4+5fdfezgFOIaYUPJC89RQxbFhxR4cfL/zZP1Cgv7P83apRu3SeY2buIod0ngA+WvLQSOKHiDw015DxK5nf/A7iUGHKfDDxENBD2ez9R7/cwtF7XrNOJbxEjaxcBP6jW43T3R4lA927gbnffSjRuLyGGsguLXOvV6fvd/ZXAYcCPKDZ2htRpM6tZp83sIGLqql69Ho5c12kF8Pz4GzM7xcwOIOZ0fuCVV0w+CZTuN70FOMnM3mKx0O2NRJDcb0i8zHVEr3iPu9fawvIbYkjqbOC37r6IuLCcA9wNYGbHA+PcfXGlA5jZc83sHDMbQ1TuXUDhIrEQeI2ZHZAscnlbhUN8wMwOSYbY3kNMAdTyJDDVzMbWeZ/IEGZ2EvBZioHwg2ZWmGr5BvAZMzsxWdT2bDN7WoXDlNfRA4lAsi75jL8jeuCl7//T+ZrU++uBz5nZxKQB8D5iSL+W7wCvTsp+XZ33/pJoUBQa4b8oewwRwG+u9MNmNjZZADfJ3fcAWynW6QeAU83sdDMbD3yywiEuMLM/S37nzwD31ujpF5T/XbueAnh+fJtYdLEGGA/8Q5X3fQV4ncXK968mc3AXAu8nFml9ELjQ3Sv13ss/7zTqXBSSOfkFwCJ33508PZeY/1+bPH45NVrqwMFED2QTMRS4AfhS8tq/EaMBTxI9iDkVfv4mYhHcQuKCck2tMgM/BxYBa8ys3t9BetP/2NB94Dda7Ar5DrHm4gF3XwJ8BPi2mY0j5sWvB24nAtY1xAKyctcQc8ObzexH7v4wMYc9lzjPn0WsJSmodL6+m2jsLgN+DfwX8M1av1ASABcQjYVf1fn9f0msS7m70mMzm0x0BH5T4xgXAcvNbCvwDmL1Pe7+CNEJ+Rkx11+pg/BfwCeIofOzSNbk1PFJ4FvJ3/UNDbw/9worokWGMLMJwFpi5eiItk2Z2S3AFckiEhFJiZl9k1jg9s8jPM4bgNe5e08EyqxSIhep5p3A/SMN3olfEFu9RCQlySK01wBntOBwm4nRMUmReuCyHzNbTiygeZW7/y7l4gyLmX2dysNu33H3d3S6PCJpMrPPAP9IbIX8XNrlGQ4zewHw00qvuXutHTxdSwFcREQkh7SITUREJIdyNQc+ZcoUnzZtWtrFEMm8+fPnr3f3/fJcZ4XqskhjatXlXAXwadOmMW/evLSLIZJ5ZlaefS9TVJdFGlOrLmsIXUREJIcUwEVERHJIAVxERCSHFMBFRERyKFeL2HJhYAAWLYL162HKFDj1VBg3Lu1SiUgJVVPpBgrgrfT44zB7NmzeDGbgDpMnw/veB8cem3bpRARVU+keGkJvlYGBuCrs2wfTpsFxx8XXffvi+d276x1BRNpM1VS6iQJ4qyxaFE36Qw8d+vyhh8bzDz2UTrlE5E9UTaWbKIC3yvr1MR5XiRls2NDZ8ojIflRNpZsogLfKlCkxmVaJOzztaZ0tj4jsR9VUuokCeKucemqshNm4cejzGzfG86edlk65RORPVE2lmyiAt8q4cbGMddQoWL4cVqyIr6NGxfNjx6ZdQpGep2oq3UTbyFrp2GPh8stjJcyGDTEed9ppuiqIZIiqqXQLBfBWGzsWzjwz7VKISA2qptINNIQuIiKSQwrgIiIiOaQALiIikkOaAxeRrqWblkg3Sy2Am9kxwHXA4YADV7v7V9Iqj4h0F920RLpdmkPog8D73f0U4HnAu8zslBTLIyJdQjctkV6QWgB399XuviD5fhuwGDg6rfKISPfQTUukF2RiEZuZTQPOAO5LtyQi0g100xLpBakHcDM7CPgh8F5331rh9UvMbJ6ZzVu3bl3nCygiuaOblkgvSDWAm9kYInjPcff/rvQed7/a3We4+4z+/v7OFlBEckk3LZFekFoANzMDrgEWu/vstMohIt0nKzctGRiABQvg9tvj68BAZz5XekOa+8DPBS4CHjSzhclzH3H3W1Isk4h0ibRvWqJtbNJuqQVwd/81UGWZiYjkiZmNB+4GxhHXlR+4+yfSLVV6Ny0p38ZWsHFjPH/55br7mYxc6ovYRPajccc8GgD+wt2fA5wOnG9mz0u5TKnRNjbpBKVSzaJezv+occdccncHticPxyT/qqwD737axiadoACeNb0cwDTumGtm1gfMB6YDV7r7fWWvXwJcAnBsl5/L2sYmnaAh9Czp9fyPGnfMNXff6+6nA1OBs83stLLXe2ZLqLaxSScogGdJpwJYVueYNe7YFdx9M3AXcH7aZUlLVraxSXfTEHqWdCKAZXmIXuOOuWVm/cAed99sZhOAWcAXUy5WqtLexibdTwE8S9odwLI+x1w67lg6CqFxxzw4EvhWMg8+Crje3X+ScplSl9Y2NukNGkLPknZPnGV9jlnjjrnl7r939zPc/dnufpq7fzrtMrVaVmeepHepB54lhQA2e3YErvIh7pEGsDzMMWvcUTIoyzNP0rsUwLOmnQEsL3PMGneUDMn6zJP0LgXwLGpXANMcs0jTCjNPpcEbogotXx5tbbU3JQ2aA+8lmmMWaVoeZp6kN6kH3ms0xyzSlLzMPEnvUQDvRZpjFmmYZp4kqzSELiJSg2aeJKvUAxcRqUMzT5JFCuAiIg3QzJNkjQK4DE8v37NcRCQDFMCleUpLJSKSOi1ik+b0+j3LRUQyQgFcmpP1G6KIiPQIBXBpjtJSiYhkggK4NEdpqUREMkEBXJrT7nuWi4hIQxTApTlKSyUikgnaRibNU1oqEZHUKYDL8CgtlYhIqhTAe4myp4mIdA0F8F7RiexpaiCIiHSMAngvKM+eVrBxYzx/+eUjn79WelURkY7SKvQsGRiABQvg9tvj68BAa47b7uxpSq8qItJx6oFnRTt7sM1mT2t2KLzQQCjt3UM0EJYvjwaCFryJiLSUAngWtHuIu5nsacNpSCi9qohIx2kIPQvaPcTdaPa04Q6FK72qiEjHKYBnQbt7sI1mTxtuQ0LpVUVEOk5D6FnQiR5sI9nThtuQKDQQZs+OhkH50LsytImItJwCeBaU9mBLe7+t7sHWy542koaE0quKiHSUAngWZKUHO9KGhNKrioh0jAJ4VmShB5uVhoSIiNSVagA3s28CFwJr3V0rnbLQg81CQ2I4lMZVRHpM2j3wa4ErgOtSLoeUykJDohn19q4ruItIF0o1gLv73WY2Lc0ySM7VS4Jz6aVwxRXK0d5mZnYM0RA/HHDganf/SrqlEulumd8HbmaXmNk8M5u3bt26tIsjWVNr7/qGDfDxjytHe2cMAu9391OA5wHvMrNTUi6TSFfLfAB396vdfYa7z+jv70+7OJI1tfaub9kSr7crw538ibuvdvcFyffbgMXA0emWSqS7ZT6Ai9RUa+/6rl0wfnzl15SjvW2SabEzgPvKntdomkgLKYBLvtVK4zplCkyaVPnnlKO9LczsIOCHwHvdfWvpaxpNE2mtVAO4mX0XmAs8w8xWmdnb0iyP5FCtPO+f+lQEaeVo7wgzG0ME7znu/t9pl0ek26W9Cv3NaX6+dIlae9eVmKYjzMyAa4DF7j477fKI9IK094FLq/Xqnudqe9fzmpgmf84FLgIeNLOFyXMfcfdbUiyTSFdTAO8m9RKa9Kq8JabJIXf/NVBlO4CItIMWseXBwAAsWAC33x5fBwYqv6c0oYn2PIuIdDX1wLOu0V51IaFJaTYyiD3Py5fHELJ6oSIiXUM98CxrplddK6GJ9jyLiHQdBfAsq5UmtDyTWK2EJtrzLCLSdRTAs6yZXnWthCba8ywi0nUUwLOsmV51rYQmrdjz3MhCOhER6RgtYsuy0l516TB6tV51u/Y8a3uaiEjmqAeeZcPpVRf2PM+aFV9b0fPW9jQRkcxRDzzr0s4kpu1pIiKZpACeB2lmEtP2NBGRTNIQutSm7WkiIpmkAC61aXuaiEgmKYBLqLZNrN3b00REZFg0By71t4mlvZBOZAR69Q670v0UwHtd+Taxgo0b4/nLL49A3chCOl0pJWOUwkC6mQJ43rQ6SLZqm1ijV0oFeemQRtumInmlAJ4n7ehO1Nsmtnp1zInXCriNXinVHZIOUgoD6XYK4HnRru5ErW1i27bBnDlx3JHei/zUU9Udko5SCgPpdlqFnhfN3Fq0GdW2ia1bB0uXwiGHtOZe5O0qfzW6+UrPUwoD6XbqgXfacOeA29WdKGwTmz07esuFnvbu3TB9OvT3D31/pfHHRq6UnewOaaheaP5eQCJ5owDeSSMJLO3sTlTaJrZmDdxwQ+X317oXebUr5UMPdaY7pJVLkqjWNi1UOZ0GkncK4J0y0sDS7u5E+TaxBQuavxd5rStlp7pDWrkkJZTCQLqZAninjDSwdLo70ep7kXeq/Fq5JGXSvBeQSDspgHdKKwJLJ7sTwwm4la6U5XP+n/kMLFnSvvJr5ZKI9Ii6AdzMDgb63X1p2fPPdvfft61k3aZVgaWT3YmRNhhqzfm363fQyqWaVJ9FukfNbWRm9gbgD8APzWyRmT235OVr21mwrpPXu3oVGgyzZsXXRoN3+Zx/rW1oraSbr1Sl+izSXer1wD8CnOXuq83sbODbZvZhd78RqDIeLBX10pLYgQH4wQ9i6PzpT4fBQRidnGqdWEymlUvVqD6LdJF6AbzP3VcDuPtvzew84CdmdgxQZTxYquqFwFIYNn/4YXjssUgIM348zJwJkybFezqxmEwrlypRfRbpIvUC+DYzO6EwX5a03F8E/Ag4td2F60rtDCxp3yikdNj8+ONh7doYYdi5E+bOjWH4vj4tJkuP6rNIF6kXwN9J2dCau28zs/OBN7StVNK8LGQfK90qNzgYPe+dO2HChHh+7dpoUGR5zr+7qT6LdJF6udCfAg6v8PzZwL2tL44MS1oLxsrLMHcuPPFE3MEMYtjcLIL39u0xpK7FZGlSfRbpIvUC+JeBrRWe35q8JlnQ6RuFlHv8cfjQh+DWW2HZMrjvPvjZz+K1WbPgnHNiMdvf/m2sAVA+8rSoPot0kXoB/HB3f7D8yeS5aW0pkTQvzexjpb3/5zwn5t7HjYsh/Llz4z3jxsEpp8DrX6+ed7pUn0W6SL0APrnGaxNaWRAZgTSzj5X2/kePLg6bDwxEw2LhQg2bZ0fb6rOZfdPM1ppZm4d7RKSgXgCfZ2Z/X/6kmV0MzG9PkaRpnUoSU+ke2+W9/0mTisPmJ5wAL3uZhs2zo531+Vrg/BEeQ0SaUG8V+nuBG83srylW8BnAWODV7SyYNKETSWKqrXKfNWv/3n9fHxx5ZAT45z1PPe/saFt9dve7zWzaiEonIk2pGcDd/Ung+UnCh0I37mZ3/3nbSybNaWeSmFq3Qv3pT2HiROUez4G067OZXQJcAnCsRmRERqxmADez8cA7gOnAg8A17j7Yqg9P9p9+BegDvuHuX2jVsXtSu5LE1LsV6utfD3fc0f0pYnOu3fW5Hne/GrgaYMaMGcr8JjJC9YbQvwXsAX4FvAw4mRiGGzEz6wOuBGYBq4D7zezH7v5wK44vLVRvlfvYsd2fIrY7tK0+i0jn1Qvgp7j7swDM7Brgty387LOBR919WXL87wGvBBTAs6baKvfBwQjujzwSQbvdqVvTThWbf+2szyLSYfUC+J7CN+4+aNV6YcNzNLCy5PEq4JzyN2neLAMq3WN7yxa4667I8nbffXD//e1N3ZqFVLH517b6bGbfBV4ETDGzVcAn3P2aln2AiOyn3jay55jZ1uTfNuDZhe/NrFJGp5Zz96vdfYa7z+jv7+/ER0ols2bBypUwfz4sWQK33RbPv/SlkWWtnalbs5Aqtju0rT67+5vd/Uh3H+PuUxW8Rdqv3ir0vjZ+9h+BY0oeT02ek2rSGEIu7flOnAibNkWu86OOiqQtfSWnyKGHwtKlcMMN0N/fujLWW0TXznuLd5E212cR6bB6Q+jtdD9wopkdTwTuNwFvSbE82ZbGEHK17WMLF8a8d7ktW6KH/uSTcPTRrStjmqliRUQyqt4Qetsk21cuBW4DFgPXu/uitMqTaWkNIVe7Scrhh8dnrl1bfG5wMHKfu8e9wFtZxjRTxYqIZFRqARzA3W9x95Pc/QR3/1yaZcm0tO42Vq3n298fW8SefLL43Lp10QOfNAkOO6y1ZexUqlgRkRxJNYBLg9IaQq7W8x09OoLqAQfEHPSKFXGvb7P958VbUcZCqthRo4qft3y5bpIiIj0tzTlwaVRaQ8iVto9BPD76aPjsZ2MufMOGGE6/9dbogbejjO1MFSsikkMK4HlQK5C2Ywi5dLX7rFkRmCulST3ooOLq74GB2AvezjK2K1WsiEgOKYC3Uru2eXXibmMFlVa7T5wY+c7Hjq3e8+1kGUVERAG8Zdq9zasTQ8i17jp2xx3x+bU+T8PcIiIdowDeCrUC3+zZ9QNfo9o9hNyKhCka5hYR6QitQm+FtLZ5tZoSpoiI5IYCeCt0S+BTwhQRkdzQEHordEvg6/Rqd5Ec0d1sJWsUwFuhWwJfpZXkg4Owdy9ccEFMBeiqJT1Id7OVLNIQeit0U6awwkryf/gHeMlLInj39cGdd8JXvwof+lBczUR6hO5mK1mlHnirZGULVSvG+caOjZ+77ro4RvmoQitX1otknO5mK1mlAN5KaW+hauU4n65aIkD3rFGV7qMh9G7R6nE+XbVEgO5ZoyrdRwG8W7R6L7quWtJFBgZgwQK4/fb4OjDQ+M/qbraSVRpCrycve0da3WPulpX10nPKq+zEiXDllcOfWVKaf8kqBfBa8rR3pNU9Zl21JIfKq+zgYAw+nX76yLIcZ2WNqkgpBfBqOpXfvFXa0WPWVUtypFKVXb0adu2CxYth6tTYEQnDW4uZ9hpVkXKaA68mb/nN27UXvXDVmjUrvip4S0ZVqrI7dkTV2LUL1q4d+n6txZS8Uw+8mjyuwlaPWXpM6Xz3kiXR+y51wAHF73fsGPqa1mJK3imAV5PXVdga55MeUT7fvX49LF0aVXPSpHhPfz+MHw9btgwN5hs3xuK2PXtiZXqW16eKVKMAXo1WYYtkVqX57qOPhpUr4a674BWviPnu0aPh5JNh4cLoga9YEe3vvr74+rWvZX99qkg1CuDVaBW2SGZVShQ4ejScdx7cdlsE7MIg2uTJcMMNsHVrzCxNnAhz5kSVPvTQWKm+bh089hhcdhlcdVW8RyTrFMBr0ZyySCaVLlEpBOAdO2KY/Iwz4Nxz4cQTK1fZBQtg27YI/lu2wNy5scgNYPt2ePvb4QtfUE9csk8BvJ5emFPOS7IakUShd10egCGyBr/lLbFxopJC8B8cjJ8t9NILduyovVNU1UWyQgG81+UpWY1I4tRTY5j7rrsieBYC8M6d8fXWWyOAVwrAheC/bl0E/tLgDXD44cWdouVt90rVZeJEOP98GDNGAV06SwG8l+UtWY1IYty4CJp33hmPC7nNx4+PefBNm6onaSmsT33ssaHP79wZP3/YYbBq1f47RStVly1bohFx550wY0akXVD7VzpFiVx6Wd6S1YiUGDMmguY558CznhVfZ82KLWSlqRrKb2QCEWAPPDDmvDdvLvaoZ84srlAv3ylaXl0KQ/DjxkU7d8KEkd0AUKRZ6oH3sjwmq5HMMrPzga8AfcA33P0LrTp2pXnnKVOix3vkkfu/vxCAa80QXXUVXHwxPPlkLH476qgI6tV2ipZXl9Ih+IGBYqKY4aRpFRkOBfBeNmVKdCNWry4u4e3vj/04WU5WI5ljZn3AlcAsYBVwv5n92N0fHumxqwXhd72rdqqGE0+Ej32s+gzRpZdGwF67NnrLjzwSPemZM2M72UMP7d9gKM3tVJ7ZrTRRjNq/0gkK4L1s4kT4/e9jg6xZBO7Jk4uThEpWI407G3jU3ZcBmNn3gFcCIwrgtZZpXHllBOErrqicqmHJkv33ikME+6VL4eMfj6D82tdGEN+xIzKz7d0LX/1qbDWr1WAoBOzSufMCtX+lExTAOylL+08GBuDzn48r1vbtcdWCKNtTT8FNN2kBmzTjaGBlyeNVwDmlbzCzS4BLAI5tcIVXpYQtUBym3rq1mKphzZpYvDZ5cpzGTzxRfYZoy5YY/j7ppBiEKjjoILjnHnjOc+CZzyw+X6nBsG9fcZ77vPOKdzpTskbpFAXwTsnadq3f/Q7uvTd64VOmRNDesyeuSnv3xvjf9OmdL5d0LXe/GrgaYMaMGVVuNDBUI8s0xo6NU/i664ZWr927Ky8kGxyMee9Ro6KXvmRJ8X07dkRv/OSTh/5MpQbDhg3wxjfGlrVNm+KzlaxROkkBvBOyuF1r/vy4ak2YEI9Lc0euWhWvn3NO5Z8V2d8fgWNKHk9NnhuRRu4pVK16rVsHv/lN7Ovu74/nCtu+tmyJQP/oo9FznjYthsH37YvjLlkSA2SFXjUMbTCULk6bNUvJGiUd2kbWCdquJa1Qvh+qsPk5G+4HTjSz481sLPAm4McjPWjpPYVKlQ5TV6te/f0xiLRpU/Sely2LPOkAF14YC9gKNzZZtSqC9759EXzN9r9/eLV57UJAnzUrvip4S6eoB94JWdyuddZZcaXZubPYC4d4PHZsvC7ZkbUpmDLuPmhmlwK3EdvIvunui0Z63EbuKbR+fQTe0s0UhxwSgXvLlrgz2XOfG22eTZvg9NMjaJ94Ysyb790bg79GOlgAABDSSURBVFFr1sRxp06N+fHSVeaa15YsUgDvhCzeW/yMM2K/zPz5+/fkZs6M1zslS4v7siiLUzAVuPstwC2tPm69ewrt2QPz5hUf795dXCm+e3cMWCxdGklfpkwpDov398ce8jFjIrAfdlh8lllUi82bi7cf1by2ZJECeCdk8d7i48bBJz4BX/pSdG0GBuK5adPgAx/o3JUq4z3LTKi3FLsHMoaUzjsPDBT3aB98MNx8c7w+blz8W7YsTqONG+MUOv306InfcsvQOe3+/uit79oVW8bWro0NGQMDMR9+8cXxuua1JasUwDshq/cWP/ZY+Nd/rdy16USvOCc9y9RlcQomJUuWRLtz/foIsoODUaVmzozTdc2aGPoeOzaGxqdPj6B96KHRy967Nxa3DQ7G+6ZNgzvuiOo4fnx8xqRJsQr9rrt0Ckq2pRLAzez1wCeBk4Gz3X1eGuXoqKzeW7zS7VI71StWz7IxWZyCScGSJfCGN0SPudCW3LUrgvGiRfAXfwELF0aQnjw5ni/tcY8eHcPo114bPW6INuS+ffDsZ8frkybBM54Rx9cpKFmXVg/8IeA1wFUpfX46OnFv8ZH2nDvZK1bPsjFZnILpsIGB6Hnv2hXbwgrWr4/T5IAD4usxx8Af/xjtmg0b4o5j48bBEUdEQL/vPnj+8+P7bdsifeqmTZGQcOrU+JknnogevU5BybpUAri7LwawahdvGZ7HH48Au2JFcU77uOPggx9svOfcyV6xepaNyeoUTActWhRD3+Vt0UMPjaQs27bFkPjkyRGAt26N17duhQcfjPeddlq83t8fgfmBB4pVpZDc5elPjz/t3LmxSn04p6DWZEqnZH4OfDjpF7tatavDwAB88pPF+yUWrFwZV7avf72xC30ne8XqWTYuq1MwHbJ+/dDdjgWjRkVA3r49Tpt582JV+qgkw0UhueDq1TG0fuaZsHhxrEwfHCymUR0cjPeuWhVBfN26eH+zp6DWZEontS2Am9nPgCMqvPRRd7+p0eMMJ/1i16p1dXjiiWJq1PJ93ffeG6lTG8ms1slesXqWzenEFEwGDQxEQH3qqQiqTz0VSVgggvPOnXD88XD22cUFaoXMwGbxnsHBCPJ33hnz4jt3xmt798ZpuGtXPAfRE+/rg5e/vLlTUGsypdPaFsDd/SXtOnZPqnd1OP74oalRCyZMiB5bo6lRO90r7vGepdRWaLNu2BC96N27I0gXTs01a+LrlClxE5I1ayL47tsXgXnr1mIA37s32odjx8bebygmcZkwIZ6bMAFOOCFOw/J86PVoTaZ0WuaH0CVR7+qwenVrPieNXnGP9iylttI26wknRJCeO7fYg967N547//yoBqtXx6K0jRuLwds9Vpfv3RvD6qNGRc+8r6+4Qn3fvgjco0bFz02aVGxHNqNSRrj+/vh8LYiTdkhrG9mrgX8H+oGbzWyhu780jbLkRr256aOOal1qVPWKJQPK26yTJkW+8bVrYwHa2LHwwhcOzax22GERwHfuHBq8CznPx4+PAD5mTATsAw+MofXCXPmECdEoGE5btTwjHMTnzZypNZnSHmmtQr8RuDGNz86tenPTZ58dV7VWpUZVr1hSVqnN2tcX6U8fe6z4uGD0aDj33OJWsMKwuVkE6n374v1790bv2L04Bz5hQjz3L/8CF1zQfPAeGIjbihYywhXa0Dt3RkKYF7xAazKl9TSEnhf15qbPPDMbqVFFWqRWm7XatqxJk2Kf95Qp8N3vRlAvDI+vXBnB3Cx63YXXxo2LNu5nPxuZ2xpRvhlk9+7YynbeeTHMv3lz8b27d8cwv6qgtJoCeF40MjddKzWqSM7UarMed1xUgUqvPe1p8OlPRw983boI3g8+GMF57drodR9xRATxUaOiSr3sZdWrSXmwnjgRrrxy6GaQLVuiQTBtWnGYvzAPXpjFEmk1BfA8aWRuupmhb2WckAyr1Wb9p3+K91Rrz06cGANPs2fHnch27Ijh80mTIvgXFrSZRWa3agG2fOfm4GBUv9NPH7qe9A9/iGxuz3xmcZi/YPlyzX9LeyiA502r5qaVcaJ91DBqmXpt1lqvFX72i1+M5SGjR0fQ/t3v4vX+/hjevvxy+PKX9z/tK+3cXL069owvXhypVwtz8NOnRwB/9NHIpV6gnETSTgrgvUgZJ9pHDaOWq9VmrdeedY9ge+CBEbCXLSue2lu3xvD7uHGVT/tKOzd37Cgmflm7ttjTHj062mkDA8pJJJ2jAN6LlHGiPdQwaplWDWIsWlQcOt+4MYbAC7cN3b49guz06bHArfy0r7QK/oADit/v2DH0tQMPhHe+M7aoaQmKdIICeC/SXcDaQw2jlqg3iNFMcF+/PnrHM2fCT38aQ+YFZnHDkr6+yqd9pVXw/f3RANiyZWgwLwyVn3GGArZ0jgJ4Lzr44LiyDQ4OTRcFyjgxEmoYjVi9QYxLL4Urrmh8hqIQhCdNgj/7M7j77gjAY8bE6d/fH++rdNpXWgU/enSkWF24MHrgK1ZoqFzSowDeax5/HObMiaW57tF1KaSL2rtXK25GQrdHHbFagxhLl8LHPx5/5sLrg4Px/HveA5ddFqvDS3vjpUH4iCPiOIX/ojFjipnbKp32tVbB33BDzKFrqFzSpADeSwrdGzN46Uvj7g+bN8dV6Ec/is2wl12mK9Fw6faoI1ZrEGPLllg8dtJJxcdz58Zz27dHIpYTThjaGy8NwqtWwTHHRCMB4r9r5cravWdlFZYsUwDvJaXdmy1bimmpxo6NycGNG9MuYb7p9qgjVmsQozTN/+BgBO/Cnxfi6759+68XLA/CBx8cz2/d2lhAVlZhySoF8F5S6N4Urn5Q3AezeXN0ZbRaemTUZRuRWoMY/f3Ffdfr1sXpWgjeEMs5qq0XVBCWbqQA3ksK3ZtKVz+IlFSbN9deLa0kJfUpWgxbrUGMT30qUphu3Dh0C9fOnbGM47DD4nHpesFePF178XfuVQrgvaTQvSncyqmg9Aq4alX11dLtSFKiq42UqTWIUQjumzfHvDcU12AWeueF9YK9mFOnF3/nXqYA3ksK3ZvLLite/WDoFbDaaul2JCnR1UaqKB/EGBiABQuinffmN8fjL3853lfYyw3F9YInnggf+1hv5dRRHqHeowDea449Fq66Ct7+9hiHPPzw6Hn39dVeLd3qJCW62kiDqrXzPvAB+P73i7cJLW3/LVnSezl1lEeo9yiA96KJE+ELXyheFVetqr9autVJSnS1kQbUaud9//uxdeyRR/Yfav/DH3ovp47yCPUeBfBe1exq6VYnKdHVRhpQr533yCOV23m9mFOnF3/nXqcA3suaWS3d6iQlutpIA4bbzuvFnDq9+DvnVavW7iqAS2NanaREVxtpwHDbeb2YU6cXf+c8auXaXfNqtSODZsyY4fPmzUu7GL1t9+7WJSnRKvS2MbP57j4j7XJU02hdHhiAD30o5sDL23mjRtVf69jK0zUvevF3zovhnM+16rJ64NKcViYpUdYyqWOkvcpezKnTi79zXrR67a4CuKRLV5vcM7PXA58ETgbOdveWDpOpnSfdotVrdxXARWSkHgJeA1zVrg9QO0+6QavX7iqAi8iIuPtiAKvWtRARoPVrd0e1tngiIpWZ2SVmNs/M5q1bty7t4oh0XGFNx6hRMee9YkV8HTVqeDsF1AMXkbrM7GfAERVe+qi739TIMdz9auBqiFXozXy+7nkj3aKVazoUwEWkLnd/SVqfrd2G0m1ataZDQ+giklnludCPOy6+7tsXz+/enXYJRdKjAC4iI2JmrzazVcBM4GYzu61Vxy7smy1d8APxePPmGIYU6VUaQheREXH3G4Eb23Fs3fNGpLruCeBa5SLSdertm504ERYsULWX3tQdAVyrXES6Uq19s319MGcObNumai+9Kf9z4FrlItK1qu2bdY9/Zqr20rvy3wNvdXZ4EcmUSvtm9+yBr32t8uI2VXvpFfkP4FrlItL1yvfN3n67qr1I/ofQW50dXkQyT9VepBsCeOkql1LDzQ4vIpmnai+SUgA3sy+Z2R/M7PdmdqOZTR72wVqdHV5EMk/VXiS9OfA7gA+7+6CZfRH4MPChYR+tldnhRSQXVO2l16USwN399pKH9wKvG/FBW5UdXkRyQ9VeelkW5sD/L/DTai/qHsIiIiL7a1sPvJH7B5vZR4FBYE6144zkHsIiIiLdqm0BvN79g83srcCFwIvdq20IERERkUpSmQM3s/OBDwIvdPcdaZRBREQkzyyNzq+ZPQqMAwr5ku5193c08HPrgBXtLFtiCrC+A5+Tdfo75PdvcJy796ddiGo6WJcbkdf/41r0O+VDI79T1bqcSgDPOjOb5+4z0i5H2vR30N+gF3Tj/7F+p3wY6e+UhVXoIiIi0iQFcBERkRxSAK/s6rQLkBH6O+hv0Au68f9Yv1M+jOh30hy4iIhIDqkHLiIikkMK4CIiIjmkAF5FS295mjNmdr6Z/a+ZPWpml6VdnjSY2TFmdpeZPWxmi8zsPWmXSVqv2871bj5vzazPzH5nZj9JuyytYGaTzewHSZxZbGYzmz6G5sArM7O/BH5ecstT3H34tzzNCTPrAx4BZgGrgPuBN7v7w6kWrMPM7EjgSHdfYGYTgfnAq3rt79DNuvFc7+bz1szeB8wADnb3C9Muz0iZ2beAX7n7N8xsLHCAu29u5hjqgVfh7re7+2Dy8F5gaprl6aCzgUfdfZm77wa+B7wy5TJ1nLuvdvcFyffbgMXA0emWSlqs6871bj1vzWwq8HLgG2mXpRXMbBLw58A1AO6+u9ngDQrgjap5y9MuczSwsuTxKrrgAjASZjYNOAO4L92SSIt19bneZeftl4n7Z+xLuyAtcjywDvjPZFrgG2Z2YLMH6ekAbmY/M7OHKvx7Zcl76t7yVLqXmR0E/BB4r7tvTbs8Io3opvPWzC4E1rr7/LTL0kKjgTOBr7n7GcBTQNNrMFK5G1lW6JanFf0ROKbk8dTkuZ5jZmOIi+Acd//vtMsjLdeV53oXnrfnAn9lZhcA44GDzew77v43KZdrJFYBq9y9MDryA4YRwHu6B15LyS1P/6rHbnl6P3CimR2fLKx4E/DjlMvUcWZmxPzUYnefnXZ5pC267lzvxvPW3T/s7lPdfRrxf/TznAdv3H0NsNLMnpE89WKg6YWGPd0Dr+MK4pand0SdaOyWp3mXrLq/FLgN6AO+6e6LUi5WGs4FLgIeNLOFyXMfcfdbUiyTtFCXnus6b/Pj3cCcpPG4DPi7Zg+gbWQiIiI5pCF0ERGRHFIAFxERySEFcBERkRxSABcREckhBXAREZEcUgCXmsxsr5ktTDLU3WBmByTPH2Fm3zOzpWY238xuMbOTktduNbPN3XLXIJFuoLrcfRTApZ6d7n66u58G7AbekSSLuBH4hbuf4O5nAR8GDk9+5kvEXlQRyQ7V5S6jAC7N+BUwHTgP2OPuXy+84O4PuPuvku/vBLalU0QRaYDqchdQAJeGmNlo4GXAg8BpxH2GRSRnVJe7hwK41DMhSck4D3ic5P61IpI7qstdRrnQpZ6d7n566RNmtgh4XUrlEZHhUV3uMuqBy3D8HBhnZpcUnjCzZ5vZC1Isk4g0T3U5xxTApWnJvdFfDbwk2XqyCPg8sAbAzH4F3AC82MxWmdlL0yutiFSjupxvuhuZiIhIDqkHLiIikkMK4CIiIjmkAC4iIpJDCuAiIiI5pAAuIiKSQwrgIiIiOaQALiIikkP/HwfWJtjtxDnZAAAAAElFTkSuQmCC", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } ], "source": [ @@ -2292,39 +2276,80 @@ }, { "cell_type": "markdown", - "source": [ - "## PCA with drug condition (D1 and Serotonin)" - ], "metadata": { "id": "afY6UDJMR8K7" - } + }, + "source": [ + "## PCA with drug condition (D1 and Serotonin)" + ] }, { "cell_type": "code", - "source": [ - "from mpl_toolkits.mplot3d import axes3d\n", - "#@title PCA Inhibitory with Drug \n", - "features = ['Vm_avg','dvdt_p','dvdt_n','resistance','thr','adaptation',\n", - "'isi','peak','peak_adaptation','ap_width','hyp_value','fist_spike','up_down_ratio',\n", - "'isi_adaptation','thr_adp_ind','psth','int_fr','fr','sub_thr','spk_fr_adp','imp']\n", - "\n", - "data_inh_umap_scaler = StandardScaler()\n", - "data_sag_inh = normalize(data_inh_umap_scaler.fit_transform(remove_nans_and_infs(np.squeeze(data_sag['inh'])))) #\n", - "data_dop_inh = normalize(data_inh_umap_scaler.fit_transform(remove_nans_and_infs(np.squeeze(data_dop['inh'])))) #\n", - "data_sag_inh_acsf = normalize(data_inh_umap_scaler.fit_transform(remove_nans_and_infs(np.squeeze(data_sag['inh_acsf'])))) #\n", - "data_dop_inh_acsf = normalize(data_inh_umap_scaler.fit_transform(remove_nans_and_infs(np.squeeze(data_dop['inh_acsf'])))) #\n", - "\n", - "data_all_inh_cond = np.concatenate((data_dop_inh,data_sag_inh),axis=0)\n", - "data_inh_umap_acsf = np.concatenate((data_sag_inh_acsf, data_dop_inh_acsf),axis=0)\n", - "data_all_combined = np.concatenate((data_all_inh_cond, data_inh_umap_acsf),axis=0)\n", - "\n", - "pca_x = PCA(whiten=True,random_state=40)\n", - "\n", - "# fig, ax = plt.subplots(1,3,figsize=[16,8])\n", - "\n", - "fig = plt.figure(figsize=[30,10])\n", - "\n", - "ax3d = fig.add_subplot(1,3,1,projection='3d',)\n", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/" + }, + "id": "EKqdO5n-H_zF", + "outputId": "e6bc6cce-5b5f-4c21-85c7-d8ead2cdb4f9" + }, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "0.5798312559198854\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "from mpl_toolkits.mplot3d import axes3d\n", + "#@title PCA Inhibitory with Drug \n", + "features = ['Vm_avg','dvdt_p','dvdt_n','resistance','thr','adaptation',\n", + "'isi','peak','peak_adaptation','ap_width','hyp_value','fist_spike','up_down_ratio',\n", + "'isi_adaptation','thr_adp_ind','psth','int_fr','fr','sub_thr','spk_fr_adp','imp']\n", + "\n", + "data_inh_umap_scaler = StandardScaler()\n", + "data_sag_inh = normalize(data_inh_umap_scaler.fit_transform(remove_nans_and_infs(np.squeeze(data_sag['inh'])))) #\n", + "data_dop_inh = normalize(data_inh_umap_scaler.fit_transform(remove_nans_and_infs(np.squeeze(data_dop['inh'])))) #\n", + "data_sag_inh_acsf = normalize(data_inh_umap_scaler.fit_transform(remove_nans_and_infs(np.squeeze(data_sag['inh_acsf'])))) #\n", + "data_dop_inh_acsf = normalize(data_inh_umap_scaler.fit_transform(remove_nans_and_infs(np.squeeze(data_dop['inh_acsf'])))) #\n", + "\n", + "data_all_inh_cond = np.concatenate((data_dop_inh,data_sag_inh),axis=0)\n", + "data_inh_umap_acsf = np.concatenate((data_sag_inh_acsf, data_dop_inh_acsf),axis=0)\n", + "data_all_combined = np.concatenate((data_all_inh_cond, data_inh_umap_acsf),axis=0)\n", + "\n", + "pca_x = PCA(whiten=True,random_state=40)\n", + "\n", + "# fig, ax = plt.subplots(1,3,figsize=[16,8])\n", + "\n", + "fig = plt.figure(figsize=[30,10])\n", + "\n", + "ax3d = fig.add_subplot(1,3,1,projection='3d',)\n", "axloadings = fig.add_subplot(1,3,2)\n", "ax2d = fig.add_subplot(1,3,3)\n", "\n", @@ -2380,51 +2405,43 @@ "plt.scatter(np.arange(len(exp_var_inh)),exp_var_inh)\n", "print(sum(exp_var_inh[:3]))\n", "plt.show()" - ], + ] + }, + { + "cell_type": "code", + "execution_count": null, "metadata": { "colab": { - "base_uri": "https://localhost:8080/" + "base_uri": "https://localhost:8080/", + "height": 449 }, - "id": "EKqdO5n-H_zF", - "outputId": "e6bc6cce-5b5f-4c21-85c7-d8ead2cdb4f9" + "id": "58c3_nzZyvBD", + "outputId": "5912c8ad-b650-4c31-9bb4-44c9728f1240" }, - "execution_count": null, "outputs": [ { - "output_type": "display_data", "data": { "text/plain": [ - "
" - ], - "image/png": "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\n" + "Text(0.5, 1.0, 'Inhibitory')" + ] }, - "metadata": { - "needs_background": "light" - } - }, - { - "output_type": "stream", - "name": "stdout", - "text": [ - "0.5798312559198854\n" - ] + "execution_count": 23, + "metadata": {}, + "output_type": "execute_result" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ - "
" - ], - "image/png": "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\n" + "
" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } - ] - }, - { - "cell_type": "code", + ], "source": [ "from mpl_toolkits.mplot3d import axes3d\n", "#@title PCA Inhibitory with Drug \n", @@ -2519,43 +2536,52 @@ "# plt.scatter(np.arange(len(exp_var_inh)),exp_var_inh)\n", "# print(sum(exp_var_inh[:3]))\n", "# plt.show()" - ], + ] + }, + { + "cell_type": "code", + "execution_count": null, "metadata": { "colab": { "base_uri": "https://localhost:8080/", - "height": 449 + "height": 906 }, - "id": "58c3_nzZyvBD", - "outputId": "5912c8ad-b650-4c31-9bb4-44c9728f1240" + "id": "0LrGRZPDqukr", + "outputId": "3d18ecab-d012-44de-eac1-144c8ff1f8dd" }, - "execution_count": null, "outputs": [ { - "output_type": "execute_result", "data": { + "image/png": "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", "text/plain": [ - "Text(0.5, 1.0, 'Inhibitory')" + "
" ] }, - "metadata": {}, - "execution_count": 23 + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "0.6085368843137187\n" + ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ - "
" - ], - "image/png": "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\n" + "
" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } - ] - }, - { - "cell_type": "code", + ], "source": [ "from mpl_toolkits.mplot3d import axes3d\n", "#@title PCA Inhibitory with Drug \n", @@ -2633,58 +2659,16 @@ "plt.scatter(np.arange(len(exp_var_exc)),exp_var_exc)\n", "print(sum(exp_var_exc[:3]))\n", "plt.show()" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 906 - }, - "id": "0LrGRZPDqukr", - "outputId": "3d18ecab-d012-44de-eac1-144c8ff1f8dd" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "display_data", - "data": { - "text/plain": [ - "
" - ], - "image/png": "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\n" - }, - "metadata": { - "needs_background": "light" - } - }, - { - "output_type": "stream", - "name": "stdout", - "text": [ - "0.6085368843137187\n" - ] - }, - { - "output_type": "display_data", - "data": { - "text/plain": [ - "
" - ], - "image/png": "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\n" - }, - "metadata": { - "needs_background": "light" - } - } ] }, { "cell_type": "markdown", - "source": [ - "#t-SNE" - ], "metadata": { "id": "9TrGexY7TiBs" - } + }, + "source": [ + "#t-SNE" + ] }, { "cell_type": "code", @@ -2698,8 +2682,8 @@ }, "outputs": [ { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -2714,20 +2698,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -2742,16 +2726,16 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } ], "source": [ @@ -2847,23 +2831,7 @@ }, { "cell_type": "code", - "source": [ - "\n", - "tsne = manifold.TSNE(\n", - " n_components=3,\n", - " init=\"pca\",\n", - " random_state=0,\n", - " perplexity=55,\n", - " learning_rate=\"auto\",\n", - " n_iter=1000,)\n", - "\n", - "reduced_data = tsne.fit_transform(np.array(data_inh_tsne))\n", - "ax = plt.figure(figsize=[12,12]).add_subplot(projection='3d')\n", - "ax.scatter(reduced_data[:,0],\n", - " reduced_data[:,1],\n", - " reduced_data[:,2])\n", - "plt.show()" - ], + "execution_count": null, "metadata": { "colab": { "base_uri": "https://localhost:8080/" @@ -2871,27 +2839,43 @@ "id": "qW6dBG8SvJle", "outputId": "71683669-3fa5-4df6-d863-4eb1a1dbfe72" }, - "execution_count": null, "outputs": [ { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n" ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } + ], + "source": [ + "\n", + "tsne = manifold.TSNE(\n", + " n_components=3,\n", + " init=\"pca\",\n", + " random_state=0,\n", + " perplexity=55,\n", + " learning_rate=\"auto\",\n", + " n_iter=1000,)\n", + "\n", + "reduced_data = tsne.fit_transform(np.array(data_inh_tsne))\n", + "ax = plt.figure(figsize=[12,12]).add_subplot(projection='3d')\n", + "ax.scatter(reduced_data[:,0],\n", + " reduced_data[:,1],\n", + " reduced_data[:,2])\n", + "plt.show()" ] }, { @@ -2907,8 +2891,8 @@ }, "outputs": [ { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -2923,20 +2907,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -2951,20 +2935,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAABwsAAAD7CAYAAACVBXu8AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdf5xcV33f//fdXa1Wq1+WrR92sCUbSwZZEIzlJha0KWmihjghSR/E0DqkJA0pAfdh+ovK3+ZrY0LTEAP+tq6BNiWPB6VJDTVJH/xKYmEKLSmLYywbx8bGsjCS/EPS+odWP1aa1Wrv94/P3s7d0czs3Jn745xzX8/HYx+zmhntzO6875kz53POuVEcxwIAAAAAAAAAAABQP0NVPwEAAAAAAAAAAAAA1aBYCAAAAAAAAAAAANQUxUIAAAAAAAAAAACgpigWAgAAAAAAAAAAADVFsRAAAAAAAAAAAACoKYqFAAAAAAAAAAAAQE0FXSyMougbURS9q8NtG6MoOhFF0XDW+wJlIscIEblGaMg0QkSuERoyjRCRa4SIXCM0ZBohItfh8a5YGEXRD6Mo+ulBf04cxwfiOF4Rx/HZrPftFm6XRVEUR1F0cv7gOxFF0aeqfk51RY77F0XRH0RR9P0oiuaiKPq1ltt+LYqis6mMn4ii6E3VPNP6Idf9iaLoiiiKvhBF0WQURS9FUXRvFEWvSt1OritCpvsTRdHaKIr+TxRFL0ZRdDSKookoit6Yup1MV4hcDy6Kon84369+V+q626IoOtOS61dW+Tzrgkz3r9vnQzJdLXLdvyiKhqMo+jdRFD0XRdHxKIoeiqLovPnb6INUiFz3J4qiv9WS2RPz7fdb528n1xUh0/2LoujvRFG0J4qiY1EU/SCKon+cuu1N82N+6Uy/s8rnWyfkun9RFL0liqJH5zP7rSiKrkzd5kVbPVL1E6ibKIoiSVEcx3MVPYXXxXH8VEWPjUBUnOPvSvqcpN/vcPtEHMd/s8Tng0BUmOvzJH1R0q9LOi7pVklfkPTq1H3INTKrMNMnJP0jSXslxZJ+UdKXoihaH8fx7Px9yDT6UnVfOoqiNZL+taTH2tz8uTiO31HyU4Lnqs60un8+JNPoS8W5/qCkN0jaIemApG2STqdupw+CvlSV6ziOvylpRep5vEnSlyT9Repu5BqZVZXpKIqWSPofkv6VpD+QdI2kr0dRdH8cx9+dv9tzcRxfXObzQhgqzPUWSX8s6TpJ35b0fklfjKLo1T6Ng3i3sjBtviL7l1EUfTSKopejKHo6iqKfbbnbpshmtx+Pomh3FEVr5//vpfMzcdIF08ujKPqr+VkNX4ii6PzW+0ZR9LuS/paku+YrwHfN3+cNURQ9EEXR1PzlG1LP8xtRFP1uFEX/R9K0pH8RRdGDLb/LP4+i6Asdfs9vRFH0e+2eG/xHjrOJ4/jjcRx/TQs/8MEx5Lp3cRz/VRzHfxjH8UtxHJ+R9P9JelUURRdk+TkoFpnuXRzHp+M4/v585zySdFbSGkn0XRxDrvvye5LulPRCn/8fBSLTCBG5zvS3WiPpn0r6zTiO98fm0TiO+ezoGHI9kHdK+nwcxycH/DnIEZnO5HxJqyT91/l2+gFJj0u6svt/Q9nIdSY/I+mbcRz/5Xxx8PclvULS3874c6oVx7FXX5J+KOmn57//NUlnJP2mpGFJ75H0nKx6LEnfkLRP0hWSls3/+8Pzt10qm+0+krrvs5JeI2m5pD+R9Edd7vuu1HM6X9LLkn5VtlrzH8z/+4LU/ZMZbSOSlkp6SdLW1M94SNJbO/zOHZ/b/O1Hu3zdnLpfPP/3OSTpTyVdWvXrWdcvctx/jlP3/0tJv9Zy3a9JOikbwHtS0i3J78sXufYh1/P/55ckPU+uq/8i04NlWtIjkmbmf5//TKbd+CLXA/Wlf0zSd2QTLlt/h9skTc0/r8ckvafq17ouX2S6mM+HZJpc+5hrST8x/+9d87l+UtKNqZ/xa6IPQq49y3XLz1su243mTeS6+i8yPVAf5L9JunH+b7VD0hFJl8zf9ibZ58jDkp6WTaheXvXrXZcvct13H+SfSPqz1P8Zli10eV/qb+l8W135E8ghsE+lbhufD9aFqRf6/03d/l5Jf9ElhB9O3fdKWcM03ENgf1XSX7U8zwnNFzLm7/87Lbd/UtLvzn+/bT7gS7sEtu1zy/i3+wlJo7It7+6S9KiLoazDFznuP8ep/9+uWPhKSZfJBvFeK+l7kv6fql/vunyR61xyfbGsg/IPUteR64q+yHQumR6TdeTfmbqOTFf4Ra77y/X87/EdSdd2+B2ulPQj8/d7g6TnlWrL+SLTrmV6/v91/HxIpsm1j7mWdMP87/CHskHLH5U0KWnn/O30QSr8Ite59K1/VVY8iVLXkeuKvsj0QH2Qt8iKgbPzX7+Zuu3C+Z87NJ/t/y3pP1X9etfli1z33Qd5tawY+CZZ//oWSXOab4/lSVvt9Tak8w4l38RxPD3/7Yp2t8uWoaZva3Uw9f1+SUskre3hOfzI/P3T9suWmrb72ZL0XyTdEEVRJAv8f4/juFHAc/u/4jj+33Ecz8RxfFTS+2QB3ZrlZ6Aw5DgHcRz/II7jp+M4novj+K8l/Y6kX87r5yMzcp1BFEXrJO2W9Ik4ju9OrifXTiHTGcW2Jendkm6Oouh189eRabeQ6968V9IjcRx/u92NcRx/L47j5+I4PhvH8bck/XuR66qQ6R51+3xIpp1Drntzav7yd+I4PhXH8SOSPis7fxB9EPeQ6+zeKekz8fzIs0SuHUOmexBF0atlbfM/lBVVtkn6V1EU/ZwkxXF8aL4fMhfH8dOycxu+tdefj9yR6x7EcfyErI2+SzbJbq2sIPjM/O1etNUhFAvzdEnq+42yZbbtzkcSt/z7OUmbWq7bKFsd0vb/zA80zMj24L1B0n/t97nN79/b6etfd/mZsexcQghL3XLcDRkPR9C5juz8KrslfTGO499d5PHIdRiCznQbS2Qz6doh0+EIOdc/JenvRVF0KIqiQ7KVVh9LzqHR4Xck1/4LOdPtdMstmQ5HyLl+pM3zaP091HIbuQ5DyLnW/H0vka1Y+cwij0euwxBypl8j6ck4ju+dL5x8X9JXJLWeCy/9fKlhhCHkXCuO48/HcfyaOI4vkPQB2YrJBzo8npNtNQfaQu+IoujKKIrGZdXdz8dxfLbN/Q5r4YDXn0m6IoqiGyI7EefbZctVv7zI431GVm0+E8fxX/b73OI4XtHl699KUhRF26IouiqKouEoilZI+pjsgHp8kceFf4LNsSRFUTQaRdGYrEFdEkXRWBRFQ/O3/WwURRvmv3+1bMl325PXwjvB5jqKolWS7pX0f+I4vrn1h5PrYIWc6WujKPqb8+31siiKdknaIOn++dvJdLiCzbVsC56tkq6a//qOpA9K+m1JiqLoF6MoWhOZH5N0k8h1CILN9GKfD8l00ILNdRzH+yR9U9JvR1G0NIqirZL+fvIc6YMELdhcp/yqpG/N5/z/ItfBCjnTD0naEkXR35nvZ1wu6ec1P+EjiqKfjKJo0/xtl0j6sMh0KELOtaIo2j7ft14n6Q9kCwKemL/Ni7aaYuFC/1XSp2XLZ8dkH4ja+feSfjmKopejKLozjuMXZY3av5D0omx59M/HcdyuMt76eK+R9Ec5PrdONkj6nKRjkn4gq2z/fBzHZzL+HLgv5BxLtvrqlGxG/x/Mf/8T87f9lKRHoig6KXsj+VNJrR1s+CnkXP89SX9D0q9HC2cnbZy/nVyHKeRML5X08fnn96xs66+fi+P4ufnbyXS4gs11HMdHY9sS6VAcx4dks1SPxXE8NX+Xvy/pKUnHZR9Kfz+O4/+S5THgpGAzrcU/H5LpcIWca8nOlbxp/jl+RdItcRx/bf42+iDhCj3Xkm3Z2K4dJtdhCjbT8wXvfyTpTlk/5H9J+hNJn5q/y+slfUt2/rdvSfrrrI8BZwWb69TzPirp+7JzJP5m6jYv2uoojrvtyIAiRVG0TNIRSVfHcby3y/2+IemP4jj+VKf7AFUhxwgRuUZoyDRCRK4RGjKNEJFrhIhcIzRkGiEi19mxsrBa75H0QLewAh4gxwgRuUZoyDRCRK4RGjKNEJFrhIhcIzRkGiEi1xmNVP0E6iqKoh/Kzrn2SxU/FaBv5BghItcIDZlGiMg1QkOmESJyjRCRa4SGTCNE5Lo/bEMKAAAAAAAAAAAA1BTbkAIAAAAAAAAAAAA1RbEQAAAAAAAAAAAAqKlM5yxcu3ZtfOmllxb0VFBnDz744AtxHK8r+3HJNIpErhEaMo0QkWuEpqpMS+QaxaGtRojINUJDphEico3QdMt0pmLhpZdequ985zv5PCsgJYqi/VU8LplGkcg1QkOmESJyjdBUlWmJXKM4tNUIEblGaMg0QkSuEZpumWYbUgAAAAAAAAAAAKCmKBYCAAAAAAAAAAAANUWxEAAAAAAAAAAAAKgpioUAAAAAAAAAAABATY1U/QTgn0ZDeuwx6YUXpLVrpW3bpKVLq35WyAOvLeqI3APF4zhzD68J4D6OU4SGTCNE5BohItcIDZnuDcVCZHLggHTHHdLRo1IUSXEsnXee9M//ubRxY9XPDoPgtUUdkXugeBxn7uE1AdzHcYrQkGmEiFwjROQaoSHTvWMbUvSs0bADa25OuvRSadMmu5ybs+tnZqp+hugXry3qiNwDxeM4cw+vCVCsRkPas0favdsuG43+fgbHKVxBphEico0QkWuEhkyXj5WF6Nljj1kF/tJLF15//vnSD38oPfqodPXVVTwzDIrXFnVE7oHicZy5h9cEKE5es5Y5TuEKMo0QkWuEiFwjNGS6GqwsRM9eeMEOznaiSHrxxXKfD/LDa4s6IvdA8TjO3MNrAhQjz1nLHKdwAZlGiMg1QkSuERoyXR2KhejZ2rVWxW8njqULLij3+SA/vLaoI3IPFI/jzD1VvSZ5bCEDuCyZtXz++dLsrPT889K+fZb1F1+0Wcu9ou2EC9KZlpq5fvlly/aePb3/LDINV5BrhIg+CEJDW10dtiHtQ6NhoX3hBQvctm3S0qVVP6vibdtmy31feql5sEr27/POk17zmuqeGwbDa4s6IvdA8TjO3FPFa8IJ5VEHyazlqSlpYkI6fbp528yM9PjjvW9xRNsJF6Rn4rfm+sQJ6fbbpX/373prx8k0XEGuESL6IAgNbXV1WFmY0YED0q5d0p13SnffbZe7dtn1oVu61AZ1hoZsT9/9++1yaMiuHx2t+hmiX7y2qCNyD3TXuhLs2LHsK8M4ztxT9mvCCeVRF2vX2qzniYlmQTz5imPpK19pn/d2q25pO+GCZCZ+u1yvWGE57NSOt+ZaItNwQ7+5pq2Gy+iDIDS01dVhZWEGrYMdiZdesutvv92PgA2yMnLjRvs9H33UlrJfcIFV4H34vdEdry3qiNwD7bWuBDt+3Lb72LzZOudZVoZxnLmnzNeEE8qjLrZtk86etdnPGzY0rz91Slq9WhoePjfvi626TR+nK1fafZ54wj7H1WV3G1QnmYm/b5/N5j/vPLv+1ClpbEzaskU6eDBbrlvfe7ZskfbutVzXadcmVKefXNNWw3X0QRAa2urqUCzMIITBjjy2gRoddf/3RH94bVFH5B5YqHVy1OysdN999u+DB6WdO+0DZ5bJUhxn7inrNeGE8qiLpUul666THn7YPmslxsakHTvsunTee52IevXVbOWLaiQz8d/3PtvyK5Fkenj43Ha8l1ynB/VuuYVco1xZc01bDR/QB0FoaKurwzakGfg+2ME2UABQvHbbHgA+aT2Z+OSkzeZbs8Yujxyx688/3+736KPVPVe4jxPKo06uvFLavl368R+XXvtau9y502b1J3lP+gmf+pTNll61auHPaG1b+QyHKm3cKN18s7R167mZlizXK1c2+76f/7yNi6TPCSSRa7glS65pq+GLxfogtNXwDW11NVhZmIHvgx0hrIwEAJcxQwkhaJ0cNT298Pb0v32YLIVqcUJ51Mm2bfaZcG5Ouuii5vVJ3letkv7lv7TPXs8+a5MxDh+W3vjG5sCHtLBt5TMcqnbVVdLll1uuW9vxoSHpj//YMjo1Zbl++WXLe+v4CLmGSxbL9Wc+Yztq0FbDF936ILTV8BVtdflYWZhBerAjzZfBDt9XRgKAy5ihhFC0To4aH194e/rfPkyWQrU4oTzqpFveb7xR+r3fk775TRvUOHnSvg4ckL7xDTvXUCLdtvIZDlXrlOu5OcvgiRN23sEDB+z7F1+U7rnn3HETcg2XdMv1yZPSt75FWw2/0FYjRLTV5WNlYQZJQO+4w4LZumrE9cEO31dGAoDLmKGEULSuBFu3zs4N8PLL0rJl0vr1dj9fJkuheq0nlL/gAsuN631noB+d8r5njzQxYdslLVtmqwxPnpTOnJGeeUZ6/nnp4ovPbVv5DAcXtMv1mTPSXXfZ4HMyLpLkenpa+vrXpV/6peZ5jsk1XNMu1ydPSu9+N201/ERbjRDRVpeLYmFGPg92sA0UqtBoWBHlhResUd62zQrvQGiYoYRQtJscdfHFdg6ASy6xzrdPk6XghuSE8oCPsvZn2+X9wQdtl4Fly+zfQ0PWtj7zjG0J9thj0uzsuW0rn+FQlEFzvXu3Zff0acui1Mz1vn3W9/3udy235Bpl6GfsoTXXH/84bTXcQluNEA2aa9rq4lAs7ENrQJOT1LteDPF9ZST8w/nbUCfMUEJI2k2OuuIK6ckn/ZssBQCDKLI/OzYmvfKV0tNPS9dcI/36r5/btvIZDkXII9dr19rgc6uxMelHfsTOF/SGN0h/9++SaxSPthohoq1GiIpqr2mr80GxcEC+FUN8XhkJv7Sevy3x0kt2/e23kzuEhRlKCE27lTGsDANQJ3n2Z7dvt/ueOtWcBZ08xsqV0jve0bmN5TMc8pRXrrdts0HogwcXXp9kfNMmG3wm1ygabTVCRFuNEOWVa9rq4lAsHICvxRC2gUIZOH8b6oYZSgAAhCXP/uzrXy9de63tSNNoLLzt2mvt9m74DIe85JXrpUulD35QetvbpMOHm7srjY1JW7faapXFJsuRa+SBthohoq1GiPLKNW11cSgWDsDnYgjnkfOXL68d529DHTFDCQAAP/TSp86zP7t0qXTbbdJHPmKfFWdmrH9w6aXS+99PXwGD6/VzYp653rJFuuce6dZb7eeOjdnA8+rVTJZDPmirERraaoSo7FzTVheHYuEAfC2G+LZ1Kpp8eu04fxvqihlKAAC4rdc+dd792Y0bpY99jElFyF+Wz4l553rzZunTnybXyB9tNUJDW40QVZVr2upiUCwcgI/FEF+3ToV/rx3nbwMAAIBrsvSpi+jPMqkIecv6OZFcwwe01QgNbTVCVHWuyXT+hqp+Aj5LBzzN5WJIsnVq+oCU7N9Hj1o1Hm7y7bVLzt82NGRLwvfvt8uhIbY5AADAdY2GnQNi9+7254IAfJWlT01/Fj7I+jmRXMMHtNUIDW01QkSuw8PKwgEkAb/jDgt261JbFwPu69ap8PO14/xtAAD4x6dtz4GssvapQ+zP+nIOdPSmn8+J5Bquo60m06GhrTbkOizkOrxMUywckEsB7yWcPm6dCpO8drOz0uSkND0tjY9L69a5/dqxJBwAAH/4tu05kFU/n4dC6s8yGSA8/X7GJ9dwGW01mQ4NbTW5DlHdcx1ipikW5sCFgPcazn72Bg6tQu6rbduk4WHpy18+97bt293c9hYAgCrRh8ku2UomXSiUrN/4wx/aBLmq+73AIOp8Xm0mA4SpzpmWyHWo6pxrMh2mOmdaItehqnOuQ8005ywMQGs4N22yy7k5u35mpnnfrHsDHzgg7dol3XmndPfddrlrl12P8nWbrQEACFv6HHLf/rZ9cT65zujD9MfHbc+BLOp8rhTfzoGO3tQ50xK5DlWdc02mw1TnTEvkOlR1znWomWZlYQCyzgDvdevUUCvkvnrsMXst3vIW6ciR5jak69dLBw8y0x8AQpbeQeDkSXtPkGwm3/Ll/m91kTf6MP1jy3rUgUunkigTkwHCVddMS+Q6ZHXNNZkOV10zLZHrkNU116FmmmJhAPoJZy9bpy5WhNyzx34OW3uVI3mdh4eliy5aeJvPjRAAoLt04evii6X77pNWrrTbDh6Udu6UpqYogqWxlWb/6ryVDOrFhVNJlI3JAGGrY6Ylch26OuaaTIetjpmWyHXo6pjrUDNNsTAARYWzWxHy5EkbkFy1KpwTeLou1EYIANBduvD1/PPS6dP2nivZ9UeO2CQSimBNoc7yK0Oylcwdd1imWvt5FKMBfzEZACEi1wgNmUaIyDVCE2qmOWdhANLhTBs0nJ2KU7OzNnC5dOni50hEfop6nQEAbksXvqanz709uY4iWBMTbAaTbCVz003SDTfY5e23+zshLH2+T87xiTqr83llEC5yjdCQaYSIXCM0oWaalYUBKGoGeKcK+VNP2eXmzQvvz9ZexWKmPwC4q9GwiTRFbM2dLnyNj597e3IdRbCmUGf5lSmUrWTS5/tkNwxg4Xllnn/ejo01a+z9a8MGTisBPyW53rPHvuJYuuYayzTgI9pqhIi2GqEJsa2mWBiIIk4m2qk41WjYINzw8Ln/h1UNxarrSWMBwGVFFyPSha9166SxMenUKbttbExav54iWCsm2EBaeL7P9PkrX3qJc3yi3kZHbSLKZz5DIR3hOHRI+uxnm5l+4AEyDb/RViNEtNUITWhtNcXCgBQxA7xdcerMGemTn2x//9ZVDUWutKirUGb6A0AIyihGpAtfzzwjXXKJvbdK9r568CBFsHaYYOOmMvqGyWNMTEj79kmve93C29kNA3VHIR2hIdMIEblGaMg0QhRarikWYlGtxalGo7etvdj2CQAQusces/e5dKdQyr8Y0Vr4WrXKrj92jCJYN0ywcUsZfcP0Yzz3nPSDH0iTk9KOHdLq1c37sRtGWDoVoZm42F5Z710YDLnuHZn2A5nOhlz7gVz3jkz7o11+JTLdTmi5pliIzHrZ2iu0qjr8QqcMQFleeMHeB9vJuxhB4Yv23Wdl9A1bH2PpUunIEeunTkxIO3c2t9HnHJ/h6FSEfvvbpc99jomL7ZT53oX+kOtsyLT7yHR25Np95DobMu2HdrkeGrLvz54l061CyzXFQvRlsa29Qquqwx+saAVQprVrrZ1ph2JEvmjf/VZG37D1MZJzfMaxdPq0FQ4vusjvc3xSMF+oUxF6clJ673ulN7yBiYvt9PreRd6qQa6zy9IfI9flI9P9oa12G7nOjrbafe1yPTsrffnL9v1b3tKcfEmmTWhtNcVC9K3bCofQqup58aVh8BUrWgGUbdu23rbmxmBo3/1XRt+w9TFGRmz70YkJ6cQJ6emnm9vp+3iOTwrm5+pUhJ6dlY4ft8s0Ji6aXt67yFt1yHV2vfbHyHU1yHR/aKvdRq6zo612X7tcT042v08mX0pkOhFaWz1U9RMIRaMh7dkj7d5tl41G1c+oWqy0ONeBA9KuXdKdd0p3322Xu3bZ9RhcoyF9/vP2xtZoLOyYnX++NciPPlrd8wMQpmRr7qEh6yjv32+XQ0N+FiNclXxoSXe+Jdp3n5TRN2z3GKtX2/ajW7dKv/RL0k03WXF5wwa/+u6tBfNNm+xybs6un5mp+hlWo1MRenp64WVanScuJhZ774pj8lYlcp1dL/0x2tHqkOn+0Fa7jVxnR1vtvna5Tme5Ndd1z7QUXlvNysIc+FQdLgsrLRZiRUSxkmPwe9+zVQOTk7bt2I4dNkgo8QaGemD1cjUW25obg2PHAv+V0Tfs9BhTU9Lll0vvepcdlz723dniv71ORejx8YWXaXWduNiq23vXnj3krUrkuj+cKsVdZLp/tNXuItf9oa12W7tcp7PcmmsybUJqqykWDogiUHtJVf2OOyz4rQMxdfub8GZXnPQxeNlltiT+vPOkU6ds27GdO20/bd7AEDofB79D0m1rbgyOHQv8V0bfsJfH8LXvTsG8vU4F4pERaeVKu0yr68TFTjq9d5G3apHr/nGqFDeR6cHQVruJXPePttpd7XK9bl3z9vXrm9+T6YVCaaspFg6IIlBnrLRo8q1h8En6GJydtRWFp05Jy5bZ9UeO2OAhb2Doha8r83wd/AZ6xY4FYSijbxjqbGUK5u11KxB/4hPS5z7HxMV+kLdqketikOvqkOlikOlqketikOtqdcr11Vfb9wcPkumsfMs0xcIBUQTqjpUWxreGwSfpY3BkxLYenZiwgcATJ2xb0iuv5A0Mi/N5ZZ6vg99Ar9ixIByD9g17mdQR4mxlCuaddSsQb9/OxMV+kLfqkev8ketqken8kenqkev8kevqdcq1RKb74VumKRYOiCIQeuFbw+CT1mNw9WrbevTIESsUvvOd0vXX8waG7nxfmefr4DeQBTsWuKHKFdh5TOrwte9Owby7TgViJi72h7y5gVzni1xXj0zni0y7gVzni1y7oVN+yXR2vmWaYmEHvQ6EUARCL3xrGNpxdXvGdsfg8LA9tyuvpFCI3vi+Ms/XwW8gKz50V6vKFdh5Terwue9OwRxlIm8IEblGaMg0QkSuERqfMk2xsI0sAyEhFIFQDp8ahlYub8/IMYg8+Lwyr9GQZmakqSnpiSekzZubJ1P3YfAbxXB1ggf8VfUK7Lwmdfjeb6BgjjKRN4SIXCM0ZBohItcIjS+ZrlWxsJeBs34GQnwuAqFcvjQMaVUPDvaCYxCD8nVlXrqQPzIiPfKIfW3bJi1f7s/gN/Ll8gQP+KvqFdh5Tuqg3wAAAAAAwEK1KRb2OnDW70BIliIQs/3hk6oHB3vlYyEW7vBxW7p2hfxXv1p66im77T3vkV7/ega/66afCR5l9Evo+/iv6hXYeU/qoN8ADI62HSEi1wgNmUaIyDVC5EKua1EszDJwVvRACLP94ZuqBweBMvi4LV27Qv7wsPSqV9nvsGSJm88bxco6waOMfgl9nzBUvQLbx0kdQMho2xEico3QkGmEiFwjRK7keqi8hypHoyHt2SPt3m2XSUX26NGFAwuS/fvoURs4SxQ5ENJatNy0yS7n5uz6mZn+fzZQlKoHB4GyJNvS3XSTdM+PrnkAACAASURBVMMNdnn77e52Ninko50suSijX0LfJxzpYl1a3sW6dn15qTmpY2jICt/799vl0JC7kzqA0CTH51e+It18s3TmDG07/EeuEaJGQ/r2t6X3vU86dEi6+GIyDb/RViNELuY6qJWFnSqw11zT+8BZkbOWXd7O0YVlruhdHq9Xrz+DmfyoE5+2paOQj3ay5KKMfonLfR9k020F9o032ms5aD9ysdmUnGsQqE76+HzpJWvfx8elLVukdevsi7YdvknnenLSzv29YoXl97LL7Jzg5Bq+SXK9b5/0ve9Zjr/73WauyTR8Q1uNELma62CKhd22Gv2zP7Ot2dppHTgrciu6IlaB5FE0cmWZK3qTx+vV6WfceKN0/Pi5efJte0agDijko50suShjdSorYMPSrli3apV0112D9yN7PW2AT5M6gFC0Hp/HjtnX1JStWLnoIisc7thB2w5/pHO9Zo2twjp5Ujp1yla3X3aZ9MY3SqtXk2v4I53rJUusrR4elmZnF+aaTMMXtNUIkcu59qpY2K0w1m3m+ssvS2fP9j6gWtSs5bxXgeRRNMpyPkdUL4/Xq9PP2L9fetvbLOsjI+fmiZn8gFso5KOdLLkoY3UqK2DDky7WNRrSrl359CNZhQq4K318zs5Ke/daG75ihXT6tA1Ix7E0MWErDWnb4YMk1xdfLN13n30GHh2VxsYs19PTlumdO+mzwB/pXCdt9diY3ZbONW01fEFbjRC5nGtvioWLFca6zVwfGZHe/GbpgQd6H1AtYtZyv6tA2hVJpXyKfAzM+CWP16vdz5idlR5/3Bqk8XGbHSydmyeyALiFQj7a6TUXZaxOZQVs2PLsR7bry8/O2pYszz1nsy3z3CafLfiB3qWPz8lJ+35szM6rItnlypXS4cM2SZe2HT5Icj05aZ+Dzz/f3tOSXA8N2fVPPSVt2ECu4Yd0rtNt9ZIldvvQkK0Kp62GL2irESKXc+1FsbCX1VSLzVzfulW6/vpqB1T7WQXSqUi6c2c+gzNsD+aXPF6vdj8jaZyWLrXZCwmKxoD7KOS7w6XiQy+5KGN1Kitgw5ZnP7K1Lz81ZbMpT5+WTpyQ/vzPpSefzGebfLbgB7JJH5/T0zaAcfHF0jPPSDMzdpwmx9PP/RxtO/yQ5Dr5/Nsu13Nz1r+jzwJfpHOdzvTp081cj4zQVsMftNUIkcu59qJY2Mus5V5mrrswoJplFUi3IumnPmWzN9vJMjjD9mB+yeP1avcz0gXC8fGFt1E0BoDFFVV8KLoAWcbqVFbAhivPfmS6L79qlRUK49jyPjYmXXWVFRAH3SafLfiB7NLHZ/JZYWzMdiM5fVp67Wvts+n0tE3SBXyQ5Prw4eZ1rbk+fty222YiCXzRmuuxMemVr7T2++xZOwdWo0FbDX/QViNELud6qNyH608vs5aTmetDQ1ZA3L/fLoeG3JtZkBQtd+60y07PLSmSpoufkv17dtbOxdhOlsGZ9Ae/NLYHc1Mer1e7nzE+bh3GsTFp/fqF96+yaNxoSHv22Mld9+yxfwOAa1qLD5s22eXcnF0/M9Pfzz1wwDqHd94p3X23Xe7aZdfnqdd+ieuPgfLl2Y9M9+W/+13r/zca1tffsUMaHm5uz/Loo91/Vrf+Q7f+dS8/G6ij9PE5PW3H1P79tur3R3/UBqKXLm1OBgF8kOR67Vrrqx06JD3/fDPXa9ZIl18uvf71VT9ToHfpXJ8+bW31s89af+qnf9rGfmir4RPaaoSoXVt98KB9/6Y32fVV5dqLlYW9zloObeZ6tyLpmjXWMA56DiC2B/NLHq9Xu58xO2uFwq1bbTAuUWXRmC3CAPiiiPP/svoJPsi7H5n05f/zf7bBgEsvtUlM6b7JYjseDHKec3ZTADpLjs+vftVOYfD979txev/90kMPSddeK912G+9N8MvGjdLHPib9t/8mfehDlunRUcv1ypXSJz5BpuGfjRul973P+kQPPWTjPZL0ta/RVsNPtNUIkatttRfFwl62GE24sNVoXroVSYeGpN/4DfuwNujgTGhF1tDl8Xq1+xmrVkl33eVG0ZhBcuTFpXPIIVxFFB+KKEACRci7Hzk6aisJH3jAtmFp1W3HgzzOc84W/EBncWyfP6+4Qvobf0M6csRWGs7OSsuXSxdeWPUzBLKLY+nhh6U3v9myPD1tq69GRqTPfU7avp3PnvBLo2FjO5s22cor2mqEgLYaoXG1rfaiWFjX1W+LFUl37rSvfgZn2g2gM+jojzyK4u1+hitFYwbJkQdWp6IsRRQfWP0En+Q9WS/LRMG0vM5zDjcxAah6rcdYuqBPHz07Mu2GTu8dErnuB7muHm11vsi0G2ir80Wuq+dqW+1FsVCq5+q3XoukWYPDADo6cWVlLoPkGBSrU1GmIooP7QqQs7O29dszz9iss0aDDj3C1O9EwSznOa/bJETf8fnFDfTR80Om3UGu80Ou3UCm80Om3UGu80Ou3eBqpr0pFkruFDLKlHeRlAF0+IAtwjAoVqeiTEUUH1oLkFNT0sSEXUaR9Bd/Yds00qFHqPrpA9f1POeh4/OLO+ij54NMu4Vc54Ncu4NM54NMu4Vc54Ncu8PVTHtVLKyrPIukDKD7o85LwtkiLDxl59nVGToIV97Fh3QBct8+6cEHrcO4erWdz231ajr0CF/WPnBdz3MeOj6/uIM+ej7ItFvIdT7ItTvIdD7ItFvIdT7ItTtczTTFwpphAN0PdV8SzhZhYakiz67O0OlFnScK+C7v4kNSgLznHunwYemyy6T166XhYbvdlw49mUZZ6D+Eic8v7uAYyweZdgu5zge5dgeZzgeZdgu5zge5doermaZYWDM+D6DXRZ2WhHcbQGaLsDBUlWdXZ+gspu4TBXCu0VFp3TrpFa9YeMLrhOsd+k6ZvvFG6fhxCojIH/2H8PD5xS3tjrEtW6S9e6UnnqBN7wWZdg+5Hhy5dguZHhyZdg+5Hhy5douLmaZYWDO+DqDXSV2WhPdSFGGLMP9VlWdXZ+h0U6eJAsjG1w59p0zv3y+97W3W5xgZoSiO/NF/CAufX9yTPsYOHJBuuYWJTlmQaTeR68GQa/eQ6cGQaTeR68GQa/e4lumhch4GrkgG0IeGbAB9/367HBpydwC9buqwJLx1AHnTJrucm7PrZ2aqfobIS5V5Tmbo3HSTdMMNdnn77d3fYBsNac8eafduu2w0+nvsfn5OUlhNd9gk+/fRo1ZYRT2lO/Rprnfo22V6dlZ6/HHp9GlpfJz2H8Di+PziLvr0/SHTbiPX/SHX7iLT/SHTbiPX/SHX7nIl06wsrCG2Z3KbrytIsqjL6klUn+csq0vy2gK0359Th4kC6I+PK2Wl9pmenLRC4dKl0vR083rafwDd8PnFTfTp+0em3UWu+0eu3USm+0em3UWu+0eu3eRKpikW1hTbM7mrDkvCKYrUhy95zmsL0EF+TtWFVQym2zlY8+Bjh75dptMFwvHxhbfR/tdH0ccLwsTnF/fQpx8MmXYTuR4MuXYPmR4MmXYTuR4MuXaPK5mmWOg4BlPqx9cVJFlQFKkPX/Kc1wyeQX6OL4VVnCuvVamL8a1D3y7T4+PWt1m9Wlq/fuH9af/LU2X/sqzjBUDx6NMjROQaoSHTCBG5RmhcyTTFQocxmFJfea8gca3oTFGkXnxYEZXXDJ5Bfo4vhVUsNMhqUtfa5ry1y/TsrDQ2Jm3dKg0PN+9L+1+eXvuXReQzr1XcANxAnx4hItcIDZlGiMg1QuNKpikWOorBFOS1gmSQonNRA9kURerH9RVRec3gGfTn+FBYxUL9riaty4SgdpletUq66y7a/yr02r8sIp+NhvT5z0vf+5502WVWOB6Z/yTSy+rr0IvrgI/o0yNE5BqhIdMIEblGaFzJNMVCR7lyUkuUK++BsEGKzkUPZFMUgUvymsGTx89xvbCKhfpZTVq3CUHtMt1v+0/BaDC99C+3bcs/n0mf4rHHpKeflo4csRWmO3bYlrRS99XXdSmuAz5K+vR79thXHEvXXCNt2FD1MwP6t3Gj9KEPSV/8or0Hbdwo/cIvSCtXVv3MgP7QViNEtNUIjQttNcVCR7lyUkuUp4iBsH6LzmUNZFMUgSvymsHjykwglKef1aRMCOqv/adgNLhe+pd55zPdp3jlK6XJSXvdTp2SJiaknTttS9pOx0vdiuuAjw4dkj772Wb7/MADtM/wW2uf4/vft1yTafiMthqhoa1GiKpuqykWOsqVk1rmIdRVAHn+XkUNhPVbdGYgG3WU12pXVs3WSz+rSZkQlB0Fo3z00r/MO5/pPkVyzspTp6Rly+z6I0es/9TpeKFPUm+hfo4ICe1zduTabWQ6OzLtPnKdHbl2G5nOjky7z4VcUyx0lCsntRxUqKsA8v69ihoI67fozED24niTDVOy2il5fb/xjf5eX1bN1kc/q0l9mBDkWhtHwSgf27ZJK1ZITzwhLVkijY9L69ZJx441+5ePPppvPtN9ipER23p0YsJezxMnbFvSK6/sfLzQJ6mvUD9HhIb2ORty7T4ynQ2Z9gO5zoZcu49MZ0Om/eBCrikWOiqErexcqIYXoYjfq6iBsH6Lzj4MZFeJN9mw8foiq6yrSV2aENSuKHjggPSBD9h2kcuW2TnlLrig2mOAglE+Dh+2VX2PPCLNzNh1o6PStddKt91m3+edz9Y+xerVtvXokSNWKHznO6Xrr+98vNAnqadQP0eEiPa5d+TaD2S6d2TaH+S6d+TaD2S6d2TaHy7kmmKhw3zfys6FangRivi9ihoI67fo7NJAtmt4kw0bry/6lWU1qSsTgtoVxiXbE39urrmScGzMVoRVeQxQMBpc0r4tXy699a1WrJuetq1Bly+XLrzQ7pd3Ptv1KYaH7XGuvLJ7obDT/5fok4Qu1M8RIaJ97h259gOZ7h2Z9ge57h259gOZ7h2Z9ocLuaZY6Dift7JzoRpehCJ+ryIHwvopOrsykO0i3mTDxuuLslQ5IajRkB56SPr937f2fvNmKwbOzkr//b/b+9xrXiMNDdn9T52SHn9c2rKlumOAgtHgWtu3iy5q3tbavi2Wzyzb1A7ap3C1T+LaVr2hCfVzRIhon3tHrv1ApntHpv1BrntHrv1ApntHpv3hQq4pFqIwLlTDi1DE71X0QFg/RWffV7YWhTdZ9+Q5YMvrizJVMSEoWU24b58VAFessPedHTtsldnZs3a/kyellSvt+2XLrMg0NVXdMeBqwcgnWdu3TvnsZ6vmQfsUrvVJ2K66eKF+jggR7XPvyLUfyHTvyLQ/yHXvyLUfyHTvyLQ/XMg1xUIUxoVqeBGK+r1cGwiT/F7ZWhTeZN2S94Atry9Clt5m97zzrFB43nm2cnBiQnrlK22FoSSdOXPu/z99utpjwMX3SZ/k0b4NslXzoH0KV/okbFddjlA/R4SK9rk35NofZLo3ZNov5Lo35NofZLo3ZNovVeeaYiEK40I1vAhF/V7tVkf5+jcKGW+y7ihiwLbX15ft5+Cj9DaUjUbz+mTlYKNhOR4etuMqrdGwrFfdxrlSMPJRHu9fbNXM36AsoX6OCBnt8+LItV/I9OLItH/I9eLItV/I9OLItH+qzDXFQhSq6mp4UfL+vfJeHZWlkEHRIxveZN1RxIBtL68v28/BV+ltKNetk8bGbFXhsmV23dKldp7Cdeuk8XHL+NycdPy4Xf/2t3demQb35fH+lcdWzb73O9iuujyhfo5AvZFrhIZMI0TkGqEh0+gVxUIULtRZHnn9XnmvjspSyKDo0R/eZN1Q1IBtt9eX7edQpHZFFCm/wkp6G8qRETtP4cSEvQecOGFFwe3b7T5nzkjPPy89+aStNLzqKul//A/p61/nPcJn/b5/Jdncu9ey+IpXNLesTfSylWkI/Q62qy5XqJ8jUG/kGqEh0wgRuUZoyDR6QbEQqFieq6OyFDJcLnr4sOqAN9nqFTlg2+n1zeN49SHfKF+7IsrQkH1/9mw+hZXWbShXr5Z27pSeespy+c/+mWX/xRelyUnpT/9UeuMbpc2brWAo5fMewTFQrazvX+lszs1J+/ZJBw9KP/mTliGpt61MF+t3fOhDzWKky7lgO/Li0UYgROQaISLXCA2ZRojINbKgWNgBBxLKkufqqCyFDFfPuRPCqgNk10+bW8WA7aDHK/nOT0jv0+2KKLOz0pe/bN+/5S35FOs6bUO5YYNtMfq5zzWz+cILVhT6mZ9pPrY0+HsEx4Bf2mXzggtshem990rXXGNF7V62Mu3W73jsMend77b/3y0Xx45JX/qS5WjjRjs2Vq3K+7fuju3Ii0UbgRCRa4SIXCM0ZBohItfIimJhGxxIKFOeq6OyFDL6LXoUOUDv8mpHFKe1zZ2dtZVU110nXXll54z1O2A7SIYHOV7Jd35Ce59uV0SZnGx+f+SIdNFF9v2gxbp221Bu2SLdcsu5xco4tm1Kd+5cWDDsd5tfjgH/tMvm6tVWpHv4Yenaa+2r21amSZt77732Wl988cItTGdn7fYrrrCvRGsuJiak977XtstNfPSj0ic+YVvqLibP/gvbkReDNgIhItcIEblGaMg0QkSu0Q+KhS04kFC2PFdHZSlk9FP0KHqA3tXVjihOa5s7NWUDwlNTNhC9fbtlsVPGsg7YDprhQY7XvPMd0sq6LEJ8n243eWN6uv330mDn5JTO3YZyz55zszk+bnk6fXphsVLqf5tf2nj/dJpYNDxs7c6WLd1fs3Sb+9JL0ve+Z3nasaO5henkpDQzYytc09K52LzZCoVzc1ZsTLz8sl3/zW9KK1b09jzy6r8UsR15Xdv1BG0EQkSuESJyjdCQaYSIXKMfQ1U/AdckB1J6EFiyfx89agcSkKdkddTQkDXW+/fb5dBQ9u2s0oWMtHaFjCz3lc4doN+0yS7n5uz6mZnen2cneW7JCj+k29zZWSsUJtsijo5awWKxjCUDtjt32mW31S2DZniQ4zXPfB84IO3aJd15p3T33Xa5a5ddH7oQ36fbTd4YH2//vTT4OTlbtcvmunXS2JgdN+li5SDb/OZxDDQaVtzcvdsuG43szwO9y3M19bZt0rJl0uHD0n33NV+7w4et7Vy//tyfkeTiS1+yFYVr1iy8fc0au/4LX+j9eRTRf8lDndv1BP1AhIhcI0TkGqEh0wgRuUY/WFnYggMJVchrO6ss2zJm3cKxjBkpeW7JCj+k29zJSVvFdN55zdunp21FUx4ZyyvD/R6veeU7xJV1WYT4Pt1uxeq6dc3b00WUIs7J2S6bIyO2+uvee+242b9/8POyDXoMhLb9rA/yWk2drBo/e1Y6ccKO489+1laPj4/bltPprW4TSS6+853uz/Pgwd6eR5pLM2rr3q4n6AciROQaISLXCA2ZRojINfpBsbBF3Q6kum935JK8trPKUsjIct8yBujz3JK1HfLunnSb27rVotRcUZVHxvLMcD/Ha175Lmrg25fjI9T36Z07pU99Snr6aVstNTRkr2MUWSGk13Ny9qNTNs+elX7qp6R3vEM6dmzw87INcgxQTKlGv+eGlZptbnrV+Pr1dgwfOmSXo6PSf/gP0oc+1D0Xjz/e/Xlecsniz6OdsiYYLNa++lDQLEPR/UCgCuQaISLXCA2ZRojINfpBsbBFnQ4kZuj7qZcB/SyFjF7vW8YA/SCDkoupe95dLQSl29z0VounTtkWiMmKqjwyVnWRKa98FzHw7dPxEdr7dPpvv3KlnYPtxAnpN37DCojS4KvOF7NYNvPKwCDHAMWU6gy6mrp11fjQkLX3r32tvTft3794Lt7yFumjH7XjI70V6csv23Hzi7+4+PNop4y2v5f21YWCpguK7AcCVSHXCBG5RmjINEJErtEPioUt6nIgMUPfT2UN6LcrLJU1QJ/Xlqxpdc97kpsXX7St4E6dsi0OP/hBacuWap9bus2dnrZzRx0+LK1ebVsgDg/nlzEXikx55DvvgW/fjo+Q3qe7/e2/+lUrFua16nwxRbS9eT4OxZRqDbKa+oc/XHh9ejLIM8/Ya3f11d1zsWqV9IlPSO99r/2fxMqVdv2KFYs/jyra/l7b16oLmi4pqy0CykSuESJyjdCQaYSIXCMrioVt1OFAYoa+f8oa0O9WkCxrgD7vwfE65z3JzdSUtHevre6QbLD1bW+T7rlH2ry52ueYbnMff1z6ylesSHj0qK0ayStjrhSZBs133gPfPh4fobxPV/G377bKuKzCZD+PQzHFP0mbe/PNtlo2MTbWnAySfu0Wy8WOHdI3vyl94Qu2Ne8ll9iKwm6FwvTzqKLt7/UYd2Eyi0vKaouAMpFrhIhcIzRkGiEi18iCYmEHeRxIrm77JzFD30dlDCr3UpD0cYC+znl/7DH7/fbubQ6OJg4flm69Vfr0p6t/DZM29+qrpeuvLy5j3YpM/bTZVbTzeQ98+3p8hNDhLftv79N2s60oprjdr+xk40bpP/0n6d3vttXjGzbYisJ+V42vWCH9yq8svK6Xv0tVEwx6PcZdmcwCAAAAAKgvioUFcX1Ajhn6/iljULnXgqRvA/R1zvsLL9iqwvT5ohJLl9rtrq0cK7oI1O7n99NmV9nO5znwXefjo2pl/u192262Vd2LKa73K7tZuVL68Iebz/+ZZ6o5H3EVEwyyHOOhrJgGAAAAAPipdsXCMmZl+zAgxwx9/5QxqOzrCqPF1Dnva9fa+aE6GRvz93XNSz9ttgvtfF4D33U+PqpW5t/ex+1mW9W1mFJ0e1NG37iu5yPOeoyHsGIaAAAAAOCnWhULy5qVXdSAXJ6DOXWfoe+jMgaVQ11hVOe8b9smrVtnKznSTp2yQuHq1f6+rnnpp80OofCSqPPxUbWy/vaNhjQxIT33nD3munXSSKoH6NNkkDoWU4psb8pcsZjXa5f0hycmpH37pNe9buHtLrXDtK8AAAAAAF/UplhY5uzjIlZnFTGYU9cZ+r4qY8ApXZBctUqanLRzDJ05Y4VEn1cY1TXvS5dKH/yg9La32TkKkwkGY2PS1q1WLPT5dc1DP212aKtw63p8uKDov33Sf9i3T/rBD6QjR+z437HDjn/J78kgdVBUe+PDyrxW6f7wc89ZpicnF+ZZcqsdpn0FFufjOVmBxZBrhIZMI0TkGqEZNNO1KRaWuQok79VZRQ7m1HGGvs+KHnBKCpK33Sbdd580M2PXj47aQNyhQ+6fG6mbuuZ9yxbpnnukW2+1N4tkReHq1axskPprs0NchVvX48MFRf3t0/2H173OiipxbF8TE9LOnXZOU7abdVtR7Y1vK6Rb+8NLl1rxO53n4WG7r2vtMO0r0JnP52QFOiHXCA2ZRojINUKTR6ZrUywscxVI3ttF+jaYg2IVPeC0YYO0fLkNLI+MSOPj0vr1NqBc1koDZvbkb/Nm6dOfZmVDO/202ZznDz5o7T/s2GFFldOnpRMnpIcfli6/nEkDriuqvfFhhXS6PzA5ac/p8svttnXrbPJLHFumjxyRLrqo/d+FfgXgJh9XOAOLIdcIDZlGiMg1QpNXpmtTLCxzFUje20X6MJiDcDz2mHT8uPSqVy28vqziNDN7isPKhvb6abM5DxUGVUbxorX/sHq1rb46ckR6+mnpZ39Wete7yKvrimpvXF8h3dofeOYZ6dln7XmvXm0TmpIC+IkTlulG49y/C/0KwF1MikWIyDVCQ6YRInKN0OSV6doUC8teBZLndpFlDeZkGbhkhna4qixOZ5kFQQaRp37abM5DhX6VVbxo138YHrbVV42GdO215NUXRbQ3Lq+QbtcfGB2VDh60bdJf+1pp5UpbXbhzp62S/dmftUyn/y7MGAbcxqRYhIhcIzRkGiEi1whNXpmuTbGwilUgea3iKWMwJ8vAJTO0w1blSoNeZ0GQQRShnzab1ZrIqszihcvFIGSXd3vj8grpdv2B0VG77tQpO6fysmW2DenWrbY1abtVsr32K5iABFTD9RXOQD/INUJDphEico3Q5JXp2hQLJX9XgRQ9mJN1NRcztMNW5eByL7MgyCDKwMAxilLmdicuF4Pghrz6xnm3ma39gdlZ6YEHpAsvtK1IZ2ft+qkpW1V4zz3tn3Mv/QomIAHVYVILQkSuERoyjRCRa4Qmr0zXqlgo+bsKpMhCZ5aBS/Z0Dl+Vg8u9zIIggyhaCAPHFDvdVfZ2Jz5OlCK/5Rq0b1xEm9naH5iclE6ftp87Nydt2SKNj9vX9LR07FhvPyctjm0rUyYgVY9jvr5CntRCrusr1FyT6foKNdMSua6zUHNNpusrr0zXrliYcPXg6fa8iip0Zhm4ZE/n4riUyaoGl3uZBfGNb5BBFCeElashFDtDNsjWEP2+T/g0UYr8+qWoNrO1PzA9bdefOmUFwiuvtPNvStL+/Z3f+xfrV0QRE5DK0K3taj3mZ2els2el666z19mVz2joTT/vUyFOaiHXYSHX7ftnK1dKb36ztGRJ9eMXyIZMG9rqsJBr2urQVJXpWhYLyx6I6vXFrWqALMvAJXs6F8PFwdEqBpd7mQVBBlEk31euhlDsDF2/W0O4+D6RN/Lrn6LazNb+wNSUdOKEnaNwx45moVDq/t6/WL/iiSeYgFS0bm3Xhg0Lj/mpKWliorm97Pbt9tqG1M6FbJD3qZAmtbS+l5Frv5Hrc9tqyfL89a9LX/uadM010tBQeP3SUJFp2uoQkWva6tBUmemh/v+rn1rfEDZtssu5Obt+ZibfxztwQNq1S7rzTunuu+1y1y67vsrnlZYeuExrN3CZ5b7oTZWvvYuSWRA33STdcINd3n57szEkgyiSD6unGw1pzx5p9267bDSatyUD9+kilGT/PnrUBu5RraR4MTRkxYv9++1yaKjz1hB1eZ8gv/4pss1M9wd+67ekH/sxG7hZvbp5n17e+7v1K5iAVKzF2q6HH24e87OzNkgXxzbYMTpqq0hDa+dCVZf3qV5+z/R7Gbn2G7k+t62WTzcPYQAAIABJREFUmrleutQyvWxZmH+XEJFp2uoQkWva6tBUnenaFQvLHIjK8uJWOUCWZeCyn0FO33QbiC8Cg6PnSmZB7Nxpl3XLIKoz6MBx0e3HYhNQfCh2YvFJEa3q8j5Bfv1TdLEt6Q9cd5304Q/b9jn9vPd36lcwAalYi7Vd3/lO85hPzku5bFnzftPT4bVzoarL+1Qvv2f6vYxc+41cn9tWS+fmOtkqPLS/S4jING11iMg1bXVoqs507bYhLXMgKsvWTFUPkGXZ09bHPZ17VcU2b1W/9mXL49yMIWcQ1ep3i0ip+Pajly0aWSXjjyxbQxT5PuHS+XLJr38GaTOzKuK9P6+TwKO9xdqu5O8tNQcw0sbHm/cNrT8cmrp8nunl90y/l5Frv5Hrc9tq6dxcJ5lO7h/K3yVEZJq2OkTkmrY6NFVnunbFwjIHorK8uC4MkGUZuPRpT+deVXWuJBde+7LkWUwJMYOoVlI0ueYa6c/+THr5ZWlkpLeB4zLaj14moJQ5cI/yFPU+0U+bXGRxkfz6p+xiWxHv/UxAKs5ibdfVV0tPPmnHeHoA49QpOz/l+vXN+4bUHw5R1vcplyaqZNHL75l+LyPXfsuSa18zLWVrq88/v5nr1kwn9yfX7qKtpq0OEW01bXVoqm6ra1csLHMgKsuLywBZ9bKsBM1TXV77qoqxQC9aiybDw9LZs9Kb3yxt3br4wHEZ7UcvE1BYJROmIt4n+mmTFzuhercOai8dWPLrpxCKbaOjlskko8nkC18GD1zV2nbNztqWSIcOScuX2+3JMT89badoOHzYzku5Y4e9F4fWHw5VlvepKnZyyUu733N2VnrqKXufO3PGriPXYeg11z5nWsrWVv/wh9Z3TE6p85M/aZmWyLUPaKtpq0NEW01bHZqq2+raFQt7GYjKqyKb5cVlgKx6VS3zzfO1d3mWTFXFWGAx3YomDzwgXX/94sdhGe1HrxNQQhi4x0JF9BGytsndjpMPftBmLh4/3r6DmqUDS3795Ptqf98HD1yVbrsefVT63vds0CIpzt5yi92eHPOPPy595Ss2mHH0qK3w57OQH3p9n/J98mDr73nypL2fSpbpT36y+TuTa//1Onbkc6al7G31iy9Kb3+79Bd/YXk+epSxK1/QVtNWh4i2mrY6NFW31bUrFkrdB6LyHCzIOrjHAFm1qtwONI/XvlN2b7zRBnCrLiBWvecy0Ekehewy2o8sE1B8H7jHufLuI2RtkzsdJ6tWSffdJ/3oj0qvfnXz+qSD+qEPZe/Akl+UKYTBA5dt3GjtwLvfLV1xha1CXr++OWM/+RtffbV9XX89n4V81e59assWae9e6YknrK80M+P/5MHk99yzxy5f9zpp8+aFM/bJdTgWy/XkpF1/+eUL/59PmZaytdWJnTvJtY86faaIY2vXXnghjFzTVtcLbTVtdWiqbKtrWSyU2g9EFTFYkHVwjwGy6lS9Heggr32n7O7fL73tbfbcW8+9VvZM+bVrbZn888/bNg/j49K6dc3nxX7ZqEoehewy2g9WoCPPPkLWAnen42Ry0gZ/lyxZeH3SQf3iF/0fGEbY2PmgeHv3Wvt1xRULr2/3N+azkN/Sr9+BAzbLPT2RcWrK+v7t+DR5cHTUvlat6q3tINd+65brZ56Rnn3W+lWrVy/8fz5lWsrWVkvk2metr13rxPNQck1bXS+01bTVoamqra5tsbCdogYLODD94PNAfLvszs7aVgqnT1th7qKL7PqqZsqvXGnH0OnTzZWNY2N2Pjj2y64nV7bNzWNVYFntByvQkZesBe5Ox8n0tF0mJ1BPiyLr0GYpxrvSLqA+2PmgePyN66fTRMYnnpAeecRWoierOxK+TR4k1/XTLtejozZYNzFhqzfSuSbT8AG5Lvf5oHhkutzng3KUmWuKhSkccChiIL6Mgc922Z2cbBbmksFcqZqZ8o2G9PGPS1dd1SxgSja7+OGHpXvucavYwWB18Vw6P1ReqwLLKuQxAQV5yFrg7nSczM7afdevP/cx4tiOi+9/v/1zaO3AutQuoD6q3Ia+Lvgb10+nSbibN1ux8KmnpFe9qnl9WTu55Ilc10+7XK9bZ7P5p6akI0cWTtAl0/ABuS73+aB4ZLrc54NylJlrioUpHHCQ8h2IP3BA+shHbCC20bDB2Usvld7//nwHPttlN10gbF3xUXbxO92oXXyxNWLJVqTT09KxY+U9l8UwWF08184PleeqQAp5bqDg35ssBe5Ox8natdK111oHtV2x/Rd+QXrggcWL8a61C6iPqrehrwP+xvXTaRLuyIjlodHwbyeXVuS6ftrlemRE2rFDuvde6emnbWt2Mg2fkOvqnhuKQaare24oTpm5pliYUvYBx2Bm2BoN6YMflB58cOH1Bw9ageyTn8zvDalddsfH7TmsXn3uio+yi9/pRm14uDnbQbLzKrqyapfB6nK4eH4otvcMBwX/bLIUuDsdJ4cOdS62r1zZWzHexXYB9eDzNvS+4G9cP90m4S5fLr3nPXauW5/7XOS6fjrlevVqaft26c1vts/dZBo+IddVP0PkjUxX/QxRhDJzTbEwpcwDjsHM8D30kO0bvHKltGxZ8/pTp+z6hx6SfvzH83msdtmdnW2eEzC9b3EVs018WbXLYHU5XN3ymVWB/qPgX7x2x8lixfZeivGutguoByaMFI+/cb0sNgn39a8P47Un1/XSLdcXXCBdf73/rz2Zrh9yjdCQaYSozFxTLGxRxgHn6mAmKx3z9eCDtgQ4XSiU7N8vvmi351UslNpnd9Uq6a67qp9t4ssyeQary+FL8Rj+oeA/uH77AosV2xe7nXYBVWPCSPFGR61NSdqYRx/l80ao6jTrnVzXR11yTabrhVxX/cyQNzJd9TNDEcrMNcXCNooeLHBxMJOVjmFol10XZpv48mbNYHU5fCkeM4HCPxT8B1NlX8CXdgFA//i8US91mfVOruulDrkm0/VDrqt+dsgbma762aEIZeWaYmEFXBvMdHWlo++2b7e/26lT525DOjpqt5fBlZnyPrxZM1hdDh+Kx3S8/ETBv39V9wWWLpVuvFH6wAekxx+3983Vq+01c6VdQGeDTq5gckb4+mljjh2TvvQle0/euFF6y1ts1wz4w5XPIUUh1/UUcq77yXSjYadYefBB+/f27bbVMO/jfiHXC/8PbbX/yPS5/4e22n9l5JpiYQWyDGaWMXji4krHELz+9dK110p79tjrmHbttXZ73bj+Zu1DESsUeReP82wrqy6aoH8U/PtXdV/gwAHp4x+3c+wuWyadPi2tWCH9k39Cgd51g06uYHJGPWRtYyYmpN/6LWu/z561tuH226X/+B+lHTvKfOZAZ1lz/b/+l/SP/7F0/LhlenRU+uhHpU98glzDDVkzfeCAdOutlu3Tp6WREeu/7dgh3XYb7+NwA201QkNbjSJRLMwojwHpXgczyxo8cW2lYyiWLrVG9yMfscZ6ZsY6GZdeKr3//dUWG1ycwe/Kc/JhBWQo8ioe591WFlE0YdVNOSj496/KvkC6QH/55c3rX3rJzrtLgd5dg06uYHJGfWRpY44dk37jN6TnnrPbhoZsUOPZZ6V3vUu6/34b4ACqliXXjz0m/fIvNwfphoas7Rsdld77Xumb3yTXqF6WTDca0m//tq2+imPL9dyc9PLL0re/beMgH/sY7+OoHm01QpO1rb71VunLX5ZmZ5t965kZ2mq0F2SxsKhB1bwGpHsZzCxz8IRt24qzcaM1ui4VngbJsevHVl5cXwGJpiLayryLJqy6KRcF//5U2ReoelUj+jfoa5f8/4svlp5/XpqelsbHpXXrpGee4bUPSbc2ZnZWOnJE2r3b7vfVr0pPPdUcpJOkM2csG88+K/3Jn0jvfGd5zx3opFOuZ2etP/nkk/b+uXmzDTJPTVl/5MwZu1+jYStnly+XvvAF6Vd+pdznD7TK0lbv22e5bTSkJUssy0lbPTlpt/M+DhfQViM0WdrqEyek//k/pZMnbaVsotGwjNNWo1VwxcKiBlXzHpBebDCzzIEztm0rlkuFp0Fy7MuxhXpJt5Wzs/bBNBlsnp7ur63Ms2jCqptquNTu+qLKvgA7HDT5top40NfuhRfsg+t999kM7sTYmHTJJfV67UPXqY3Zv9/eqyUrDs7OSn/+581Z/ImzZ+19PY6l73yHYiHc0C7XU1PS179uM/bvv1964AE7Z/1f/7W1iyOpEZizZ20l7ciIdPBgNb8DkJalrf761+1yyZJmrpO2enjYVhjyPg4X0FYjNL221XEs/eAHzc9srbk+edJuo61G2lDVTyBPrYOqmzbZ5dycXT8z0//PTgak0wehZP8+erR5MGaRDGbu3GmX6Q/EZQ6cJSsdh4asELl/v10ODbFtW2j6zbFvxxbqI2krp6ZssPn++62Df//9duLmxx/P/jPTHa+0foomg+ab4wNlqbIvwA4H5sABadcu6c47pbvvtstdu+x6Vw362q1aZe1cMgEp+Ypju37VqvyfM6rRro3Zt096+GHpqqtsC+JNm2yyTxxbHzOdreFhuy6Z2Q+4oDXXP/iBdO+9dtvP/Iz0ylfaZ6ZDh2wQuvXz/fCw5bzRsAkSQNWytNVnzlixsFNbXac+HNxGW43Q9NpWX3qprSycmemc61OnaKuxUFArC4tcjVf2rPeyB87Ytq0e+s1xSMcWwrJ2rX1InZhoDjYnDh+WvvIV6frrs7VleZ73Lo9VNxwfKEtVfQF2OPB3FTGvHbJobWOOHLHrN21q3md6WlqzxvqdjYatMk3Mztpx8PM/X+7zBrpJ5/rb37bVVFddtXCrr+XL7d/JNo1LljRvO3vWcv6Lv1j+cwfa6bWtXrXKJmwmxcEk83Nz9jll0yb6AXAHbTVC00tbLdnpHaLo3Lb67Fm7TD7zA4mgioVFDqqWXbyrYvCFbdvC12+OQzq24I48ttvbts06OVNT0oYNzetPnZJWr7aOUD/F7LyKJoPmm+MDZauiL5Bngd5Xvp63cdDX7tgxa8cPHrTfPzE2ZtcfO1bo00cF0m3M7t0Lt0OSbLXK+Li0cqW9lzcaC2//8R+XfuzHynmuQK+SXCd92vTgsyT9yI9Y1s8/3z7PJ7mem7NVAbfeKq1YUf7zBjrppa1etswuk8LK7KzddvasdOGF0u/+bj36cPAHbTVCs1hbLdlq2GXL7HNauq2WrA3/p/+UthoLBVUsLHJQteziHQNnKEK/OQ7p2IIb8joH5tKl0nXX2XYLrQPNO3bYdUkxO2txMo+iyaD55vhAXdR9hwOfVxEP8tqtXWuzuHfutNmwyTln16+3AiITIsLWrn+5bp1lYO1ay9DJk82tk9aulT75yfq0C/BPp89MF17YnNl/2WU2yS1ZtfK3/zbn4ITburXV559vn6dmZuw9/OxZW3H4hS9ImzdX83yBxdBWI0Tdcp2eWD89bRlftkx64xttPA1IC6pYWOSgahXFu7oPnCF//eY4tGML1cp7u70rr5S2b7cPrOmB5uFh217kggvyK05m1S7fs7P2Qfq666x971a05PiorzxW3vqmzjsc+L6KuN/XLulfTE1JF13UvN7VCRF1PC6L1K5/OTIibd1qk4C2brXzrJw+bX/v3/kdBp/htk6fmY4dk37iJ6y9OHjQCiujo9YPfv/76c/BbbTVCA1tNUJErpGXoIqFWQZV+/mwX0Xxrs4DZ2hv0IGqfnJcdMGCwni95L3d3rZtlpm5ufaDzVu2SLfcUt25wNL5fvxxO4/i8LD0ta9J9923eNEy+f979thXHEvXXLNwdhjCUlVxG9Wp6ypinyZEcFzmr9vrf889NrhBvxA+6ZbpD3zAZvfzeQe+oa1GaGirESJyjbwEVSyUeis6DPJhv8riHbOZkddAVT85LrqgR2G8PvLebm+xwea9e6s/F9joqLXZn/mMtd+txYDFipaHDkmf/Wzz2H/gAQapQ9Vp5e3kpHTzzdKv/IoVxekDhMWnolnefJgwlPeKeDT58PoDWSyWaT7vwEe01QgNbTVCRK6Rh+CKhVL3okPRH/aLKuj1WiQq6vEpVFbPhYEqCnrIQxHb7XXrFD3xhBvnAut3RaULxz7K0y4nU1PSgw/ae/CJE5YZisXhqfNAnOv9i7xXxGMh119/ICsyjRCRa4SGTCNE5BqDCrJY2E2RH/aL2p6o14Hioh6fbZfcwEAVQlHEdnvtJjQkA+yunAus3xWVHPv10pqT2VlpYsKyumKFtHq1ZYFicZj4cOemvFfEAwAAAADgmqGqn0DZivqw31rQ27TJLufm7PqZmX6fcXOgOD2oLtm/jx61geKiHr/I3wvZMFAFHzQadl693bvtstE49z7JdntDQ1bs2r/fLoeG+ttu78ABadcu6c47pbvvtstdu+x6aWFxMq3sc4H1W7TM69jv5bVB9VpzMjkpnT4tLVtm/x4ft8t0HwBAsVyZdAIAAAAAQFFqt7KwqA/7Ra786GWguKjHZ0WLOxioKh7b7Q4myyrkvLbb62XltSvnAut3RWUexz4rxP3RmpPpabv+1ClpbExav7553zwnitD+AZ0VsSIeAAAAAACX1K5YWNSH/SJXffUyUFzU47OazR0MVBWLYspg+jmvXh7b7fU6ocGFc4H1W7Qc9NjnnId+ac3J1JSdp3BsTNqxQxoebt43r4kitH9Ad65MOgEAAAAAoCi1KxYW9WG/yFVfvQwUP/poMY/PajZ3MFBVHIopg6tqFXKWCQ1VnQusdcXWhz4k7d3be9Fy0GOfFeL+SRe3Dx2S/uiPpDVr7HyFibwmitD+Ab1xYdIJEBJWtCNE5BqhIdMIEblGiPLKde2KhVIxH/aLXPXVy0BxUY/Paja3MFBVDIopg6tqFbLrExq6rdjKkqlBjn1WiPspXdx+zWuKmyhC+4c6y/qBqqpJJ0BoWNGOEJFrhIZMI0TkGiHKM9e1LBZK+X/YL3rV12IDxUU9PqvZ3MNAVf4opgyuqqJdWRMa+pmhk/eKrX6PfdcLqlhckRNFaP9QVwwUANVgRTtCRK4RGjKNEJFrhCjvXNe2WFiEold9LTZQXNTjs5oNoaOYMriqViGXMaGh3wFlV1ZssUI8DEVNFKH9Qx0xUABUx5X+EZAnco3QkGmEiFwjRHnnmmJhzqpe9VXU41f9ewFFopgyuCpXIRc5oWGQAWVXVmyxQhzd0P6hjhgoAKrjSv8IyBO5RmjINEJErhGivHNNsRBwECfbLRfFlHxUuQq5qAkNgwwou7RiixXi6IT2D3XEQAFQHZf6R0BeyDVCQ6YRInKNEOWda4qFgGM4h041KKbkI7RVyIMMKLu2Yiu01wb5of1D3TBQAFRn2zZpxQrpiSekJUuk8XFp3Trp2DFWtMNf5BqhIdMIEblGiDZvlmZmpIceki680DI9MtL/2OPAxUJWQKFuisw859CpFsUUtBpkQJkVW/AJ7R/qxLXJHECdHD4snTolPfKIDWxI9h507bXSbbfRP4KfyDVCQ6YRInKN0CQLjs6ckfbutXrF6Kh05ZXSxRf3N/Y4ULGQFVBNFE3roejMcw4dwC3dBpRXrLA35N27O7f7rNhyT5nv1/QNgP4UfewwmQOoRjIxcvly6a1vlY4ckaanpdlZu+7CC6t+hkB25BqhIdMIEblGaNILjrZtk179asv14cP2efbf/Bsbt8yq72IhK6CaQiqaMrDZWRmZ5xw6gFs6DSgPDdn3n/zk4u1+Hiu2aJvzUeb7dZbHquvrW9ffG92VdZwymQMoX+vEyIsuat7GxEj4ilwjNGQaISLXCE1rpoeHLdcXXWSZfvLJ/jLdd7GQFVAmpKJpSEXPIpSRec6hA7indUB55Urpj//Y2snW1YZFtPu0zfko8/06y2PV9fWt6++N7sruV7P9LjC4LBM/mBgJH2SdzESu4QPaaoSGthohcqGt7rtYyEFmQimahlT0LEoZmS/iHDpVrNxgtQhCkx5Q3rNHOn68nHaftjk/Zb5f9/pYdX196/p7Y3Gh9KuBusg68YOJkXBdP5OZyDVcR1uN0NBWI0SutNVD/f03DrJEKEXTZHAmXaCS7N9Hj9rgTN2Vkflky8OhIRsU27/fLoeG+juHzoED0q5d0p13SnffbZe7dtn1RaniMYEyldnu0zbnp8zXrdfHquvrW9ffG4sLpV8N1EHrxI9XvMI+yzz9tHTzzTaxqlV6YmTaIBMjgbz0k2mJXMNttNUIDW01QuRSW913sZCDzIRSNGVwZnFlZT7Z8vCmm6QbbrDL22/Pvi1ba0OzaZNdzs3Z9TMz+TzfTo958cVW3Dx71k6u+pGPFPOYQNnKbPdpm/NT5uvW62PV9fWt6++NxYXSrwbqID3xY2pKuu8+6f77bbLjX/2V9O53nztZMO+JkUCe+sm0RK7hNtpqhIa2GiFyqa3uexvS5AndcYc9kdblkXU5yIrYNjKRdSvHQbZ+ZHBmcWVmPo9z6FSxlVfymGvWWMN2+nTztpkZ6atflX7u5/J7PLY7RRWKbPdb0Tbnp8zXrdfHquvrW9ffG4sr8zgFMJhk4sfsrDQx0fxclJiebr+1dOu5oC+4wI7tuowfwF39Zloi13AXbTVCQ1uNELnUVvddLCzqCfmmqAJS1n1q+9mvOY3Bmd74lPkqVm688IKtKmzXsB0+LP3hH0o7d+bz9xo080C/ypw4QNucnzJft14fq66vb11/byyOyYiAP5KJH5OTNkEw3e+XpA0bmltLt05QzGNiJJC3QTItkWu4ibYaoaGtRohcaqsHKhZKHGRS/gWk1u0jEy+91L6KnPX+7TA40ztfMl/Fyo21a6WXX27fsC1dKp05k8+KxjwyDwyirIkDtM35KnPCRy+PVdfXt66/N3rj08QsoM6SiR9PP73w+lOnpLExaf166Zln2Foa/iDTCBG5RmjINELkUq4HLhbC5FlAyrp9ZF7bTTI445ZBt9isYuXGtm3SyIg997SkcVuzJp+GrYotVoFWZU0coG3OV5kTPnp5rLq+vnX9vfMW6nbcvkzMAuoo3e7s3Cl95jPSiRPN28fGpB07pOFhtpaGP5JcX3ON9MQT0vHjzdvINHxFrhEaMo0QuZZrioUOyrp9ZJ7bTTI444Y8ttisYuXG0qXSu94lvf/99twTSeP28sv5NGxVbLEKVIm2OWx1fX3r+nvnhe24AZStXbtzwQU2USGKbIuk9ettQIOtpeGL1lxfcIHN7F+/XrrsMjINP5FrhIZMI0Qu5ppioYOybh9ZxXaTKE6eW2xWsXLjp39a+qmfsn2WlyyRxsetcZuayq9hI/MAgDpjO24AZevW7qxda33+48dtiyS2loYvOuV6dFR6+GErgJNp+IZcIzRkGiFyNdcUCx2UdfvIKrabRHHy3mKz7JUbS5faysJkZsTMjHTwYL4NG5lHXYW65SCAbNiOG0DZurU7x45J73iHTRRka2n4pFOuN22SZmelN7/ZJr6SafiEXCM0ZBohcjXXFAsdlHX7yCq2m0RxQthis+gVjWQedcSWgwASIfQVAPhlsXbn2DE7hyHgk265HhmxQTpyDd+Qa4SGTCNEruaaYqGjshZb2t1/yxZp7147OSYrUPwRyhabRa9orGKLVaAqbDkIIC2UvgIAf9DuIETkGiEi1wgNmUaIXM01xUKHZS22pO9/4IB0yy2sQPERW2z2ruwtVoGqsOUggDT6CgDKRruDEJFrhIhcIzRkGiFyNddD1TwsitS6AmXTJrucm7PrZ2aqfoboJtlic2jIigD799vl0BBbbAJ1xZaDANLoKwAoG+0OQkSuESJyjdCQaYTI1VyzsjBArEDxH1tswleNhrVBL7yQ7/bHRf1cX7i6PQGA6tBXAFA22h2EiFwjROQaoSHTCJGLuaZYGCBWoISBLTbhmwMHbPVy3tsf5/VzfS44uro9AYBq0VcAUDbaHYSIXCNE5BqhIdMIkWu5DrpY6PPA8CBYgQKgbK3bHydeesmuv/32/mbG5PVziypkliXZnuCOO2yFeOvvwGw6AAAAAAAAAP0Ktljo28BwnoVNVqAAKFtR2x/n8XOLKmSWzcXtCQAAAAAAAAD4L8hioW8Dw3kXNlmBAqBsRW1/nMfPDek8rq5tTwAAAAAAAADAf0EWC30aGC6qsMkKFABlKmr74zx+LudxBQAAAAAAAIDOgiwW+jQwXGRhkxUoAMpS1PbHefxczuMKAAAAAAAAAJ0NVf0EiuDTwLBPhU0A6CTZ/nhoyCY67N9vl0NDg21/nMfPTRcc0ziPKwAAAAD8/+3dzW9cVxkH4NcfsZOUNm1iUpomThYtH3KF1AZQkOiCRSR2LEo3rJFgxQKpyhbELogIIaGwYQ1/AB8qLGCDyqYpQkEpUETiUKW0ztRtEtsTxzMsXo08njgfM/Zk7j3zPFJ04+uSXtFfzhzf9z3nAAAUurJwWCtchqFOhU2A+xnW9sc7/XMfdI5rux1x4UI2b8zN5WfI7OzOnhkAKFOzmbvDmDdQErmmNDJNieSaEsl1tRRZLHzQi+EqndtXp8ImwIMMa/vjnf659yo4vvdexJkzuR1072fF/PzuPT8AUH+Li/kzpnkDJZFrSiPTlEiuKZFcV0+R25BGbL4Y/u53I775zbyePVu9oA1r6z4AtuoUHE+fzmu7nZOSVivPjT1+PK+tVt6/fXvUTwwAVEWzad5AeeSa0sg0JZJrSiTX1VRssTDi7hfDVS281aWwCVCSv/89u5e6V3VH5NfLy7kKEQAgwryBMsk1pZFpSiTXlEiuq6nIbUjraFhb9wGwvaWl3OZgOxMTuV0pAEBEfeYNzn2hH3XItUzTjzpkOkKu6U8dci3T9Euuq0mxEICxNDeXW5Fup93Ocw0BACLqMW9w7gv9qnquZZp+VT3TEXJN/6qea5lmEHJdTYqFAIylhYX8oG80tm570Gjk/RdeGN2zAQDV0u+8obcT+bnnIt55Z3idyb30+Uu6AAALyUlEQVTnvnQ/37lzecxFVY/lYHT6yfV23fURw+u4l2kGYaymRFXOtUwzqJ3MQYzVw6NYCMBYmp3NjqBz5yIuX767U6jUD36AEo3jFjE8Wv3MG3o7kT/6KPN5+HDEU09FHDiQ3dK72ZncOfel+4VGRL58uXw5z31x7AW9HjbX23XXR0R8+GHE6mrE3r27n2uZZhDGakpU5VzLNIMadA5y40YWCufmIiYn8885cSLitdeM1btBsRCAsTU/nx1BFy/mfuiHDmX3kkIhQH2M6xYxPHoPM2/o7US+fj3id7/L+41GxDPPROzfHzE9vdmZ3G7vvNhdh3NfqKYH5Xq77vrr1yN+9auIjY2IY8cyY3v3bub6hz+M+Ne/ZJrRMFZToqrmWqbZiX7nIHfuRPzmNxH//W/Eu+9GHDmSObt6NWJlJeL8eWP1TikWAjDWZmbK7QgCKN04bxHDaDxo3tDdiXznTsSf/pTXAwci1tYi9uzJlxiXLkU8/3zEH/6Qv3Za7K76uS9U2/1y3dtd38l1u53/u+npiMcfzxWGly7li7tvfzu/J9OMirGaElUx1zLNTvUzB7l2LQuFs7PZsNQ9B3njjSyO//GPxuqdmBz1AwAAAAyi8wNk9zkXEfn18nJ2qcKj1N2J/MEHEbdu5YuMjvX1iH378qVdoxHxi19sFruPH89rq5XF7tu3H/7f233uSzdnMbNTvd31vbleX8/rvn3Z1f/Xv+ZVpqkyYzUlGkWuZZph6p2DXLuWRcI9e/Lr7jlIsxnxk58Yq3dKsRAAAKilcd4ihmrq7kReWdl8Sddu5wuN1dU8a6Xdznyur+9Osbtz7svkZJ6lcuVKXicnncXMzvR212+X60Yjc726mi+hn356658h01SNsZoSjSLXMs0w3WuFX2+mW60sBq6uGqt3yjakAABALY3zFjFUU3cn8v79eY7bxx9nh39E/v7GjXyh8ZnPRDz11PZ/ziDFbmcxMwzdmT54cDPXH36Yq1YiNps2bt3KTB8+fPefI9NUibGaEo0q1zLNsPTOQY4cyfu9mY6ImJrKnw23Y6x+eFYWAgAAtTTOW8RQTd2dyCsr+UJubS2/99hjeV1byzOE1tby3JVr1/LrboMWuzvnvpw+ndfSX2gwfL3d9aurmeuNjc1Mr69n3lut/L1MU3XGakp0v1zv35/5XVnJcXpjIwsgvZmOGCzXMs0wbDcHabUyo91zkFu3Mu83bxqrd8rKQgDGSrOZZ1wtLWXX0cJCTkAAqJ/OD5DnzuUPkL0H2Y/DD3RUT3cn8m9/m2cCPfZYvshoNDKjU1MR77yTmV1czDnJl78cceCAYjfV09tdf/JkxM9/nll+7718UXfnTq5iaTQiXn894tlnZZpqM1ZTou1yvWdPxPvvbx2r//GPLKq8+27EV7+amY6Qa6qnO9N/+UvE//6X24pev5553djIXLfbERcuZHOHsXpwioUAjI3FxXyhvLx89wvl+flRPx0AgxjXLWKotk4n8tJSxD//mV//+c8RR4/mPCRic2XWzZt5rtDrr2cR5tAhxW6qp5PpiJxDv/RSxN/+lluOLi9nhmdmckuwmzfznkxTdcZqStSd60uXth+rb97MprtWKzP9hS/k6i0Nd1RRd6aPHYv44hcjfv3rLIR3ct1qGat3w1gUC60iAaDZzEJhqxVx4sTm/UYj7589a/IAUFfdL7GhSubm8uXb1FT+DDo1lS+e9+7N65NPRnziExHPPZdnrnztaxGvvmpOQrXNzWVRcGoq4vHH8/edzM7M5L2FBZmmPozVlOh+Y/X0dN7/0pdypdapU/lLwx1V1jmvvtG4O9dra8bq3VB8sdAqEgAismlkeXlroTAiD0m+fDlXpLz0kgYTAGD3dM7V/M9/8uv19c3r9HR2QH/8cZ4l9MQT2fnvhQZVt7CQ+W02s6u/o5Pr/ftlmnoxVlOiB43Ve/fm9+bmIp5/XuMd1WesHr7JUT/AMPWuIjl+PK+tVt6/fXvUTwjAo7K0lE0j25mYyK3rFhcjzpyJ+OlPI375y7yeOZP3AQD61TlXc+/ezbNVVlbye0eP5kqWiHyp0W7nVklQdbOzEd/6Vmb2o4/yjLcbN/J7R4/m3FqmqRNjNSUyVlMaY/XwFV0s7KwiOXhw6/2DB/P+xYujeS4AHr3OdgXbabdz+wINJpDNVhcuRPz+93ltNkf9RAD1d+hQdvVPTOSLjDt38v7qar7wmJ7OTukXXhjtc8LD+vSnM7udTG9s5P21NZmmvozVlMZYTYmM1cNTdLHwYVaRADAeOtsVNBpb7zcaeX9iQoMJWF0LsLs6u91MT0d8/esRzz4bceRIbpf09tu5VdLRo/nC43vfs1US9dBsRvzsZxEvvhjxqU9lrvfsibh5M+cMR47INPVirKZExmpKY6wevqLPLHzQKhJLUQHGR2e7gnPn8ozC3nNs335bgwnjrXf79o5GI++fPWuyDdCv3jOTT5+OeP/9fFF39WrEN74R8ZWvZOezMZa66M710aObmV5by63uXnkl4tVXZZr6MFZTImM1pTFWD1/RxcLuVSTdK0U6q0gsRQUYL/PzWfC4eDGLf4cObU4ilpY0mDDeeifeHQcPZoH94kWH3gP0q3e3m6mpiGeeyd/PzER87nPGVuqnO9fdmY6IuHIl4vBhL+moF2M1JTJWUxpj9fAVXSx80CoSA+Lgms18qbi0lCs4Fxby/2+AqpuZ2X7yoMGEcWf7doDdZ7cbSiTXlEamKZFcUxqZHr6ii4UR919FwmAWF7MAu7x8dwF2fn7UT0e/FH4haTBh3Jl4A+w+zUiUSK4pjUxTIrmmNDI9fMUXCyM2X3z1Xumf84zKsrgY8aMfZWGk2cxiyYkTEa+9pvDLeBpFg4mCPVWx3cT7zp2If/87z7VYX9/8rICqMqZSNf00I8kvdSHXlEamKZFcU5p+m/zlun/FFwutgttdzjMqR7MZ8YMfRLz55tb7V69GrKxEnD+v8Mt4utc2pcPgM4oq6Z1437qVn/sROak+f14+qTZjKlX1MM1I8kvdyDWlkWlKJNeU5mGb/OV6MJOjfoBh6l0Fd/x4XlutvH/79qifsH6cZ1SOt96KeOONfDn85JObv2Zn8/5bb436CaFsPqOoos7E+zvfidjYiPj85yNeeSWLhfJJlRlTqbpOM9Lp03nt7eaXX+pIrimNTFMiuaY098t0hFzvRNHFws4quO49bCPy6+XlrEDTH+cZlePNN3Nw3Ldv6/19+/J+74pDYHf5jKKqZmby1xNPRHz2sxFTU5vfk0+qyphKnckvJZJrSiPTlEiuKZFcD67oYqFVcLuv+zyjbg4SBeiPzyiqTD6pG5mlzuSXEsk1pZFpSiTXlEiuB1d0sdAquN3XOc9ocjLPM7pyJa+Tk9sfJEp1nTyZ/71WV7feX13N+ydPjua5YFz4jKLK5JO6kVnqTH4pkVxTGpmmRHJNieR6cNOjfoBh6l4F173s1Cq4nXnYg0SpthdfjDh1KuLChdzLudupU/l9YHh8RlFl8kndyCx1Jr+USK4pjUxTIrmmRHI9uKJXFloFNzwPOkiU6pudjfj+9yNefjni2LGIp5/O68sv533/TWG4fEZRZfJJ3cgsdSa/lEiuKY1MUyK5pkRyPbiiVxZGWAUH9zM/H/HjH/v7AaPiM4oqk0/qRmapM/mlRHJNaWSaEsk1JZLrwRRfLIzYXAUH3M3fDxgtfwepMvmkbmSWOpNfSiTXlEamKZFcUyK57l/R25ACAAAAAAAA96ZYCAAAAAAAAGNKsRAAAAAAAADGlGIhAAAAAAAAjKmJdrv98P/wxMQHEXFleI/DGDvebrc/+aj/pTLNkMk1pZFpSiTXlGYkmY6Qa4bKWE2J5JrSyDQlkmtKc89M91UsBAAAAAAAAMphG1IAAAAAAAAYU4qFAAAAAAAAMKYUCwEAAAAAAGBMKRYCAAAAAADAmFIsBAAAAAAAgDGlWAgAAAAAAABjSrEQAAAAAAAAxpRiIQAAAAAAAIwpxUIAAAAAAAAYU/8HsQbQXuGAQ2oAAAAASUVORK5CYII=", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -2979,20 +2963,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3007,20 +2991,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3035,20 +3019,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3063,20 +3047,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAABwsAAAD7CAYAAACVBXu8AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdf5Rd1X3f/c+ZGWmkQT8Q6AeysaQYhBFybDCkRSRx7aYTQ5q47UrBheVfrZ2nK8a1s5wVy09b25A4Tx0cOykFu/FDVtPEhIeHtM+Km7Aw4Jg0iWVbIGIXGQyWQRIBIQ1oRhIa3dFI5/ljz+3cuXN/nHPu+bH397xfa8260p07M3fmfs4+++7v3vtEcRwLAAAAAAAAAAAAQP0MVf0EAAAAAAAAAAAAAFSDYiEAAAAAAAAAAABQUxQLAQAAAAAAAAAAgJqiWAgAAAAAAAAAAADUFMVCAAAAAAAAAAAAoKYoFgIAAAAAAAAAAAA1ZbpYGEXRI1EUfbDL5zZFUXQiiqLhtI8FykSOYRG5hjVkGhaRa1hDpmERuYZF5BrWkGlYRK7tCa5YGEXRc1EU/aNBv08cxwfiOF4Rx/GZtI/tFW6fRVEUR1H06tzBdyKKoruqfk51RY6zi6Loy1EU/SCKorNRFL2/7XPvj6LoTEvGT0RR9LZqnmn9kOtsoii6JIqiP42i6EgURa9EUfS1KIre0PJ5cl0RMp1NFEVroyj6myiKXo6iaDKKol1RFP1ky+fJdIXI9eCiKHrvXL/6gy333RJF0em2XL++yudZF2Q6u17vD8l0tch1dlEUDUdR9Jkoil6Iouh4FEWPR1F07tzn6INUiFxnE0XRT7dl9sRc+/2Lc58n1xUh09lFUfQPoyjaE0XRsSiKfhRF0f/R8rm3zY35tWb6fVU+3zoh19lFUfQLURQ9MZfZb0ZRdFnL54Joq0eqfgJ1E0VRJCmK4/hsRU/hzXEc/7Cinw0jKs7xdyXdK+m3unx+VxzHP1Xi84ERFeb6XElflfQvJR2X9ClJfyrp0pbHkGukVmGmT0j6V5KekRRL+ieS/kcURevjOJ6dewyZRiZV96WjKFoj6d9K2tvh0/fGcfzukp8SAld1ptX7/SGZRiYV5/pWSddI2iHpgKTtkk61fJ4+CDKpKtdxHP+VpBUtz+Ntkv6HpAdaHkaukVpVmY6iaImk/0/SxyV9WdJVkr4RRdG34zj+7tzDXojj+MIynxdsqDDXWyXdLennJH1L0q9J+moURZeGNA4S3MrCVnMV2b+Ooui3oyg6GkXRs1EUXdf2sM2Rm91+PIqiB6MoWjv3tVvmZuK0FkwviqLoO3OzGv40iqLz2h8bRdFvSvppSXfMVYDvmHvMNVEU7Y6iaGru9pqW5/lIFEW/GUXR30g6KelXoyh6rO13+VgURX/a5fd8JIqi/9DpuSF85DidOI7vjOP461r4hg+eIdfJxXH8nTiOfz+O41fiOD4t6XckvSGKovPTfB8Ui0wnF8fxqTiOfzDXOY8knZG0RhJ9F8+Q60z+g6TbJU1k/HoUiEzDInKd6m+1RtKvSPqlOI73x84TcRzz3tEz5Hog75P0J3Ecvzrg90GOyHQq50laJemP5trp3ZKelHRZ7y9D2ch1Ku+Q9FdxHP/1XHHwtyS9VtI/SPl9qhXHcVAfkp6T9I/m/v1+Sacl/ZKkYUm/LOkFueqxJD0iaZ+kSyQtn/v/Z+c+t0VutvtIy2P/TtIbJZ0j6b9J+kqPx36w5TmdJ+mopPfIrda8ce7/57c8vjmjbUTSqKRXJG1r+R6PS/rFLr9z1+c29/nJHh+faHlcPPf3OSTpv0vaUvXrWdcPcpw9xy2P/2tJ72+77/2SXpUbwHta0iebvy8f5DqEXM99zT+V9CK5rv6DTA+WaUnfkzQz9/v832Tajw9yPVBf+u9JelRuwmX773CLpKm557VX0i9X/VrX5YNMF/P+kEyT6xBzLemtc//fOZfrpyXd3PI93i/6IOQ6sFy3fb9z5HajeRu5rv6DTA/UB/ljSTfP/a12SDos6XVzn3ub3PvIlyQ9Kzeh+pyqX++6fJDrzH2QD0u6v+VrhuUWuny05W/pfVtd+RPIIbA/bPnc2FywLmh5of99y+c/JOmBHiH8bMtjL5NrmIYTBPY9kr7T9jx3aa6QMff4X2/7/Jck/ebcv7fPBXy0R2A7PreUf7u3Sloqt+XdHZKe8DGUdfggx9lz3PL1nYqFr5f0Y3KDeD8u6fuS/s+qX++6fJDrXHJ9oVwH5caW+8h1RR9kOpdML5PryL+v5T4yXeEHuc6W67nf41FJV3f5HS6T9Jq5x10j6UW1tOV8kGnfMj33dV3fH5Jpch1iriXdNPc7/L7coOWbJB2RND73efogFX6Q61z61u+RK55ELfeR64o+yPRAfZBfkCsGzs59/FLL5y6Y+75Dc9n+n5J+r+rXuy4f5DpzH+RSuWLg2+T615+UdFZz7bECaauD3oZ0zqHmP+I4Pjn3zxWdPi+3DLX1c+0Otvx7v6QlktYmeA6vmXt8q/1yS007fW9J+q+SboqiKJIL/P8bx3GjgOf2v8Vx/D/jOJ6J43hS0kflArotzfdAYchxDuI4/lEcx8/GcXw2juP/JenXJf3zvL4/UiPXKURRtE7Sg5K+GMfxPc37ybVXyHRKsduS9B5Jn4ii6M1z95Fpv5DrZD4k6XtxHH+r0yfjOP5+HMcvxHF8Jo7jb0r6jyLXVSHTCfV6f0imvUOuk5meu/31OI6n4zj+nqT/R+76QfRB/EOu03ufpD+M50aeJXLtGTKdQBRFl8q1ze+VK6psl/TxKIr+sSTFcXxorh9yNo7jZ+WubfiLSb8/ckeuE4jj+Cm5NvoOuUl2a+UKgs/PfT6IttpCsTBPr2v59ya5ZbadrkcSt/3/BUmb2+7bJLc6pOPXzA00zMjtwXuTpD/K+tzm9u/t9vFve3zPWO5aQrClbjnuhYzbYTrXkbu+yoOSvhrH8W/2+Xnk2gbTme5gidxMuk7ItB2Wc/0zkv5ZFEWHoig6JLfS6vPNa2h0+R3JdfgsZ7qTXrkl03ZYzvX3OjyP9t9DbZ8j1zZYzrXmHvs6uRUrf9jn55FrGyxn+o2Sno7j+GtzhZMfSPpzSe3Xwmt9vtQwbLCca8Vx/CdxHL8xjuPzJX1absXk7i4/z8u2mgNtoXdHUXRZFEVjctXdP4nj+EyHx72khQNe90u6JIqimyJ3Ic53yS1X/bM+P+8P5arNp+M4/uuszy2O4xU9Pv4vSYqiaHsURZdHUTQcRdEKSZ+XO6Ce7PNzER6zOZakKIqWRlG0TK5BXRJF0bIoiobmPnddFEUb5v59qdyS744Xr0VwzOY6iqJVkr4m6W/iOP5E+zcn12ZZzvTVURT91Fx7vTyKop2SNkj69tznybRdZnMttwXPNkmXz308KulWSf9OkqIo+idRFK2JnL8n6SMi1xaYzXS/94dk2jSzuY7jeJ+kv5L076IoGo2iaJukf9F8jvRBTDOb6xbvkfTNuZz/b+TaLMuZflzS1iiK/uFcP+MiST+vuQkfURS9PYqizXOfe52kz4pMW2E514qi6Mq5vvU6SV+WWxDw1NzngmirKRYu9EeS/kBu+ewyuTdEnfxHSf88iqKjURTdHsfxy3KN2q9KelluefTPx3HcqTLe/vPeKOkrOT63bjZIulfSMUk/kqts/3wcx6dTfh/4z3KOJbf6alpuRv+X5/791rnP/Yyk70VR9KrcieS/S2rvYCNMlnP9zyT9hKR/GS2cnbRp7vPk2ibLmR6VdOfc8/s7ua2//nEcxy/MfZ5M22U213EcT8ZuS6RDcRwfkpuleiyO46m5h/wLST+UdFzuTelvxXH8X9P8DHjJbKbV//0hmbbLcq4ld63kzXPP8c8lfTKO46/PfY4+iF3Wcy25LRs7tcPk2iazmZ4reP8rSbfL9UP+UtJ/k3TX3EOukPRNueu/fVPS/0r7M+Ats7lued6Tkn4gd43EX2r5XBBtdRTHvXZkQJGiKFou6bCkt8Rx/EyPxz0i6StxHN/V7TFAVcgxLCLXsIZMwyJyDWvINCwi17CIXMMaMg2LyHV6rCys1i9L2t0rrEAAyDEsItewhkzDInINa8g0LCLXsIhcwxoyDYvIdUojVT+Buoqi6Dm5a67904qfCpAZOYZF5BrWkGlYRK5hDZmGReQaFpFrWEOmYRG5zoZtSAEAAAAAAAAAAICaYhtSAAAAAAAAAAAAoKYoFgIAAAAAAAAAAAA1leqahWvXro23bNlS0FNBnT322GMTcRyvK/vnkmkUiVzDGjINi8g1rKkq0xK5RnFoq2ERuYY1ZBoWkWtY0yvTqYqFW7Zs0aOPPprPswJaRFG0v4qfS6ZRJHINa8g0LCLXsKaqTEvkGsWhrYZF5BrWkGlYRK5hTa9Msw0pAAAAAAAAAAAAUFMUCwEAAAAAAAAAAICaolgIAAAAAAAAAAAA1BTFQgAAAAAAAAAAAKCmRqp+AqFqNKS9e6WJCWntWmn7dml0tOpnBeSPrMM6Mo5QkV2gGhx7qAK5g0XkGhaRa1hDpmERue6MYmEGBw5IX/iCNDkpRZEUx9K550of+5i0aVPVzw7ID1mHdWQcoSK7QDU49lAFcgeLyDUsItewhkzDInLdHduQptRouDCdPStt2SJt3uxuz55198/MVP0MgXyQdVhHxhEqsgtUg2MPVSB3sIhcwyJyDWvINCwi171RLExp715XdT7vvIX3n3eeu/+JJ6p5XkDeyDqsa8347Kz04ovSvn2u4/Dyy2Qc/qJ9BqrBsYcqkDtYRK5hEbmGNWQaFpHr3tiGNKWJCbc8tZMocgPMgAWtWZ+dlY4ckU6elMbG3GwLso7QNTM+NSXt2iWdOjX/uZkZ6cknpbe8pbrnB3RDXwSoBsceqkDuYBG5hkXkGtaQaVhErnujWJjS2rVuH9tO4lg6//xynw9QlGbWuxVS3vWu6p4bkIe1a10hfNeu+f3Jm156SfrzP5euv15aurS65wh0Ql8EqAbHHorQaLgZzhMTLmPbt0ujo/Of75S75kS+55+XDh9236P1a4Aq9cu0tDjXrZNTJyellSvLfc5AP+Qa1mTJtEQfBH6jrR4cxcKUtm93A8qvvLJwueorr7j73/jG6p4bkKft26UVK6RvfMM1rM1CyvS0u33gAWl8nEIKwrV9u3TmjCuIb9gwf//0tLR6tTQ87LYfYHUhfENfBKgGxx7yduCAuzbK5KSbydycvPSxj0mbNrnHtOeuOZFvasp9zQMPSLt3L/waoCpJMi0tzPXw8Pzk1EbDfd3dd0uveQ2Zhh/INazJkmn6IPAdbXU+uGZhSqOjLmRDQ9Jzz0n797vboSF3P4UTWDE6Kl13nVtF2Gi4xrbZ4L797dLx4+zjjLCNjko/93Mu0818NzO+Y4c0MsL2A/BTnfoijYa0Z4/04IPuttGo+hmhzup07KF4jYYb0Dh7VtqyRdq82d2ePevun5lxj2vN3b590te+5gbpVq+W3vEO6aKLFn8NUIWkmZbmc3327HympflcRxGZhh/INazJkmn6IPAdbXV+WFmYwaZN0m23uULJyy+7LYfe+EYGCGDPkiXSVVdJy5fPX69w/Xo382JykkIKwnfZZdKVV7pst2f86FG2lIO/6tAXSTozEChTHY49lGPvXte+bdmy8P7zznNF6NbdDZq5u+8+t1X6j/3YfH+l29cAZUuTacnl+j3vcRMvzj13YT9cItPwA7mGNVkyTR8EvqOtzg/FwoyWLq1nYFAva9e6GUQbNy7+HNfmgQXbt7scnz27MOdsKYcQWO6LtM8MbHrlFXf/bbdRnEF1LB97KM/EhJsI0UkULZ6Ut3SptG6d9NrXdu6bd/oaoExpMy252fxr17oVAEm/BigTuYY1WTJNHwS+o63OD9uQAuiqdR/nVhRSYAVbygF+as4MbL0unOT+PznJNtgAwrd2rZt810m3SXlZvgYoC5mGReQa1mTNJ7mGz2ir88PKQgBdNQspX/iCK6C0bwNHIQUWsKUcrGg0XJFtYsJ1fLdvd+14iLLMDASAkLROymudGNFrUl6WrwHKQqZhEbmGNVnzSa7hM9rq/FAsHIClQTmgGwopqAO2lEPo8r6+X9V9HGb5AbAuy6Q8JvLBZ2QaFpFrWJM1n+QaPqOtzg/FwozyHpQDfEYhBQCSqaLIlvf1/Xzo4zDLD4AF/c4JnSblbd0qPfOM9NRTyb+GiXwoS5ZMv/GNri+xZ0/nryPTqBptNawpoq3u9XXkGmWgD1IOioUZ5D0oBwAAwldVka15fb/WPonkimzPPec6vkknfBTRx8lSQGWWH4DQJT0ntE7KO3BA+uQn030NUJYsmU76dWQaVaGthjVFttWdvg4oA32Q8gxV/QRC1ByUa53pLrn/T066QTkAAFAf7UW2zZvd7dmz7v6ZmeJ+dp7X98u7j3PggLRzp3T77dI997jbnTvd/f00Z/l95CPSTTe529tuYwcHAP7Lck6o8jwC9JM1n+QaPqOthjW01bCIXJeLYmEGeQ7KAQCA8FU5kSjP6/vl2cfJo3PenOU3Pu5uWVEIIARZzglMSIXPsuaTXMNntNWwhrYaFpHrcrENaQZ5DsoBAIDwVTmRKM/r++XZx8lze1QACEmWc0Kar6ni+riot6z9nKRfR6ZRBdpqWENbDYvIdbkoFmaQ56AcAAAIX5UTifK8vl+efRx2YgBQV1nOCUm/pqrr46LesvZzknwdmUZVaKthDW01LCLX5WIb0gyag3JDQ25Qbv9+dzs0lH5QDgAAhK+1yNaqrIlEeV3fL88+DjsxAKirLOeEJF/DtVdQlaz9nH5ft3UrmUZ1aKthDW01LCLX5WJlYUbNQbknnnAz488/34WTQiEAAPWT5+q+rJrX9xtUXn0cdmIAUEfNrYyuukq6/37p6FFpZGTxOaHTlkf9ziN79qTf3pmtlTCoQTLdr3/0zDNkGtWgrYY1STPd+tikuaatRlXog5SPYuEA8hqUAwAA4bM0kSiPPo4PBVSErQ5vxmBL+1ZGw8PSmTPStddK27bNnxN6bXnU6zySdnvnAwfc99u/3x1Po6Nu1vTHP17frZWQTh6Z7tU/euopMo3y0VbDmqSZ7vTYJLmmrUYV6INUI6hiIQMGAADAZ0wkWshSARXlGuT6EbxnQBXat51reuUVafdu6frr52c+d3vcF77g2sxu55E02zs3GtItt7gVLq0OHpROnpT+83+mLUZveWa6W/+ITKNstNWwJmmm+z22V67JNMpGH6Q6wVyz8MABaedO6fbbpXvucbc7d7r7AQAA4Kdm53x83N1a6USjOINc64f3DMiq0XADAA8+6G4bjXRfv3evK263brssuf9PTrpJE2ke10maa7Y8/rj0rW+5Qvm5585/jI66+x9/PN3vh/CQaVhErmFNWZlO+9hWZBpp0VaHK4iVhUmrxAAAAADC1nzTl+b6ERLvGZDdICtZm5JuO5d2e7pWabZ3fuwxV1hfvnzh91i+3P2Mxx6T/v7fT/a7ITxkGhaRa1hTZqbTPrYVmUYatNVhC6JYmHXAAAAAwGdslwgslvVNH+8ZkEVeReakWxml2fKoE7Z3Rj9kGhaRa1hTdqbTPrYdmUYStNXhC6JYOEiVGAAAwDeNhvTww9Jdd0mzs9KaNdLQUPoZd4BFWd/08Z4BWeRVZG7dyqh1K6T2rYySPq6XJNfHvfJK97jp6YWzoKen3f1XXtn/5yBMZBoWkWtYU3am0z62EzKNfmirwxfENQsHrRIDAAD44sAB6Vd/Vfq1X5OeespdFPvpp13BMMk12QDr0lw/ohXvGZBFryLz2bPuOiRJrrfS3MpoaMgNhuzf726HhhZuZZT0cYO64gppxw73nCcn5z8aDXf/FVfk83Pgn34TJ158Mdl1hMg0fEJbDWvKbqvTPjYrMl1v9EHCF8TKwjyqxAAAAFVrbssxMeE6ruee6+6fnpZ27ZLGx13xkO0SUWdprh/RivcMyKJbkXlqSnr0Ueno0fnH9Fv9nXQrozK2PBodlT79aelzn3PHUaPh7tuyxU1WqfP2Stb1mjhx/Lh0993u9U9yHSEyDV/QVsOaKtrqtI/NgkzXG32Q8AVRLMw6YAAAAOCT5rYcS5YsvH/5cnf/4cNslwhI2d708Z4BWXQqMs/OSt/4hsvM5ZdLw8Pu/iTXW0mylVGaxw1i0ybp85/nOix1023ixJEj0r590jXXSOvWzd/fL9dkGj6grYY1VbXVaR+bBZmuL/og4QuiWChxwUkAABC+5rYcY2OdP3/ypBvoYLtEINubPt4zIK1OReaJCbcd9DveMT/4LKW/3ooPyhg8gV+6TZyYmZEuvnjhIJ0UXq7JdD3RVsMa2mpYRK7DF0yxUKrHCwIAsK3RcKvLJibcFg3bt7sOFeqhuS3HunXSsmWLL5A9Oztf3ACQDe8ZkFZ7kfnpp6Vvf1tavXrxY1n9jRB0mjhx6JB0332dH0+uEQLaalhDWw2LyHXYgioWAnVDUQGw5cABN8NqcjLZHu2wp7ktx7Fj7kLYu3bNXxg7ilxbz3aJAFC+1iLz+edLu3d3flwcs/obYWifOLFnT/frCJFrhIK2GtbQVsMich0uioWApygqALY0Gu6YPnvWXQS5Kck1NWBH67YcR49Kl1zibpcskT7wAWl8nBwAQNW6XW/llVfc/az+RojINawh07CIXMMich0OioWAhygqpNNcgfnCC9LUlDvRbNzISkz4Ze9eV/xvPaal8PZox+C4phrqiN0SEJJu11tpTtyrY3vNMRw+cr0YuQ4bmV6MTIePXC9GrsNHrhfyOdMUCwEPUVRIrrkC8/nnpe9/3100d+lS19C+9rWsxIQ/JiZch6gT9mivH66phjphtwSEiIkdTqMhPfywdNdd81tmz8y4a+/eequ0dWvVzxBpkGuHXNtBph0ybQu5dsi1LeQ6jExTLAQ8RFEhmeYKzNOnXbFw5Upp+XJpelo6eFBav56VmPDH2rXs0Q6gftgtASGr+8SOAwfcMfoXf+EGMqam3P3r1rm+9w03SPfdJ118cbXPE+mQa3JtDZkm0xaRa3JtUZ1zHUqmh6r98QA6oaiQTHMF5uysdOqUKxRK7vbUKXf/5KSbtQJUrXWP9lZV7NHeaLgLTD/4oLttNMr72QBs6tauNM/VrdemkNz/OUcD/moW+icmpJER17deutR9HDvmBjZOnZI+9Sk34AGEgFzDGjINi8g1rAkp00GtLPR5P1cgT1z4NZnmCsyTJzt//uRJ1wizEhM+8GWPdrYDBJC3Xu0KuyUAYWoW+pcsmZ+Et2yZ+9ypU9Krr7q+zcQEl0hAOMg1rCHTsIhcw5qQMh1MsZDBTdSJL0UF3zVXYI6Ndf782JibZMBKTPii6j3a2Q4QQN76tSs33shuCUCImoX+sTE3oNHu9GlpaMgNdFD0RyjINawh07CIXMOakDIdRLGQwU3UUdVFhRA0V2CePu0a1Onp+WsWLlvmVhUuX85KTPilyj3am7OZWs+lklvB/Nxz1c9gAhCefu1Kc7ITuyUAYWlOylu3TjrnHLdFUquzZ92Ax+rVFP0RDnINa8g0LCLXsCakTAdxzUKudYK6ahYVxsfdLYXChZorMJcskS68UDp+3F0U9vhx6XWvc/ezEhOYx3aAAPLWr105ftydi4eGXPFw/353OzTEORrwWXNS3rFj0tve5ibhnTjhPs6ccQMa27bNT2gEQkCuYQ2ZhkXkGtaElOkgVhYyuAmgm9YVmIcOSUePuokEGzawErMuuJ5tcs3ZTJ2wHaANHA8oW5J2pczdEjgGgHy0XhZhclL6yZ+UHn/cDWhs3Spt3OhmP1P0R0jINawh07CIXMOakDIdRLGQwU0AvVS5rSOqxfVs02nOZmI7QJs4HlCFpO1KGefqQY4BiozAYu2F/lWr3P3HjnGJBISLXMMaMg2LyDWsCSXTQRQLGdwEALTjerbptc5meu65xYPp/L3CxfGAqvjSrgxyDFBoB7pjUh4sItewhkzDInINa0LIdBDFwiyDEMwOBgDbmtezbR0Ultykkueec7N1fD8JV6HM7QBRHo4HVMmHdiXrMUChHQAAAACAQIqFUrpBCGYHA4B9XM82uxBmMyEdjgdUrep2JesxQKHdLiaPwiJyDWvINCwi17CGTNdHMMVCKdkgxKCzgwk/UB2OP6TB9WyBeVmOB9pcWJL1nECh3SYmj8Iicg1ryDQsItewhkzXSxDFwjSDWYPMDib8QDGSHMMcf0iL69kC89IeD2nbXAqL8F3WcwITT+xha1lYRK5hDZmGReQa1pDp+vG+WJh2MCvr7GDCDxQjyTHM8YcsslzPto4o8tRDmuMhbZvLZA6EIOs5gYkn9rC1LCwi17CGTMMicg1ryHT9eF0szFJAyDo7mPAD+Ut6DHP8Ias017OtI4o89ZL0eEjT5jKZAyHJck5g4ok9bC1bLSYpFYNcV4tc549MV4tMF4NcV4tc549MV6/sXHtdLMxSQMg6O5jwA/lLegxz/GEQSa5nW0cUecKSVwcwyfGQpM1tPp9du6R9+6Q3v3nh45jMAV9lOSds2iT9xm9IX/2qm2SxaZP0zndKK1cW8xxRLLaWrQ6TlIpDrqtDrotBpqtDpotDrqtDrotBpqtVRa69LhZmKSBknR1M+IH8JT2GOf6A/LFiNxxFdwDbC5GrVvVuc2dmpJ073fN54QXpRz+SjhyRduyQVq+efyyTOZAHH2YAtx+DP/iBtHs3gwuhYmvZajBJqVjkuhrkujhkuhpkuljkuhrkujhkujpV5dqbYmGngYKsBYQsWxARfmBeXgN3SY9hjj8gf6zYDUPRHcBOhciVK6Whoc5t7sqV0gMPuMdu2eLa/sOH3dft2iWNj0vDw+7xTObAoHyYAczggj1sLVsNJikVi1xXg1wXh0xXg0wXi1xXg1wXh0xXp6pce1Es7DZQcPPN2QsIabcgIvyAk+fAXdIiIMcfkD9W7IahyA5gexFkdtatEDx40GVgdHRxmzs+Lt133/zzWbdOWrbMff7UKVc43LiRyRwYnC9FujLfhPmwirIuuKZx+ZikVDxyXT5yXSwyXT4yXQvI8bQAACAASURBVDxyXT5yXSwyXY2qcl15sbDXQMGdd0of/rB0xx3lFBCKCD+DAvBRt1zmPXCXpgjIyQfIFyt2w1BkB7C1CDI15VYGnjrlPnfihCsCvve9rp1ttrmPPLLw+YyMuO1Hd+1yX/Pcc+5ckaQvRh8IvfgyA7isN2E+rKKsG65pXC4mKZWDXJeLXBePTJeLTJeDXJeLXBePTJevqlxXXizsN1Bw7Fi5BYQ8w8+gAHzUK5cTE/kP3KUpAnLyAfLDit1ilb1dcxbNIsjsrCv2NV//pkZDeuihhRNBOj2f1avdisO//Vvpuuukq6/u3xejD4R+fJkBXMabMF9WUQJFYpISLCLXsIZMwyJyDYuqynXlxcIkAwUhFhAYFICP+uVyfLyYgbsQj2HYUPeVTazYLUYV2zVn0SyCHDniVhS2FgolacMG9zu0TgTp9nympqSLLpI++MH++amiD1T3Yz1EvswALuNNmC+rKIEiMUkJFpFrWEOmYRG5hkVV5bryYqEvAwV5Y1AAPuqXy8lJm8cj6omVTQ7F+nwdOyZ94hPSyZOu2LZunduqs4ztmtNqFkGee27h/dPTbgvS9eul55+fnwjSLLhddZV0//3S0aPud0vzfBoN6U/+xH2f17/erWocmettFtUHsnSs16no6csM4DLehPmyihIoGpOUYBG5hjVkGhaRa1hURa4rLxb6MlCQNwYF4KN+uVyzxubxiPphdTeKcOCAKxR+5zvSihWusLBsmbumX1nbNafRLIJ84hPueoNNzec8PDw/EaS94DY8LJ05I117rbRtW7Ln0/we3/++9OyzbkVj82etXu0ek3cfyNKxbqnomYRPM4CLfhNmdXIk0AmTlGARuYY1ZBoWkWtYVHauKy8W+jRQkCcGBVCFfisS+uXyggtsHo8oX9WrY1jdjbw1i1KvvuoKhc0tPaen3fUAm9s4+7Zd86ZN0u/9nvSv//X8asj1610x8MgRaWZG2r9f+u3fdhNG2gtuu3dL11+fbuvRH/sx6fBh9zdq/fu0FifzYuVYt1T0TMOnGcBFvgmzOjmyTFX3K4AikGtYQ6ZhEbmGReQa3VReLJT8GihIqt9BxaAAypZkRUKSXC5dGt7xCL/4sDqG1d3IW7ModcEFrrjWtHy5u//wYX8nA61cKX32s/PH5fPPu5WGP/yhuw7hf/kvbjXg2rULVwGmKbi1Fu1mZ92KwunphX+f0dH8+0BWjnUrRc8sQpgBPOibaauTI8viQ78CyBu5hjVkGhaRa1hErtGLF8VCKYyBgqYkB1VIgwLMJghf0hUJSXMZ0vEIv/iyOobV3chbsyi1bt3CQljTSy+5zPs6Gah1YtahQ9JXviJdc437ffbtc6sl43jhKkApecGttWg3MuKKjrt2ub7SiRNuW9LLLsu/D2TlWLdS9LQorzfTIU6O9IEv/QogT+Qa1pBpWESuYRG5Rj/eFAtDkeagCmFQgNkENqRZkRBCLhEuX1bHsLobeWsWpdoLYZIrho2N+TcZqF1zIsiePe7f69a5+8fG3G3rKsCNG919SQtu7UW71atd0fHwYVcofN/7km1nmpaVYz2PoieTv/KX95tpJmOl50u/AsgTuYY1ZBoWkWtYRK7RD8XCFkkGWdIeVD4PCjCbwI60KxJ8ziXC5svqmJBWdyMM7UWpZiHspZdcse3LX3ar80LQfpy2rpaU3LUNpXQFt05Fu+FhdyxedlkxhULJzrE+aNGTyV/F4M109XzpVwB5ItewhkzDInINi8g1+qFYOCfpIIulg4oBkP5CmaVvZRs2hM+nLOa9ijaU9gDF6FaU2rLF3R9KoVBafJy2rpY8cUKamnK/Y5qCW5VFOwsr5gf5+zH5qziW+v2h8qlfAeSFXMMaMg2LyDUsItfop3bFwk6DvVLyQRZLBxUDIL2FNEvfyjZsCJ9vWcxrFW1I7QGKY6EoJXU+Tlevlq68Ujp6VHrPe6QNG9L/blX+fSysmM/692PyV3EG6fczwSQfvvUrgDyQa1hDpmERuYZF5Br91KpY2G2wd3w8+SCLpYPKUuEzb6HN0reyDRvCl3cWfRhsDa09QLFCKEr1O256Haef/exgBfAQ/j4+y/L3Y/JXcbL2+5lgkh/6uLCIXMMaMg2LyDUsItfopzbFwl6DvXfdJa1c2fnr2gdZLB1UlgqfeQtxln4ZKzp8KNzAf3ll0ZfB1hDbA9RX0uPGyipJMPmrSFn6/UwwyR/tFSwi17CGTMMicg2LyDV6qU2xsNdg77PPum23Ouk0yGLloLJU+MxbqLP0i1zR4UvhBmEYNIs+DbaG2h4gfGknaKQ9blgFaAOTv4qVtt/PBJNi0F7BInINa8g0LCLXsIhco5vaFAt7DfauWSOdOJFukKWKg6qIVV1WCp95Y5b+Qj4VblAPPg225tUesDIXrfrlIcsEDZ+Om0FwrKTD5K/itGfxrW/tn0UmmAAAAAAAQlSbYmGvwd6hIekDH5AeesjfQZYiV3Uxm2AxZukvZGUAGuHwabC1V3uwcqV0+rT04IO9ixqszEWrfnnIOkHDp+MmK46VbJj8lb+sWWTCGQAAAAAgRLUpFvYr/oyPuw8fB1lY1VU+ZukvZGEAGmHxabC1W3swPOxuv/Sl3gPJtOFolSQPWSdoJD1ufF25x7EyGCZ/5WeQLDLhDAAAAAAQotoUC5MWf3wcZGFVVzWYpT/Pp8IN6sG3wdb29mDlSunuu925pP35tQ8k04ajVZI8ZJ2gkeS48XnlHscKfDFIFplwBgAAAAAIkZliYZJZ8qEWf1jVVZ1BZun7unIjC98KN4Ow9LpY5uNga2t7sGePdPx4soFk2nC0SpKHrBM0+h03cez3yj2OFfhi0CyG+p4DAAAAAFBfJoqFaWbJl7VFU54FCVZ1hcfnlRtZ+Fi4ycLa62Kdz4OtaQaSe7Xhs7PS4cP9r3mI8HTrByQ5pw8yQaPXcbNnj98r94ro7zBBBFnkkUW2hQUAAAAAhCT4YmGR17fJOsCUd0HC0qquOrB6zSWfCzdJWH1drPN1sDXNQHK3Nnz/fnc8SdLICMVrS3r1A5Kc05cuHWyCRrfjxveVe3n3d5ggEiYfCrz0vQEAAAAAdRN8sbCo69tkHWAqoiBhZVVXXVi+5pKvhZskLL8uKF+ageRObfjsrMvc5ZdLmzcv/HqK12FL0g9Ick4vYoKG7zsV5NnfYYJImKos8LYXKW++WbrzTvreAAAAAIB6CL5YWMQs+UEGmIoqSIS+qqtOfF+5UVe8LshT2qJGext++LC7v7VQKFG8tiBpPyDJOT3vCRohrJbKq7/DBJHwVFng7Vak/PCHpWPH6HuXwYcVpUDeyDWsIdOwiFzDInKNrIIvFhYxS36QAaYiCxIhr+qqE65P5iffV9QgPGmLGq1t+IMPuq1HO6F4nYyvnd+k/YAqzumh7FSQx9+GCSLVyXpsVlXg7VWkvOMOVqGWgS2DYRG5hjVkGhaRa1hErjGI4IuFRcySH2SAqcqCRBEDp74OxvqM65P5KYQVNQhP1qIGxevB+Nz59f21rctOBb6/DlYNcmwWXeDt1qdlFWq12DIYFt9vkmtYyzWZhrVMS+Qa5Br25JHp4IuFRcySH2SAqaqCRBEDpz4PxvqM65PlI++TdigralAPZZ4rrHWAfe/8hjAxoQ47FYTwOlgz6LFZZIG3V5+WVajVolhbb1bfb5LrerOYazJdbxYzLZHruiPXsCavTAdfLJTynyU/yABTFQWJQQZnug0i+z4Y6zuuTzaYok7adVlREzJrha1uyjpXWOwA+975ZWKCH3gdyjfosVlUgbdfn/bGG1mFWiWKtfVl+f0mua4vq7km0/VlNdMSua4zcg1r8sy0iWKhlO8s+UEHmMouSGQdnOk3y9rnwdgQ1OX6ZHkXd4o+addhRU2oLBa2ein6XGG1AxxC55eJCX7gdSjXoMfmoP3v9v7IxRdLP/yhtGuXtG+f9OY3L3x8s0/b/BmsQq1G64rS2VnpyBHp5ElpbMz9n2KtXb5P/hkEua4vq7km0/VlNdMSua4zcg1r8sy0mWJh3gYdYCqzIJFlcKbfIPL4uP+DsSGxeu2kIoo7lk/a6M5qYaufIs8VVo+lUNpTJib4gdehPN2OzdlZ11d9+ml3fPaaVJS1/93eHzl+3BUIL75YmpqSfvQj90Z5xw5p9er5r2s+llWo1WmuKN2/X3rySenUKXd/oyEtWyatWlXt80NxQpj8kxW5ri+ruSbT9WU10xK5rjNyDWvyzPRQPk+peI2GtGePW6G1Z4/7f9GaA0zj4+7W18GCLAOnzUHk1hnUkvv/5KT7CGEwNhStW2u1CnnWentxZ/Nmd3v2rLt/Zibb97V80kZ3/dqkJ56o5nmFzOqxZLE9BSzodGxOTUl/9meucPftb0u33y7t3OmKe92k7X+390de+1rp+efd/w8edAXIFStc/3XXLunMmfmvbfZpm0XKj3xEuukmd3vbbTZXtftmdFS6+Wbpb//W5aVp9Wp3re877sjep4TfQpn8kwW5ri+ruSbT9WU10xK5rjNyXd3zQzHyzHQQKwvrtjVdWlmu8dJvEHnNGrZkypPFaycVtWrJ8kkb3ZVV2Op1nVZr10q0eixZbE8BC9qPzbNnpUcfdcfkO94xv6Iv64rxbu10e3/kyBE3g3bNGne/5GbRxrG7//BhaePGxX3aUFahWjxfHT/uXoexsfltktavl4aHw14Jj96Kuk6pL8h1PVnONZmuJ8uZlsh1XZFrcm1Nnpn2vlhY163p0sgycNpvEPmCCxiMzZu1aycVVdwp6qRtcXDNkn5t0sqVblX5IK9ft4kn73qXdO+99iakWO4AW2tP64A2uB5aj81vfUs6etTNYB0enn9MlklF/a6z3dofOXly4dc2Gm770V27pBMn3M9uNMLs01qdQDkx4a7tvXHj4s+FvBIevVmf/EOu68lyrsl0PVnOtESu64pcl/+cUKw8M+19sdDqNZfy1m/gtH2Q7uKL+w8iL13KYGzeQpm1nkRRq5aKOGlbHVyzpFdha3hYuvtuNzsq6+vXbeLJkSPShz4kXXNNMRNSqiyQWO8AW2pPB+V7IY42uF6ax2Yzj8PD7rqFR47Mz2w9e3bhm9ReGe43cfDGGxf2R8bGFj6fsTG3qnF83G3Hc9110tVXh9entTyB0upKePRnefIPua4vq7km0/VlNdMSua4zcg1r8sq098VCq9dc6mTQwb5uA6f9VtP0GkRmMNaOvAeTs6xaSvoc8jxpWx5cs6RbYWvlSncbRelev/aszcx0nngyO+uKkLOzC+/PY0KKDwUSyx1gON1ydvPNLttVFxBpg+ur+SZ1asqt6jt1av5zMzOuHyr1byv7TRxsPr7ZH1m3zm07evSotHy523JHcs/jooukD34wzMxZnkBpeSU8nF7vAay+3yTX9tUt12S6Hrrl2mKmJXJdB3VrqyVyXQdFttXeFwvrUg0valC51yDdvfdKn/mM9PTTDCJbV0S+0q5aSvsc8jppWx5cC1Gvjlqnwtbp09KXvrSwgyP1fv06ZW1qym3D0K65XV37tnXSYBNSfCqQWO0Ao3vO9u+XbrjBndNHRqpdyUcbXF/bt7sJH9/4hmvnzz3X3T897W4feEB661v7t5X9Jg4eP764P3LhhdK+fdLrXic9/7yNVdWWJ1BaXwlfdz5MnqoCubatjrkm0/aRa3JtTR0zLZFr64rOtffFwjpUw4scVO43SPf00wzSWVdkvpKuWqqycGJ5cC00SU5o7YWtBx9M9/p1y9pTT0nf+5506aULr53V3K6ufds6abAJKRRIkLdOhfZOOZudlZ580q3iGhubv05Ba3sbx+VtW0obXF+jo9K110pf/7r7f6Phbpctk97+drfy76tf7d9WJpk42Kk/cskltibEWZ9AyUp4m3yaPFUFcm1TnXNNpu0i1+TamjpnWiLXVpWRa++LhXWohhc5qMwgHYouWiRZtVRl4cT64Foosp7Q0r5+3bJ28cWuWPjDH0pveMP8/SMjbuVL+6rDQSek0PYiT90K7VddtThnR464QuHo6MIVs8329qGH3Ee/WWh5bV1NG1xvS5a4nC5fPn+9wvXr3aSNyUmX7X5t5VvfmmziYKf+iKVJGXWYQMlKeHuYPEWuLap7rsm0TeTa9u9XR3XPtESuLSoj194XCyX71fAiB5UZpIMPRYsqn0MdBtdCkPWElvb165a1kRH3vRqNxRNPvvjF/tdvTcuHtjfv65SiGr0K7fffv3ClrLSwQNi+YvbsWen3f99t0diraJ/ntha0wfW2dq00NDS/wrVVHLs8/eAHnb+22VbWYeJgEvwdECIf3ocAeSPXsIhcwxoyDYvKyHUQxULJdjW8yEFlBungQ9GiyufA4Jofsp7Q0r5+vbJ2zjnSL/+yW+nSPvHkyivznZBSddtb1735LepVaD96VDpzZmHOxsZcgXH1areCq9XRo/Nf2/69mkX77dvz3daCNrje+rWF73yntHt3/7bS+sTBpPg7IDQ+vA8B8kauYRG5hjVkGhaVketgioWWFTmozCAdqi5a+PAcGFyr3iAntDSvX7+sXXFF56/Le0JKlW1v3ffmt6ZXoX1kxF0Tbvfu+ZzNzrprwm3btnDV4SuvzG+720mzaF/Etha0wfXVry1cuTJ5W1nFxEEfV2hbnkAp+fk3R3ZVvwfwBbm2hVyTaYvINbm2hkyTaYvKyDXFwgq1HrTj49IDDxQzqMwgXb35UDD24TlYH1zz3aAntKSvnw9Za2pte1980RVg1qxxbf6GDcV10tib35Z+hfZt26Trr194jl+1SrrjjsXHwAc/KN13X/fvdf75xW1rQRtcX/36oYP0U4t8A+zDCu26vcH34W+OfPnUL6sKuban7rkm0zaRa3JtDZkm0xaVkWuKhRXpdNCuXOkG/JYuzb+gxyBdvflQMPbhOdRZ1QOOZXbUfMra0qXu7/2Hf1heJ429+W1JUmjvdI7vdAzEsfTQQ72/1xNPsF0L8tevH9rr893OX0W+AfZhhXbd3uB3+pvPzkr79kkf/aj0iU9Il19uu1hqlU/9srKRa7vqmmsybRu5JtfWkGkybVHRuaZYWIFeAxAPPcQWcSiGDwVjH55DHfky4FhmR82XrFUx4Mze/LZkLbR3Owb6fS+2a4FPDhxw7eT+/a49HR2VNm92b3LvvLO4trXqFdo+FCvL1v43n5qSdu2STp2STpyQPvMZ6aKL7BZLrfOlX1Y2cm1bHXNNpu0j1+TaGjJNpi0qMtcUCytQ9QAEULaqV7XVmW8DjnXrqFXR3lPssSfPQnu/71X37Vrgj0ZDuuUWac+ehfcfPOg+Vq1yb3Jb5dW2Vr1Cu47vFVr/5rOzbkCj2fZI7vbsWbvFUthErmENmYZF5BrWkGkMohbFQt8KFVUPQABl8mVVW13VccDRJ1W09xR7bMqz0N7ve9V1uxb45fHHpW99y23Tv3z5/P3T0+5zb3pT56/Lo22teoV2Hd8rtP7NjxxxM5+bAxqSNDZG3wXhIdewhkzDInINa8g0BmG+WOhjoaKoAYhBiqK+FVTRWdmv06A/z7dVbXVUxwFHn1Q14Eyxxw8hn1vrtgoY/nnsMWlmZmGhUHL/j2N3XHWSR9ta9QrtqouVeevWFrbev2qVKwy/8op08uT8105PS8uWSevXu//Td4EPep3fyTVCRVsNa2irYVGSXL/wgnsfdeQImUZ6pouFvhYqihiAGKQo6mNBFYuV/Trl8fNY1VY9awOOoWlt71etmu+szc6616bIAWeKPdXi3AoUZ+lSVzQsqpjXukJ73z53nY9Tp1y7feutxb9/qLpYmadubeG73iXde+/C+4eG3L8nJ931VCQ3oLFjhzQ87P5P3wVV63V+lxZ/jlwjBLTVsIa2GhalyfXMjPTNb7rMkmmkYbpYWGShYpDVAnlvETdIUdTXgioWyvo6Zc1pXrlgVVv1LA04hqjZ3t96q/Tww67DJrnj5+qrpUOHKBxZVNW5NeSVjEC7K690x8n09OJtSEdHpV/5Fekb3yhuu+VNm6QPf1j61KdcoXDZMvfG+s47iy/6W9lOultbeOSI9KEPSddcs7iNjGPp4x+Xfud33O+5dev8gAZ9F1St1/n9c59z/44ico2w0FbDGtpqWJQl1xs2uLGBc85x76fINJIwXSwsqlCRx2qBtFvE9RoAHKQoysqvMGR5nQbJaV65YFVb9awMOIZswwa3J/yb3iQtWeL+vX69W6nCpIzwdTo/V3Fu9XklYx5FTAqh9XPFFW7m62OPude/1Y4d0nXXuY+itltuNKQ77nB5u+SS+fvLmlBnYTvpbm3h7Kx0/Li7bdVsI885R/rd33V/54MH6bvAH73O74895v595ZWLP0eu4TPaalhDWw2LsuR63Trp1Vel975XeughMo1kTBcLkxQq0g4+5blaIOkWcf0GAAcpirLyKwxpX6dBc5pXLljV5gcLA44h27vXvdG+9NKF9zMpI3zdzs9XXVXuuTVNm1920S2PIqbPhVAUZ3RU+vSn3UzZ555z2R0ddRn/tV+bz3RR7acPE+pC3066W3+yee2U1muoNDXbyLe8hb4L/NPrPVKj0f/cT67hI9pqWENbDYsGyfXSpWQayZkuFvYrVKxaJe3cmW7wqeyBgyQDgIOs3mLlVxjSvk6D5jSvXLCqzR+hDziWoagiCpMybOp1fr7//vntPdoVcW5N2uaXXXTLY4IV26XX26ZN0uc/X80bW9ruwTX7k7Oz89fsHRubP7eOjS3+mtY2kr4LfNMt0+vW9e4zkmv4jLYa1rSOZ7XnemQk2fs0cg3fDNoHIdNIynSxsFeh4uab3dZCaQefyh44SDIAOMjqLVZ+hSHt6zRoTvPMRdJVbWwxhyoVWURhUoZNvc7PR49KZ86Ud25N0uZXUXTLY4KVD6u7UK2q3tjSdg9u+3ZpaEj6sz9beH8cuz7eSNs7Ud5/wHfdMi1Jl18urVzJ+2qEh7Ya1jTHs/bvl5580l17WprfpeLyy2mrER76ICiL6WKh1L1Q8cQT2Qafyh44SDIAOMjqLVZ+hSHt6zRoTvPORb+BPraYQ5WKLqIwKcOmXufnkRHp2mul3bvLObcmafOrKLrlMcGK1V0YVNbJSL3a7hUrpNOnpQcfZIJTP52O3yhy576hId5/IDzdzknDw9JHP+omJJNrhIa2GpaMjroFIjfc4AqFzT7a6tXStm0u03FMrhEe+iAog/liodS5UJF18KnsQd+kRZ9BrkmW1/XMWBnW26B/nzSvUx45Les6d2wxh6L1O/bKKKKMj0t33SU9+6y0Zo17g0LHLWz9zs/btknXX1/O9olJ2vxHHim/6JbHBCtWd6GbJP2qQSYjdZs4NTTk/v2lLzHBqZ+9e90q61/4Benw4fntktavlw4elN79bmnJEq6dgnD0y/SxY1wTCOGhrYZFx4+7rI6NLcz08LDr15FrhIY+CMpSi2JhJ1kHn8peiZem6DPINk2DbvHEyrDe8vr7JH2d8sppGVt/scUc+hmk0J7k2Cty5VLrz1+50m1PeeKE9IEPuAIiHbdwJTk/l7V9YpI2v4qiWx4TV1iZi06StO15TEZqnzi1cqV0993uZ7bnkQlOizXPr8PD0saNCz8XRW5QY3y8mucGZNEv0y+/zDWBEB7aalg0MeF2e2nPtESuESb6ICiLmWJh2sHkQQafylpxJYWxTSgrw3qr6u9TZk4HwRZz6GWQQnvSY6+oIkqvn//QQ7w5CZ1v5+d+bX4VRbc8/ka+/Z1RrUZDevxx6bd+S1q2TLroovlrKbW37XlNRmp9071nj5upzgSnZFgZDGvINCwi17CIXMMaMo2ymCgWZhlMHnTwKWm1Po+tOX0v+vQbjNmzxz3Xum5PWuXKuV459WXbWE546GbQQnvSY6+oIgqrZu3z7fzcq82vquiWx9/It78zqtHs7+/bJz35pLtu4LPPSjt2uGvQtLetRUxGYoJTOqwMhjVkGhaRa1hErmENmUZZgi8WDjKYXPTgU55bc/q8lLjXwMmrr7q/8apV9d2e1MeBJZ+2jeWEh24GLbYlPfaKKqL4eOwjfz6fn9tVVXTL428U0t8Z+Wvt7597risUnnuuND0t7drlVmoPDy9sW4uYjMQEp3RYGQxryDQsItewiFzDGjKNsgRfLBx0MLmowac6bc3ZbeBkdta9Pm96k/2/QS++DSyVlc2kKxc54aGbQYttaY69Iooovh37gETRDWFq7e83GvP3L1/u7j982F27o7VtLWIyEhOc0mNlMJLwZceTJMg0kiLXsCakTEvkGsmElGsyjSQGzXTwxUJfV27Uafu5bgMn+/a5261bFz7e4t+gF98GlsrIZtqVi5zw0Mmgxba0x17eRRTfjn0ACFVrf3/dOne9wulpVyyUpJMnF7etRUxGYoJTNkxSQC8+7XiSFJlGP+Qa1oSYaYlco7cQc02m0UsemQ6+WOjryg1fi5hF6DZwcuqUGywfHl78NT7/DfKeVeLbwFLR2cy6cjHPE15IM4PQXZpiW7fXvMpjr+qfDzto01B3rf39kRF3ncJdu9yboBMn3O355y9uW4uYjMQEJyA/ddqNB/VBrmENmYZF5BrW5JXp4IuFvq7c8LWIWZROAyenT0tf+lLnx/v6NyhqVolPA0tFZ7PqVbUhzgxCZ0mLbf1e8yqPvap/PsJHmwYs7u+vXu2uU/jMM9LMjLRzp3TFFcVPRiryewJ1VPX7BqAI5BrWkGlYRK5hTV6ZDr5Y6OvKDV+LmEVqHzhpNML6GxQ9q8SXgaWis1nlqlpmBtnTr9iW9DWv8tir+ucjXLRpgNOtv3/BBRTOgZDVaTce1Ae5hjVkGhaRa1iTV6aDLxZKfq7c8LWIWabQ/gZ1mVVS9OtS5arauryGddOr2BbCa84WksgqhHwDZfGxv4/BcY6sN6u78ZDrerOYsoqdxwAAIABJREFUazJdbxYzLZHruiPXsCavTJsoFkp+rtyoclDDl8YhpIGdMmaV5P26ZP1+Rb4uVa6qZWZQvnxpR3rx/TVnC0kMwvd8A2Xzsb+P7DhHwuJuPOQa1nJNpmEt0xK5BrmGPXll2kyx0FdVDGr41jiEMrBT9KySvF+XQb9fUa9LlStKrc4MqoJv7Ug3Pr/mbCGJQZWR7xAmBQBN5NUOzpH10+34DWknmn7Idf1YzzWZrh/rmZbIdR2Ra3JtUadc55FpioWyNfBA45BdkbNK8n5dfH+dq1pRanFmUBV8zld7e33xxf6+5mwhiUEV3aaFMikAkPLNa5F9f0vvK4rEObJe+h2/oexE0w+5rpc65JpM10sdMi2R67oh1+Taol65HjTTtS8WWhsoo3HIrshZJXm/LiG8znmsXEw74GZtZlBR+v1dfc1Xt/b6Xe+S7r3Xv9ecLSQxqG5t2sqV0vi49Mgj2YsRPk8KQPV8K3jlmdci+/7N7/3yy9LUlHTqlPv73XqrtHXrYN/bGs6R9ZH0+K36vUseyHV91CXXZLo+6pJpiVzXCbl2yLUtSXI9SKZrXSy0OFBG4zCYomaV5P261OF1zjqYZ2lmUBF6/V03bHCDw1/7mmsHL7xQGmk7S1SVr17t9b33Sp/5jPT003695j5vkYpwtLdpMzPSAw9I9903WKHD10kBqJ6PE+kGyWtr4XPVKukrX5GGhvLv+zfPU1NT0jPPuEKhJB08KN1wgztmL7442/e2iHNkfdTpfEOu66MuuSbT9VGXTEvkuk7ItUOubSk617UuFlpsNGgcBpd1VkmvWfh5vy7WX+dBC/lWZgblrdff9dZbpbEx6fhx9//vf186fFjasUNavXr+sVXlq197/fTT/r3mbIuLvDTbtEZD2rnTFXAGLXTUYdIJ0vN1Il3WvLYXPicmpH37pHe8Y+Hj8uj7793rnsczz8wXWJteekn61KekP/iD6iey+KL1HLlqlXTkiHTypDQ76/q5nCPtmJhwbcqLL7rXeGxMWrfOTUizdr5p5vrIEZfl5u87MkLfz5oXXnDt15kzCzMt2co1bXV90FbTVltErsm1RUX3QWpdLLQ4UMbgdDX6zcLP+3Wx/jpbLOT7oNvfddUq6eGHpTe9Sbr0Urei8PBhaXpa2rXLbXc4PFxtvkJsr/PYFte3rQBRrTzbxiSTTshf/fh6/s0ySapT4XN21j2+9dzWNOi5ZGJifuvR1kKh5I6biQn6L62a58hbb3V9kJkZd//SpdLVV0uHDoV5SQgsdvq09J3vuGNxdnZ+0Oonf9LGJMdWo6Nue/wPfchNwGtauVL64heZLGDFgQPSH/2R9Pjj0pIlCzO9erWtXNNW1wdtNW21RSdPSn/1V66fPzIiLVvmiis7dpBrhKmMPkiti4UWV2dxzbbyJZmFn/frYv11DrEwFIJuf9cjR9wbvyVL3P9HRlznadcu9zXf/a4bKK4yX6G214Nsi+vjVoCoVp5tY79JJ6tWuVWM5K9efD3/Zpkk1anwOTbm+lCnTrlJMRs3zn9u0HPJ2rXzW492smwZ/Zd2Gza41+RNb3J9kLEx9/o++6z00Y9Kn/iEdPnlTFIIWaPhtuB9+WV3jDVnPR87Jp04If3Mz4Q/ybFVo+G2x7/mmoWz+qNI+k//STp6VLrgAibfhKzRkG65RXrqKdfmT0+7XB875oot11xjY/JuK9pq+2iraasteuYZ115PTblLEIyMuNd6aEj6xjekn/5pco2wlNUHqXWx0OrqLK7ZVq6ks/Dzfl2svM6dVq6EWhjyXbe/68mT7nZsbP6+1avdqovvftedcH72Z6vNV8jtdZZtcYvaCpCVYmHLs23sNenk5pulO+7wbytKFM/X82+WSVKdCp/r1rmi3dTU/LlPyudc0uy/HDy48P7pafczV6+m/9Ju71438/nSS93/p6bc4M2pU25w8jOfkS66iEkKIfv2t6W//Es3q/3ECXfcRpEbxDp0yA1AWzqfdHpfODU1PwHvxImFE/DIdXgef1z65jfd4Nz557vzRxy7rcCefVa65BLpc5+zl2vaattoq2mrrWk0pH//793run79fFt96pT0d3/n+uzXXkuuEZay+iC1LhZaXp3FNdvKk2YWft6vS+ivc7eVUzffHG5hyGfdCm6zsy5L69cvfPzwsHvcz/5s9Tmz3F53UsRWgKxUDF/eRfNuk06eeMLPrShRvLwzlucEhbSTpDoVPpsr57/2NZfx/fvzO5eMjrpt2m64wV2jsPl7LlsmbdvmioX0XxZq7UPPzrrBjNbrPZ57rpu0wCSFMB04IH360+51Puec+b7HmjXu/5OT7porlrS/L2zN9YoVrh3YsoXJNyF76CFXPFm2zP2/meu1a6VXX5V+6qfs9atpq22jraattujhh91reuKEe+1a2+o4ll7/enuvKbm2r6w+SK2LhZKd1Vmojq+z8AdRxuqjXiun7rxT+vCH3cqWOhSGytKt4LZ2rbvmxNSU38XZkNvrtMdU3lsBFrVSEeUqomjeadKJr1tRonh5ZqyICQppJkl1K3yeOeNmyL/73W7LljzPJVu3um28PvUpdxw1VxSuXk3/pZPWPvSRI4uv99jc6o5JCuFp7XcsWTI/qHH6tBu4W7/e9TutaX9f2JrrRmN+Fw9yHaZGQ/qLv3CvcTPT0nyuR0cXXgvXCtpqu2irHdpqWxoN6a67XHu8dOniXJ93nvt3iGO1vZBr28rsg9S+WCiFvzoL1Qp5e8ROylp91G/l1LFj4RaGfNat4HboUBir9kJsr7McU3lPQihipSKqUUbR3OIkGCSXR8bKmqDQayJGv8JnUSs/Lr5Y+oM/oP+SRGsfunVb2ObWrc0dD5ikEJ5mv2PrVun733cDGUuWuI9Tp9xrvnSpdOWVVT/TfLW/L2zmuj3TErkO0d69brB16dL5TEvu9sQJd2st0xJttWW01bTVFu3d61bVrVzpMt2e6+PHXd88tLHafsi1bWX2QSgWAgMqenvEMq8xVubqoyQrV0IsDIWg09815FV7Pst6TOU9CYGVYrYU3TZamwSD9AbNWF4TFHr1gZJMxOh0btu6VXrmGXdh+KL6VfRfkmntQ09Ouje6khvQ2LFjfnYskxTC0+x3XHCBdOGF0osvulW9kjQz4waw3v526Yorqn2eeWt/Xzg15XLdnmmJXIdoYsK9Zu2Zllxf/w1vsJdpibbaMtpq2mqLJibcNrpHjkhDQ+7/p065z01Pu+11f/3X7Y11kWvbyuyDUCw0rsxCU50VVWgp+xpjZa4+YuWKfwYd3By0vbHYXmU9pvKehMDxhjTqdo1Q5C+PCQq9+kAbNiSfiNF6bjtwQPrkJ7l2q0+afeg9e9zt6Khbndkc0GCSQpia/Y6REeltb5P+5m/ccXfmjJv5/BM/4a6RZfF80vq+8MUXpbvvdoOWq1fPP4Zch2ntWjfw3J7p4WH38W/+jc1MS7TVVtFW01Zb1Gyrd+xw1+w77zw31jQ7Ky1f7t4rXHxx1c+yGOTarjL7IBQLDSu70FR3ec8ir+IaY2WuPmLlii2DtjdW26tBjqk8JyFwvCEtX1cbW5xUYNGgExT69YFuvDH9RAyu3eqvpUvdtZN/93fda3HwIJMUQtfe73jHO6TDh6WXXnJbKH35y9KKFVU/y+K0vi/88R9n8o0VzVyfOTOf6ZMn3QD02rXS+HjVz7BYtNX20FbTVlvU2laPjy9uq6+7rupnWCxybVOZfRCKhW2sDEIxIBK+Kq4xVubqI1au2DFoe1NUe+VDez7oMZXXJASON2Th21aKVicVWDToBIV+faBHH00/EYNrt/rP10kKSKfZ/7rqKun++6WjR92qlTh2x9/HPmZ78LkduQ5f63uK8XHpgQfmC2XDw+41rVN/mkzbQFu9ELkOH231YuQ6fFXkmmJhC0uDUAyIhK+Ka4yVvfqIE5cNg7Y3RbRXvrTnPq3o43jLnw8F6bpgElRYBp2g0K8P1Px+nXSbiMG1W8Pg2yQFpNPe/xoedjOgr71W2ratvv0Och2uTu8pVq6Urr/eva517U+T6bDRVndGrsNFW90duQ5XVbmmWDjH2iAUAyLhy3OVX9IB7SpWH3HiCt+g7U3e7VXW9ryIwo9vK/o43vLjS0G6LpgEFZ5BJij06wO95S3S00+nm4jBtVuBYvXqf+3ePT+wAYSiV6Yfeii8MSJAoq2GPbTVsKjKXFMsnGNtEIoBkfDltSIp7YA2q4+Q1qDtTd7tVZb2vMjCD8eUPUVOMGK1YmdMggpT1gkK/fpAb3mL9JrXpJuI4dNKb8Aia++nATINi8g1rCHTsKjKXFMsnGNtEIoBkfDlsSIp64B2GauPGBC3Y9D2Ju/2Km17XsbKclb02VJUx43Vit0xCapekvSB0k7E8G2lN2CNtffTAJmGReQa1pBpWFRlrikWzrE2CMWAiA2DrkjydYYNA+K2DNre5N1epW3PfT1OykYBP7kiOm7WtkPPG5Og6qO1LbrxRtduHz/euQ+UdiIGK72B4lh7Pw2QaVhErmENmYZFVeaaYuEci4NQDIjko+oB9EFWJPk4w4YBcZsGbW/ybK/Stuc+Hidlo4CfThEdN4rWvTEJqh7KaItY6Q0Uw+L7adQbmYZF5BrWkGlYVGWuKRbOsToIxYDIYEIfQPdxhg0D4nYN2t7k1V6lbc99PE7KRAE/vSI6bhSt+2MSlG20RUDYrL6fRn2RaVhErmENmYZFVeaaYmELBqHQysKglY8zbBgQRxnStOc+HidlooCfXhEdt7KK1lWvlh8Uk6Dsoi0Cwsf7aVhDpmERuYY1ZBoWVZVrioVtGIRCk4VBKx9n2NR9FRfKk7Q9L+I4CakgU/cCftbXKu+OWxlF69BXyyO5kNqgprq3RYAV7f2vRkPasyes9gho1ek9RYjnWaAVbTWsoa2GRVXkmmIh0IWVQatOA9pbt0rPPCM99VT5J8y6r+KCn/Is/IRWkKlzAX/Q1yrPCUZFT+6wsFoeyYTWBjXVuS0CrAq1PQJ6IdewhkzDInINi8rINcXCLph9AEuDVq0D2gcOSJ/8ZHUnTB9XOwJSPoWfEAsydS3g+/haFbnNhIXV8ujPx1wnVde2CLAq5PYI6IZcwxoyDYvINSwqK9dDg38Lew4ckHbulG6/XbrnHne7c6e7H/XROmjVKuRBq/aGZfNmd3v2rLt/Zqac59EcEP/IR6SbbnK3t93G7B6Er1mQaR3oltz/JyddQcY3zQL+0JArGu3f726HhmwX8H19rZpF6/Fxd5vX39/Kann05muuk6hrWwRYFXJ7BHRDrmENmYZF5BoWlZVrVha2YfYBmiyugMt7ZckgK3B9vD5omt+H1cfoJNSCTB0vCB7qa5WVpdXy6C70XNexLUJv9LfCFXp7VCRyHS5y3R25DhOZ7o5Mh4tcd0euw1VWrnMtFloIXFnbdFn4W9WBtUGrPBsWa/t/p/l9rP3uyE/IBRkfC/hFCvm1yoItHuvBQq7r1hahO/pbYbPQHhWBXIeNXHdGrsNFpjsj02Ej152R67CVlevcioVlBa7oIlsZVVoOzrBYGrTKq2GxtgI3ze9j7XdHvijIhKNur5XF1fJYrG65hl30t8LQ67057dFi5DoM5Dodcu0/Mp0OmQ4DuU6HXPuvX82rrFznUiwsK3BlFNmKrtJycKJKeTUsZa3ALUua38fa7458UZAJRx1fK2ur5bFYHXMNm+hv+a/fe3Pao8XItf/IdXrk2m9kOj0y7T9ynR659luSmldZuc6lWFhG4MoqshVdpeXgRJXyalis7f+d5vex9rsjfxRkwlHH18rSanl05luu2XofWdDf8lvS9+a+tUdVI9d+I9fZkGt/kelsyLTfyHU25NpfaWpeZeQ6l2JhGYErq8hWdJWWgxNVy6Nhsbb/d5rfx9rvjmJQkAkHrxUs8iXXbL2PrOhv+S3Ne3Nf2iMfkGu/ketsyLW/yHQ2ZNpv5Dobcu2vtDWvonOdS7GwjMCVWWQrskrLwQkfDNqwWNv/O83vY+13t4KVKwDgF7bexyDob/mNCbDZkGu/ketsyLW/yHQ2ZNpv5Dobcu0v3zI9lMc3aQ1cqzwDV3aRrVlMGR93t3kNZpTxtwKK1lyBOzTkZjns3+9uh4bC3P87ze9j7Xe34MABaedO6fbbpXvucbc7d7r7AQDVaM6QbH0zKrn/T066SXlAN/S3/MYE2GzItd/IdTbk2l9kOhsy7TdynQ259pdvmR54ZWFzNcdVV0n33y8dPSqNjOR/gUUrFXAusopWIa+Gsrb/d5rfx9rvHjJWrgCAn3ybIYnw0N/yl5X35lUg1/4i19mRaz+R6ezItL/IdXbk2k++ZXqgYmH7dUiGh6UzZ6Rrr5W2bcs3cJaKbByckGxcx8fa/t9pfh9rv3uoyrqeLQAgHd9mSCJM9Lf8ZOm9eRXItZ/I9WDItX/I9GDItJ/I9WDItX98y3TmYmGv1Ry7d0vXX5//L2OpyMbBWW+shgLyUcbKlZBXAANAVXybIQkgX5bemwNN5BrWkGlYRK5hjU+ZzlwsrGo1h8UiWx4D0Qxmh4XVUEA+il65YmEFMABUwbcZkgDyZ/G9OUCuYQ2ZhkXkGtb4kunMxUKuQ5KPPAaiGcwOT1XHD0VlWFPkyhVWAAPAYHyaIQkAAAAAALrLXCzkOiSDy2MgmsHsMFVx/FBUhkVFrlypcgUwhX0AVvgyQxJAfuinwBoyDYvINSwi17DGt0xnLhZyHZLB5TEQzXaWYSr7+KGojNCkOVkWtXKlqhXAFPYBAEjPtzfaVtFPKQ+ZLgeZLhe5Lge5Lg+ZLg+5Lg+5LoePmc5cLOQ6JIPLYyCa7WDDVPbxE1JRmRMSspwsi1i5UsUKYAr7AACk5+Mb7dB16pNL9FPKQqaL0Z7riy8m02Ui18Ug19Uh08WgD1Itcl2MUNrqzMVCieuQDCqPgWi2gw1XmcdPmUXlQYp9nJDgU7GsihX0IRX2AQDwgU99Byu69cnHx+mnlIFMF6NTrmdmpNOn5weim8h0/sh1Mch1dch0MeiDVItcFyOktnpo0G/QXM0xPu5uCUxyrQPRrdIMROfxPVCdso6fsorKBw5IO3dKt98u3XOPu925093fT/sJafNmd3v2rLt/Ziaf5wi/NYtlrcU5yf1/ctKdLMvSXAE8NORO1Pv3u9uhoeJW0LNaHACAdHzqO1jQq09+113uthP6Kfkh0/nrlutXX3V/7zNnFn8Nmc4Xuc4fua4Wmc4ffZDqkev8hdZWD1wsRHZ5DERXMZiN8JRRVB602McJCZJ/xbLmCuCPfES66SZ3e9ttxa10ZbU4AOSn0ZD27JEefNDdNhpVPyMUwbe+Q+h69clnZ6WjRzt/Hf2U/JDp/HXL9QUXuPephw8v/hoynS9ynT9yXS0ynT/6INUj1/kLra0eaBtSDC6PrSjZDhb9lHGNxEG3T+SEBMnPYlkR10PspoqtTwHAIrY2rw8f+w4h69UnX7NGOnGCfkrRyHT+uuV63TrX13/pJWnjxvn7yXT+yHX+yHW1yHT+6INUj1znL7S2mmKhB/IYiC5zMBthKrqoPGixjxMSpHoUy3pd17OMwj4AWMe1NuqlDn2HMvXqkw8NSR/4gPTQQ/RTikSm89ct1yMj0mWXueyS6WKR6/yR62qR6fzRB6keuc5faG01xUKgRoosKg9a7OOEBMl+sSzJShdWiwPAYAbd7QBhsd53KFu/Pvn4uPugn1IcMp2/Xrm+8ELpM5+Rnn6aTBeJXOePXFeLTOePPkj1yHX+QmurvSoW9lptkeTzVtTl94Qtgxb7OCGhyWqxLM1KF1aLA0B2SXY7oL9ti9W+QxWS9snppxSLTOerX65XrCDTZSDX+SLX1SPT+aIP4gdyna/Q2mpvioX9VlvU5bojdfk9YU8exT5OSGiyWCxjpQsAlKPfbgczM9LOnfS3rbHYd6gKfXI/kOl8kWs/kOt8kevqkel8kWk/kOt8hZRrL4qF/VZb/MZv1OO6I1xfBaHLo/HjhASrBr2uJwAgmV67HaxYIT3wgGt36W8D3dEnh0XkGhaRa1hDpmFRKLkeqvoJSPOrLVrfzEvu/5OT0le/2vvzTzxR3nMtUr+/g5XfE7Y1G7/xcXfLgBvgDHpdTwBAMs3dDoaG3Mrt/fvd7dCQdN110vHj9LcBAAAAAGjlxcrCfqstDhyox2oMVp0ACBnXf+pt0Ot6AgCS67bbwSOP0N8GWtF/g0XkGhaRa1hDpmFR6Ln2oljYb7XFpk3SD37Q/fNWVmOw6gR5Cb1hQni43mp/eVzXEwCQXKetXuhvA/Pov8Eicg2LyDWsIdOwyEKuvSgW9ltt8c53Srt321+NwaoT5MFCw4SwcL3V5EK6qDEAWER/G3Dov8Eicg2LyDWsIdOwyEquvbhmYa/rinzsY9LKlb0/H8IfOol+fwcrvyeK094wbd7sbs+edffPzFT9DGER11tNh+t6AkB16G8DDv03WESuYRG5hjVkGhZZybUXKwul/qst6rIaoy6/J4rRbJhaZzBIrmF67jmXq/btuIBBcb1VAEBIyuxvszU8fEX/DRaRa1hErmENmYZFVnLtTbFQ6nxdkTSft6IuvyfyF3LDxGBauLj+EwAgNGX0t9kavn5C6s/Sf0MSIWVaItdIhlzDopByTaaRREiZluzk2qtiYR5CCxKQp1AbJgbTwsb1nwAAWMjKNSuQXGj92Wb/7cgRaXZWOnlSGhuTRkbov8EJLdMSuUZ/oeZ6xQrpqaekJUtcptetk44dI9dwQss1bTX6CS3Tkp1cmyoW9grShg0UEWFfiEUbBtPC17z+0xe+4La7bW9/ef0AAHXD1vD1EmJ/dnRUete7pA99SDp+fP7+lSulL37Rv+eLcoWYaYlco7dQc/3SS9L0tPS970kzM+6+pUulq6+WbrnFz+eM8oSYa9pq9BJipiU7uTZTLOwVpFtukc45x71QoVSj4S+fV6+GWLRhMM2Gsq7/5PPxBwBAU8hbwyO9EPuzjYZ0773SNdcsnv18773SlVf6+d4B5Qgx0xK5Rm8h5ro51nnOOdIv/qJ0+LDL9eysu++CC6p+hqhaqLmmrUY3IWZaspNrM8XCbkFatUp6+GHpzW+W3vCG+fvbq9EMQCOJEJZBl1W0yQuDaXYUff2nEI4/AACkcLeGRzYh9me7vX+W/B6IQTlCzLRErtFbiLluz/TGjfOfI9OQbOS6FblGiJmW7OTaTLGwW5COHHHL9EfaftPWavTatQxAoz+fl0F3KnaH0ABJDKYhGZ+PPwAA2oW4NTyyC7E/G+pADMoRYqYlco3eQsw1mUY/5BrWhJhpyU6uh6p+AnnpFqSTJ93t2Njiz0WRdOjQwgHozZvd7dmz7v7mfuBAc4ZA64CP5P4/OekKz1U4cEDauVO6/Xbpnnvc7c6d7v4QtA6mtWIwDa3KPv4aDWnPHunBB91to5Hv9wcA2NbcGn5oyE1Q3L/f3Q4N+bs1PLILsT8b6kAMyhFipiVyjd5CzDWZRj/kGtaEmGnJTq7NrCzsNnv39Gn3Znz9+sVfE8fS0aPV74PLFqhh8HGGgIXVViFeZxHlK/P4Y7tTAEAeQtsaHtm192fPnnXvM0dGpA9+sPvAQZVY/YpeQsy0RK7RW4i5JtPopzXX+/ZJU1PS9LS0bp10661+9jvJNXoJsa2W7OTazMrCbrN3166VduxwjWWr5gt17rnVFoBCXxVWJz7OEPB1tWNazcG0j3xEuukmd3vbbRRmMK+s46+9AM9qc4SGVbGAX5rX8x0fd7c+DtggH83+7PXXSydOuPtWrpTuu8/P93esfkU/oWVaItfoL7Rck2kksWmT9OEPS2fOSKdOScuXS8PD0p13+pdpiVyjv9DaaslOrs2sLJS6z95tbjXaadXSxER1BSALq8LqxMcZAj6udsyqOZgGdFLW8dftgsRlrjYHsmJVLABUK46lhx6SLrxwcX+l2/u7KneZYfUr+smSaYlcw2+01bCm0ZDuuMNl85JL5u+nrUbIQmurJRu5NlUslDoXHHq9UBs2VFcAYlA6LD5ul+njakegCGUdf5YK8KgXJiABQHm6DUSkfX/3zDPSpz8tHTniVgGsXu3672VO8mDCHqT8Mt1oSA8/LN11lzQ7K61Z42bUlz15iVxDoq2GPXllWiLX8IeltloKP9fmioXddHuhqiwAMSgdHt9mCPi42hEoShnHHwV4hIoJSABQjl6ruNO8v3vmGemGG9x2Yc0Zz8uWueuxMMkDZcor0wcOSJ/7nPT1r7vvMTrqBux27HBb45FrlIm2GtbklWmJXMMftNX+qU2xsJeqCkAMSofJpxkCPq52BIpU9PFHAR6hYgISABSv3yruG2/s/v5udlY6fNhdU3bVKul3fscNaGzYMP+Y6WnpySelrVuZ5IFyDJLpOHbXD9qzR3rhBemP/9jlfOlS12+WXKZ37XLXbT14kFyjHLTVsCavtnpiglzDH3n2Qe64Qzp5Utq4cf4xZDobioVzqigAMSiNPPi22hEIGQV4hIoJSABQvH6ruJt9hvb3d/v3u7665GY4T0z8/+3dT28V1RsH8KcVwZIKUdu4UKQajYu6ELtQ1oYl8QWgK3cuSViaKCsDuoVX4AsgrHTLAjdINGJM3PiruBBqW6kKl3/3t3hyc9tyS+ntzNy5M59P0rSdXtpp5nsOp+c5cybihx8ipqc3fp+pqfz+f/9tkQfVGDbTy8sRTz0V8fXXEWtr+fnPP2e+Jyf7r+tl+sYNi5eojr6apimqr56YkGvqo8gxyI8/Rty7l9ufP/NMvk6mh6NYOEImpSlKne52hHGnAM84sgAJoHzb3cW9tvbo33f37+eY4u23Iw4fztfev5+THDdv5mKP9cWViFztb5EHVRgm073V/N1ufj43l9uMTk9ntv/889Fc//dfZl6uqYK+mqYpqq8jhOENAAAF/UlEQVSOkGvqo+gxyPJyxPXrEa+9tjHXMr0zioUjZlIaoH4U4Bk3FiABlO9J7uLe/PfdjRv59d7kc0TE/v25Ddg//+TExsxM/2udTn5ukQdVGCbTL7yQq/fPn+8vUNq/P98//3wWCzfn+t69/lwHlE1fTdMU1VdHyDX1UeQYZGoq7yi8cyfi33+zoBgh08NQLKwBk9IAwG5ZgARQrie9i3v933fffpvb2a03O5uTGgcP5mro1dU83unkRMfp0/puqjFMpiMy1+vvBpidzex2OvlxL9edTr5udtbiJaqjr6ZpiuqrI+Sa+ihyDDI1lUXBP/7ITD94INPDUiwEAGgIC5AAyjPMXdyDVk3v2RNx9GjEN99EvPpqbgd2506+9vTpiNdfj7h1K+LixYjFxVwMcvx43gkARRp2Z4LNue5l+vLliLt3I958M7cAe/rpiI8/jjh2LF//3XcRV67kv1lYiDhyJM8BiqSvpmmK6qsj5Jr6KGMMcuBAxEsvZZFwfaY7nYirV41BnkQjioWdTj4Uc2kpgzA/72JDUbQv6ko2YTBtg6rJHG0y6C7uN96I+PXXiF9+ebQNbLVq+sGDiPffj/jww5yUW383+OXLEZ98ks9q6fnyy4hz53IyBIq01c4E3W7E998P7tsH5frgwZx8W1mJ+OijiBdf7Gd6cTHi88/7xcSIPP7eexGffZbnAEXSV9M0RfXVEXJNfRiD1M/YFwsXF7MCvbr6aAXaxYbd0b6oK9mEwbQNqiZztNH6u7gXFyM+/XTrNrDdqunN7eTWrZyke/gw4uWX+8dXVvL4pUsR09OV/aq0xOadCbbr2x+X6y++2JjrTifi7Nlczf/ss7lVWETE7ds5EXj2bMRXX9kijOLpq2maIvtquaYujEHqZayLhZ1OBuPhw4i5uf7x5eU8fuaMiw3D0r6oK9mEwbQNqiZztN2TtoGdPFP24sVczb9+ki4i4rnnIq5fj7hwIeLEiVJ/LVqu6Fxfu5aTeRH9Sbrex51Ofu2nn2yjTnn01TSRXNNExiCjNznqE9iNa9eyyrz+VuqI/Hx1NS82MBzti7qSTRhM26BqMkfb7aQN9FZNHzuW77cqpC8uPv5n/v777s4ZtlN0rpeWckJuK3fv5kQflEVfTRPJNU1kDDJ6Y10sXFrKW00HmZhwsWE3tC/qSjZhMG2DqskcbVdGG9hu+95Dh3b+PWEnis71zMzjn2O7d2/eEQBl0VfTRHJNExmDjN5YFwtnZnJP2kG6XRcbdkP7oq5kEwbTNqiazNF2ZbSB48fzmSorKxuPr6zk8Q8+2Pn3hJ0oOtfz8/2txG7f7h/vfTw3l1uHQVn01TSRXNNExiCjN9bFwvn5fHjl8vLG48vLedzFhuFpX9SVbMJg2gZVkznarow2cOBAxLlzEZOT+Xyg3tvkZB6fni7m3GErRed6376IU6ciFhbyWVi9TK+t5bZhp055vi3l0lfTRHJNExmDjN6eUZ/AbuzbF3HyZD7g8rff8nbUbjfDc/Kkiw27oX1RV7IJg2kbVE3maLuy2sDRoxGXLkVcuJDPBzp0KFfzm6SjCmXk+pVXIs6fj7h6NeLKlTy2sBBx5Ij/KyifvpomkmuayBhk9Ma6WBiRF/zMmXzA5V9/5e2ob73lYkMRtC/qSjZhMG2DqskcbVdWG5iejjhxophzhJ0qI9d790a8+26+QdX01TSRXNNExiCjNfbFwoi84O+8M+qzgGbSvqgr2YTBtA2qJnO0nTZAE8k1TSPTNJFc00RyPTpj/cxCAAAAAAAAYHiKhQAAAAAAANBSioUAAAAAAADQUoqFAAAAAAAA0FIT3W73yV88MXEzIv5X3unQYoe73e5s1T9UpimZXNM0Mk0TyTVNM5JMR8g1pdJX00RyTdPINE0k1zTNlpneUbEQAAAAAAAAaA7bkAIAAAAAAEBLKRYCAAAAAABASykWAgAAAAAAQEspFgIAAAAAAEBLKRYCAAAAAABASykWAgAAAAAAQEspFgIAAAAAAEBLKRYCAAAAAABASykWAgAAAAAAQEv9Hzb5LE30Jb7+AAAAAElFTkSuQmCC", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3091,20 +3075,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3119,20 +3103,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3147,20 +3131,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3175,20 +3159,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3203,20 +3187,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3231,20 +3215,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3259,20 +3243,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3287,20 +3271,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3315,20 +3299,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3343,20 +3327,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3371,20 +3355,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3399,20 +3383,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "iVBORw0KGgoAAAANSUhEUgAABwsAAAD7CAYAAACVBXu8AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdfZRcV33m++d0t6RWWy+W0ZuNLBlsazCCgC3fGcuZMDDQQEJMZpIBBo9JmIFcr2DGcxcTxtzJ5dXJHSICdw3LkJWErMmQ+BJfZ+YuIDDYmJCbFwQYi5fIdmzZ2HoBy2ohdUuyWtVq9bl//PpMnS7V26k6L3vv8/2s1auk6u7q011P7bNr//beJ4rjWAAAAAAAAAAAAADqZ6TqAwAAAAAAAAAAAABQDYqFAAAAAAAAAAAAQE1RLAQAAAAAAAAAAABqimIhAAAAAAAAAAAAUFMUCwEAAAAAAAAAAICaolgIAAAAAAAAAAAA1FTQxcIoiv4yiqJ3dvjc1iiKTkdRNJr1a4EykWOEiFwjNGQaISLXCA2ZRojINUJErhEaMo0QkevweFcsjKLo6SiKXjPs48RxfDCO41VxHJ/P+rXdwu2yKIriKIqeW3zxnY6i6DNVH1NdkePBRVH0+1EUPRZF0UIURW9v+dzboyg6n8r46SiKXlnNkdYPuR5MFEXboyj6fBRFU1EUHY+i6L4oiv5B6vPkuiJkejBRFK2Pouhvoyj6SRRF01EU7Ymi6KdTnyfTFSLXw4ui6JcX+9XvTN33oSiKzrXk+oVVHmddkOnBdXt/SKarRa4HF0XRaBRFvxlF0Y+jKDoVRdF3oyi6ePFz9EEqRK4HE0XRz7Rk9vRi+/1Li58n1xUh04OLouifRlG0N4qik1EU/TCKov819blXLo75pTP9K1Ueb52Q68FFUXRTFEX7FjP7jSiKXpz6nBdt9VjVB1A3URRFkqI4jhcqOoSXxXH8REU/G4GoOMffl3SPpN/u8Pk9cRz/4xKPB4GoMNcXS/qCpH8t6ZSkD0j6vKQXpb6GXCOzCjN9WtK/kbRfUizpFyR9MYqijXEczy9+DZnGQKruS0dRtE7Sf5T0cJtP3xPH8S0lHxI8V3Wm1f39IZnGQCrO9Ycl3Shpl6SDknZIOpv6PH0QDKSqXMdx/NeSVqWO45WSvijpK6kvI9fIrKpMR1G0TNL/K+k/SPp9SddL+noURd+K4/j7i1/24ziOt5R5XAhDhbm+WtLdkn5O0jclvVfSF6IoepFP4yDerSxMW6zI/k0URb8TRdGJKIqeiqLoZ1u+bFtks9tPRVF0fxRF6xe/94rFmTjpgumVURR9e3FWw+ejKLqk9WujKPotST8j6a7FCvBdi19zYxRFD0ZRNLN4e2PqOP8yiqLfiqLobyWdkfTvoyh6qOV3eU8URZ/v8Hv+ZRRF/6ndscF/5DibOI4/Fcfx17T0DR8cQ677F8fxt+M4/sM4jo/HcXxO0v8l6R9EUfS8LI+DYpHp/sVxfDaO48cWO+eRpPOS1kmi7+IYcj2Q/yTpk5KODfj9KBCZRojIdaa/1TpJ/5ukX43j+EBs9sVxzHtHx5DrofyKpD+L4/i5IR8HOSLTmVwiaY2kP15spx+U9KikF3f/NpSNXGfyOkl/Hcfx3ywWB39b0vMl/ZOMj1OtOI69+pD0tKTXLP777ZLOSfpVSaOSfk3Sj2XVY0n6S0lPStouaeXi/z+6+LkrZLPdx1Jf+yNJL5F0kaT/JulPunztO1PHdImkE5LeJlut+dbF/z8v9fXJjLYxSSskHZd0Teoxvivplzr8zh2PbfHz010+3pf6unjx73NE0n+XdEXVz2ddP8jx4DlOff3fSHp7y31vl/ScbADvcUnvT35fPsi1D7le/J5/JukZcl39B5keLtOSfiBpbvH3+QMy7cYHuR6qL/0PJX1HNuGy9Xf4kKSZxeN6WNKvVf1c1+WDTBfz/pBMk2sfcy3pFYv/v2Mx149Lui31GG8XfRBy7VmuWx7vItluNK8k19V/kOmh+iD/t6TbFv9WuyQdlXT54udeKXsf+aykp2QTqi+q+vmuywe5HrgP8m5JX059z6hsocu/S/0tnW+rKz+AHAL7ROpzE4vB2px6ov+P1OffJekrXUL40dTXvljWMI32Edi3Sfp2y3Hu0WIhY/HrP9Ly+d+V9FuL/96xGPAVXQLb9tgy/u1eIWm5bMu7uyTtczGUdfggx4PnOPX97YqFL5T0Atkg3kslPSLpf6/6+a7LB7nOJddbZB2Ut6buI9cVfZDpXDI9LuvI/0rqPjJd4Qe5HizXi7/HdyTd0OF3eLGkyxa/7kZJzyjVlvNBpl3L9OL3dXx/SKbJtY+5lnTz4u/wh7JBy5+SNCVpcvHz9EEq/CDXufSt3yYrnkSp+8h1RR9keqg+yE2yYuD84sevpj63efFxRxaz/VeSfq/q57suH+R64D7Ii2TFwFfK+tfvl7SgxfZYnrTVXm9DuuhI8o84js8s/nNVu8/LlqGmP9fqUOrfByQtk7S+j2O4bPHr0w7Ilpq2e2xJ+q+Sbo6iKJIF/v+J47hRwLH9T3Ec/1Ucx3NxHE9L+neygF6T5TFQGHKcgziOfxjH8VNxHC/Ecfx3kj4i6V/k9fjIjFxnEEXRBkn3S/p0HMefS+4n104h0xnFtiXp5yS9L4qily3eR6bdQq778y5JP4jj+JvtPhnH8SNxHP84juPzcRx/Q9J/FrmuCpnuU7f3h2TaOeS6P7OLtx+J43g2juMfSPpT2fWD6IO4h1xn9yuSPhsvjjxL5NoxZLoPURS9SNY2/7KsqLJD0n+IougNkhTH8ZHFfshCHMdPya5t+Ev9Pj5yR677EMfx38va6Ltkk+zWywqChxc/70VbHUKxME+Xp/69VbbMtt31SOKW//9Y0raW+7bKVoe0/Z7FgYY52R68N0v640GPbXH/3k4f/7HLY8ayawkhLHXLcTdkPBxB5zqy66vcL+kLcRz/Vo+fR67DEHSm21gmm0nXDpkOR8i5frWkfx5F0ZEoio7IVlp9PLmGRoffkVz7L+RMt9Mtt2Q6HCHn+gdtjqP191DL58h1GELOtRa/9nLZipXP9vh55DoMIWf6JZIej+P4vsXCyWOSviSp9Vp46eOlhhGGkHOtOI7/LI7jl8Rx/DxJH5StmHyww89zsq3mhbbULVEUvTiKoglZdffP4jg+3+brntXSAa8vS9oeRdHNkV2I8y2y5ap/3uPnfVZWbT4Xx/HfDHpscRyv6vLxf0pSFEU7oih6eRRFo1EUrZL0cdkL6tEePxf+CTbHkhRF0fIoisZlDeqyKIrGoygaWfzcz0ZRtGnx3y+SLflue/FaeCfYXEdRtEbSfZL+No7j97U+OLkOVsiZviGKon+82F6vjKLoDkmbJH1r8fNkOlzB5lq2Bc81kl6++PEdSR+W9BuSFEXRL0RRtC4y/1DS7SLXIQg2073eH5LpoAWb6ziOn5T015J+I4qiFVEUXSPpXybHSB8kaMHmOuVtkr6xmPP/iVwHK+RMf1fS1VEU/dPFfsaVkn5eixM+oih6VRRF2xY/d7mkj4pMhyLkXCuKop2LfesNkn5ftiDg7xc/50VbTbFwqT+W9Eey5bPjsjdE7fxnSf8iiqITURR9Mo7jn8gatX8v6Sey5dE/H8dxu8p46897iaQ/yfHYOtkk6R5JJyX9UFbZ/vk4js9lfBy4L+QcS7b6alY2o//3F//9isXPvVrSD6Ioek52Ivnvklo72PBTyLn+55L+F0n/Olo6O2nr4ufJdZhCzvQKSZ9aPL4fybb+ekMcxz9e/DyZDlewuY7jeDq2LZGOxHF8RDZL9WQcxzOLX/IvJT0h6ZTsTelvx3H8X7P8DDgp2Eyr9/tDMh2ukHMt2bWSty0e45ckvT+O468tfo4+SLhCz7VkWza2a4fJdZiCzfRiwfvfSPqkrB/y/0n6b5I+s/gl10r6huz6b9+Q9HdZfwacFWyuU8c9Lekx2TUSfzX1OS/a6iiOu+3IgCJFUbRS0lFJ18VxvL/L1/2lpD+J4/gznb4GqAo5RojINUJDphEico3QkGmEiFwjROQaoSHTCBG5zo6VhdX6NUkPdgsr4AFyjBCRa4SGTCNE5BqhIdMIEblGiMg1QkOmESJyndFY1QdQV1EUPS275to/q/hQgIGRY4SIXCM0ZBohItcIDZlGiMg1QkSuERoyjRCR68GwDSkAAAAAAAAAAABQU2xDCgAAAAAAAAAAANQUxUIAAAAAAAAAAACgpjJds3D9+vXxFVdcUdChoM4eeuihY3Ecbyj755JpFIlcIzRkGiEi1whNVZmWyDWKQ1uNEJFrhIZMI0TkGqHplulMxcIrrrhC3/nOd/I5KiAliqIDVfxcMo0ikWuEhkwjROQaoakq0xK5RnFoqxEico3QkGmEiFwjNN0yzTakAAAAAAAAAAAAQE1RLAQAAAAAAAAAAABqimIhAAAAAAAAAAAAUFMUCwEAAAAAAAAAAICaGqv6AOqo0ZAeflg6dkxav17asUNasaLqo4KLTp6UvvhF6eBBaetW6aabpDVrqj4qIAy0xQgRuUZeyBKQHa8bhIhcIzRkGiEi1wgRuS4fxcKSHTwofeIT0vS0FEVSHEsXXyy95z1WDAISe/ZI73qXdOpU877f+R3p05+Wdu2q7riAENAWI0TkGnkhS0B2vG4QInKN0JBphIhcI0TkuhpsQ1qiRsNCvrAgXXGFtG2b3S4s2P1zc1UfIVxx8qQVChcWpC1bmh8LC3b/6dNVHyHgL9pihIhcIy9kCciO1w1CRK4RGjKNEJFrhIhcV4diYYkeftiq4ZdcsvT+Sy6x+/ftq+a44J4vftFWFK5bt/T+devs/s9/vprjAkJAW4wQkWvkhSwB2fG6QYjINUJDphEico0QkevqsA1piY4ds2Wz7USR9JOflHs8cNfBg90/f+hQOccBhIi2GCEi18gLWQKyq/J1w7VcUJSqck2mURTaaoSIthohItfVoVhYovXrbX/dduJYet7zyj0euKvX3suXX17OcQAhoi1GiNavl+bnpWeekc6ckSYmpA0bpLExco1saCOB7Kp63XAtFxSpilyTaRSJthohoq1GiMh1ddiGtEQ7dljIjh9fev/x43b/S15SzXHBPTfdJK1eLZ04sfT+Eyfs/l/4hWqOCwgBbTFCtHq1bcXxV38l/d3fSd/6lvTAA9KBA+Qa2dBGAtlV8bppNKTdu6UjR6Tz56Xly5vXOOdaLshD2bkm0ygabTVCVEVb/YlPSOfO2Yqr+Xm7PXeOTCM/9EGqQ7GwRCtWWDV6ZER6+mkbwHv6afv/e95jQQQkac0a6dOftmwcPtz8GBmx+1etqvoIAX/RFiM0jYb0qU9JL3+5tHZt8/6ZGel735Pe/W5yjf7RRgLZVfG6eeAB6S/+Qvr7v186SWR0lGu5IB9l55pMo2i01QhR2bl++GEbn3zoIctzkuuHHrL7yTTyQB+kOmxDWrKtW61SvW+f7a/7vOdZNZyBF7TatUv667+WPv95u0bh5ZfbikIKhcDwaIsRkuTi31dcYbPfjh5tbkV65ox08mTVRwjf0EZ2xnUs0EmZr5tGQ/rMZ5rbIyVmZ6U9e6Tt27m+KPJRVq7JNMpCW40QlZnrH/9YeuQR29mmNdePPGIrs4A80AepBsXCCixfLl133XCPwUBFPaxaJf2rf1X1UQBhyqMtBlyQvvj36Kh06aXNzx04UK+OLfJDG3khrmOBXsp63Tz8cHPbr7SVKy2fJ05wfVHkp4xck2mUibYaISor1zMztiXjypVL71+50t53tl5OCRgGfZDyUSz0EAMVAAAgUcXFv4G6Sa7PsrBgq3gTx4/b/bt3s/ISveU14fPYMWndOmlqymY9pwfsGg1p2TKuL4pykGmEiFwjNHkuOLn4YuvztmZ6dtbuv+SSfI4Z6IW2uhgUCz3DQAUAAEhLX/w7/easqIt/A3WU3u437ZJL7PoZ+/axEhPd5Tnhc/16u2bLrl22PdL0dPNzUSS94x28J0TxyDRCRK4RmrwXnFx6qb3/PHRoaabHx+3+TZvyO3agE9rq4lAs9AwDFQAAIC25+PcnPmF9gdbOcp06tkBR0tv9tooitvtFd3lP+EwmiZw/L01ONq9VOz9vAx6Tk7n/CsASZBohItcITRELTnbskJ7/fGnjRsvymTPSxIQ0Nla/FVioBm11sSgWeoaBCgAA0KrMi9oDdcR2v/WSdVujXl+fdcJnr8dLTxI5dMjeB46OWg6ZJIJO8sw1mYYrsuSatho+qLKt7ufnp3P93HNWJGw0bOtGco1O6IP4g2KhZxioAAAA7ZR1UXugjtjutz6ybmvUz9dnmfDZ789nkgiyyDvXZBouyJJr2mr4oMq2OsvPJ9fIgj6IX0aqPgBkkx6oSGOgAgAAAChGMuN0ZMRmrB44YLcjI/WccRqq1m2Ntm2z24UFu39ubrCv73fCZ+vjPf/5lr2nnpLe9z7p1Kml35tMEpmctFtyiHaKyDWZRtWy5Jq2Gj6osq1u93jkGnmgD+IfioWeYaACAAAAKF8y4/T226Wbb7bb3bvbz4iFn5JtjdKrRyX7//S0zTYe5Ov7nfCZfryZGemBB6Rvfcve833729Ktt9oMaSCLInJNplG1LLmmrYYPqmyrWx+PXCMv9EH8Q7EwB42GtHevdP/9dttoFPu4DFQAAAAA5WPGadiybtfV79f3O+Ezebz5eWnPnuZWSRdfLK1aJZ0503kWdhHvRxGGInJNplG1LLmmrYYPqmyr049HrpEn+iD+4ZqFQ8q6725ej8t1iQAAAAAgP1mvD5/l6/u5FkryeFNT0tmz9v4vbdOm5qzq666zwYsHHpA+8xkbCFm3zgZK+nk/2mjYjOtjx+zn7thhgy8IT1G5LiLTkrR/v/TBD1o2x8eltWvtsck00rLkmrYaPqiyrU4/XtG5JtP1Qh/EPxQLh9C6923i+HG7f/fuwWYbF/W4AAAAAID20tsapbc/6nR9+Kxf32vCZ/J4Tz219P7ZWRuw2LhROnzYBkUOHrT3hX/xFzYQsmKFDYbs2iWdP9/9fWNRE17hpiJznWemJRuke/ObbVAvGWQbH5fGxsg0lsqSU9pq+KDKtjr9eEXmmkzXD32Q3n8j17AN6RCy7rtb9eMCQJnqvnQfAAD4Jev14fO+nnzyeBddJJ0+be/9koGHXbuk0VEbgFi92gYljh2zn7Fpkw1KxLFts7R2bef3ja0TU7dts9uFhfZbMcF/Vea630w/73mWzQ9+0AbpkkwnuX70URvMI9NIZMkpbTV8EHofhEzXE32QQf9y1WFl4RCy7rtb9eMCRQppyTWGF9rMGgAAUA/9btc16Nf38/N/7/ekW2+1a6ls2mQzn0dHm7Oqo8j6WMuWLf3elSvt/qNHO79vTCampnewkWxi6tNPL92KCeGoMtf9ZPolL7GfNTV14XvIJNczM2QaS2XJKW01fBByH4RM1xd9kOzHXSWKhUPIuu9u1Y8LFIXCENLYShkAAPgs6/Xh876e/OrV0kc/2uxfHz68tH/9939vfe6Jifbff+aMDYK0e9/IxNT6qjLXvTK9fLllc+XKzo9x9iyZxoWy5JS2Gj4ItQ9CpuuNPog/KBYOIeu+u1U/LlAECkNoFeLMGgAAgDJ1m1V97JgNcmzYYNdSmZ1dOsAxP9/8+lZMTEVVeq0UWL/etq9rl+lGwz5PpuEa2mqEqIhck2lUiT5I/7hm4RDy3h+66McFisA1NtEqxJk1AAAAZVu+3CaSPu951r/at88GLJLJpSdP2jVXki3Bnn3Wro2yfn3n943pialpTExFGTplWmref801zUwnuR4flz7yETINN9FWI0RJAaT1dtBck2lUjT5If1hZOKS894cu+nGBvFEYQqsQZ9ag3rgmKwCgCt22+n/Pe+xzJ05I27fb7bJl0jveIU1Odn7fmExM/cQnbEJq6+PyfhNF6nX5iiSbV19t1wc6e9b6Xh/5iHTVVe0fk0yjarTVCE2/bXWWXJNpVI0+SH8oFuYg7/2hi35cIE8UhtCKrZQREq7JWg4KsnANmUTV+tnqf9DJpUxMRRX6yfSg2STTqAptNUJDW40Qkev+USx0CIMS8BGFIbQqa2YNbSaKxjVZy0FBFq4hk3BBv9eAHnRyKRNTUbZ+Mz1oNsk0qkBbjdDQViNE5Lp/FAsdwaAEfBXikmsMr+iZNbSZKEO/HUoMjoIsXEMm4Qq2+kdoyDRCRK4RGjKNEJHr/lEsdACDEvBdaEuukY+iZtbQZqIsdCiLR0EWriGTfmndZeCqq6Qnnghj1wG2+q+vUHNNpusr1ExL5LrOQs01ma6vdrt3SWHs6EWu+0ex0AEMSiAEIS25httoM1EWOpTFoyAL15BJf7TuMnDqlPTkkzZYt2qV/7sOsNV/PYWcazJdTyFnWiLXdRVyrsl0PbXbvWtkxP59/rz/O3qR6/6NVH0AYFACALKgzURZ0h3KNDqU+aEgC9eQST+07jLw/OdLhw/b/w8dkrZssfsXFuzr5uaqPuLskq3+R0ZsMtSBA3Y7MsJW/6EKPddkun5Cz7REruso9FyT6fppzfS2bZbjvXulhx6SLr/c7iPX9cDKQgcwKAEA/aPNRFm4JmvxmOGHsrTbVqfdFjpk0g+tuwxMTUlnz0rr1tn9R49Kl17q/64DbPVfL3XINZmulzpkWiLXdVOHXJPpemm3e9fUVPPfSaYlcl0HFAsLxKAEAOSPNhNlokNZLAqyKEO7bXU6baFDJv3QusvAmTNLP5/+v++7DrDVf33UJddkuj7qkmmJXNdJXXJNpuuj3e5d6Ry3Zpxch41i4RC6FQMZlACAYtBmomx0KItFQRZFat1WJ3H8uN2/e/eFWSOT7mvdZWBiYunn0/9n1wH4glwjNGQaISLXCE273bvSOW7NOLkOG8XCAXUrBm7a1H5QYmpKet/7pFtukTZvXlpc7DUo0e8qRRf5fOwA3MRALhAWCrIoSrttdaTeW+iQSbe17jKwYYM0Pi6dOCGtXClt3Ghfx64D8Am5RmjINEJErhGadrt3bdjQ/HySaYlc1wHFwgH0mqH81rdeOCgxM2MXBT12TDp92l58rSsNOw1KZFml6Bqfj72uKO7CFwzkAgB6abetTsLnLXTqrt0uA1u2SE8+KV1+uXT4MLsOwD/kGqEh0wgRuUZoOu3edd119u9Dh9jRq04oFg6g1wzl73xn6aDE/Ly0Z4+9qFatktaute/ttv1RYpCtk1zh87HXFcVdAAAQknbb6iTYQsdv7XYZ2L5devxxdh2Av8g1QkOmESJyjdB02r1LYkevuqFYOIBeM5STIktiako6e9aKLo1Gc6/fXtsfSYNvneQCn4+9jijuAsDwWJ0NuKXdtjoSW+iEot0uA7y/gO/INUJDphEico3QdNq9i1zXC8XCAfSaoXzddTabJBmUOHPGPjc7a/tYp/f67bX9kc9bJ/l87HVEcRcAhsPqbMA9nbbVYQsdAAAAAACaKBYOoNcM5euuky67rDkoMTNj1ykcH5d27ZJGR5vf02v7I5+3TvL52OuI4i4ADI7V2YC7Om2rw2sSAAAAAABDsXAA/cxQTg9KPPOMdPfd0rp1dr3CRD/bH/m8dVJy7FNTdt3GM2dsC9axMfePvY4o7gLA4FidDbit07Y6AAAAAACAYuHA+pmhnB6UeOlLB9v+yOetk1askN7yFuld75JOnWrev3q19OlPu33sdeRzYRoAqsbqbAAAAAAAAPiKYuEQssxQHmb7I1+3Tmo0pHvukW688cKVhffcI+3c6f7vUCc+F6YBoGqszgYAAAAAAICvKBaWaJjtj3zcOqnTlmwSW7K5ytfCNNCPRsPapWPHrLCzY4cVyYE8sDobAAAAAAAAvqJYiMKwJZuffCxMIxxFFfQOHrRVs9PTF66a3bp1+McHWJ0NAOViEhBCRK4RGjKNEJFrhIZMI0GxEIVhSzYAWRRV0Gs07HEXFpaudD5+3O7fvXuwQg6dKbRidTYAlINJQAgRuUZoyDRCRK4RGjKNtJGqDwDhSm/JlsaWbMVrNKS9e6X777fbRqPqIwK6ay3obdtmtwsLdv/c3OCPnWyJnN4aUrL/T09bYSergwelO+6QPvlJ6XOfs9s77rD7UW/J6uzJSbulUAgA+SqyzwBUhVwjNGQaISLXCA2ZRiuKhShMsiXbyIhtyXbggN2OjLAlW5EoYsBHRRT0EnlviUxnCoDvmFQEnxXZZwCqQq4RGjKNEJFrhIZMoxXbkKJQvm7J5sP2gu2OUSpmu0WgaEVe4zTvLZGTzlT6NSZZZ+rpp62947qfAFzFNjPwHddFR4jINUJDphEico3QkGm0qlWx0IcCUIiSLdl84cMgWqdjnJykiIH2XG//irzGaXpL5PRsqUG3RKYzBcBXRV3DFchLP/0VrosO35BrhIZMI0TkGqEh0xhEbYqFPhSAUD0fBtG6HeNnPiOtXt3++yhi1JcP7V/eBb20ZEvkT3zCiuatf4Osr2k6UwB8lefKaNcnocA//fZXiuwzIB+0D03kOgxkuolMh4NcN5HrcJBrQ6bDUXama1Es9KEABDf4sL1gt2N86inpxIn230cRo558af/yLui1ynNLZDpTAHyV18poHyahwC9Z+itF9xkwHNqHJnIdBjLdRKbDQa6byHU4yLUh0+GoItO1KBYyixr9yjKIVlUWuh3junXS6dMUMdDkQwE8UfQ1TvPaEpnOFABf5bEy2pdJKPBL1v6Kr9dFDx3tw1Lk2n9keikyHQZyvRS5DgO5biLTYagq07UoFvYqAD3zjLR3b++ij8szFChi5qPfQbQqs9DtGEdGpHe8Q/rqVyliwPh2fT1frnFKZwqAj/JYGe3TJBT4Y5D+ii99hjqhfViKXPuPTC9FpsNArpci12Eg101kOgxVZboWxcJuxZVTp6S777YXRbeij8szFFwuYvqmn0G0qrPQ6xgnJ+3D9SIGBe5ycH29fHTKK50pAD7JY2W0b5NQ4Af6K2GgfViKXPuPTC9FpsNArpci12Eg101kOgxVZboWxcJOxZWpKenJJ6Ubb5Q2bGje367o4+oMhaoLV6HpZxBt795qs1+ffukAACAASURBVNDvQJ/LRQwK3OXh+nrDI6/AhZjw4a9hV0bz5hNFoL8SBtqHpci1/8j0UmQ6DOR6KXIdBnLdRKbDUFWma1Es7FRcmZuTrrpqaaFQal/0cXWGgqtFTJ/1GkRzIQs+b4FIgbtc3YrLt91mGWKwvzPyClyIArr/htlmhjefKALXAw4D7cNS5Np/ZHopMh0Gcr0UuQ4DuW4i02GoKtO1KBZK7YsrR45I997b/utbiz6uzlBwoXAVom6DaK5kwdf9pClwl69d+7dmjXTXXf4P9he9uom8AktRQAdvPlEUnyfDwdA+XIhc+41MX4hM+49cX4hc+49cL0Wm/VdVpmtTLJQuLK7s3dt/0cfVGQquFK7qxNUs+IICdzXS7V+jId1xh/+D/WWsbiKvYWMrzewooEPizSeK4+tkODTRPlyIXPuNTF+ITPuPXF+IXPuPXC9Fpv1XRaZrVSxslaXo4+oMBQpX5XM1C76gwF29EAb7y1rd5EpeKWrlL/StNIvKDAV0JHjzCaAT2geEhkwjROQaISLXCE3Zma51sTBr0cfFGQrDFK4YfB6ci1nwBQXu6oUw2F9WwdOFvIZe1KpC6FtpFpkZVwroCBf9UwAAAAAAylfrYqGUvejj4gyFQQpXDD4Pz8Us+ICVmdULYbC/rIJn1XkNvahVlRBW13ZSdGZcKKAjXPRPAQAAAACoRu2LhVIYRZ8svwODz8jTICsAWJlZrRAG+8sseFaZ15CLWlUKYXVtJ0VnpuoCOsJV9/4pKyoBAAAAAFWiWJgjX97kM/iMvAyzAiCEIr2vQhjsL7vgmRQmW2+L9swz9judPy9NTEgbNkhji2du34taVQphdW0nZRRCmfCBItS5f8qKSgAAAABA1SgW5sSVN/n9FCxDXlFRJ1UXp+u+AsB3vg/2l1nwrKp9P3hQuvtu6ZFHpFWr7L7xcWnXLmntWv+LWlUKYXVtJ2UVQpnwgbzVtX9KfwoAAAAA4AKKhTlw5U1+vwPaIa+oqAsXitN1XgEQCt8H+8soeFbVvic/d926Zpu9cqU0Oyvt2SPt3Ol/UatKIayu7STkQijCVtf+Kf0pAAAAAIALKBbmwIU3+VkGtBlI9Jsrxem6rgBAsbKumC264FlV+57+ubt2WYFweto+d/q0dOKE9NGP+l3Uqprvq2s7CbkQirAV1T+teieGXuhPAQAAAABcQLEwBy68yc8yoM1Aot9cKE5L9V0BgOK4sGK2VVXte/rnrl0rTU5KR49KZ85IMzPSLbdwHas8+L66tpNQC6EIWxH9UxfPK63oTwEAAAAAXECxMAfDvsnPY8Zz1gFtBhL95UJxWmKFKvLlyorZVlUN4rb+3NFR6dJL7d9PPy1t3lzMz0U4Qi2Ewn/d+r159k9dPa+0oj8FAAAAAHABxcIcDPMmP68Zz4MMaDOQ6CdXZqCzQhV5cmXFbKuqBnEZPAYQon76vXn1T109r7SqY3/K9a1hgUGQa4SGTCNE5BqhIdPIG8XCHPR6kx/H0t69F75w85zxzMByfbj0XLNCFXkpYsVsHp2mqgZx6zh4DCBsZa/0c2Unhn506k91eg/hMx+2hgWyItcIDZlGiMg1QkOmUQSKhTnp9Cb/yBHpjjvav3CPHctvxjMDy/Xh2nPNClXkIe8Vs3l2mqoqilOMBxCSslf6tZ5X5uelqSm79uv0tLR6dX4/Kw+t/akQ3/z7sjUskAW5RmjINEJErhEaMo2iUCzMUeub/F4v3MnJfGc8M7BcH0U+1yxhRxXyXDFbRKepqqI4xXhgOJzT3FH2Sr/0eWV0VNqzRzp71jIRRdLdd0uXXeZm4S3UN/++bA0LZEGuERoyjRCRa4SGTKMoFAsL1OuFOz2d/7XnGFiujyKe6xBnscMPea6YpdME31DQKgbnNLeUfc3l5Lyye7f0wAP2M1askNaulXbtks6fz7fwlufrONTzWFIwTq/ynJiQNmxwb2tYoF/kGqEh0wgRuUZoyDSKQrGwQL1mUK9b586154BQZ7HDH3mtmE23va0dp4UFOk1wCwWtYnBOc08V11zeulV629ukAwfsZ0xMSBs32kpDKb/CW96vY5+ut5jF+vXS6dNWvD17tnn/+Lh0+eX5F4xRvk5F85AnxZDrsHXLbqi5JtPho61u3k+uw1G3XJPp8FWVaYqFBeo1g3rz5vyvPRdqI4jihTqLHX7JY8Vs0vbOzDS3nUvMzUlvectwjw/khYJWcTinuaeqay7PzNh5Ydu2Cz+XR+GtiNdx2aswy3LVVdITT9jfat265v0nTtj927dXd2wYXqei+VveIt1zT7iTYsh1uLpNBJHCnexFpsNGW02uQ1THXJPpsFWZaYqFBepnBvXy5flde47VCRhGqLPYUT87dkirV0tf/7oNTl98sd0/O2u3X/mKXTOWIgyq5lJBK7TJRpzT3NNo2PPy2tfam9iLL5YuvbT462sXXXgr4nVcxSrMMjzxhHTlldLhw/Y3S6xcKW3ZIj3+OEV8X3Uqmk9NSe96l3TjjeFOiiHXYeo2EWT3butLRFGYuSbT4aKtJtchqmuuyXS4qs40xcIC9TuDOo+VNKxOwLBCncWO+lmxQnr966Wvfc3+32jY7fi49KpX2SA1q4rgAlcKWiFONuKc5pZuGSu6f1p04a2I13FVqzCLduyYTeaZnJSOHm1uEb5xow10UMT3V6ei+fy8dOqU3aaFtMqbXIep20SQhx6yf+/ceeHnQsg1mQ4XbTW5DlFdc02mw1V1pikWFiyva3D14tLqBLiv3QqSUGexo56WLZOuv95mVaU7TaOj1lbScUJRsqzQc6GgFepkI85p7qg6Y0UX3op6HZf1HqJMyd9qdNRWlaZRxPdbp6L5mTNLb9NCWeVNrsPUbSJIMhGxnRByTabDRVtNrkNU11yT6XBVnWmKhSXIY+VgL66sTsDwit4Krtvs/hBnsaOe1q+XRkYu7DRJdJxQnKwr9FwoaIU62SjUlVk+ciFjRRbeinwdl/EeokwutHkoRqei+cTE0tu0UPpj5DpM3SaCdHtvHkKuyXS4aKvJdYjqmmsyHa6qM02xMBAurE7A8IreCq6f2f2hzWJHPdFxQtkGWT3lQkEr5MlGIa7M8lFeGRt2MlVRhTcXXse+SP5Wu3fbNn6NhjQ2Zlso3XSTvVZ9v15qXXXqdyXP71jLqENI/bEVK6TbbpM++EHp0UftNR/Hdv8739n5PTrc1u29xLZt1taH+j6DtjpcdW+r07menZXm5uz3u/VW2mqf1TXXtNXhqjrTQRQLi16JldfPKfI4GRj3XxnbdPU7uz+kWeyoJwZvUbZBV09VXdAKfbJRaCuzfJRHxly/rmbVr2PfJMXjs2elQ4fsmsILC9IDD7j1vKJ/3fpdn/60dM894fbHDh6UPvUp2wYsjq0dGB2Vrr1Wuvde6atfJdM+6pbpX/91+5rQ32fQVoenzm11IoqsULh/v+V51Srpv/wX2mqf1T3XtNXhqTrT3hcLyxo8yPpzWguDq1fbm4iijpOBcf+VsU1XyCtIgFYM3qJMw7SvVRa0mGyEog2bsaqvedgvCtPdNRrSd78r/fZv2yDGS14iff3rdj1hyQY3JielmRm3nlf0r1u/a+fOMPtjJ09K73ufXTtm/Xr7/+bN9rkf/YhM+67Xe4kQ32fQVoevjm11OtfLljXb6pUrrXB4+LC0aROZ9lndck1bHb4qM+11sbCswYOsP6e1sDg/b0/iy19e7HEyMO63Mgp5oa8gQfXKWundLwZvURZf21cmG6Fow2Ys62Qq185DaL43evJJ26Zx1Sp73s6fbw5qTE9LR4/atYZ9vl5q3XXqd4XYHzt40AqF3/62ZfqRR6QTJ6Qrr7SBOzIdhm7ZDS3XtNX1Ube2Op3rkZFmWy1ZwXB62sZtn3uOTPusLrmmra6PqjLtdbGwjJVYWX9Ou8LiM8/YcuBHH5W2bLFtSYo4Tim8RrBOyhhoZgUJipT3Su92A74Sg8Bwk8/taxWTjSjouKOM52KYjGWZTOX6dqV1lH5vdPHFNqBx8cXSkSP23K1fbwN3kq3OktjtIhQht/NJrp97rpnphQVrcw4fll74Qvs6Mh2eUHNNW11foWZaap/r1rY6neuxMTIdilBzTVtdX2Vm2utiYVlbKmb5Oe0Ki2fO2BN49myzsl/EcbYKtXEMVRkDzWWvICGD9ZH3Su92A74jI/bv8+cZBEY1urVpvq/QK3OyEQUdd5T5XLTLWD/9hH4nU/myXWndpN8bNRrN+y+6yN4XPfecXa5BkiYm7Nbl1djoT+jtfJLrzZulAwfsvmXLbKA5WZ0ikenQhJxr2up6CjnTUvtct7bV6Vw3GmQ6BCHnmra6nsrOtNfFwrK2/Mryc9oVFpMXqNSs7BdxnGkhN46hKmuguawVJGSwXvJc6d1uwHd+XvrzP7d/33RTc4U2g8AoSz9tGtuB90ZBxx1VPxf99hP6nUxV1o4jyCb93mjDBtuecXbWBjVGR21QY2zM7t+40Y/V2Oiu6ralDEmuWzM9NmYThE+fltatI9MhCT3XtNX1E3qmpfa5Pn++2VafO2c5Hx+3+1auJNO+Cz3XtNX1U0WmR/J9uHKlBw/S8n4xZPk57QqLyQu40VhaOOx1nI2GtHevdP/9dpueNdBNa5C2bbPbhQW7f26uv8dB+ZKB5ttvl26+2W537y5udv/kpN0WsaKwnwwOmnG4J8+V3smAb3pQeGqq+e+jR5v/vuQS+9p9+7IdL5BFlvNq0e1r63H51oa2e31LvJarUOVzkeU1lUymGhmxot+BA3Y7MrJ0MlVZO44gm/R7o7Exadcuez5OnrSBjUZDOnVKuvxy6dChC59X+KcO7XyS69ZMr1ljE9zOnSPToQk917TV9RN6pqX2uR4dbbbVJ05YrrdssRWHZNp/oeeatrp+qsi01ysLy1qJleXntJv9PDYmXXON9L3v2crCAwd6H+cwq7KYWe23EK472U8G169n5WFI8lzp3W7AN70qu3WFNoPAKJqL59UqVm/nsbU0BR13VPlcZH1N9bNqt6wdR5BN63ujtWttMsX+/VYUfs97bIDu5ElWY4eiDu18a64nJ20y27PPSi99qfRv/63N9CfT4Qg917TV9RN6pqXuub76aukXf9EWd2zeTKZDEXquaavrp4pMe10slMrb8qvfn9OtsHjvvfaC7XWcwy4xDb1xhPt6ZfDIEemznw13a4A6yvOam+0GfNOrstP/lhgERvFcO69WsRVFXsVJCjruqPK5GOQ11WsyVRnXfkZ2nd4bbd7MBLFQ1aGd75TrK64g16EKPde01fUTeqYlcl1HoeeaTNdPFZn2vlgolbcSq9+fM2wBc9gVDKE3jnBfrwyeOOHeKh0MJ8+V3u0GfDdsaH5+48bmv/sZBM5jNVSI+Lv0z7XzatkrHfMsTlLQcUeVz0URr6mydhxBdsl7o7177SOOpeuvlzZtqvrIUIS6tPPkul7qkGsyXS91yLREruumDrkm0/VSRaaDKBa6aJgC5rArGOrQOMJtvTJ48cXZM05hw315rfTuNOB73XX270OH+h8ErmKrRh/wd8nGtfNq2Ssd8yxOUtBxR9nPRfo8vmaNtHp1/q+psnYcQXZHjkh/+qfN886DD3LeCVWd2nlyXR91yTWZro+6ZFoi13VSl1yT6fqoItMUCx007GzrujSOcFevDB47li3jFDbyVWThNa+V3p0GfKX+B4Gr2KrRB6H8XcqcQFDmebWf36vslY55Fycp6LijrOei3Xl8ZMT+ffJk9tdUt9dJt/MQE4+q0eu8c+eddq0Vnpdw1KGd75br3bult71Nmpkh0yEJPde01fUTeqYl2uo6Cj3XtNX1U3amvSoW1uUNfh4rGEJvHOG+bhnctKn/jLtQ2Aip7fGp8NppwLffYmTZWzX6IoS/SxU5LuO82u/vVfZKxyKKk2VtIe+bKs43RT8X3c7jcSzdcosVDPt9TQ36+vfp/NeNj32SbuedffukW2+1593n5wUXytK2hJTr0VHpgQekAwea508yHY6Qc01bXU8hZ1qira6rkHNNW11PZWbam2JhKG/w+5HXCgYG4gbj24milUvH3ymDWTJedWFj/37pgx+0v+f4uLR2rQ1o+tj2uFB4LVPZWzXmoYzXb6e/y/y8ZeG+++z/rrZ9Vea4yPNqlt+r7B0EXNuGNVSh9nV7nceXLZMmJ/t7rEFf/6Gc/3zNSLfzziOPSNu320fCt+cFwwkp1/Pz0p49zd9h2za7n0zXj4+5pq1GNz5mWqKtRnc+5pq2Gt3kkWkvioU+vcHPa6CXlYHV8PFEkebT8feb8SoLPvv3S29+s3T2bPN1PD4ujY251/b0o+rCa9nK3qpxWGW9ftv9XWZm7A3TsWP2/8cec7ftCDXHWX+vMvsJbG9ePJ/6ulnleR5Pv07m56WpKenMGWliwm47vf5DaDd8zkin8/HUlDQ3ZztOpPn0vGA4oeV6aqr5vmFionk/ma4XX3NNW41OfM20RFuNznzNNW01Oskr014UC315g5/3QC8rA8vl64ki4ePx95Pxqgo+jYatKDx7dunJdnZWevRR6eqr3Wl7+uXjSrth+LQaqszXb+vfJZlZOTtrr7eXvcy2ZXG17Qg1x4P8XmX2E5jEVCxf+rqDyPM8nrxOkgkOZ882Pzc3Z+fndn8nF9uNrBMMfc5Ip/PxkSPWhmzceOH3+Nyeo3+h5frMGXttr117Ya7JdH34mmvaanTia6Yl2mp05muuaavRSV6ZHini4PLm4hv8Vq0Dvdu22e3Cgt0/N1f1EaKX5EWVbmwl+//0tL2oWjUa0t690v33222jUc6xtjPI8fsgfSJMK7rg8/DDNjOndeBu5UobnJyZcaPtycK3lXbDSlZDjYzYifHAAbsdGXFvNVSZr9/Wv8sPfmDn2ZUrpV27rFBY1M/OQyg5bj1/rFnj/u+VFCcnJ+3WpdeQ73zo6w4qz/P4+vUXbh2VfMSx9KUvte9zu9ZuHDwo3XGH9MlPSp/7nN3ecYfd34nPGel0Pr7oIstHct5Jc6XdQ7FCy3UyaTjdn0qQ6frwNde01ejE10xLtNXozNdc01ajk7wy7cXKQtfe4Lfj64wENGV9Ubm25aevJ7peqtr+LimedHL2rBttTxY+rbRrZ5Btnn1ZDVX26zf9d0muUZisKCz6Zw/L9xxL7c8fq1Y1V3T6+nthcD70dQeV53l8xw7p/HmbsNO66n/tWnsNtetz59Fu5HWpgUFXkvuekXbn46uvlt7/ftq9Ogst16tXS3ffbe1UGpmuF59zTVuNdnzOtERbjfZ8zjVtNdrJK9NeFAt9GBgscqA3r8EJdJflReXilp8+n+h6qaLgs369DTyOj9sgZLpw2GjY511oe7Lw+bpjwxTnfdjSuYrXb/rv8thj/sxA8znHUvfzRxzbh4+/F4bjSl+3qD5nXufxFSukn/s5W4374x/bKsOxMfsb3XijnSPa9bmHbTfynCA26ARDVzIyjHbnY5/bcwwuaWueecZWA09NSRs2ND/vc64vu4xM11H6/LlmjU0C87W9pq1GgrYaIQol17TVSOSdaS+KhUUPDOYxMFLUQK9rq9dClmUQxsWVpCEMInVTdsFnxw573Y6N2TWQpqft/kbDCogf+YifJ1tfVtqluVicz1uVr18f2w4fc5zodv44eVK65RZp2TL/fi8Mx4UieNF9zrzO4+vW2fG1fkjd+9yDthuNhvSxj9n7hLExaWLC3nydPDnYOWjQCYYuZKQIPrfnGExrW3PunPSNb0hXXmmrPXzPNZmun3bnz9FRuz15Moz2mlzXD201QkSuEZoiMu1FsVCyrYbe+lbpO9+xX/666/K5Xk5eAyNFDLbWYYDcJVkGYVzc8jPUQaSqpP+eV19tW56dPWsTAz7yEemqq6o+wsG5ttKu14SNoovzLqzervL162vb4VqO+9Xr/HHypF0TEPVT5Zs7X/qcjYb0la9Yu7ViRXPV/+ys9PWvSz/zM9373IO0Gw88IH3ta0t///Fxu87NiRPZz0HDTDAMdQDA1/Yc2XVqazZutNfTm94kbd7sf67JdH302jHillusbxdCe02u64O2GiEi1whNUZn2oljYrqD3+OO2bHyYmc55DowUMdjq4uq10PU7COPqlp95DSK5UDxxQaiDci7pZ8JGkcV5l1ZvV5k3sl4eV88fcENVb+6q7nP22+94+GHp1CnpVa+S9uxprvqXbMuV178+33ar0ZA+85nmuSExO2s/f/v27OegYScYMgAAn3VqazZskJ57zgY0yDd80uv8uWwZk8DgH9pqhIhcIzRFZdr5YmGRM53zHhjJe7DVxdVrddDPIIxr2/blWdxzqXjiAgblitNv+15UcWXY80u3192gr8kq80bWy+Ha+QOQqu1zZul3JMe5dq0Nvh49Kp05Y1uDzs7mP8Hh4Yftuoit7ffKlXa8J05kPwf5upobyKJTP4j3t/BZu1yTafiOXCM09EEQojLbaueLhUXOdC7ij5rnYCurD9zl0kBPnsU9X7YhQxj6bd+LKq4Mc37p9rqTKLijM5fOH0Ciqj5n1n5H+jhHR6VLL21+7umn8z/OY8fsGolTU1aMTLY9TY592bLBzkGs5kbIuvWReH8LX3XK9eQkmYa/yDVCQx8EISq7rXa+WFhk5d/1hoLVB24reqCnn5VJeRf3qt6GDPXSb/ued3EleW3dd5+9VrZskcZazobdzi/dXncf+1jz+ym4oxMXCwVsP11vVfU5s/Y7yj7O9eulkRG7PmHrtqdRJL3jHYO/bpP3IK23gM96vTe5807e38I/3XL9P/6HtHo1mYZ/yDVCQx8EIaqirXa+WFhkQc/1YhyrD9xX1LZ9/a4WzLu4l6U4z8AyhpWlfc+ruJJ+bR0/Lj3yiG1jt2uXbWvX6eendXvdPfSQ/Xvnzgs/R8EdaWVs+5q00888Y231iRO2EmrnTunaa5ttNttPo6o+Z9ZJgVmPc9i+SvJe4fz5pduenjtn14IY9DpUvOYQql7vTfbv5/0t/NMr1296k/TVr5Jp+IVcIzT0QRCiKtpq54uFRRb0fCjG9Rogp2ATniyrBfNeedtv8YZBLuQha/s+bHGl9bW1ZYsN/M7O2oqRyUnb1q7X+aXb667RYB98uCFpp3/0I+l737NtFCUril90kXTDDdKHPiRt2uTW9tP0a6pTxYrXQSYF9nucefRV0u8VDh2yxxkdtZ856HsFtnxHyPp5b3Ldde6trge66ZXr5cvJNPxDrhEa+iAIURVttfPFwqILei5uBdaq0wA5BZswZVktmPfK236KNwxyIS9lT9hofW2NjTW3ljt2TPr+9y33vX5+t9ddt6KGC9tbox6SdvrcOenAAenUKSsQSrZC6qKLpL17bdvcW25xZ/tp+jXVK2PFa1rWSSOtxeRXvKJ9u5tnXyXv9wps+Y6Q9fvepOy2BhhGP7km0/ANuUZo6IMgRFW01c4XC6XiC3o+NhQUbMKVZbVg3itv+yne7N3LIBfy06l9j2PLWtbVRd1WJbV7ba1daysKv/996cYbpde+tvf5pdvrLnlduLq9NeohKUasWNG8xtqyZXZ79qz1HSRrs7/zHTdWw9Kvqacsk0ayFJPzLsjl+V6hyOuxA1Vz/TIfwCDINUJErhEaMo0QVZFrL4qFkp8FvX4NsuUWs5LDlWW1YBErs3oV5xnkQt5a2/dBVxf1+r5Or63RUWs7X/va/trNXq87ye3trRG+pJ0+c8ZWErY6d04aGZHm5poZbTU/b4/z+ON2Hih6O1D6NfXVz6TAkyel973PMr1pk10vcGysczHZ5b5KkddjB6qW7iM9+aQ0M2PbvW/YIH34w/SD4Kck17t32/XJGw27b9s26dd/nVzDT+QaoaEPghBV0VZ7Uyxslfc1baq6Rs6gg+IuD4JgOFlnDRSx8rZbcb6sQS6uW1VPg64u6uf78pyR0+t15/r21ghb0k5PTFgxvNWyZVZETNr6xx9f+rqYmZG+/nUrJn7rW9KDDxa/HSj9mnpbvtza6OS8v29f87x/8KAVCr/9bWnVKisej4/bNtKdiskuF+SY9YzQbd0qvfvd0gc+YKvZV660c9GnPsW20vBbaz+lU78F8Am5RkjogyBUZbbVXhYL876mTVXXyBlmyy2XB0EwnEFWC5a58rbXINfVVw+2fWQa162qr0FXF/X7fXmuxO32ugt5NTzcl7TT587Z7cmT9m/JVmONjFix8IorLKeXXdZ8XSws2Naky5dLr3udbdUrFb8dKP2aekvO+z/5ydJZwL/xG9If/IH03HNWKLz4Yvv62Vm73uyrXmXZvO8+uz/pc7hckCv7er1A2RoN6a67rF3fvr15P9tKw1fJuE0USTt3Nu8n0/AZuUaI6IMgNFW01d4VC/O+pk2V18gZZsstlwdBMJjWlXR33int3+/eyqRug1xveYv0/vcPV+TjulX1Nujqon6/r+hr4AIuSLfT27ZJJ05IU1P2ubVrrfByww3Se99r2U+/Lr75Tfv6l7986arEorcDpV9TX8l5f2bG+j1nz9r9hw9Lv/iLlo3Nm6UDB5rfs3KldPSo9Od/boVDSXrssaV9DpcLcpyLEDK2lUZoyDRCRK4RInKN0FSRae+KhXn/kapsSIbZcotZyWHptpLOxRNZu0Guq6+2QuGwRT5O7vU26OqiTt/X6bprSYYaDcsU290iNOl2+tlnrbAyM2MrC3fulK69dmmbnGwDuWePvS6OHm1eFy5R5Hag9Gvq6+GHLVf79zef88STT0rf+55NRhoft8LgypXW15iaklavljZulF72MituJ32OO++0dn1y0voU69ZZwdGlghwr0BEqtpVGaMg0QkSuESJyjdBUkWnvioV5/5GqbEiG3XKLWclhqHIl3TDXBWwd5Nq7N58iHyd3vw17rclBVxe1+75e111ju1uELksxInk9MeQO1QAAIABJREFUPPmk9MMfWrEwuS5cshVp0duB0q+pp2PHrL0+e3ZpoVCywuDp09a279plxezpaenMGfv6zZvt/mQV7CWX2Dno1lstNxSdgfKxrTRCQ6YRInKNEJFrhKaKTHtXLMz7j1RlQ5LHllvMSvZfVSvp8i6U5FXk4+TurzwyNejqotbv63XdtTvvZLtbIJGetPKyl9mKrTi2jz17bHXWzEw524HSr6mf9eubW4m2Gh+3FeLPPmtb405OWiH7Bz+w63DedNPStnp+3vpV27eXd52SYSfJAKFhW2mEhkwjROQaISLXCE0VmR7J/yGLlf4jpQ36R8r78bJIBrdHRmxw+8ABux0ZYfZznVSxkq51NeO2bXa7sGD3z81lf8y8inxVviYxuDwzlawuuv126eab7Xb37t4Fx/T33XCDdOWVNpCcFAolO7lOT0tf+ILdpk+26c/v29f/8QK+SyatXHKJbTu6a5edfxoNO0d973v0TVCcHTtsy9tGY+n9s7PSxIQVCScmrI98+LB93QteIF1//YV5nJqy882mTUvvL6ptP3hQuuMO6ZOflD73Obu94w67H6gr3uMiNGQaISLXCBG5RmiqyLR3KwvzvqZN3o+XdXYxW26hipV0RaxmzGu2A9et8lPemRp0dVHyfUkbnGxNlxZFNpDLdreAaZ20snZtcwXX009LP/uz0jvfSfuLYqxYIX34w9Kb32wrCJN+8/i4dM01lsff/E279mzrdZJb+xzPPms53bjxwp+Td9te5TbygOt4j4vQkGmEiFwjROQaoSk7094VC6X8/0h5Pd6gW/Cx5Va9VbGkuIjVjHkW+Ti5+8e1a032KsJv3So99ljnz7PdLeqk3etldFS69FIriNxwA+0vinX11dK990of+ICdT8bHrUi4dq31IVaturCv3K7PMTEhvfjF7SeK5N22V7WNvI/YqrWeQn6PS6brKeRMS+S6rkLONZmuL3KN0JSZaS+LhVL+f6RhH4/ZxRhUFSvpilrNmGeRL+STe4hcu9ZkryL8G98oPfgge9kDEtd2gBuuukr6oz/qvw/Rrs/RacVhEVl2bZKMq/K+Rjb8EuKAFpkGuUZoyDRCRK4RmrIy7W2x0DXMLsYwyl5JV+TAMEW+emrN1Py8XTvqyBHpootsALdMvYrwq1ez3S0G4/Objk7HzvbPcEVrH6LRkPbu7fx6a9fnKCvLrk2ScRGTKeut24DWpk1+nkvJNDrl+rbbpFOn/Mu0RK7rjrYaISLXCE2ZmaZYmBNmF2NYZRbZQh4Y9nkg32fpTO3bJz3yiDQ3Z1nascNWepQ926lXEZ7tbpGVzzP5eh07rwe4Jp3ZhQXpxAlpbMyun/ma13Q+t5eVZVbk9sZkyvrqNqD14Q/blsGnTvl3LiXT9dYp1wcO2HV3X/ISO0/5lGmJXNcZbTVCRK4RmrIzTbEwJ8wuhm9CHBj2eSA/BFu3SnfeKd16q7R9u81u2bjRrh1V1WynXkV4VsKiXz7P5Ov32Kt8PTDRA2npzK5bJ+3ZI509a/e/973Sq19tt53O7WVkOeSJV3lhMmV9dRrQWrNGeuAB6ad+SnrRi5r3+3Aulch03bXL9fy89Oijdo6amLDrPUv+ZFoi13VGW40QkWuEpuxMUyzMCbOL4aJeg68hFUp8HsgPyf799nfevn3p/cx2gu98nsnn+rEz0QOtksxu2WJvgJJMSHb/1JQb5/YQJ17licmU9dVpQGtqynaeWLZs6f2unI96IdP11i7XU1NWKFyxQjpzpnm/L5mWyHWd0VYjROQaoSk70xQLc8LsYrimboOvrg+G1wWznXpjBZWffM62y8fORA+0k2Q2GYRNCoWJZcvsnO/CuT2kiVd5YzJlfXUa0EqKKRMTF36u6vNRP8h0vbXLdbpA2JprHzItkes6o61GiMg1QlN2pmtdLOw0YNtrILfT55ldDFeUPfjqQvHD5cHwOmG2U3d1K+KHxNVs99P+unrsEhM90F6S2fQgbNrEhM2iLPPc3vpau+oq6YknmPjRDZMp66vTgNb8vD3vGzde+D1Vn4/6QabrrV2uJybs/LB27YW59iHTErmuM9pqhIhcIzRlZ7q2xcJOA7ZveYt0zz2dB3J7DfQyuxguKHPw1ZXih8uD4XXCbKfOWEHltl5FNxez3W/7m+XYy578wUQPtJNk9tlnl94/OyuNj9sbokOHyju3t77WTp2SnnzSCoarVjHxoxsmU9ZTpwGt9eulG26QZmbcOZdmRabrq12u5+ftvHTNNXad9oRPmZbIdV3RViNE5BqhKTvTtSwWdhqwnZqS3vUu6cYb2w/k3nknA73wQ1mDry4VP1wcyK8jZjt1xgoqd/VTdHMt273a3zvvtGuIJkW/226TPvWp7sdexeQPJnqgneT19rGP2QrCZ5+1+8bHpV277A1RWef21tfa/LxdR3FhwQqWk5M2QMz7gc6YTFlPnQa0jhxx51w6KDJdX+1yvWaNdNddfmdaItd1RVuNEJFrhKbMTNeyWNhpwHZ+3mYKz88vvT8ZyP3CFxjohR/KGnx1qfjh2kB+nTHbqT1WULkpy6QHF7KdrPzbs8dWNr3sZUs/f8kldny33mrHlW4L3/1u6eTJ9sc+zOSPYVYjMtEDnWzdKn3849JXvyr94R9K585J69ZJJ06Ue25v7esk11Fct87uP3pUuvRS3g9k4cL29ShH8n4kfevCuTRvZLo+Oj3XoWVaItd1Upe2WiLXddHueV6+PMxck+n6KKutzr1Y6ENIOw3YJtdFaXd9lCiyGfcM9MIHZQ2+FlX8GLQdCfHE74JBng9mO12IFVTFGySrWSc9VJnt9Mq/H/9Y+uEPrXCxa5ddK0eyCU+PPCJt324fiePHbdZ7p6LfoJM/hl2NyEQPdLN8ufSGN9jqvarO7a19ndb3Cen/836gN1e2r0fxej3XofQTyXR91CXTErmuE3JNrkNTp8uHken6KLOtzrVY6EtIOw3YTkwsvU1LqrWPPdb+MRnoheROsbyswdciih/DtiMhnfhd4Eu7nqeiXsesoCrWoFktesVnXnlqXfm3YoWtaIpjW2WYbIU4NWXbNm7atPT7exX9Bvk75LUVNRM90EuV5/bWvk7r+4T0/3k/0J1L29ejWHV5ruvye6Jez3Wdfte6q9NzXafftc7q9DzX6Xetu7Kf66GLhckg2DPPSHffbVvyuB7STgO2Y2PS6tV2m5YM5L7xjdKDDzLQi/ZcK6qUMfiad/GDk51byno+iirODfK4Rb6OWUFVnGGyWuSKzzzz1Lryb8MGu35bHNuWiMlWiEeO2O+6ceOFj9Gt+DnI3yHPraiZ6AFXtfZ1ktfeiRPSypXN1xrvB3pzaft6FKsuz3Vdfk/U67mu0+9ad3V6ruv0u9ZZnZ7nOv2udVf2cz1UsTA9CHb8uG17tX790u2wXAxptwHbT39auuee9gO5q1df+H3z89L589LP/Zz9ji5uu4rilVnkylIAKXrwNe/iByc7t5TxfBRVnBvkcct4HbOCqhjDZLWoFZ955ym98m9+3lYQXnaZtH+/bYP41FP2My+6yH6n0dELH6Nb8XOQvwPX4UQdtOvrbNli1wy9/HLp8GEmfvSLNqM+6vJc1+X3RL2e6zr9rnVXp+e6Tr9rndXpea7T71p3ZT/XAxcLWwfBzp+XVq26cDssyc2Qdhuw3bmz80Bu+vsefVT60pfs9/za16QHHgh/ez60V1aRy7XVi1Lv4keW4iYnu+IMssqujO0ZiyjODfq4Zb2OWUGVv2Gy2q4QkMdEoLzzlKz8m5mxftbZs83fb2FBesUr7Hivvlp6//uzFz8HmfzBdThRF+36Otu3S48/zsSPLGgz6qPXc716tbR3b/WXbhgWma6Pfp5rVy5JMixyXR/k2pDrcJBpQ6bDUnauBy4Wtg6CJdfrWLnS7k+2w0ofuGs6Ddj2Gshdvtz+6J/9rD0BrYNxbJdYP2UUuVzeorPTayZrcZOTXTEGLTIX/XwUVZwb9HEpVvtr2KwWMREo7zzt2GGDq1//unX6Lr7Y7p+dlUZGpGefbRYrBl3xnXXlK9fhRJ206+sw8SMb2oz66PZcj47a5UtOnXJn8uOgyHR99Hqu16yR7rjDrUm9gyLX9UGuyXVoyDSZDlHZuR4Z9EBbB8GS63fMztr/z5xZeuChhTQZjE4/SZL9f3raBtpQH2vW2GviySft+p3z883P5VXk8i1zrcXNbdvsdmHB7p+bu/B70g1gWqjtSBkGeR4SRT8fRRXnBn1citX+yiOryUSgBx+0LFx5ZbbXS6u887RihfT619sxNBrW7iedwVe9ygZdk/NAUvS7/Xbp5pvtdvfu/jqKSUFkctJuuxUXk9WIIyNWmDxwwG5HRpqFyUbDVo/cf7/dNhrZfm8A4einzUAYOj3XcWwfUZS9X+oiMl0f3Z7r226T7rprsPdbLiLX9UGuyXVoyDSZDlHZuR54ZWHrINjYmF2rcM8e6fRp2ybr6afDvX4HK1CQOHjQZsc++aS9JlassML5rl22jV1eRa4qMjfMMuZBVnflfQ1EDLd6r+jno6ji3KCPy8wsf+WV1TxXu/aTp6xt7LJl0vXX2y4OZ87Yrg4bN9oqjenppeeBsra77bYa0cWts4GihbK1UVG4dm99tHuuz52Tfvd3209+9PX65GS6Pjo91/v2lXMpgzKR6/og1+Q6NGSaTIeozFwPXCxsNwi2dq1d7+/ECemWW6TNm8MNKStQIDVXbUWR9LrXNa8jNTMj3Xef9OpX51fkKjtzww7yDlrc5GSXr2GLzEU+H0UV5wZ9XIrVfssjq3lOyuiVpyNHsrex69fb7LFkm/e0Kvse7QqTLm+djXJUUTSrulBHgbw/XLu3Plqf6/vvD3PCLZmuj3bPdagTycl1fZBrhIZMI0Rl5XrgYmG3QbCPfjT8N8SsQIF04SqUyUm7XueZM3b/Lbfk91ooM3N5DPIOU9zkZJefPIrMRT0fRRXnhnlcitV+GzareU/K6JSnOLY95bO2scOeB8ospBR1TVL4oYqiWdWFOgrkQG9MuEWIyDVCRK4RGjKNEBWR64GLhVK9B1VZgQLpwgr+6GhzxceBA9LJk/n9rDIzl8cgLwV1N7j+PBR1HhnmcSlW11cRr5d2edq7d7A2dpjzQNmFlFBnbqK3KopmLhTqKJADvbneLwUGQa4RInKN0JBphKiIXA9VLJTqPahaZLG06m2UcKF2z0nZM1PKKtDnMchLQd0NPjwPRZ1H6nx+wmDKer0M08YOch6oopDCzM36qqJo5kKhjgI50JsP/VIgK3KNEJFrhIZMI0RF5HroYmHdFTEYXfU2SrhQp+fkttvKn5lSRgEkr0HeOq8+dgnPgzuYCOK+Ml4vw7axWc8DVRRSmLlZX1UUzVwo1FEgB/pDvxQhItcIEblGaMg0QpR3rikWOsaFbZSwVLfn5FOfkt79bumuu8KamZLnIC+ru9zA81A9JoL4o+jXS9mFtCoKKczcrK8qimYuFOookAP9o1+KEJFrhIhcIzRkGiHKM9cUCyvUboWJC9soYalez8nJk+HNTGGQF8gXE0GQVnYbW1UhhZmb9VRF0cyFQh19JwAAAACAzygWZpDn9nGdVphcf3312yhhqX5WZIQ4M4VBXmCpYc4BTARBqzLb2CoLKSGeH9FdFUUzVwp19J0AAAAAAL6iWNinPLeP67bC5MtflkZH238f1zuphgtbW1WFQV7ADHsOcOF6WnBPWW2sK4UU1EcVRTNXCnX0nQAAAAAAPqJY2Ie8t4/rtsLkxAnp/Hmud+ISF7a2AlCdPM4BdZ50ADe4UkhBfVRRNKNQBwAAAADAYEaqPgAfJMW9dKFIsv9PT9vAWxbdVpiMjUlveIM0MmKz/w8csNuREWb/VyVZkcFz4pZGQ9q7V7r/frttNKo+IoQqj3NAetJBGpMOUKakkDI5abecvwAAAAAAACB5urIwz2sH9iPv7eN6rTC55hrpTW9i9r9LWJHhljy3BQZ6yeMcwDaQAFCest8rAAAAAADgO++KhVUUCfLePq6fbS3ZRsk9PCduyHtbYLjJpYHevM4BTDoAgOIxoQgAAAAAgOy8KhZWVSTI+5p1g64wcWnwHKhKt2t+Pv20FWIo6vrNtYHePM8BTDoAgOIwoQgAAAAAgMF4VSysqkiQx/Zx7Qp9WVaYuDZ4jnC5XpTOe1tguMXFgV62EAUAP3R7r/Dkk9K990obNrjZvwEAAAAAoEpeFQurLBIMs31ct0JfP8VNFwfPESYfitJ5bwuM6rQrTLu6cpQtRAF/uD7pBcXp9F5hZkZ66CHp2Wel5z/fzf4NAAAAAABV8qZY2GhIU1PS4cM2OLthgzSWOvoyigSDbB+XR6HP1cFz+C89oLpmjfQnfyKNjLhdlM57W2BUo1Nh+vrr3V05yhaiyBMFrWL4MOkFxWk3oWh+Xtqzx+5/wQukSy+1+13r3wAAAAAAUCUvioXJwM9PfiL96EdWMFy7Vtq1y25dLhLkUehj20UUoXVA9dgx26Lrda9b+nWuFaXZEtJ/3SZRfPnL0uho++9j5ShCQUGrGOzEgHYTiqambGXh2rXSxo3Nr3WtfwMAAAAAQJWcLxamB36uvNJmDO/ZY2/677tP2rnTBo9dLRLkUehj20Xkrd2A6vy85WnPHmlycmnBxrWiNFtC+q3bJIoTJ6Tz51k5inBR0CoOOzFcqG4rWNtNKDp82G537bpwMopr/RsAAAAAAKrifLGwdeBn7VorZBw9Kj31lPT610tvepO7A2t5FPrYdrG+sgzyZfnadgOqExP29WfP2usr2aZLGrwoXeQgJVtC+qvbJIqxMWvXH3zwwpWjt91mg/11GfRGmChoFYedGJaq6wrW1glFR49KX/mKvYdoxaQ7AAAAAACM88XCdgM/o6NWyJibs+2EXC0USvkU+th2sZ6yDPJlHRBs97rasEEaH7dVu2fONO8ftChd10FK9NZrEsU119gkkPTK0TVrpLvuIk/wHwWt4rATQ1PdV7CmJxQ1GjYBhUl3AAAAAAB0NlL1AfTi+8BPUugbGbFC34EDdjsykq3Ql8ySvv126eab7Xb3bgbJQ9U6yLdtm90uLNj9c3ODfW2i3etqbMy26IoiK8gMmtVBjwn1kZ5EkZYeuE0Geicn7evvuos8IQy+92tc1k/bUhfJCtZ0cUyy/09P22SMusirLw4AAAAAQMicX1kYwhaceV1fjW0X6yPLNnWDbGnX6XV1/rz06ldLt9winTw5eFZD32av0ZC++13poYfs/zt3Stdey5aY/cq6WjqEPNXtumHoLIR+javYiaHJ1xWsRbWVXOsYAAAAAIDunC8WhjLwQ6EPWWQZ5BtkQLDX62rYFau+DlL24+BB6cMflvbsaa5oW75cuuEG6UMfYrVvv7IM3PqeJ7bkRVoo/RpXURQyPq5gLbqtpC8OAAAAAEBnzhcLJQZ+UD9ZBvkGHRAs8nXl4yBlPxoN6WMfsxWFq1dLK1fa/bOz0t699rmPf5y2qV/9Dtz6nKe6XzcM7ZXZr6njqlaKQv6tYKWtBAAAAACgWl4UC9sNdDFggJBlGeQbZkCwqAFV3wYp+/Xww7YSSGoWCpN/Nxr+bInpG5/zFMIWqihGGQUtVrXWl28rWGkrAQAAAAColvPFQga6UEdZBvlcHBB08ZjycOyYFQU7mZtzf0tMH/mcJ9+3UA1NnVbZsVILPu3MQVsJuKNO50rUB7lGaMg0QkSuESLfcu10sZCBLtRZlkE+FwcEXTymYa1f371BX77c7S0xfeZrnnzeQjU0rk8+yrsDyUotSP5syUpbiSL59ga9Sq6fK2HIdDbk2g/kun9k2g9kOhty7QdynY2PuXa6WMhAF+ouyyCfiwOCLh7TMHbssPbo0CG7TmH6moWSfc7lLTF9N0iequ7I+LyFakhcn3xURAeSlVrwSV3byqrPUXXg4xv0qrh+roQh09mQaz+Q6/6RaT+Q6WzItR/IdTa+5nqk6gPohoEu+KjRkPbule6/3267bVsJv6xYIb33vdLOndKpU9Lhw/Zx6pQVsd77Xjcb+ro6eFC64w7pk5+UPvc5u73jDru/LMkWqiMjNsnlwAG7HRlxfwvVkCSTj9JFCMn+Pz1tk4+q0tqB3LbNbhcW7P65ucEel5Va8Ekd20oXzlGhK6p9DZXL50oYMp0duXYfuc6GTLuPTGdHrt1HrrPzNddOryxkoAu+YZZF+LZulX73d6Xvfld66CG7b+dO6dprwxzM9JVLM3i6baHKqpJyuDz5qKhdFOq6Ugvt+dDW+Lrd9CBcOkeFjF1qsnH5XAlDprMj1+4j19mQafeR6ezItfvIdXa+5trpYiEDXfAJAz/1sXy59I/+kX3ATa51ZNptocrkgvK4PPmoqA5kslLrE5+wzLdmjPNRffjU1oS2fXknrp2jQuXrG/SquHyuhCHT2ZFr95HrbMi0+8h0duTafeQ6O19z7fQ2pHXckgj+8nV5MRAi1zsybOFQrvTkozQXJh8V2YFMVmrdfrt08812u3u3ewUiFIe2xk2un6NC4esb9Kq4fK6EIdPZkWv3ketsyLT7yHR25Np95Do7X3Pt9MpCqV5bEsFvDPwA7nC9I8OqknK5vMqu6F0U6rJSC+3R1rjJ9XNUKFrb1/l5aWpKOnJEuugie5+5d6/0zDP2Olm7VrrsMje36S2Dy+dKmH4zfeyYtGaNfc/Jk+5uP10Gcu0+2upsyLT72r2/m5+XnnjCJvI995z0zW9a+0xbbci1+2irs/M1184XCyUGuuAHBn4Ad7i+jTWTC8rn6uSjKjqQPly/DvmgrXGT6+eoUKTb1337rN07fdqyf/nl0mteIz3/+dJTT9kq2+XLpRe/WNqyxc1tesvg6rkSpjXTjzxi5/Q4tvP5T/+0tS+jo5Z3yf5/0UXubj9dBnLtNtrq7Mi021rf3z33nD1XZ89KGzZIv/zL0vi49MIXWq4l2mqJXLuunz7IC15gl8CgrW7yMddeFAsBHzDwA7jD9Rk8TC6ohquTj8rsQPp0/ToMj7bGTa6fo0Kydat0553SLbfYwMXKlfb3f/xx2473Rz+ylbcbN0qzs9Lhw9KmTfW+3rir50qYJNO33moDcM88Y/c/9ZTN8p+eljZvllavtvsPHZImJ6WZGXJNrt1FW50dmXZb8v5u717pQx+Szp+XVq2ytjqOpTNnbFLfFVfYpbZoqw25dlu3Psi5c5bjq66irW7lW64pFgI5YeAHcIvLM3iYXIBWZXQgW69flzh+nA58qGhr3OXyOSo0Dz8s7d9vxfOVK6VTp2zlVRTZtl/nz9vXrVxphZb5+eYqAJ/e2KM+9u+3DJ88KU1MWGZHR21VyvS0TQx66UttAHp6Wjp6VLr0UrafhttoqxGapE938KC0bl2zrR4ft2LhqVOW66Ttpq2GDzr1QcbGrEB45Ii9z6St9hfFQiBHDPwAbnF1Bg+TC1AFrl9XP7Q1bnP1HBWahx5qrlSRbOaz1Hw9nDrVvGaQZAN4Y2Ns0wt3HTtmq0/Onm1OCElEkWX8ueeaqwvPnGl+jlzDVbTVCFE6161tdWuuaavhg059kIUFm6TUrg9CW+0XioVAzkIZ+CnjmlZcNwt1xuQClI3r19UTbQ2w1LJldjsy0n6b3okJ66OyTS9ctX69DdIlkkxLlutksC4xMWG3bD8Nn9BWIzStbXVrrmmr4YNOfZCRkeZtax+EttovFAsBXKCMa1px3SwgnMkF8APXr6sv2hrU2c6d9hqYnbWZ/RddZDOc5+Zs26RkkGN21rYGGxuzr2ObXrhqxw47px86ZP9PMp1kOIpshn/y/40b2X4a7qOtRojSuU4yfe6ctdNJrmmr4ZNOfZCkrZaW5pq22j8jVR8AgOE0GnbR5Pvvt9tGY/jHS1/Tats2u11YsPvn5vI55qJ/BgBgqfT169J4UwogZNdeK91wg/U/p6ftGitr1tgkiU2b7PbwYdsKbMsWG+BIb9Obd18bGNaKFdKHP2yDcM8+28x0FNmA3Lp1dh2sU6ekyy+3Ab2REXINt9FWI0TpXCeZnpuzlVlJrmmr4ZNOfZCREZt8HMfWhndqqyVy7TpWFgIeK2J1XhnXtOK6WQBQPq5fB6COVqyQPvQh6WMfs7Zvbs7au1e+UnrDG2xw4/hxK7Bs3rx0m152woCrrr5auvde6QMfsG3Gx8elVavsc294g3TVVfbvkycv3H6aXMNFtNUIUbtcX3GFXc/tjW+krYafuvVBXvc6W03Yrq2WyLUPKBYCnmpdnZc4ftzu3717sIHfMq5pxXWzAKAaXL8OQB1t3Sp9/OPZ2r6i+tpAXq66SvqjPyLXCAdtNUJErhEi+iDholj4/7d3L6FxlWEYx59JYpOGaNKYNi2WpNqKlwhWu6jBdRGVLlzYjQsVXAlFFDTFRUVwUVRQRIoIQhHERVdS3LQLFxVSL70sLIJNrEmKMe2Ya5PJpGPGxcthTiaTtJk5t/nO/wdhkmnSCTPPvDP5Lu8H1KmwdudFcaYV52YBQHw4vw5AGm209tEJA/WAXMM1ZBouItdwEbl2E5OFQJ0Ka3ee/0yrzs7S9UGeaRXFbQAATD5vb8yzWVus0ddnLXFcvV0ACEIcnTDyeeniRen8eft63z4774jaiaDEkevZWenUKWs91tMjHTxo5xsBQaBWw0XUargo6lxTq6vDZCFQp8LanRfFmVacmwUA0YjrTADOIgBQ76LuhDE6aucanTtnZxpJ9p64v1967z1qJ4IRda4HB6XXX5fm5krXffyxdPy4ZRuoFbUaLqJWw0VR5ppaXT0mC4E6FebuvCjOtOLcLAAIV1xnAnAWAQAXRNkJI5+32njhgnT33dLmzXb9/Lx09qx0+LD07rvS3r2shkZtosz17KwNPi8vSzt3lq7/91/plVekjz6y6+k8gFpQq+EiajVcFFWu16rVuZzjg8EtAAAKXElEQVT0yy/S229LL78sbd9OrithshCoU2HvzoviTCvOzQKA8MR1JgBnEQBwQZSdMC5flkZG7HNvQGNxURoflxYWbLDjgw+k3bur36FNa2hI0eb61CnbpeIffF5clKampJkZ27XywAPVdx4g05Co1XATtRouiirXlWq1ZLc3Pm7ZzuVsfIJcr8ZkIVDH2J0HAFhLHGddxHm7ABC0qN5rZ7M26OBZXpauXbMBlE2b7KOjw66vZoc2raHhF1WuR0dXfu3P9V132e3t2lVd5wEyDT9qNVxErYaLosh1ea2WVtfr9nZyvRYmC4E6x+481MLl1TBAkkXx3Iv6rIu4bxcAwhDFe+2urpWvAfPzUqEgtbRI//0nNTZKra3V7dCmNTQqiSLX5QNm/lznctI999j1G801mUYl1Gq4iFoNF4Wd6/JaLZVy3dRUqtUSua6kIe5fAAAQj9FRaWBA+uQT6fPPpSNHpFdfla5cifs3A9zmPfc++0z69lu7HBhYvaqzVv4zAfzCOOsiCbcLAPWqr0/q7bXPcznp1i373Lvs6JC2bbPPN7pD22sN7T8bRrKvp6dtcAQIw8GDdlbQ1JR97eU5l7OBtIcfLn3vRnJNphEXajVcRK2Ga8prtWS5LhTsc3+tlsh1OSYLASCFvNUwMzM2OTg6Kl2/Ll28KB06JA0Nxf0bAm4qX4nW22uXXruipaXgbss7E6ChwVbLjYzYZUND8GddJOF2ASBM+bydR3X6tF2WtzeqRXOz9M47tqJ5bs4G7Obn7TVhxw7p6adtFbS08R3atIbGWsLMtGS7UY4ft9f/a9dKuc5kpOefX/l+YCO5JtNYD7UarqFWw0VR1mov14XC6lotketytCEFgBS6fNlexK5cKfXX9kxMSEePSidOMKgPBM1bieZvWSFV167oTsR1ti1n6gJwSfnZJIWCtZx77jnp0UeDaSXd0yN98YUt3Dp3TvruO2uj9NBDpQGNanZo0xoalUSRaUnq75fOnrU8X70q/fijdP/9Und36Xs2mmsyjbVQq+EaajVcFHWtPn/edhYODlru2ttL30euV2OyEABSKJu1XYWLiysnCiV7Uc5mg5+0ABDPSrS4zrblTF0ALijfET4zY4MNMzPSpUvSvn02MPDWW6vP/dmoTZuk/fvt44UX7HbHxuz1wVvctdEd2v7W0P6WSbSGTq8oMy1JbW3SSy/Z594A4V9/VZ9rMo1KqNVwDbUaLoqrVkulek2u18dkYYDyedsxkM3aTHNQKzwAIGhdXTZRuJaWFje2zwNJk4aVaADgEv+O8ELBBjSKRVttPz0ttbaWWkl/+GFwO6iD2qHttYaudXAE7ogr01IwuSbTqIRaDddQq+Eicp18TBYGpHwLrT8oQazwAIAg9fXZpMXY2MrrczmbKGxvZ9ICCEMaVqIBgEv8O8Jv3FjdlWFhwc4/CaOVdFA7tGkNDb84My0Fk2syjXLUariGWg0XkevkY7IwAOVbaD2Tk+HMhANArZqbpffflw4dsjMKvV3QLS3SI4/YZCGTFkDw0rASDQBc4t8RvrCw+t9bW+1yednOr7pdl5m4utHQGhqeO810JiONj0sXLiQz12QaftRquIZaDRdRq5OPycIA+LfQ+nV2hjcTDgC1evBB6eRJ6ehRe2H1dhS2tzNpAYTJ9ZVoAOAS/45wbwBDKnVj2LbNzln59Vdpaqo0CFKpywzdaJAEd5JpSZqbk775xt6frJdXco0koFbDNdRquIhanXwNcf8C9S6ft/66f/9tKzkKhZX/nslw7heCk8/baqHTp+0yn4/7N0K927NHOnFCOnZMOnxYevNNm8Rw9YWV5xCicCc581aiHThgl0wUrsbzNX14zJFE3o7whgZbAb20ZF0ZMhmpv98GJn74wer43r1Sb68tIvXOW1lasv9ndlY6ckS6etX+z/vuq/x9QNhul+nGRmsNNjwsbdliOa2U63zeVv2/8Yb0zz/Szp1r5x8IG7UargmqVkvkGslBrU4+dhbWwJvBHh6W/vxTun7dZsH7+21njmQh59wvBIEVEwiLy9vn/XgOIQrkLBjcj+nDY44k8+8I//136fvvbZBueloaGrIBiWeeses8/i4zXV02oPHzz1JbmzQyUvq7kW40iMN6mZ6askzv2SNt3bry57y8njljH8PD9vNtbXa9NxZCrhEHajVcU2utJtdIImp1sjFZWCX/OYWPP26rOYpF+xgctJ0CMzM2yMG5X6gV52ICteE5hCiQs2BwP6YPjznqgbe46sknpRdfLLWS/uMP6aefSotF/bxzhL7+2lZPt7XZ34eStVvy/m6kGw3isFam773XdgqePFn555aXpa++sp2EHR2lXPsz3dhIrhEPajVcU22tJtdIMmp1ctGGtEreOYWdnVJTk81eZzI22JHNSpcu2ZZazv1CEPx58+vstOt/+y2e3wuoFzyHEAVyFgzux/ThMUe98beS7u+3v/sqKRYtw9PTUnf3yn/bvFlaXLTuNHSjQdzK26Nv3265rGRqSrp1y2q0/7whf6Ylco34Uavhmo3UanKNekGtThYmC6uUzdrkoKe93UK9f7+0e7f07LNun/uFaJXnzY8VE8Dt8RxCFMhZMLgf04fHHPWsr89WNU9Orrx+ctKu37LFcrx1q7VIyuVWft/EBN1okDzr5bqpyXItVc71wkIp/+QaSUGthovINVxDpuPHZGGVurpWr95obJR27LCPp55iRyGCUylvHlZMALfHcwhRIGfB4H5MHx5z1LPmZusm09BgZ6SMjNil12XGW/Xv70bjrYq+edN2ZtGNBkmzXq5fe6206r881zdv2iVdlpA01Gq4iFzDNWQ6fpxZWCX/TLe/ZRIr6BAG8gbUhucQokDOgsH9mD485qh3PT3WVcZ/jtBjj9lARXf3ynwfOGAtkiYmbEDjyy/tzBUgadbKdbEonTlTyrTXZWloyI5lGRiQnniCgTokD7UaLiLXcA2Zjhc7C6t0u5lu3hgjSOQNqA3PIUSBnAWD+zF9eMzhgvJzhLzcluf72jWbUNm1Szp2jAENJFulXFeq2WNjNoD36ad2NAt1G0lFrYaLyDVcQ6bjw87CGqw30w0EjbwBteE5hCiQs2BwP6YPjzlcRr7hGjINF5FruIhcwzVkOlxMFtbIm+kGokDegNrwHEIUyFkwuB/Th8ccLiPfcA2ZhovINVxEruEaMh0e2pACAAAAAAAAAAAAKcVkIQAAAAAAAAAAAJBSTBYCAAAAAAAAAAAAKcVkIQAAAAAAAAAAAJBSmWKxeOffnMnckDQS3q+DFOstFotbo75RMo2QkWu4hkzDReQarokl0xK5Rqio1XARuYZryDRcRK7hmjUzvaHJQgAAAAAAAAAAAADuoA0pAAAAAAAAAAAAkFJMFgIAAAAAAAAAAAApxWQhAAAAAAAAAAAAkFJMFgIAAAAAAAAAAAApxWQhAAAAAAAAAAAAkFJMFgIAAAAAAAAAAAApxWQhAAAAAAAAAAAAkFJMFgIAAAAAAAAAAAApxWQhAAAAAAAAAAAAkFL/Azqd1jCLPSFAAAAAAElFTkSuQmCC\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3427,20 +3411,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3455,20 +3439,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3483,16 +3467,16 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } ], "source": [ @@ -3560,18 +3544,18 @@ "cell_type": "code", "execution_count": null, "metadata": { + "cellView": "form", "colab": { "base_uri": "https://localhost:8080/", "height": 1000 }, "id": "E8UW8OTtP7U9", - "outputId": "d366a547-5a38-4872-8239-378b755b7c0f", - "cellView": "form" + "outputId": "d366a547-5a38-4872-8239-378b755b7c0f" }, "outputs": [ { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3586,20 +3570,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAABYQAAAD7CAYAAADaZ5DxAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOy9e3xdZ3nn+1uSrJuti23JN3xLfAmJciC2c5q409KGIJIyw7QzkKRhwilTcg49TU6YhglOO4UkkLYQSg7NSQilZAYol6GhzJQ2EGxDaIeDQ+JLA1YudpxYkuObZEvyRdKWtrTnj0dr9tpLa++11rtu71rr9/18/NnW2lvS0lrf9V6e93nf1yiVSiCEEEIIIYQQQgghhBCSfeqSPgFCCCGEEEIIIYQQQggh8cCAMCGEEEIIIYQQQgghhOQEBoQJIYQQQgghhBBCCCEkJzAgTAghhBBCCCGEEEIIITmBAWFCCCGEEEIIIYQQQgjJCQwIE0IIIYQQQgghhBBCSE5IdUDYMIwLhmFcmvR5EL2hJ0QFekNUoDdEBXpDVKA3xC90hqhAb4gK9IaoQG/iJbaAsGEYRw3DmJi7wea/R4P8zFKptKhUKr029/O/bBjGgz7O5wOGYfwkyO9Pgrm/c8p2HeuTPq+woCfhYBjGzYZh/NQwjHHDMH7s8H7JMIyLlmv8pQROMzToTTgYhvHnhmEcNgzjvGEYLxuG8X/Y3qc3LuTUm4cMwxg0DOOcYRj9hmH8ke19euNCHr0xMQxjiWEYQ9a/wTCM9XPeWK/xx5I8z6DQm3Co1Q7Omjd0JjwMw3iHYRj75+qiY4Zh3Gx5j3WUC3n0xjCMPts1LBqG8feW9+mNCzn1ZolhGN8yDOOMYRjDhmF83TCMdsv79uu8M8nzDQq9CQfDMN5kGMbfGYZxdq6O+j3b+5GVNw1h/SCPvLtUKu2O+XdGgmEYDaVSqZjQr3+oVCr9cUK/Ow7oSXDOAvgcgDcDeHuVz7y1VCq9Gt8pRQ69Cc5FAO8GcAjA/w7gacMwXi2VSj+1fIbeaEqC3jwB4IFSqXTRMIw3AdhpGMbLpVLpO5bP0BtNSbg9AwCfBvASnJMUOhM+t7ChN+Hg1g7Okjd0JvjvvQLANwD8DoBdADoAdNo+xjpKU5LyplQq9VjOwQDwGoAnbR+jN5qSYB31IIDFAC4BYAD4WwD3A7jb8pnMXOc5MvP3JOjN1wC8AOC9AK4A8IxhGK+USqVnLJ+JprwplUqx/ANwFMA7qrz3OIC/tXz9aQA/hDxE9QD+CMARAOcB7AOwZu5zJQAbAfxfAKYBTAG4AODv596/1/J9LwL4N3PHLwcwCWBm7vOjc8c7AHwVwBCAfgB/DKBu7r0PAPj/Afy/AM4A+FNI0O1/s5z3MgDjALod/kbz+x8FMAbgZQDXK1zHLwN4MK77Fvc/ehKOJ5afdzuAHzscLwHYmPT9pjd6emP5ud8F8BF6Q298XNM3AfgFgI/SG3rj4Vr+MoA9AP49gJ9Yjq+fuyYNSd9veqOXN6jRDs6aN3QmNGe+AeCTNd5nHUVv3K7pr839bQvpDb1xuY7fB/D7lq/vAPADL9c5jf/oTXBvACya+5u7Lce+COCvLV9HVt7oIksrJCPtAwB+FcAwgNVz790D6VxeNifPWwEstV8YODQQAdwEYBUk6+QWSPbbSsvN+4nt818F8HcA2iCNykMAPmj5fBHA/wPJrG4B8HkAn7Z8/4dNUavIUgTwBwAWzJ3PGIAlc+9/HsBolX8/t/ycL89Jehby4LwnrntIT9LjieXn1QoIHwdwEsB3AKxP+t7TG328mfueFgAnANxIb+iNmzeQxtmFub//NfNa0Rt6U80bSGdgP4Bt9r8B5cDeGwCOAfgvALqSvvf0Rgtvvowq7eCseUNnQnPmNQCfnLsmJyCZWEss77OOojdubeL/DODLtmP0ht44lTf/CsD3IFnCiwH8CMB/sF3nU5Dg5E5I1mfi95/eJOfN3HmVACyz/Ny/AnDA8nVk5U3cslywXYT/0/L+NZDGXT+AWy3HXwHwm1V+Zk1ZHD7/z+bPsssC6ZhMAbjCcuxDmAumzX1+wPbzrgEwAMCY+3ovgJtryHLc/OzcsecAvN/nddwKYOmcsO+CjIz8i7juIz1JhyeW760WEH4bgEbIlLlHARxEijNq6E243sx9/1cAPG37mfSG3tT6WwwAWwA8AKCN3tAbl7/hDwA8XuVvWATgakhbZzmAb8OSYZPGf/Qm+nZw1ryhM6E5MzV3LTfPOfK3AL5ueZ91FL2p9be0AjgH4Ndtx+kNvXH6OasA7AYwO/dvF4BGy/v/AhJ0bAXwh5AAX2fS95/eJO7NTwD8fwCaIe2cswBesbwfWXkT9xrCv1Wqsr5IqVT6mWEYr0FSsv/G8tYaSEq4bwzZEOluyEgAII2Ariof74JE9fstx/oh019NBh3OeRzArxuGcQKS2v7dGqf0Rmnujlp+/iqXP6OCUqm03/Ll9wzD+DqAfwtJVc8K9CSgJ26USqV/mvvvlGEYH4Y0dC6HjNSlFXoTkjeGYXwGwJUArrP+THrzv6A3Dsz9nAOGYdwACQrfPXec3gj0Zg7DMFYBuAuSHTyPUql0AdIAB4BThmHcCeCEYRhtpVLpvNffoyH0JsJ2cEa9oTPB66gJAP+lVCodAgDDMP4UErAxz4l1lEBvnPm3kODMP9rOid4I9KaSvwHwcwC/CUmU+HPIrISb587JGrP5M8MwfgeSPfv3SC/0Jrg3/w7AY3Pn8hrEmf+1jnmU5Y3TBh6JYBjGHQCaIBH2j1reGgSwwcOPsN4EGIaxDpJqfSck/bwTEkk3nD4PSWGfBrDOcmwtZNqZ4++Y4ysAbgPwfgDfLpVKkzXO8U1zi9Jbf/7xufP9gm13Ruu/vho/s2T5mzIPPVH2xI1Me0RvvHtjGMYDAH4DwDtLpdK5Gr/PPGd6U53ceGOjAbWvD72pTR68+SUAKwG8aBjGSQB/AeCXDMM4aRhGvcPvM89Xm3Zr2NCbSNrBmfaGznh25ue283A6J9jeZx1Vnbx4Y/I7AL5qC/g4QW9qkxdvrgLwl6VS6eLcIOUXILNZqkFvapMLb0qlUn+pVPpXpVKpu1QqXQMJZD9X43eG500YacZe/qH2+iKbAYxA1g7ZNPf/q+beuwdSkW+a+6PfAuf1RT4F4BuWn3kFZFHpyyCp4v8esr7H7XPv3zh3TtYU/q8B+G+QdTzWQRaFNj//AdjWI5k7vgblNPi31fj7PzD3+z8MGaW4CRLZX+rzOr4XMgpSB+CdkKlyvx7XfaQnqfGkHjLl4PcA/NPc/xfMvdcDqazq51z6HGTaxoKk7z+9SdybPwRwGMAKh/foDb1x+hl1kKlXi+euxS9B1mi8i97Qmxo/ownACsu/DwP4GebKHsh0vcvm/FoK4FsAnkn63tMbLeqpqu3grHlDZ0Jz5ncBvA7gUsg07b/B3GY9YB1Fb2pfy9VzP2uD7Ti9oTfVfs4zkKn/LSivR/vTuffWQpaMaIT0ze+BrCXs201d/tGb0Ly5fO78GiGB6GHMbTKHiMubuGWZgKwxYv77b5BMoucA3Gv57P8NSX9umvvD/xhSkZ8H8DzKi1FbZdkEWT9kFMB/nzv2J3M3chjAw5CpHubNbwTwlPn+3LHFc8IMQUYtPo7KHQjnyTL33u65v8+o8fd/AJU7EB6CZOD5vY7/Y+77zwF4AcBvx3UP6UmqPPnA3N9t/ffluffeDilELgI4DeC/A9iU9L2nN1p4UwJQsF3HP6I39KbGz6iDrDV9du76HYLsGmyuu0Vv6I2Xa1pxTgBunbtOFyEDDF+Fw0BVmv7Rm+jbwVnzhs6EV9ZAljEamvv31wAWzx1nHUVval3LPwTwPxyO0xt6U+3nXAJZ/uHM3Lk/bboBCez9fM6bMwB+CODqpO89vdHCm/8wd34XIesJX215L9LyxuywkQAYhvGfARwvlUp/XOMzH4CI+iuxnRjRCnpCVKA3RAV6Q1SgN0QFekP8QmeICvSGqEBviAp58SbuTeUyh2EY6yGLzW9J9kyIztATogK9ISrQG6ICvSEq0BviFzpDVKA3RAV6Q1TIkzeZ3GQhLgzD+CRkEevPlEql15M+H6In9ISoQG+ICvSGqEBviAr0hviFzhAV6A1Rgd4QFfLmDZeMIIQQQgghhBBCCCGEkJzADGFCCCGEEEIIIYQQQgjJCQwIE0IIIYQQQgghhBBCSE7wtalcV1dXaf369RGdCkmKffv2DZdKpe6ofj69ySZRekNnsgu9ISrQG+IXtm2ICvSGqEBviAr0hqjANjFRoZo3vgLC69evx969e8M7K6IFhmH0R/nz6U02idIbOpNd6A1Rgd4Qv7BtQ1SgN0QFekNUoDdEBbaJiQrVvOGSEYQQQgghhBBCCCGEEJITGBAmhBBCCCGEEEIIIYSQnMCAMCGEEEIIIYQQQgghhOQEBoQJIYQQQgghhBBCCCEkJ/jaVI6UKRSAvj5geBjo6gJ6eoCmpqTPioQF7y+JGjpG8gi9Tx+8ZwSgB0QNekNUoDfEL3SGqEBvGBBWYmAAePhhYHQUMAygVAI6O4G77wbWrk367EhQeH+JnbArCzpG8gi9Tx+8ZwSgB0QNekNUoDfEL3SGqEBvBC4Z4ZNCQcSZnQXWrwfWrZPX2Vk5PjUln9m/H9i5U14LhaTPmnjFy/0l+WJgANixA3jkEeCb35TXHTvkuAp0jOQRep8+eM8IQA+IGvSGqEBviF/oDFGB3pRhQNgnfX0yirBkSeXxJUvk+K5d4QaPSLy43d+DB5M5L5IMUVQWdIzkEXqfPnjPCEAPiBr0hqhAb4hf6AxRgd6UYUDYJ8PDklLuxOws8MQT84NH09PAvfcCTz3FjGHdqXV/DQM4cyae82CWuR5EUVno4hghcULv0wfvGQHoAVGD3hAV6A3xC50hKtCbMlxD2CddXbK+iBMjI/JqDR6NjQH79ol0Fy7Ie3lcmyQt1Lq/pRKwdGnt7w9jrVmuZ6MPUVQWQR0jJI3Q+/TBe0YAekDUoDdEBXpD/EJniAr0pgwzhH3S0yPBubNnK4+fPQs0NACLF5ePFYvAnj0i1aJFQEdHftcmSQu17m9nJ3DlldW/N4y1ZrmejV5EUVkEcYyQtELv0wfvGQHoAVGD3hAV6A3xC50hKtCbMgwI+6SpSTI16+qAo0eB/n55rasDbr9dXk2GhoDJSaClRb5ubZXXPK5NkhZq3d+77wYaG52/L6xALtez0YsoKgtVxwhJM/Q+ffCeEYAeEDXoDVGB3hC/0BmiAr0pwyUjFFi7FnjoIQnOnTkjWYJXXikZg7t2SbBoyRJgfFw+PzEBNDcDy5aVf0be1iZJE9Xub62CwQzkrl9feXzJEilcDh4Etm51/91cz0YvzMri4YflPtqX8FCtLFQcIyTt0Pv0wXtGAHpA1KA3RAV6Q/xCZ4gK9EZgQFiRxkbnAJ81eDQ2JusGNzcD27cD9fXlz+VtbZK0Ue3+ViOsQC7Xs9GPqCoLv44RkgXoffrgPSMAPSBq0BuiAr0hfqEzRAV6w4Bw6FiDRydPAl/7mqwr3NFR/kwe1ybJOmEFcq1LFFiXjaAzycLKghBCCCGEEEIIIVmBAeEIsAaPrrwy/OnmRD/CCuRGtUQBIYQQQgghhBBCCCEAA8KRw7VJ8kGYgVw6QwghhBBCCCGEEEKiggHhGOB083wQZiCXzhBCwqJQkI0vh4dleZueHhnEIsQKPSGEEEIIISQ/MCBMiAOqHWMGconuMOiTLwYGZObC6Oj8mQtr1yZ9dkQX6AkhhBBCCCH5ggFhQmywY0yyCt3OPtaAf3u7bGxaVwesX1/+zNmz4sFDD3EpGiLOPPwwMDtLTwghhBBCCMkLdUmfACE6Ye8Yr1snr7OzcnxqKukzJEQNup19BgaAHTuARx4BvvlN4E/+BPjRj4D6+srPLVkigwIHDyZznkQv+vrEB+uGqAA9IYQQQgghJMswIEyIBXaMiVcKBWD/fmDnTnktFJI+o9rQ7WzjFPDv7JQs8D17gJmZys8bhqx1TsjwsPjgBD0hhBBCCCEkm3DJCEIssGNMvDAwINOo+/slENfUJAG4j35U36UX6Ha2MQP+1in/ra3i5uQkcPo0sHJl+b1SSTa+JKSrS3xwgp4QQgghhBCSTRgQJsQCO8bEjUIBuP9+yQq2MjgIjI8DX/iCnutt0u1s4xTw7+4GmpuBsTFx0+TsWckevvLKeM+R6ElPj/hw9mzlDAJ6QgghhBBCSHbhkhGEWLB2jK2wY0xMDhwAnn1WMi87O8v/mprk+IEDSZ+hM3Q72zgF/BsagO3bJVA8OioZ7UePyiZzd9+t58AFiZ+mJvGhrk78oCeEEEIIIYRkH2YIE2LB7Bg//LB0iA1DgiydnewYE2HfPtmAraWl8nhLiyy7sG8fcM01yZybG729wJe+BLz+OrB4sQR86HY2qJblOTMDXH89cNttwLlzkgl+5ZW836SStWtlGZyDB6UcoyeEEEIIIYRkGwaECbHBjjHJGgMDMsgxOgq0tQEjI8CFC8AHPyhBYrqdftwGs3Rd25roQ2MjsHVr0mdBCCGEEEIIiQMGhAlxQJeOcaEgm0UND8uU8J4eCfyQ5Ni2TfyYmKjMEp6YkOPbtiV3bk4UChIknJ4Wd8bHgVWrZDmBXbskIEyyAQezCCF+YTuD+IXOEBXoDVGB3hAV6I13GBAmRFOsWZ3M9tOHLVtkXdZ9+6SysbJ9u7yvE319wBtvyKZ3k5Pl483NwJo1EjzUYfCDhIMug1mEEP0Jo53BTle+oDNEBXpDVKA3RAV64w8GhAnREKesztZW+frhhyULkFl/ydDUBNx3H/CZz8jU/EJBjq1fD9xzj3735cQJqdDa2qQyNJmYkOOnTiV3boQQQpLBbGfMzkr9ZXL2rPd2Bgeu8wWdISrQG6ICvSEq0Bv/1CV9AoSQ+ZhZnfv2AT/7GfCLX8jrvn1y/ODBpM8w36xdC3z2s8ADD0gQ+IEH5GsdK4nR0eqb4E1NSQVJCCFWCgVg/35g5055tc+GIOmnr0/qB3MTymJRBhBHRoAjR+S+18Le6Vq3Tl5nZ+X41FTUfwGJG7szgHhTKAAvvgg8+WTtsoLO5BN6Q1RgHUVUoDf+YYYw0Zo8petbYVan/qRlan5HR+01jxcvTu7ciJDXco7oSd4yI/LK8LDcXwAYGwP27CkvK3ThgmTRfO5z1e+52emyZuAA0gk7epTLEWURqzNApTcXLgBf+Qrw/PPVywo6k0/oDVGBdRRRgd74hwFhoi157pTWyuo8c4ZZncQ7q1YBV1wBHDsmXpk0N8vxFSuSOzeS73KO6EcYU+1I8ngZZOrqkvKmWJQOk1n2mDQ21r7nw8PiyYkT5WWturtlw1LDkLYKSRdu3pjOAM7eXHJJOYvKyRuzo14sAkNDld7QmfRCb4gKXr0JUkfRm+xBb8KHAWGiJXnvlDKrk4RFTw+wejWwfLlUbmbF1tAALFgAXHll0meYXwoFKcuGh+VemA2Oc+fyUc4R/chjZkTW8DrI1NMjx48ckewZs8M0MSEDhps2yWak1e759DSwd29lGdXcLJurlkrA0qXR/p0kXLx4Yzpz9qzUX6Y3pjPLlgH19dXLiq4u4Px5YPfu+Zvcrl5NZ9IIvSEq+PFGtY6iN9mD3kQD1xAmWuK03hQgX4+OZn8NXTOr0zDk7zX/GQazOok/mpqkolywQBriDQ3yumCBHGfAMTl27wZ+9CPg5ZfL64Tv3i0dozyUc0Q/7FN7rWQ1MyJL+Fn7zqwbzGnb1nbG9u1SDlW754UC8PTTUn80NUmHq7NTOmfPPCPLXXGwMT149cZ0pq4OeP31sjdWZ4Dq3mzcKJ30iYmyM2Zg8MgRYPPmuP5iEgb0hqjg1xuVOgqgN1mD3kRHrBnCXCeReEWHTmmSvjKrk4TJ2rWSbXrwoDw7S5eKQwwGeyfs8qBQAL70pflTmSYmZIrT5s0MvpH4sU7ttcOsT/3xm+G9di1w773Agw9KOdTaWs7WA6T9cfq0bC5oLff6+iSD5rrrpLyyLkc0NQXceCPrlzThxxuzPfHkk7L26yWXVDoDVPfm1Velsz04WOlMSwuwZg1w6BBnIKQJekNU8OuNSh0F0JusQW+iI7aAMNdJJH5IulNq97VYBGZmgHe9SzJ0ow4Om6NbDz8MXLxYzupsaWFWJ1EjLZvg6UgU9Vdfn5Qr9nKkpUV+z8gIg28kXLwMalin9lpn6Jw9K8c5GKk3KoPpV10FbNggWTbWe97fX56l0NBQWe6Zv2fhQnHi+HH5euVKCQizjZIu/HrT2Ai8972yEdjsbGVQz82bRYtkIOGVV2TDn44O4LLLgJMnOQiaNugNUcGvNyp11Nq19CZr0JvoiCwgbO14tLcDX/uaTBXJ43qwxD9JdkrtUxLMHSrHxoB//mdg2zYJ1EQ9mMGsTkKSJ6r1zIeHZS3woaH5a4WbS3ow+EbCwuughnUw8ujR+Z9l/aM3KoPpTve8WJS2x1VXybRME7Pcu/VWmYppX2PvzBnJoOFgVrqI25tnnil7c+aMDCjQm/RBb4gKfr1Rceahh+T30JvsQG+iQzkgXCvTxN7xGB6WNTduuKHyZ3CTElKNIJ3SoFO7rVMSrDtULl8ux1tba++GGybM6iQkWaLaZKurSwZJt2+fP+XaMIAPfpDBNxIOfgc1OBiZXlQH0+33/PRpOW7tMAHlcq9QkGmVs7OVm9yOjMjxLK6xl2XoDVGB3hAVVLzx68zBgzLtn95kB3oTHUoB4VqZJsuXz+94FIvymT17gN7eyiki3KSkNnled1mlUxrG1G7rlIShocodKgFZz3flSg5mEJIHolrP3GzYzMxIvXj6tJQtxaKU9b296udM0kXU9bzKoAYHI9NJkMF06z3fuVOmUjphGOLMhg3AsWPz19hbvTqba+xlGXpDVKA3RAVVb/w4Y7bN6U12oDfR4Tsg7JZpcuut8zsera1yEycnpdO7cmX5PW5SUh2uu+yvUxrW1G7rlITx8fnvt7bKKwczCMk+Ua1nbm3YDA5KeVJfX16OhtmY+cCtng8jWKzDJq0kPsLI8HYr90oloK2tcjDL3LTl2DE6lUboDVGB3hAVgnrjpW0+PExvsga9iQbfAWG3TJO9e+d3PLq7geZmWYPVGmDjJiXViWrdyiwT1tRu65QEM/gLyDqfzc1SIAAczCAkD4S1nrlTYI9T8/ONWz1/553Ao48GHxROepNWEj9BM7zdyr2rr5aNoerrK5M8ADqVZugNUYHeEBWCeOOlbX7woPhBb7IFvQmfOr/f4JZpYnZarDQ0yDqJhiEdm/5+CdDV1TETqhpmcNMqKyBfj46Wd0ckZcLKgjIz9+rqZABjago4dUp+xvbtUkBwMIOQfGAtD44eVau/BgaAHTuARx4BvvlNed2xQ46bDZveXnllfZgfatXzZ84AH/94OVi8bp28muvXT015/z3WBrAV1mOkGm7l3lVX0SkyH3pDVKA3xC9e2uZs+xA79MYZ3xnCbpkmW7fK2hr2yPvMDHD99cBttwHnzjETCqg9FZRTPP0TZhaUNXPvpZeAp56SQPDoqCwqzh3XCckPQTJ5dZ3tkef16XWhVj0/NibLbJmbVxSLsqb9+LjUQ/v3A9de6+33BFnnkeQXt3KPThEn6A1Rgd4Qv7g5w7YPcYLezMd3QNgt1XrrVmDVquoXMS9r37rhtm4gp3j6J6yp3SZm5t7WrcBNN3FaNyF5RnWKUlhL2YQJ16fXg1r1/OSkLFEESHB4zx45BgAXLkhj9nOf836/uDwJUaFWuRd0oIwDUtmF3hAV6A3xi1vbXNUbOpNt6E0lvgPCXqLm7HjUxkvGWNjBzTwQ5YgOd1wnYRK0wkhrhZNHdJvtoWvGch6pVc93dcmslGJRgsFmXWbS1OT/frEeSyc6l/cqTnFAKnp0dgagN7pCb4gKWfOGzsQDvdEH3wFhwFvAlx2P6njNGMtbunoYcDCC6E7QCiPNFU4e0W22h44Zy3ml1iDmAw8Ajz0GvPqqZAabwWBzc9ONG4HBQd6vrJO18p4DUtGTNWcAehMH9IaokDVv6Ew80Bu9UAoIAwz4BsFrxhiDm2rQTaIrQSuMtFc4eUS32R66ZSznnVr1/N13Ax/+sCwRYdLcXN7clPcr23gp70slvTNs7HBAKlq8thF0z8yyQ2+ihd4QFbLoDZ2JHnqjH8oBYaKOn4wxBjcJyQ5BK4y0Vzh5RLfNCXTLWCbV6/m1a4F77wUefFB8aW0Fli2TYDDA+5V13Mr7XbvkX5oybDggFS1e2ghdXenLzKI30UJviApZ9IbORA+90Q8GhBNAt4wxQkg8BK0w0l7h5BWdZnuw/kkXV10FbNggmRRB71easi0IcPy43OeZGRkM6O4GGuZa7bOzwBNPAKtXp2u2CAekoqWWM4YBnDgBfPWr6ZtlRG+ihd4QFbLoDZ2JHnqjHwwIJ4A9Y2x2FhgZkYfh9turC0UISTdBK4y0Vzh5RpfZHrplLJPahHW/srZeW9YZGAC+8Q3gxReBRYvkmLlcSEeHtBmBykEC82udZ4twQCo63JwpleT5T+MsI3oTHfSGqJBVb+hMtNAbPWFAOCHMjLFduyTLAwDa2oAnn5Rj7KQRkj2CVhhpr3CIN6LO5NQpY5m4E/R+ZXG9tqxSKAAHDgCf/jSwYIGU84YBtLTIhoJ79gDbtkkCQVub88/QebYIB6Si4dw5WV7m/HlxZcECYOHCSmc6O4HFi9M5y4jeRAO9SfoM00mWvaEz0UFv9IUB4QQplST4u3r1/OCOrinxhBB1glYYaa9wiDtxZXLqkrFMvBHkfmVxvbYsYj77R44AL70k2TNmp6hQkNcLFyQ7+PbbJYHACd1ni3BAKlwGBqST/dxz4szMDDA4KM93Y2PZmU99SgZ70jrLiN6EC71J+szSSR68oTPhQ2/0hgHhBOEGUYTkj6AVRporHFIbr5mchPjBbe1x+3ptxSIwNCTtkHvvBf7yL6tno5JwsD77nZ3SYerslMyZUgl4y1vkM2NjwPvfD7z97ZJQkNbZImEPSOU1u9305uLFsjOAZF1NTsp1OCvmjsEAACAASURBVH9enFm7Fli+PN2zjOhNONAbdfLqDJAvb1jWhAe9UScubxgQdiCui88NogjJJ0ErDGZ3ZhMOEpIocFt73Lpe29iYTN2bnJT3L1wAPvQhydpgpnB0WJ99MxsYkGmVo6NAfb1sLnj0qHSWOFukTJ7Xxza9WbEC6O8vH1+4EJiels73ggXiDEBvrNAbeuOXPDsD0BtV6A29USFObxgQthHnxecGUYQQQkx0GCTMcxZDVnFbe9xcr61YlGCw2e4xGR9nhnrUWJ/97m7ZZGViQgLCgNwDe3YMZ4twVoXpjZMzAHDqlFwXa0YVvaE39MY/eXcGoDcq0Bt6o0Lc3jAgbCHui88NogghhJgkPUiY9yyGrOKWbWGu1zY0JJnB1mAwIFkbo6PMUI8S67Pf0CA7bu/ZI9f9wgV5Xbp0fnZM3meL5H1WhemN3RlAvGltdc6oojf0ht74I+/OAPRGBXpDb1SI2xsGhC1EffGdMq+YEk+IvjBbksRJkoOEzGLINrWyLcz12o4erfyeiQnJ5li2DDh2jMtYRYn92e/oAHp7gcOHgakpYMcOYMsWPoN2dJhVkSR2b3p7gdOnJeOqtRX44hdlOi6phN7QG7/k3RmA3qhAb+iNCnF7w4CwhSgvfq3MqzynxBOiK8yWJHGT5LpZzGLIPtWyLUzv7r1XsjVMmpslm6O+3n+GOgfT/FHt2V+xgnVOLZKeVZE01bxZv16Os5PtDL2hN37JuzMAvVGB3tAbFeL2hgFhC1FdfC+ZV+xo5wd2lPWH2ZIkKZJaN4tZDPlm7VrgL/9SNpAbH5es4WXLJBjsN0Odg2lq5H3NPBW49Bq9UYHe0Bu/0BmB3viD3gj0xh9xe8OAsIWoLj4zr4gJO8rpgM8sSZIk1s1iFgNpawM+9alyHXXsmP8MdS+DaaUSB0Wrkec181TgbuQCvfEHvRHojXfoTBl64x16U4beeCdubxgQtuD14vvN8GTmVfbx4gSzTtMDn1mSN5jFQIDgWRxug2m7dsk/DoqSsGDmEVGB3hC/0BmiAr0hKsTpDQPCNtwuvkqGJzOvso1XJ5h1mh7iema5fEi08Pp6h1kMxCRIFsfwsAx6njghS0+0tgLd3bK79Ows8MQTwOrVHBQl4cLMI6ICvSF+oTNEBXpDVIjLGwaEHah28VUzPJl5lV38OMGs0/QQxzOrMrjEAKd38ro8i1dHnD7HLAYSlOlpYO/eSmfMzelGRuRra5lqfs1BUUIIIYQQQuKFAWEfqGZ4MvMqu/hxgpni6SHqZ1ZlcCmvAU4V8ro8i1dH3D7HoFx1OChTnUIB+P735dlqagJaWuT4xATwzDPAunVAR4fz93JQlBBCCCGEkHhhQNgHQTI8mXmlD2F26P04wUzxdBHlM+t3cCmvAU5V8rg8i1dH6JI6HJSpTV8fcOECcN11wJ49cp1MpqaAt78dOHDA+Xs5KEqqwUEYogK9ISrQG6ICvSEq6OINA8I+CJrhmeT6MboIlzRhd+j9OMFM8fQR1TPrd3ApjwHOIORxeRavjtAlNbIUSI+qPWA+dx0dQG8vcPp0eR3hiQlg40bg9dc5KEq8MzAgz1Z/v3jb1CSZ5h/9KAdhSHXoDVGB3hAV6A1RQSdvGBD2QVozPNOU1WTtqLa3y7Fz58LptEbRoffrBDPFo0OnQQ+3c/E7uJTHAGcQ8rg8i1dH6JIaWQmkW9sDs7Oyrm9DA3D77cA73hGszLQ+d/X1wMqV5feOHpWvOShaiU71lm4UCsD99wP791ceHxyUgYYvfCGfzgD0phb0pjr0pjr0pjr0pjr0pjr0pjq6ecOAsAesQvf2Ak8/nZ7OjJcgaNznU61wsHZUL16UzwHymYULgwexo+jQq2T9cqfR8NFp0MPLuTgNJBSLwKuvyjMyPV0eLQTyGeAMQloH74Lg1RG6pEYWAunW9sDixbKsw+SkHL/nHuD66+VVtcz08tw1NnJQ1ESnektHDhwAnn0WaGsrr0cNSLb5s8/K+9dck9z5JQW9qQ29cYbe1IbeOENvakNvnKE3tdHNm7r4flU6GRgAduwAHnkE+OY3gSeflOM33QS8733AXXdJ50ZXuc0gqNOu3qOj0imLC/u1fOQR+XpgoLKjunq1jJC0tcm/wUFgzRp57+GHZS1CFaLq0JtZv3fdlQ4nsoZ90GPdOnkN6kuU52IOJNTVyUBCXx/wne8AP/+5ZOs9/nj52QAqAy1WggY4CwUZndy5U14LBcU/XDPs17e/X17r6vQdvAuKV0eicinrpCWQXuuZNtsD7e0SDDYb6MuXyzMxNBSszPT63JmDor298prF59ENneotXdm3T66DtbMEyNdTU/J+3qA37tCb+dAbd+jNfOiNO/RmPvTGHd28SW2GcBxp6LWya3ftSseagbpkNbllKt96azl798QJyVrq7JTPjI7KWoQrVwabmhtlh55Zv8mh01RuP+diDiTs3y+vb32rrLFZXy/vW7P4o1h/Ouujt3lbnsWrI1zLXI00ZJ27PdNme2BoqLKOBeSz58/L3/Pkk8B736vWpsrbc6eKTvVWHknrVFZ6kyz0hqhAb4hf0uoMQG+SRNWbVAaE4wpkZEFoXbKa3K7l3r3lwPX4+PzvN48FCWKnoUNP/KPLoIfKuTQ2yr/2dvdyJsxAS9D1tNPSUMnbQI1XRxi084/ugXQvz7TZHrDXsZOTwPHjMlVtdhb4yleA559Xb1Pl7blTQad6S1e2bROXJibmT6lsbJT3VUjzYCi9cYfezIfeuENv5kNv3InCmzQ7A9AbL+jmTeoCwnHu9J0FoXUJgrpdS1NcQHYkt2MeCxLE1r1DT9TQZdBD9Vz8lDNhBVqCDHalvaGSdbw6wqCdf3QOpHt5ps32wKlT5fdnZ+X9+npgxQrZxPWSS8pT+9IwEyqN6FRv6cqWLcD27TJ10r6c0fbt8r5fdNtXwy/0xh16Mx964w69mQ+9cSdsb+KMc0UFvXFHN29St4ZwrTVxz5yRqY5hrYeZBaF1WUvT7Vpu3VoOXHd3A83NMkoyMSH/X7YsnCC2n/V+s7q+atbQaU1Uv+dSKMj07WPHZKmUYrHy/ajKGdXBLq4LRfKOruvfenmmzfZAV5c8q6dOyb+ZGXmOC4VyfZvEPgN5Qqd6S1eamoD77gN+9VdlH4lly+T1V39Vjqs8ezrtq6ECvXGH3syH3rhDb+ZDb9wJ25u0OwPQGy/o5k3qMoSrdXrGxiTKfuoU8KY3hZO1pkt2rR+cpnLrkNXkdi23bgVWrZKg0rFj8lD09ZW/d3AwvExeL5lxzIJMDzplfvs5F9OxoSHgyBHglVeAjg7g139dntEoyxnVwa4sLKOTFtKyLAfRA6/P9Nq1wGc/K/sgPPGELBVRLMqyEc3NkplgrmOelplQaUSnektnTF/Dar+mfeYfvfEGvamE3niD3lRCb7wRpjdpdwagN17RyZvUBYSdOj3FYnnH7Esukc3HgODp9WkT2i2ImWSgxsu1tAeu29vle8+dizeInYXpGnkjjkEPrwE6L+diOjY2JsHg5mYJDI+NAV//OnDddTIoElU5ozrYdfy4fGZmRpZx6e4GGuZqkbQ0VNIAB6SIX7w809YybOlS4A/+AHjqKeDHP5bvX7WqHAwG0jMTKq3oMFifBsJc3iYLM//ojTfoTSX0xhv0phJ6442wvMmCMwC98You3qQuIOzU6TEDKR0dknJtEkbWWlqETkMQ08u11GFdS2ZBppMo3fEboHM7l74+eQYOH5aftWyZFOYXL0p5dvEi8OCDwKJF0fw9KoNdAwPAN74BvPhi+bzMjMKOjnQ1VHQmDWW5Ksx6jo5qz/SiRcANNwB/8zfA974nAd/JyfIMnMsvl0HXfftkELajQ47rPBMqS+jQ5skTaZz55wS9iRd6Q1SgN8QvWXEGoDdxEtSb1AWEnTo9b7whr9apjiZhZK2lQei0BDHTcC2zMF2DhEcUAbrhYRnEmpyUIMz588D0NLBggRTcs7PAoUPhPyv2gNwnPylBabfBLvMaLF5cHoVsaZE1vvfskd1Q09ZQ0ZW0lOV+YdZz9NgHXaemgKefBv7rf5WAb6kEtLVJWdPWJt/zxhvAO94B/NM/AT/4AXD11bLHgK4zoQgJQtpm/hE9oDdEBXpD/EJniApBvUldQBiY3+k5fVo6PWZmixVdstaizoxiEDM8sjJdg4RDFAG6ri4JBk9NAa+9VrmZ3MyMZAyH/czWCsi5nb/1GmzfLkHg0VF578IFYGQE+NSn2FAJg6yV5YUCcOAA8OlPS0b5hg3lZUaykPWsG+aga6EA7NghzrS2yvHOTvHr1CnJDK6rk+e4UADe/W7gn/8ZuPZa+afjTChCwiAtM/+IXtAbogK9IX6hM0SFIN6kMiAMVGaaFgrA88/rm15fLRBzxx2SGRhGkJhBzPDI0nSNPJDGwZaeHsm23btXMm2bm+X49LS8vvFGeQ3tMAia5Wy9Bh0dQG+vDMSNj0um8/vfzyzPsMhSWW7WfUeOAC+9JMsXvP56eZkRc1Bl/37xL89LSYRdjlkHcY4cKR+vq5NBp4sXy1nC4+Myu6qrC9i0SX73wYP5vh8k26RhthrRD3pDVKA3xC90hqig6k1qA8JWdE6vrxaI6e8Hbr5ZgosNDcGnz9qDmMWirEV66pRkB23aFNqflHl09olUEsc09CgCdE1NwK23Art2SRkxMyPHGxqAFSskc7gWfoNHQbOc7degvr68eefRo8Dy5bXPN+/4uV9ZGZCy1n2dnRIM7uwsLzPS2yseXbwoAxLt7fldSiKKcsw6iNPaWj6+YIG8moNP1vdLJSl7duzg0h5RwrW0iQr0hqhAb4hf6AxRgd6kl0wEhAF90+udAjHFomRLTU5KR8wMrASZPmsNYvb1yb+pKfk5V1wBfOxj2e/QhVkQufnEQi954tp8K6oAXWsrcN11slbw+LgEg5uaJFt40ybZ6MkJleBR0CznrAQpk+DwYeC+++QeNDdLZuzSpdXvV1QDUnGXWda6r1AoH29pkeOnTwPd3fK5t741exvoeSWqcsw6iNPdLe5NTAALF0ogfnZWgvEzMzJTaWxMnu2nnxbn8no/ooZraRMV6A1Rgd4Qv9AZogK9STeZCQgDeqbXOwVihoYkGNzUJIEgk6CbBq1dK5tEfehDwObNkrW3bJl0/rLeoXMqiBYtAn7jNyQjSiUAUs0nXQu9vAWp49p8K6oAXVeXTNn+rd8qL7/Q2irP7OCgc+axavAoaJYzs+bVOHxYZoKY5T0ggbmGhtr3K+wBTnuZVSxKIPBd75IBwyjKCmvdZw1ItrTIsfFx4NVX5f8bN1Z+b9o30PNDVOWYfRDHXPt7aEiOT04Cx49LGfTcc+LWpk1SX775zcCJE+UyqbsbOHYsH/cjSuIaxCTZgt4QFegN8QudISrQm/STqYCwjjgFYqxBYOtUTiD4pkGHD8tDt3lz5fEsd7CdCqKxMeCZZ4Af/Wj+rulBgra6Fnq6BqmjJM7Nt6KYgWAGbMbGyrMEgNpZt6rBozAyfHWdhaErhYJkBk9OVi6pMTEhM0Q2bapdHoc1wGkvs8bGJDA4NiabiG3bVjtjWRVr3dfQULkZ4YUL8trQIG7W18///jRuoKeC13LM74Cf0yDOpk0yEPDOd0om8OysfM4ciPrFL4AXXpD6xLpsTXMzsGZNPu5HlPgtv/M2yEucoTdEBXpD/EJniAr0Jv0wIBwxToGY1lZ5GDo6pBNmJeimQVnbod4L9oKoWJTAg1m4tLRIwC2MoG1cWal+0DVIHTVxb74V9gwElaxb1ec7rAxfHWdh6Epfn2Rj2hs55pIJY2PV71eYjSWzzFq9WrI8f/ITCcR2d8uyJK2tUnaEXVbY6z5zM8LDh8vr1ALA4487f3/aNtBTxUs5pjrgV20Q5+BB4Mc/FieGhmSQ+vRp2ejy9GnxYeFCyRZeuLDsY5gbXeYRP+V3Hgd5iTP0hqhAb4hf6AxRgd6kHwaEI8YpEFMsSsbN5ZdXZkaFsR5nlnao94q9IDKX5OjslI6smZEdRtBWx4C7jkHqOMjCurZ+s26DPN/M8I2X4eHy8ghOTE4636+wGktmEO8HP5BA8Isvys8cHpZ7PjoqAb7xcRkwC7usqDYIsWJF+W8pFNL/DAfFrRzbtEn2APA74GcfVHjb28qDCsPDsn7w7t3iocn4uGSwDw3J+4AMHnR1hf5n55Jq5XexKPfk0CEpEzZuzOcgL3GG3hAV6A3xS60+RrEoA8Y7d0rb8Wtfk9m3dIbQm/STm4BwkunpToGY9nbg0UfDX48zC0Eyv9gLIuuSHEDlshxBg7ZeG1hx+qVjkDoOoljXNolywk/WbdDnmxm+8dHVJVmx9rVzAfGsq2v+/Qor298aVB4aAvbulfNYvFi+v7kZmJ6uzGCOoqxwG4Tg2tTu1+DwYf8Dfm6DCu3tUs61tclxQJzr7y+f0/S0HJuclP//yq9U3+iSeMOp/DaXt5qaAn72M+D55+X/U1Pzy4esD/ISZ+gNUYHeEL9U62P094sHgAwSDw8DR44AN9xQ+f10Jp/Qm/STi4CwDunpToGYKLL18tjBthdEZgB4YkICH9ZlOYJmSXttYPnxK2gQMo9Z4SZhZr2qlhNxBpHz+HynlZ4e8bGhQdYMHh2V44WClEuf+MT8+xVGtr89qNzQIFP/SyV5RqoNHkVVVrgNQjBzvfY1ePllfwN+XgYVnLh4Ub6nVJKfYRjyr75ejo+NZbsuiYOmJuCOO2Rt8Zdekvv72mty/IYbZAAJkLW9Dx2aP4sMyPYgL3GG3hAV6A3xi9nHeOghYN8+aQs0NABvvCHtuHXr5HPForQV9uyRpcCs3tCZ/EFv0k/mA8I6r68aVbZe3jrY9iDZ7Gx5Q5zrrisXOPYsSpVAntPv2rtXrq21geXVrzAGK/KYFW4ljOdItZxIYrApb893WrGWFZs2SUBtclLKmk98QqZp2gkj298eVC4UyusFj4+L4zMzEpTu7pb3/ZYVYQ+CMHO9+jXwO+DnZVDh3Dm5Z4OD5YGKkRG5rzMzMpja1CTT+hYtkmDx0aPzN6sl/hgYAB57TNokLS0yjXJyUjpGZtsBkE0oDx6U960bjgLZH+Ql86E3RAV6Q1SxtkMvXJA+tTmbCJDEq6Ym8cnuDZ3JL/QmvWQ+IJzX9VXz1sG2B8luuUV2UR8Zkftvz6IMEsiz/q5nn5XfcdVVlSNdXvwKa7CCWaPBUSknkhxsytvznVbiXCPaxB5Ubm2V33fppcDJkzJjYmxMPjM+Lt4vXeq9rNBhxk2e8Dvg52VQoatLNovr7ZVG+fnzwHPPlYP6Zl1WLMrvaW2VMu7QIZY7qljriw0b5NiRI/IsPvdcZbZMd7c8i6dOVXaY8jLIS8rQG6ICvSEqmN4YBrBtmxw7ckSWF7NmdXZ3S1LB2FjlMo10Jp/Qm/ST+YBwWOurJrkGMfGGPUjW2+sciLE2lKy7rJ88KcGbhx92D4yYv8v0wT7NCnD3K8zBCmaNBkOlnMjrYBPxR5xrRAPzg8pmA2xiQr5ubwfe/GZpkM3MADt2AFu2eCsrdJ5xk1X8Dvi1t0t5VixKILe7W6buAeVBBdOzsbFyAKC1VTKCDUM+Y64hXChIBtmqVZzOFwSn+sIcrDl7VqZZrllTvl89PbLUCwd58w29ISrQG6KCH2+2b5dNi0dHZa1YOpNfnLxpbJQ25OnT8v4VV0hZQ2/0JPMB4TAyrqLIiGKAOXqqBWLMgmvx4vm7rE9NAbt2Af/yX3r7HUH8CnszOGaNqqNyH/O6mR+JjjCy/e1BZbOz993vSpBvZkYyPdvagM9/XmY3HDzorS7iIEgyeB3wGxiQHZyPHBFvmppkMGD7drnv5qBCY2OlZ8ePy6Do8uXSeDeXXDIMCRJcdpkEi9vagP3757vC9ow7TvWFmZU3MSH35/hxuV+XXw686U3Agw/Ks8pBXmfy4B29CR96Q2/8kgdnAP/eXH89cNttsgwVnZlPXr0ZGwN+8Qvph0xNAS+8IN6YbVF6U5skvMl8QDhoxlUUGVGccpssw8NyP/fsKV97k1OngCeekOxileCLiRe/8rwZnG6o3EfePxIFQbP9q61zvnKl/Jz6esn4aGgAvvQl+f/5897qIg6CJIfbgJ/ZVqmrk/Xs9+yRwc6xMcnGuP76ykEF+9JH3/+++LF7t5R7s7PS6auvF6fq64Gvf32+K7fcAnzrW2zPuGGvL4pF2YB2xQrZeMXM4h4bk02ennxS1m/mAIszeWlH05twoTf0xi95cQZQ88ZpPwySX2+KRWl/GoYsV3PkiHhjbYvec0/2rkFYJOVNKgPCfiLnQTOuws6I4pTb5OnqknV/Jycrg8GA+DI97f2+BvEr75vB6YTKfeT9I1ERNNvfyzrnxSLwne8Ab3mLLCFhUqsu4iCIvtjbKub6wOY60bfdNr8xaXrW0yOZYSdPihfnzkkWx9mz4syWLXJ/DaOy3TI0BPz+7wO//Mtsz7hhry+GhqQN0tQEXHKJPIeFggzQjI/LPSDO5KkdTW/Cg97QG7/kyRmA3oRFnr0pFMrxlYmJSm+qtUWJkKQ3qQsIq0TOg2RchZ0RxSm3ydPTI6NVhULl8YkJyYhavNjffVX1i5vB6YXf+8j7R3TGbZ3zoSGZyrVgQeXxWnURB0H0xd5Wqa8vrw/c31+749bUBNxxB3DzzdKQX7VK6sP6esn+mZ6Wz1nvOSDB4/Pn5dUK2zPzsdcXx4/LLtzNzRJQ7+gof7a/n9n2tchTO5rehAe9oTd+yZMzAL0Jizx789pr4gww3xu3tmjeSdKbVAWEg0TOVTOuws6I4pTb5GlqAm6/XaYsjI6Wj5trLY6M+L+vqn5xMzi98Hsf3e5fXtaPIt5IwodqdZi5w29r6/z3qtVFHATRF5W2itXHoSHZ9GPRInGjtRVYtkyCwj/9qdSPdkyHrLtFm7A9Mx+nZTrsmfsAs+3dyFs7mt6EA72hN37JmzMAvQmDPHvz5JPAV74imcFmG9KEztQmSW9SFRBOInIedkYUp9zqwTveIevYDA9LtrDZ+R0biz/TjZvBpZtq9y9P60cRd5LyoVodViyKu8uWzf+eWnURB7H0xG9bxe7jsWOyRuANN5Qzi02amys3XzUxBxOcBhXYnnHGvkzH2Biz7f2Sx3Y0vQkOvaE3fsmjMwC9CUqevXnve2Xd6dnZymAwnXEnSW/qovvR4ZNE5NzMiKqrk6Bzf7+81tWpZURZO21W+KDES1OTZAgvXy4F1tQUMDiofl8JsWKfzbBunbzOzsrxqamkz5DESZI+VKvDurqAa6+Vhr4VL3WR2Vno7ZVXlpfJ46et4uTjpZdKg3PPHlk/2EpHh/hib7c0NABtbeWNZkzYnnEn7LZlnshzO5reqENv6I1f8uwMQG9UybM3dEadJL1JVYZwFJFzL1N4w8yI4pRbfWCmG4mKvK0fRWrj5sP+/VLuRLWURLWy7uRJ1kVZwNqOufVWuY/nz1ev05x87O6WwO/YmGxGZ2YJnz0rP+fOO4FHH53vyuc/D3zrW3RIBbZB1Mh7O5reqEFv6I1f8u4MQG9UyLs3dEaNJL1JVUA47OUb/EzhDXNaPx8UfeByDSQKnGYzFIuyTufx47IuF9cTzg+1ZrdcvCj1QXt7tEtJOJV1rIvSj8pSJE4+NjTIGvo/+AHw+uuStW7/WdVc2baNDqnCNogaeS+76I0a9Ibe+CXvzgD0RoW8e0Nn1EjKm1QFhMOMnAfZoM7+c1Q2CeKDQkh2sc9mGBuTqdiTk7L76ve/L+tycT3hfFBtdkuxKPXHW94SrB4KAuui9GK2Y6anpd1hbgY3PV3bn2o+dnRIcPfGG2VtaXtDtJordIgkAb0jKtAb4hc6Q1SgN0SFJLxJVUAYCC9yHsaUbtVNgpLYaZ4QEh/W2Qzt7RIMLpXkOW9ulh17x8biC/qRZKk2u+XIEXndtKny81xahHihr082gzt2rHLTt+ZmYPXq6v7Umm21dClw000skwghhBBCCMk6qQsIA+FEzoNuUKeaYZzUTvOEkOixDvb09gJPPw288IJ8vWiRBGq2b5eNDBn0yw/VZrdMTkpwzroTr0lUG6UCHJTMCsePAy++KBu7dXaWj09MyPGTJ52/L+/r2xFCCCGEEEJSGhAOg6Ab1KlkGIe1TAUhRD+cBnva2oBrrpH1ONevl2nY1uCfatDPT0CPwT89cJrdMj0NPP648+dVN0p1g4OS2WFsTMqWlpbK4y0t4tjISPXvDXudMpYzRGfoJ1GB3hAV6A1Rgd4QFcLwJrcBYfuUSXPDp5MngYUL50/htaOSYRzGMhUkGbw8bCzI80utwZ4XX5RA8MqV879PJejnJ6DH4J9e2Ge3FArhbpTqBgcl04HXuqSzU+7XxERlUHhiQo5bnXIirHXKWM4QnaGfRAV6Q1SgN0QFekNUCMub3AaErVMmDx6UoM3UlHSQenqAj32s9sVUyTCuFUSenQWefZbBRB3x8rCxIM83tQZ7RkaAmZlwgn5+AnoM/umP16n7YQ02cVBSf7zWJYWCfKarS8qYiQmgrk7ea24WR5Yvj/58Wc6EAweUoyHrftKbaKA3RAV6Q1SgN0SFML3JbUAYkM7VJz8JfOhDwObN0nkyp3S7Xcxam7JUC/JUCyKPjQF790qnzvwMg4nR4rVw8vKwlUrZLsiJO7UGexoagBtvBJ5/Pvh6nX4Cegz+pQO3qftuAUI/Da2ga+eTaPHauDOdOHNG7unsLLBgAXDppUB3t5Q5CxaEn2HuRNLlTNo7GoUCsHs38KUvyUy1xYslsM82YG283vek/YwKeqMGvaE3KtAbeqMCvaE3fvHTpg3Tm1wHhAHg8GHpYG3eXHnc7WKqbMriFEQuFoFnnpHPX3VVeX1RBhOjw082r5eHDchmQZ4X7IXvxo3Aq6/6CzDUmjFQLMrrO98pRy3CkwAAIABJREFUgz6dnbJ8hMp6nX4Cegz+pYdqU/fdAoR33gk8+qj3mQlB184n0eKlvunpKTuxYYPc0z17ZGD55ZdlyaulS+PbHC7JcibtM3MGBoDPfAb44Q/l3JuaZOmy7dtlVgnbgM74ue9ZrAfpjRr0ht6oQG/ojQr0ht74xW+bNkxv6tROOTsEuZhmZtdddwHve5+8PvRQ9Y6IGUSuq5POXX8/8MILslTFdddVbja1ZIkIYQYc3SgUgP37gZ075bVQ8PZ9WcLLNbAHWNatk9fZWTk+NVX5eS9+ZLEgzwsDA8COHcAjjwDf/CbwZ38G/NqvAX/6p/L1I4/I+wMDtX+OdbDHSn+/PMNPPw08+SSwa5f86+pSqwT9BPS8fJblht6YAUL7WrBLlki58vGP1y7L7Pd340ZnT6Nas5j4w0tdYneiowPo7QXe9jZg9WqZjVCrHRI2SQ0y+K3LdcM8/+FhqQuWL5dnsFSSAH9Hh782YF4oFKSTeeqUdCobG8X7avc9a4Ng9EYNekNvVKA39EYFekNv/GJes+lpiRcWi/I6PV29TRumN7nPEA56Mf1uymKfHnzoEPCzn8nDYcdrMDHtWTJh4PUa+E2v9+pHlgryvGAPKBSLMrVldhY4dkyCLF6WjwGcZwwUi+LTVVdJsMLEa/a/07QRP0vVuH22vV2C3XkuN3SnVoBwbAyYnKw+u8UcfLDf31tuAb71reDLl5Dw8VLfODlRXy+zDqamZNkr+32MclkFleWzwsDr7B1dMc+/wdYKb2mR46dPc0DZid27JePI6nhzs2QdjYzMb8Ml5WdU0Bs16A29UYHe0BsV6A298UtfH/DGG8DgoPTtTJqbgTVrnGebh+lN7gPCcTyETp0x86YuXSprizpRKgFtbZLZVa0jl/WFyL3g5xr4zeb14ocZUMlKQZ4X7AGFoSEphBcvLldYK1d6X/rDPthz+rQctwaDAW8/r9YAh9elamota3PHHbLUQJ7LjTRQK0A4OSkNBSdmZ4EnnpCMBPv9/da3gAcflMFIpzWLSXJ4qW8OHvQ3ABn1gLHK8llhkPaZOSdOyH0tFoHxcRmgq7PM2Rsfl0A/B5TLFAqyFqHpl8nEhGQdbd48/75X87OtTQZ9f/zjdK09TW/8Q2/ojQpheVMsSpbou95VXvYpDc4A9EaFvHtTKMjfefw4sGiR9Efs0Jv5nDghcYm2tvne9PVJtrmdML3JVEBYJQsm6s6MW2esVgewvh74+teB8+erd+SyuhC5H/xcA78Z4V79SKJDTIJhDyiMj1e+b/3aa4DBOmNg5875o6Nefp6XAY5am5BZqbZh2cGDLDeCEsdmVrXqh66uymWGrIyMyKvTUhNHj0owmPdXP7zUN34GseMaMHbbGDEK0jzFcmBA2nYvvihrPo+MAOfOyT0yB3mmp8vXkQh9feVplFbMrKOREef7bvdzaqq8jFOaZsfQGzXoDb1RIQxvXnoJeOopaav98IeSOZoGZwB6o0qevTFjXkeOAK+9BrS2SnKUuZGcCb2Zz+io1DEtLZXHW1qk/rEv9WcSljeZCQgHyYKJqjPjpTNWaxS6VJKva3Xk0p4lEwZ+roFKRrgXP2p9Ju27oGcVe0ChtbXyfevXKgEG1YCF1wEOrwE9p2VtWG4EI65lemoFCB94APiLv5CNxBYsEF+7u6XB3tAgdYgTvL9641bf+BnEjnPA2O/yWUFJ6xRLs124eHG5jtiwQe7HkSNyv+vq5FnmgHIlw8Ny3YaGJGvG2nEqFKQcrHbfTT8LBVkqqVbbWkfojTr0ht6oENSbnh7gq1+V626vo3SfiUdv1MmrN9aY11vfKn9/qQSsWAGcPCnHp6elDKU38+nokOthd2ZiQo4vXlz9e8PwJhMB4aBZME4BuzAk9doZc+oATk8Djz9ePcPL/N40Z8mEhZ9r4Dcj3O7G295WPZjr1CHm+s76Yg8odHfLqPfIiBTGy5bJ51QDDKoBiziCtSw31Il7mZ5qAcKTJ6Wh8POflzcbaGwErr0WuP12yWJygvdXf9yCq14HsbM88JPUUhVBsbYLt2+XqZWTk7LpytmzwKpVwEc+ItPSdf0bkqKrS4IQ5nUbHS2/ZxjABz/ofs3SuvY0vVGH3tAbFYJ6k+YZvPRGnbx6Yz9v8+8HJMO8s1OuzQc/SG+cWLUKuOIK2cPI6kxzsxxfsaL29wf1JhMB4SAXIcqAnZ/OmL0DuHOnt+9Na5ZMmPT0yDo1TplyTtfAa2d6YEB2CT16VIJATU3i2D33eHOD6zvrjVNAYc0a4NVXZe3VY8eCBRhUAxZxBGtZbqiTRGPNXj+YZcvChcB73iNTssbHZZrawoUycLVrF+9vlvGSkZv1gZ8klqoIirVd2NEhHSPz+R0bA37v92TtNzIfs96amam8btPT0ubr7XX/GWkdJKE36tAb+T+98UdQb9LqDEBvgpBXb+znbfXm6FHgN39TklV0bp8lSU+PxB6WLy+v2d3aKjM+a2WVmwT1JhMBYdWLEHXALkhnzOv3pjVLJkxOnaqeKXf//c7XwK0zXSjIlOx9+yqPDw7KQ/r44+FkFOg4ypcnnAIKmzeHt+GWSsAijmAtyw11dGis2cuWlSvL7x09Chw+zPtL/JclaVzeKO6lKoJib9vV15ef36NH3bNA8oy13hoclHLN3JjGa7mW1kESeqMOvSl/TW+8E9SbtDoD0Jsg5NUbp/M2vSkUJCbDvkd1rN5cvCiB4EJBZizH4U0mAsJRr9WpSpDAjp/vTWOWTFi4ZcqpVloHDshUh7a2+Wu57Nkj719zTe2foUPgiLjjFFAIM8DgN2ARV7A2z+VGEHRorHkpW7Zu5f3NO2ZZ8pnPyOCmdaaLvSzh8kbxwNkZwQhab6X1+qf1vHWB3qTrvHUhiDdpvvZpPncdyKM3aT1vnUjSm0wEhHVdqzNIYMfv96YtSyYs3DLlVIP6+/bV3u1x3z73gLAOgSOSTuIK1ua13AiCDo0ee9lSLMoGDuPjUh6aG8oleX/t2aYbN8pyLGnKPs0a1do7XN4oPsy23UMPVQbp160D/uN/5HX2QpByLa2zY5qagDvuAO67T3YSb2mRKbl+slzzDr2hNyqoepNWZwB6EwZ584Ztm3BIyptMBIR1XqszSGCHGXzu6JyFq0PgiKQXBmv1RIfGmrVsqa8vb/hRKMj5fPWrUvYtWJBM8NWebXrhggSDN2yQYDWzT+PBDPQaBrBtW/m4PdDL5Y3ix95uqdaOIeGTxrb1wADw2GNS3re0SHm/aBFw550sQ+OC3hC/pNEZgN4kTVq9Adi2SZIg3mgXEFZdw07XtTqBYIEdBoVqE1VQf9s2ufYTE/OXjGhsrOxcV0OHwFFWSMPalmk4RxIOSTfWrEsB/OAHUq40NUkGR08P8NOfAj/+MXD11bLbcZzBV3u2abEI7N4tXx87JptM1Ncz+zQOvAZ6dR5YTQtey3+vQXoSLbq0rb14Yy1TN2woHz97Fnj0UToTJ/SG+EUXZwB6kybS6g3bNsmi6k3sAeFaUgVdw07XtTpJdEQV1N+yRRZA379fnLVy7bXyvheSDhxlgTSsbZmGcyThEqSxFsbgwdq1wG23Sd3V2Sm70S5ZAjzzTPlntbTIMjpxNsjsQcihIckuWbxYjp8+LefE7FM1/LjjNdDL5Y2C4af8ZzY2MfHqDZ0hVugNUYHeEBXoTT6INSBcS6rly5NZw44Bu2QJGhiJKqjf1ATcf79k4B09KusJNzaKm/fc4+/n6jTKlzbSsLZlGs6R6EOYgwdjY1JurlsnX584IcHXzk7xcnxcjsfZILMHIc1zcPqa2af+8OuO10AvlzdSx2/5z2xsAvjzhs4QE3pDVKA3RAV6kx9iCwi7SXXrrcmNLDBglwxhBUaiCuqvXQt89rPJDhbkfRmCNIw4puEciR6EPXhgD/jZg6+treX/x9Ugs5+T9RzsXzP71Dsq7ngN9HK2lDp+y39mYwfDrU2UljaTH2/oTHDoTSX0xhv0phJ6447X5RXoDbGStDexBYTdpNq7lyMLeSLswEhUQf0kBwu4DEE6RhzDPkdrgd/eLsfOndO70UC8EfbggT3gZwZbJyaA5mZg2bLyZ+NqkNnPqbtbzmVkRJawMM+J2af+UHHHT6CXs6XU8Fv+MxtbHbc2UZraTH68oTPBoDf0RgV6Q2/84sUJekPs6OBNXfAf4Q03qcw/zgmOLGQPs3NrLTQA+Xp0VDqlecYeMF+3Tl5nZ+X41FTSZxgPaRhxDPMcBwaAHTuARx4B/uqvgN/9Xfn3V38lx3bskM8UCrK+9c6dzutcEz0Je/DADPjV1UnAb2JCyoZCAdi+XTZvA+JtkNnP6Y03gDVr5OvVq2VjuaNH5Wtmn3pH1R0z0HvXXcD73ievDz3k3IA0B0B7e+WV98Ydv+W//fno7+fz4AW3NtH58+lqM/nxhs6oQ2/ojQr0ht74xUu/PW19e3oTPbp4E1uGsJtUW7cChw5xZCEvpCHzM0m4DIGQhhHHsM7RWuCvXg3s3g20tcl7g4MSpBkbk7WtFy6UBqnuo8ukkigGOOyZnbfcAjz9tGTkjo4mM/XfKdt082ap45l9qkYQd7gsVnSolP/MxvaPW5voO98Bjhwpr5/e3Q00NOjbZvLrDZ1Rg97QGxXoDb3xi5d++9QUvSGV6OJNbAFhN6m2bgVWreIadnkhDZmfScKAuZCGtS3DOkdrpWDdHAyQ46dPSyWwezfw1rcCl11W/l5uYJcOohrgsAf8enuTb5A5BSF1aujWQsf13dIwOJZHVMt/Bun9UatNdPGizKI5eRJYtEiONTfLLImODj3bTCre0Bn/0Bt6owK9oTd+ceu3v/QS8O1vyyu9ISa6eBNbQNiLVBxZyA/s3NaGAfMyOpQLbgGiMM7RWinYNwczjw0NyUhhg63k1nV0mVQS1wAHG2Tq6Lq+WxoGx/KKDnVU1qnWJioWpW5es0Y6S+Yg6sQEsGePDI7p2maiN9FDb4gK9Ib4pVa/vVgEnnpK2nH0hljRxZvYAsKAN6nYkc0H7NzWhgHzStKwuV/Qc7RWCubmYFZaW8uBYqf3dRxdJvNh40pfwt7sNGzCdkfHTOi0wrZrtFRrE736qrxu3Qo884x0lFpa5N/oqLy/fLm+bSZ6Ey30hqhAb4hfavXbZ2ZkT49LLy3v90FvCKCPN7EGhAFKFZQsdeAYGKkOA+Z6EGeAyFopdHfLlJCJCXmvuRlYtgw4fFh+37Jl879f19FlMh/Wg3qShrXbw3JH10zovJCltlwcVGsTFQrla7d9u2TNjI7K91y4IO9npc1EZ/xDb+iNCvSG3vilVr/9Xe8CfvhDmd1Jb4gVXbyJPSBM1MliB46BkeowYJ48cQaIrJXCsWMyJa2vT97r6ZGN5bq6pFIYG2PmOCFhk5e123XPhM46WWzLxYFTm2h6Gnj8cXm/o0OmUJ4+LbNpRkeBHTuycU3pjDr0ht6oQG/ojV+q9dsPHpT9XwB6Q+ajgzeZDwhnZaSCHbh8woB5ssQdILJXCu3tcvzcuXIFcfIkM8cJiYK8rN2ehkzorMK2XDDsbaJCoXK6ZX09sHKlfL10KbBlS3LnGhZ0Jjj0pnyc3niH3pSP0xtvOPXb7csC0BtiJ2lvMh0QztJIBTtwhMRPEgEit0EAZo4TEg15WbvdOtBVLMpmlePjsjb57Gx2MqF1hG25cMnD8lp0JnzoDb1Rgd7QGxXoDb1RIU5vMhsQztpIRV6msuaRrGSxZxFdA0TMHCcmLD/CIw+NdqA80DU2JmuSTU6W35uaAm65Jblzyzpsy4VP1gdJ6Uw00Jt4zycr0Jt4zycr0Jt4zycrxOVNZgPCuoxUhNVZz8tU1rQQ1n3NUhZ7FslLgIikE5Yf4ZP1Rjsg9VVbm+yS3tQkzgDlTSyfflrWKsvS36wLbMtFQ5YHSelMdNAbogK9ISrQG6JCHN5kNiCsw0hFmJ11XTMVs0y1oG9Y9zVrWexZJQ8BIpI+WH5ER5Yb7YDUYzfeKLsXA+ISADQ3A9ddB4yMcHpfVLAtFz5ZnyVBZ6KB3iR3bmmG3iR3bmmG3iR3bmkmLm8yGxBOeqQi7M46MxXjpVrQ9447gMceC+e+6pLFTtzJeoCIpA+WHyQICxYAV18NtLSU1w9etkw2rRgd5fS+qGBbLlzyMEuCzoQPvaE3KtAbeqMCvaE3KsTpTWYDwkmPVETRWWemYjzUCubfd590mDdsqPwelfuqQxY7iRcdRoijPgcd/sYsYr+uJ06w/CDqdHUBdXWyY7EdTu+LFrblwiFPsyToTHjQm+z8fXFCb7Lz98UJvcnO3xcncXuT2YBw0iMVUQX7mKkYPbWC+S+/LNNqnfB7X5POYq8Fg3rho8MIcdTnoMPfmEWcruvUFDA97fz5pMsPoj9JD5rnHbblgpO3WRJ0JhzoDVGB3hAV6A1RIW5vMhsQBpIdqQg72McAXXzUCuY3N1fuyG7F733VtUM+MCDPzWuvyVqSpRKwbh3w4IPApk3JnFPa0WGEOOpz0OFvzCLVruvQEPDTn8o0/+7u8vGkyw+SDpIeNCckKJxlRVSgN0QFekNUoDdEhbi9yXRAGEhupCLMYB+z7uKlVjC/owNYtCic+6pjh7xQAO6/H3j2WQk4zczI8UOHZGDl7/4O2Lgx/vNKOzqMEEd9Djr8jVmk2nXt7pala0ZGgIsXg5cfHHTMH5zepzd8Jmuj8yyrJKE3taE3ztCb2tCb+dAZd+jNfOiNO3F7k/mAcFKEFexj1l381ArmL10K3Hkn8Oij4QRxdeuQHzgA7NkjQabGRtl4CJCp6SdOAP/pPwF//dd0zi86jBBHfQ46/I1ZpNZ1bWsDbroJWLGievnhpeHFQcf8otP0PnYSyvCZdEfXWVZJQm/coTfzoTfu0JtK6Iw36E0l9MYbcXuT6YBw0p2LMIJ9zLqLH7dgfthBXJ065Pv2ARcuyP/NYLD5/4kJoL+fzqmgwwhx1Oegw9+YRdyu64oV1Z9HLw0vDjoSHWAnoQyfSW/oOMsqSeiNN+hNJfTGG/SmDJ3xDr0pQ2+8E7c3mQ0I69K5CBrsY9ZdMrgFfXUK4oaNuUyEE3RODR1GiKM+Bx3+xiyiel29Nrw46EiShp2ESvhMemf5cuDWW4G9e6V9snWr/MuTLyb0xjv0pgy98Q69EeiMP+iNQG+8UyhIDPCd75RZ252dwMqV0c0iz2RAOC2dCy8ZzMy6S44sB32rsW2bOHj+fOXx6Wmgvh5YvJjOqaDDCHHU56DD35hFal3XO+6QBpRTHeK14cVBR5I07CRUwmfSG06JH4cOAatW5S+rHKA3XqE3ldAbb9CbMnTGO/SmDL3xRq2k1qj60pkMCLt1Lvbvlwua5Dp1XjOYmXVH4mTLFuDXfg34h3+QpSMaLCWEuYkVnVNDh/Wioz4HHf7GLOJ0XdvbZS3zanWI14aXLoOOSS/xRJKDnYRKzGeyWJTNXcfHgdZWqYOZCCCkJfEjTuiNO/RmPvTGHXpTCZ3xBr2phN64k5QzmQwI1+pcXLwoF7O9PbmlJPzcbGbdkThpagI+8Qn5/z/+o7haXw8sWgRcey1wzz10Lgg6ZJ1HfQ46/I1ZxHpdCwVgx47adYjXQK8Og466LPFEkoGdhEp6eqTe/Yd/mP/etm0clAWYVe4EvXGH3syH3rhDbyqhM96gN5XQG3eSciaTAeFqHeFiUS70W96S7EiN35vNrDsSJ2vXAl/8InDggGwyB0hBvWULnSNEB7zUIV4DvVEMOvrJ9mUGBenpAerqnDsJW7fms5NQazCHMKu8GvSmNvTGGXpTG3ozHzrjDr2ZD72pTVLOpCog7LWTWa0jfOSIvG7aVPn5uEdqVG42s+7Cg1OT3WlsBK65Rv6lEd5jkmW81CF+Ar1hDjr6zfZlBgUBavucJwoF4NvfBgYHgauvludnakoyppctk+N8JvRZ6kYX6I036E0l9MYb9KaSAwdkNs8VV4hDTU1AWxudsUNvKqE37iTlTGoCwn46mdU6wpOT5XR1O3GO1LCASA5OTc4+vMck63itQ/wEesMYdFTJ9mUGBenrA2ZmgHe/Gzh9urxkRN46CWbd9eKLwOuvS8epuRnYvh3o6JDP8JkQdFjqRhfojXfoTRl64x16U2ZgAPj0p4GXXpLlBIGyN/X1dMYKvSlDb7yRlDN10fzYcLF3Mtetk9fZWTk+NTX/e8yO8F13Ae97n7zeey+wcKHz74gzEGu92VbyWEDEiYpHSVAoyMaHO3fKa6GQ9Bmlh7TcY0KC4KcOMQO9vb3yGuXyC2a2r7URA8jXo6MS2LPDAVJiDgrU1wMrV8rmpStX5quTYK27LrlEOkydnfIM7NkjAXOAz4SJmfhRVyeJH/398lpXl6/9NeiNP+iNQG/8QW8E05vm5rIzdm/oTBl6I9Ab7yTlTCoyhFWnlNozngqF5EdqzKnsV18NfO97wMgI0NDAjeLiIA1Tk5ndGgyd7zGXsSBhoetmoyrZvsygIF4GBbJeflrrrmJROk4TE0BLixw/fVr+Xj4TZbi/Br1Rgd7QGxXoTdmbDRskq9x0xvTm8GFgxQo6Y4Xe0Bu/JOFMKgLCXjuZbh2GpDvR9mBffb2Mitx4I3D55fkrIOJG96nJ3FwpOLreYwb6iRt+A146NjJVsn2TrpdJ8rgNCrS3Azt2ZLv8tNZdDQ0yjXLPHvmbL1yQTtQVVyTzTOgcjM/7/hr0Rg16Q29UoDfijd0ZQLyZmkqu3UZv9IXe+CduZ1IREPbSyfQacEmqE10r2Pf888BNN7HjGzW6T03WObs1Leh4jxnoJ26oDhjo1shUzfbVMbhN4qPWoMAddwCPPpr98tNed3V0yDIvp09LcOZ3fieZdiIHM/WG3hAV6A1RweqN1ZnxcblnO3Ykc5/ojd7QG/1JxRrCbuslbtrkb93QONdUNFFZW5GEi+5rN+ua3ZomdLzHfPZJLbK07nWQta+SqJeJPjjt+/DQQ8D58/koP53qrvp6eaauuCKZ4EyWyqasQm+ICvSGqGD3xlz3f/FiWQ5gy5b4z4ne6A+90Z9UBITdOpmHD+vfYWCwL3l0X9xdx+zWtKHjPeazT2qRtQGDaoG9vI22E/84DQrkpfzUse7yWzZxQ9z4Sbs3dCYZ6A1Rgd4QFeiN/qRiyQig9pTSl1/Wv8PAYJ8e6Dw1mZsrhYNu95jPPqlFFgNeui1lQdJLnspP3eouP2WTOfXyzBlgbAyYnJR798ADMouPREdavbFO152dLW+yffvtwDveocc6jlmG3hAV0u4N66hkoDd6k5qAMFC9k5mGDgODfcEJa+FvXYMV3FwpPHS6x3z2SS3SUH8RkhR5Kz91qru8lk3m1MuxMZmxNzkpxwcHgZtvBp58Eti4MZ5zzitp88Y6XXfxYtlkaHJSjt9zD3D99fLKmSXRQm+ICmn1hnVUstAbfUnFkhFu6LZuqFNquY7p8mliYAD4yEeA++4D/vzP5fUjH5HjURPnVAFOt84efPZJLXSrvwjRCZafyeG1bOrrk+yZl14qD2J3dgLLl0sH6uMfz996fHnGizfmdN32dgnqmd4sXy7P9NBQPtdxzDP0hqjg1RvWUcQKvakkVRnC1dAps9Jtx0Kd0uXTQqEA3H+/BGOtDA7KDpVf+EJ019DrDpRu2ct+spt1GkEj4cBnn1RDp/qLEB1h+ZkMXsum4eHyVMrOzvk/Y3hY7h3bNfnAizfmdN2hIWdvFiwor+NIb/IBvSEqePWGdRSxQm8qyURAGNCjw2DfsdDk7Fk5/tBDDPapcOAA8OyzQFsb0NJSPj4xIccPHACuuSb83+v1froFjb0GlUm24bNPqqFD/UWIzrD8TAYvZVNXV3kqpRPNzelcC52o4+aNOV13fNz5+1tbJfOK3uQLekNU8OIN6yhih96UyUxAGEi+w2BOZbEGDwFZ9+7o0eyMIsTNvn1SwVuDwYB8feaMvB9FQNjL/ezpqR00/uQnvQWVCSH5Jun6ixBCnHArm3p6pOM0OFh5fGJCOkwdHdXXQg9rbwiiH7W8MafrnjpVedx0Ztky8Yne5A96Q1Rw80a1jgLoTZahN0KmAsJJk8Xd4vOMl/vpFjT+7nc5SEAIIYSQ7GF2eHp7ZXD+5EnpKAHyevnl0mm68sr5naO2NuCxxzh7Kq/09gJf/GJ5Sm5zs/zbvl2Omes40htixYs3mzbJMoPWQMypU5ytmWduvhn42c9kH4L2dnHArY6iNyQv3jAgHCK1diwsFoHTp2VjMt1HCXRj2zYZwZmYmL9kRGOjvB8FXnagdAsaDwxwkIAQQggh6iSdaeKlw3PllTLI3dYGLF4snaWODukAnTxZ+dliUT571VWcPRUlunvT0QFs2AC8/jrwpjfJv5GRcseZ3sRP0s5UOwev3txyC/Cxj1UGYhYtkj7jwoX0JirS4M1VV8lAwcgIsHkzsHJl9TqK3sQDvdEDBoRDxLpj4ZIl5eP9/dKAAYCGBv1HCXRjyxYZ+d23Tx5aK9u3y/tRUO1+WnegPHiwdtB47VrglVeqv19rqgEhhBBC8o3ffQjC7mA5/f62NuDiRen4WDs8K1ZIp+m22+T/V14pn9+xo3LprBMnJLvvpZeA1auB+no5ztlT4ZEWby69FLjsMvHmt3+b3iSJyp4nOnmzaZMEg+3L9L38MvDznwPveU/l76I34ZAmb664Anj1Vfn9d94pMQSnsgagN1FDb/SBAeEQcdqx0DqavW5d+bM6jxLoRlMTcN99wGc+I9e1UJBj69cD99wT3fXzsgOlW9D4X/9r4PnnaweVCSGEEELseN3c1iTsTWyr/f5XXgFeeGF+h6e7WzpSK1aUOzz7989fOmt8XNpYk5Mye27lyvJ7nD0VHHpD/OLXGUA/b5ycAYAFC2QvGrszAL0JStq8qa+XgYSjR8WLxkZ6kwT0Ri/qkj6BrGHuWHg4EiDxAAAMdElEQVTXXcD73gfceKME/azBYECCg6Oj5cxhUpu1a4HPfhZ44AEJAj/wgHwddYa1/X7edZd8bf5eM2hcVyeFRH+/vNbVyfG2ttrvczCAEEIIIU6Y+xRYB5QB5zakvXOzbp28zs7K8amp8H5/Q0O5w2PH3uFxWlqrtbX8//Hxyvc4eyo49Ib4xY8zgJ7eVFvGz/TG7gxAb4JCb4gK9EYvmCGsSK2UdeuOhTt3ilhO6DpKoCtuO10n9XvNoPHBg3I/ly6VQQAz2Ov2PiGEEEKIHT+bFbttcqsyTTGMDo/Tfgzd3bIxy9hYZZCPs6fCgd4Qv/jdGF1Hb6rt/dLdLX2uYrHyOL0JDr0hKtAbvWBAWAE/KeteNiYj6ed/tnc/v3GcZRzAn904ToUaJVShFVJkV6XlgH3oL1XNuVgITly4IHHLuYce4AY9QoRyrYQEVw7pP0Bz5JAeGrdSG5CQinBUVYi4jlOByjrJLoeHVZ31ru1549nZ3fl8LiOPN/Yo79ezO8+887xHFY2bKmYDAPOpymfIqhdYj/P7hxc89+8/un/cBc+41lpLS7lK90cf5UXX1tbBllyUkxuqqnq9Oou5mdTG78svI15/PRd5mtQCkDJyQwm5mS0KwhVV7XlynIXJZmGFRYBF5jwLzJvjfIYcqmMCwmEXPJcu5Uyaoy54DluP4dq1/FmenjpZckNVVTITMZu5OSwzb7+dvYY9rXmy5IYScjNbFIQrqjpl/aiFyf75z5NtkA3Ao/Y/1dHv56rUS0sRly9HfP/7CsPAbDrO4rZDVS+wHvf3/+pXx7/g0TpruuSGqqpkJmJ2c3NUZjytebLkhhJyM1s6g0nl9jFeffXVwQcffFDj4cy+996L+OMfDy4SF5GPLv30pxEbGwe/t7d3MCyDQcQvfpEFitFwd7vjV1isQ6fTuTkYDF6t6+fLzWKqMzcys7imnZte7+vz7KlTETdu5ArlvV6++b/xRi5U6QbcbHO+oapF+mwz7jPkuM+HJ70Kd9XfvwjkRm5KLEpuqoyZ3Dw+uZGbEovymVhupmtSbswQrqh0yvq4HrKbmyffIJvZ4jH1+WTcFseHH0Z8+mnE2bMRH3+cC9KcP5/f292NuHNnfLsfgFlx3HUI6ppRaR2E+SQ3VFVlzOSGIbmhhNzMBgXhik5yynodDbIpU0cBsK47WdRrWuOm6Fy/27cjfvObiL/+NWcH37mTPaEuXszCcETE6dM51m7AAYvAxQ0l5IYSckMJuaGE3NRDQbiiqj1PDlNHg2yqq6MAWHXxQWbDtMbNzYL6DcfyzJmIJ5/MMV1ezv/rzz6LeO65fN03vpGPC7kBBwAAQFt0mz6AeTScsv7mm9kz+M038+uqhZz9s433e5wG2VQzWgBcXc1tv5/79/bKfu5w8cH9s8gj8uvhbERmzzTGra7M8ajhWD7/fM4G7vdz/+nTEQ8e5Hn2iScinn7aDTgAAADaRUG40HDK+sZGbktmDQ5nG3e7Odt4ayu33W712caUqasAqB3IfJrGuLlZMB3DsVxairh0KWcCP3wY8e9/Z9H94cPcf++eG3AAAAC0i5YRDaurQTbHU1cBUDuQ+TSNcXOzYDr2j+W5cxE/+EHE3/8ecfNmxH/+E/Gd70TcvVvW7gcAAADmmYLwDNAguzl1FQBPcvFBpmca4+ZmwXSMjuWpUxEvvJD77t6N+NnPIp55xg04AAAA2kfLCFqtrj7O2oHMp2mMm97h0zFpLE+fjvj1ryN++MPydj8AAAAwz8wQptWGRaOrV7NY1O/n7MGlpYjLlyfP5DwO7UDmU93jNpq5TidzpnXByfM3CAAAAAcpCNN6w6LR9esRv/997jt7NuLatdz31lv5mhLagcynusdNoXJ6/A0CAADAoxSEIXKG5vXrERcvHuwde/VqFu8U6zhJCpUAAABAExSEISJu3YrY3Y149tlH9z/1VD7W/8knincAwGLr9fIz0fZ2LoK6tpatjuAwckMJuaEqmaGE3EymIAyRJ4dOZ/z3Op18rB8AYFHdvp1PRe3uHuxvX9o6i8UnN5SQG6qSGUrIzeG6TR8AzIILFyYvIDcYZI9XAIBF1OvlBVO/n09Lra7mtt/P/Xt7TR8hs0huKCE3VCUzlJCboykIQ+RjA+fPZ8/g/XZ2cv/6ejPHBQBQt2HrrP3rKETk17u72ToLRskNJeSGqmSGEnJzNAVhiOwh89ZbEd1u9gze2sptt5v7615QrteL2NyMeO+93PZ69f4+AIAhrbMoITeUkBuqkhlKyM3R9BCG/1tZibhyJe8UffFFtolYX6+/GKyvDQDQJK2zKCE3lJAbqpIZSsjN0cwQhn2WlyNefjliYyO305gZrK8NANAkrbMoITeUkBuqkhlKyM3RFIShQfraAABNa7p1FvNJbighN1QlM5SQm6NpGQEN0tcGAJgFTbXOYr7JDSXkhqpkhhJyczgFYWiQvjYAwKwYts6CKuSGEnJDVTJDCbmZTEEYGrS/r83+thH62sD86/WyLcz2dt78WVvLR5cAAACgSY0UhF0kQxr2tbl6NfvZdDo5M/j8eX1tYJ7dvp1/17u7B/+uV1aaPjoAAADabOoFYRfJ8Ch9bWCx9Hr5PtfvRzz77Nf7d3Zy/5Ur/r4BAABoTneav2z0Inl1Nbf9fu7f25vm0cDsGPa12djIrWIRzK9bt/Km5/42MBH59e5u3vwBAACApky1IOwiGYBFt72dT8CM0+nkkwAAAADQlKkUhHu9iM3NiD/9KR+ZffDg4GtcJAOwCC5cyHZI4wwG2RYGAAAAmlJ7D+H9PYN3diL+8peIf/0r4tKliHPnvn6di2QAFsHaWvbG39l59ImYnZ3cv77e3LEBAABArQXh0Z7BFy9mMfirryJu3Mh+qadOuUgGOAm9XsSHH0bcvJlfv/JKxEsvRZw50+xxtc2ZM7lQ6tWrEf/4x8EFVPUIBwAAoEm1FoSHPYOHq6wvLeXM4Bs3ssfi5mZEt5v7L1+e/IgtzJteL/O/vZ2Pj6+tKcpRr9u3I95+O+L99zN/e3tZiHzppYjf/jbihReaPsJ2WVmJuHIle+N/8UU+AbO+PrvFYOesdjLujJIJSsgNJeSGEnJDCbkZr9aC8LiFdc6dy5nBN25E3LsX8c1vRpw9G3HtWsT16zl7amWlzqOCeu1vkzI6M1C2qUOvl8XHzc0sOH75ZfZqf/Ag4s9/jvjJTyLefTfi+eebPtJ2WV6OePnlpo/iaM5Z7WTcGSUTlJAbSsgNJeSGEnIzWa2Lyk1aWGcwiPj882wh8corEc89l7OI+/0cqL29Oo8K6jPaJmV1Vbap361bEVtbeW7d3s7tE09EPPlkFiXv3Yv45S/lj4Ocs9rJuDNKJighN5SQG0rIDSXk5nC1FoT3L6yz36ef5nb0Eeannsqq/Sef1HlUUJ9hm5T9C0lFyDb12t7ON7uvvspZwadPP/r9bjdfI3+Mcs5qJ+POKJmghNxQQm4oITeUkJvD1VoQHi6s0+3mwjpbW7n973+zWHzq1MF/0+lkv0WYR+PapAzJNnW5cCHPtw8fjv/+0lLOGJY/RjlntZNxZ5RMUEJuKCE3lJAbSsjN4WrtIRwxfmGd+/cj3nln/OsHg3wNzKNJbVIiZJv6rK3l4y9/+1vOEB66fz+3589n/3b5Y5RzVjsZd0bJBCXkhhJyQwm5oYTcHK7WGcJDw4V1NjZy++KL41tJ7Ozk/vX1aRwVnLxJbVJkmzqdORPx859HXLqU/ZDu3s2F5fb2Ir797YjvfS/f7OSPUc5Z7WTcGSUTlJAbSsgNJeSGEnJzuKkUhEdNaiXR7eb+5eUmjgoen2zTlJWViN/9LuIPf8jFOr/73YjXXss3uXPn5I/xnLPaybgzSiYoITeUkBtKyA0l5OZwtbeMmGRcK4n1dQPC/JNtmrK8HPHjH0f86Efyx/E5Z7WTcWeUTFBCbighN5SQG0rIzWSNFYQjvm4lAYtGtmmS/FGVzLSTcWeUTFBCbighN5SQG0rIzXiNtIwAAAAAAGD6FIQBAAAAAFpCQRgAAAAAoCUUhAEAAAAAWqIzGAyO/+JO505EbNV3ODRkdTAYfKuuHy43C6u23MjMQpMbSsgNVflsQwm5oYTcUEJuKOEzMSXG5qZSQRgAAAAAgPmlZQQAAAAAQEsoCAMAAAAAtISCMAAAAABASygIAwAAAAC0hIIwAAAAAEBLKAgDAAAAALSEgjAAAAAAQEsoCAMAAAAAtISCMAAAAABAS/wPNlg/8VsRAtkAAAAASUVORK5CYII=", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3614,20 +3598,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3642,20 +3626,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3670,20 +3654,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3698,20 +3682,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3726,20 +3710,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3754,20 +3738,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAABYQAAAD7CAYAAADaZ5DxAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOy9f3wd5X3n+xlJlmTZsmxj+QcxtoltGqOEEkx+iG24ocSBwtLtjwA3KXubbejNJuSS3dxlnXQLLUtum5pd7t5ckmxvyy5h42ap0+4mhQSMU3J30xhiLKCxMdjYsWxj2ZawJFuWdKRjnf3jq9mZM5ozZ+Y58+N5Zj7v18uvY51zdDRn5jPPj8/3+3wfq1KpgBBCCCGEEEIIIYQQQkj+acr6AAghhBBCCCGEEEIIIYSkAw1hQgghhBBCCCGEEEIIKQg0hAkhhBBCCCGEEEIIIaQg0BAmhBBCCCGEEEIIIYSQgkBDmBBCCCGEEEIIIYQQQgoCDWFCCCGEEEIIIYQQQggpCEYbwpZljVmW9c6sj4PoDXVCVKBuiArUDVGBuiEqUDckKtQMUYG6ISpQN0QF6iZdUjOELcs6alnWxOwFtv892shnViqVhZVK5cjs5z9uWdaXIxzPJy3L+nEjfz8LZr/nlOc8Nmd9XHFBncSDZVl3WJb1E8uyxi3L+pHP6xXLsi64zvGfZ3CYsUHdxINlWf/GsqxDlmWdtyzrdcuy/jfP69RNHQqqm22WZR23LOucZVn9lmX9nud16qYORdSNjWVZSy3LGnR/B8uy1s3qxn2O78/yOBuFuomHoHFw3nRDzcSHZVkfsSyrb7YvOmFZ1h2u19hH1aGIurEsa7/nHJYty/ob1+vUTR0KqpullmU9aVnW25ZlDVmWtd2yrEWu173neWeWx9so1E08WJb1DsuyvmtZ1tnZPuqfel5PrL1pieuDQnJbpVLZlfLfTATLsloqlUo5oz+/rVKp/H5GfzsNqJPGOQvg3wF4F4BfrvGeX6xUKm+md0iJQ900zgUAtwE4COB9AJ6xLOvNSqXyE9d7qBtNyVA3jwF4sFKpXLAs6x0AdlqW9XqlUvlr13uoG03JeDwDAH8C4AD8kxQWZ3xscUPdxEO9cXCedEPNNP53rwTwFwB+G8BzALoALPa8jX2UpmSlm0ql0uM6BgvAEQA7PG+jbjQlwz7qywCWALgcgAXgrwD8IYAvuN6Tm/M8S26+T4a6+RaAVwF8DMCVAJ63LOuNSqXyvOs9ybQ3lUollX8AjgL4SI3XvgHgr1w//wmAH0JuomYAvwfgMIDzAPYCuGz2fRUAGwD87wCmAUwBGAPwN7Ovf9H1e68B+PXZ5zcBmARwcfb9I7PPdwF4AsAggH4Avw+gafa1TwL4OwD/N4C3AfwRxHR7j+u4lwMYB9Dt8x3t338UwCiA1wHcqHAeHwfw5bSuW9r/qJN4dOL6vLsB/Mjn+QqADVlfb+pGT924Pvd7AP5P6oa6iXBO3wHgZwD+JXVD3YQ4l9cB2A3gnwD4sev5dbPnpCXr603d6KUbBIyD86YbaiY2zfwFgIcCXmcfRd3UO6f/y+x3W0DdUDd1zuMPAHzW9fM9AJ4Nc55N/EfdNK4bAAtnv3O367n/D8B/cv2cWHuji1g6IBlpnwTwIQBDAFbPvnYfZHL5C7Pi+UUAl3hPDHwGiABuB3ApJOvkTkj22yrXxfux5/1PAPgugE7IoPIggE+53l8G8H9AMqvnA/g6gD9x/f7nbaHWEEsZwD8HMG/2eEYBLJ19/esARmr8+3vX5zw+K9KzkBvnN9O6htSJOTpxfV6QIXwSwCkAfw1gXdbXnrrRRzezvzMfwACAm6kb6qaebiCDs7HZ73/EPlfUDXVTSzeQyUAfgM3e7wDH2HsLwAkA/xHAsqyvPXWjhW4eR41xcN50Q83EppkjAB6aPScDkEyspa7X2UdRN/XGxP8BwOOe56gb6savvfmHAL4PyRJeAuBvAfwzz3k+DTEnd0KyPjO//tRNdrqZPa4KgOWuz/0zAC+7fk6svUlbLGOek/C7rtc/ABnc9QP4uOv5NwD8oxqfGSgWn/e/Yn+WVyyQickUgCtdz30as2ba7PuPeT7vAwCOAbBmf34JwB0BYjlpv3f2uZ8C+McRz+M1AC6ZFewtkMjIP0jrOlInZujE9bu1DOHrAbRClsw9CmAfDM6ooW7i1c3s738TwDOez6RuqJug72IBeC+ABwF0UjfUTZ3v8M8BfKPGd1gI4FrIWGcFgO/AlWFj4j/qJvlxcN50Q83Eppmp2XN5xaxG/grAdtfr7KOom6Dv0gHgHIAPe56nbqgbv8+5FMAuADOz/54D0Op6/R9ATMcOAF+CGHyLs77+1E3muvkxgP8XQDtknHMWwBuu1xNrb9KuIfxrlRr1RSqVyouWZR2BpGT/peulyyAp4ZGxZEOkL0AiAYAMApbVePsyiKvf73quH7L81ea4zzGPA/iwZVkDkNT27wUc0luV2Svq+vxL63yNKiqVSp/rx+9blrUdwG9AUtXzAnXSoE7qUalU/tvsf6csy/o8ZKCzCRKpMxXqJibdWJb1MIB3A7jB/ZnUzf+EuvFh9nNetizrJogp/IXZ56kbgbqZxbKsSwHcC8kOnkOlUhmDDMAB4LRlWZ8DMGBZVmelUjkf9u9oCHWT4Dg4p7qhZhrvoyYA/MdKpXIQACzL+iOIYWMfE/sogbrx5zcg5sz/7zkm6kagbqr5SwB/D+AfQRIl/g1kVcIds8fk9mz+2LKs34Zkz/4NzIW6aVw3vwXga7PHcgSimf9ZxzzJ9sZvA49MsCzrHgBtEIf9X7peOg5gfYiPcF8EWJa1FpJq/TlI+vliiJNu+b0fksI+DWCt67k1kGVnvn9jlm8CuAvAPwbwnUqlMhlwjO+YLUrv/vyTs8f77z27M7r/7Q/4zIrrO+Ue6kRZJ/XItY6om/C6sSzrQQC/AuCjlUrlXMDfs4+ZuqlNYXTjoQXB54e6CaYIunk/gFUAXrMs6xSA/wfA+y3LOmVZVrPP37OPV5txa9xQN4mMg3OtG2omtGb+3nMcfscEz+vso2pTFN3Y/DaAJzyGjx/UTTBF0c3VAP60UqlcmA1S/nvIapZaUDfBFEI3lUqlv1Kp/MNKpdJdqVQ+ADGyfxrwN+PTTRxpxmH+Ibi+yBUAhiG1QzbO/v/q2dfug3TkG2e/9FXwry/yFQB/4frMKyFFpX8Bkir+TyD1Pe6eff3m2WNyp/B/C8B/gdTxWAspCm2//5Pw1COZff4yOGnw1wd8/0/O/v3PQ6IUt0Oc/UsinsePQaIgTQA+Clkq9+G0riN1YoxOmiFLDv4pgP82+/95s6/1QDqr5lkt/TvIso15WV9/6iZz3XwJwCEAK31eo26oG7/PaIIsvVoyey7eD6nReC91Q90EfEYbgJWuf58H8CJm2x7Icr1fmNXXJQCeBPB81teeutGin6o5Ds6bbqiZ2DTzOwB+DuCdkGXaf4nZzXrAPoq6CT6Xq2c/a73neeqGuqn1Oc9Dlv7Ph1OP9iezr62BlIxohczN74PUEo6sTV3+UTex6WbT7PG1QozoIcxuMoeE25u0xTIBqTFi//svkEyinwL4ouu9n4GkP7fNfvHfh3Tk5wHsgVOM2i2WjZD6ISMA/uvsc//X7IUcAvAIZKmHffFbATxtvz773JJZwQxCohYPoHoHwjlimX1t1+z3swK+/ydRvQPhQUgGXtTz+N9nf/8cgFcB/K9pXUPqxCidfHL2e7v/PT772i9DGpELAM4A+K8ANmZ97akbLXRTAVDynMffo26om4DPaILUmj47e/4OQnYNtutuUTfUTZhzWnVMAD4+e54uQAIMT8AnUGXSP+om+XFw3nRDzcTX1kDKGA3O/vtPAJbMPs8+iroJOpdfAvDffZ6nbqibWp9zOaT8w9uzx/6MrQ2Isff3s7p5G8APAVyb9bWnbrTQzT+bPb4LkHrC17peS7S9sSdspAEsy/oPAE5WKpXfD3jPJyFC/aXUDoxoBXVCVKBuiArUDVGBuiEqUDckKtQMUYG6ISpQN0SFougm7U3lcodlWesgxebfm+2REJ2hTogK1A1RgbohKlA3RAXqhkSFmiEqUDdEBeqGqFAk3eRyk4W0sCzrIUgR64crlcrPsz4eoifUCVGBuiEqUDdEBeqGqEDdkKhQM0QF6oaoQN0QFYqmG5aMIIQQQgghhBBCCCGEkILADGFCCCGEEEIIIYQQQggpCDSECSGEEEIIIYQQQgghpCBE2lRu2bJllXXr1iV0KCQr9u7dO1SpVLqT+nzqJp8kqRtqJr9QN0QF6oZEhWMbogJ1Q1SgbogK1A1RgWNiokIt3UQyhNetW4eXXnopvqMiWmBZVn+Sn0/d5JMkdUPN5BfqhqhA3ZCocGxDVKBuiArUDVGBuiEqcExMVKilG5aMIIQQQgghhBBCCCGEkIJAQ5gQQgghhBBCCCGEEEIKAg1hQgghhBBCCCGEEEIIKQg0hAkhhBBCCCGEEEIIIaQgRNpULm1KJWD/fmBoCFi2DOjpAdrasj6qxsjjd9Idk865ScdKwsFrSrxQEyRvUNP5hdeWqEDdEBWoG6ICdUNUoG4EbQ3hY8eARx4BRkYAywIqFWDxYuALXwDWrMn66NTI43fSHZPOuX2sb78NjI4Ck5PSOD34ILBxY9ZHR1QwSX8kHagJkjeo6fzCa0tUoG6ICtQNUYG6ISpQNw5alowoleQCzcwA69YBa9fK48yMPD81lfURRieP30l3TDrn9rGePQu88opEq/r7gb17gTvuAN58M+sjJFFx62/1aqC1Fbh4ETh9Gnj4Yb30R9LBpDaJkDBQ0/mF15aoQN0QFagbogJ1Q1SgbqrR0hDev1/c+qVLq59fulSe37cvm+NqhDx+J90x6Zzv3y+Rqp07gYEB4MIFyRK+cAE4fx544IHiNU6mY+uvuRnYtQt48UXgZz8DDhwAfvhD4Lnnsj5CkjYmtUl+lEpAX5+0U3198jMpNqZrmtSG15aoQN0QFagbogJ1Q1SgbqrRsmTE0JCkbvthWbKkXoUs64Qk9Z1IbUw65wMDkhlcLgMLFzrPT0+LMXzmjDRO11yT3TGSaAwNSaRx925nGYrN6dPAY48BW7ZI5jApBia1SV64tIr4YbKmSTC8tkQF6oaoQN0QFagbogJ1U42WhvCyZTLZ9KNSAS65JPpnZj2ZTeI7kWBMOucjI1IzuMVzR86bB0xMSHZw0Ron01m2DBgeluvqNoNnZuTfyZPAjh3Axz5WzAL2RcSkNsmNd2mVzdmz8vy2bQxsFBVTNU3qw2tLVKBuiArUDVGBuiEqUDfVaFkyoqdHDJSzZ6ufP3tWnn/3u6N9ng51QuL+TqQ+Jp3zri6gvV0yhN1MT0vJgdbW4jVOptPTIwa/e1n95CRw8KBocHAQ+OY3ga1bJWBF8o9JbZIbLq0itTBV06Q+vLZEBeqGqEDdEBWoG6ICdVONloZwW5tk7jY1AUePyuZaR4/Kz1/4gjj3UeoY6jCZrfedmF0VPyad80svBa6+WgzEsTExDicnJZu9qwtYvrx4jZPptLUBd98t13BkRLKFDx+W19avBzo7gcsvL24B+yJiUpvkhkurSC1M1TSpD68tUYG6ISpQN0QF6oaoQN1Uo2XJCEDKOGzbJmbt229LduS73w2cOiUZdVFKP+gyma31nYomujQx5Zz39MixLlwIvPyybCbX0iIN0/z5wL/+1/odM6nPRz4C3HijZAOfPy/lP1aulCBWe7sY/c3N0gmxRnQxMKVNcsOlVSQIEzVNwsFrS1SgbogK1A1RgbohKlA3DtoawoBcELdBolrHUKfJrPc7keQx4ZzbkapHHpFM4dFRyRBetkzM4A0bsj5CokJbG3DffXJdz56VtuvcOTGDe3vFDAaYZVk0TGiT3LiXVrlX2hR1aRWZi2maJuHhtSUqUDdEBeqGqEDdEBWoG0FrQ9iLXfrBbQYDMkENyrAzaTJbKsn3HBoSM7CnhxtOFQVGqvKJfV137JCawZdf7mQG2zDLkuiMO2B19Ojc1TlsowghhBBCCCHELIwyhFVLP5gymT12TI4xSjkMki8Yqconra3Axz4G7NkjWcJuM1jHwFTeYKCtcRiwIoQQQgghhJD8YJQh3EjpB90ns6rlMAghZmBKYCpvMNAWHwxYEUIIIYQQQkg+MMoQDlP6ISgTTOfJrGo5DEKIOegemMobDLQRQgghhBBCCCFzMcoQrpdhd+qUuZlgquUwCCFmoXNgKm8w0EYIIWqw1A5RgbohKlA3RAXqhkSFmpmLUYYwUDvDrlIBtm41NxOskXIYhBBC5sJAGyGERMddamdmBhgeBlpagLvvBj7yEU6eiD/UDVGBuiEqUDckKt4yguUycPEicMstwJVXFtccNs4QBvwz7Pr6zM4EC1MOgxBCSHgYaCOEkGi4S+0sWQLs3g1MTsrz990H3HijPOq+8o6kC3VDVKBuiArUDYmKt4zg6KjoZnQUeOUVYPNmmReaUFkgbpqyPoC4MD0TzC6H0dQkBnZ/vzw2NXHDKUIIUcEdaHPDQBshhPhjl9pZtEgmS3b5tRUrZCw6NCSTqqmprI+U6AR1Q1SgbogK1A2Jiq2ZpUslM9jWja2Zjg4xi4uom9wYwnnIBLPLYdx7L/CJT8jjtm3Fi1IQQkgcMNBGCCHRsBMsBgcl42r+/OrXW1pkUrVvXzbHR/SEuiEqUDdEBeqGRMWdPOqnm/FxMYuLqBsjS0b4kZeSC9xwihBC4qNW3XmawcREuBkGSRo7wWJ83P/1jg7JntF95R1JF+qGqEDdEBWoGxIVd/Kon246OuTRhMoCcZMbQ9jOBHvkEckAsyxn+QAzwQghpLgw0EbygHczDPcYhyuJSFzYCRanTlU/PzEBtLcDy5cDx4+bsfKOpAd1Q1SgbogK1A2Jijt51DZ/gWrNAOZUFoiT3JSMAKKXXCiVZDO6nTvlsVRK93gJIYQQQurh3Qxj7Vp5LGq9M5IcdoLFsmWiq9OnnSBEb69swGLSyjuSDtQNUYG6ISpQNyQq7jKC4+OObmzNNDebV1kgLnKTIWwTNhOMmTaEEELyBksK5BN7M4x166qfX7pUVkXt28cseBIfa9bIGPm554DHHgOmp2Un9+FhrrwjtaFuiArUDVGBuiFRcZcRPHAAePppMYJHRoqtm9wZwmHwZtrYnD0rz2/bVjwhEEIIMRsGOvOLezMML0Wsd0aSp7UVuPVWYMsW1mAn4aFuiArUDVGBuiFRsZNHr7kGuP126gYoqCHMTBtCCCF5goHOfOPeDMNLEeudkfRgDXaiAnVDVKBuiArUDVGBuhFyVUM4LMy0IWFgjWlCiCnYgc6lS6ufX7pUnt+3L5vjIvHg3gzDTVHrnRFCCCGEEEIao5AZwrUybcplMYsPHpRsG9ZeLC5cek2KCOvPmgsDnfnG3gzjkUdkJZO3X2L2NyGEEEIIISQKhTSE3Zk2djbV6Cjw/POy4+CLLwJ79tAALCpcek2KCIMgZsOSAvnHvRlG0eudEUIIIYQQQhqjkCUj7EybpibJtDlyBHj2WXntppuAd75TjMCZGTFIpqayPFqSNlx6nR9Y9iMc3iDI2rVsA02DJQWKgV3vbMsWeaQZTAghhBBCCFGhkBnCQHWmzQsvAMPDwNVXA83Nznu4yVwx4dLrfMCM1/Bwo03zYUkBQgghhBBCCCFhKawhDDiZNna9TLcZbEMDsHhw6bX55L3sR9y1fhkEyQcsKUAIIYQQQgghJAyFNoRtaAASN341pgHzl14XacOwPGe8JpH5zDYwP9iBTkIIIYQQQgghpBY0hJFfA5Cokcel10Urn5DXjNekMp/ZBuaXIgWCCCGEEEIIIYSEg4Yw8mkAksbI09LrvJdP8COvGa9JZT6zDcwnRQsEEUKyg8EnogJ1Q1SgbogK1A1RIe+6oSE8S54MQBIPeVl6nefyCbXIa8ZrkpnPbAPzRREDQWmQ90EhISpEDT7xPiIAdUPUoG6ICtQNUaEIuqEh7CIvBiAhbvJaPiGIvGa8Jp35zDZQDR07/yIGgpKGGdeEzCVq8In3EQGoG6IGdUNUoG6ICkXRTVPWBxCGUgno6wN27pTHUinrIyLEHPJaPqEedsbrvfcCn/iEPG7bpneDXA935rMb0zOfTebYMWDrVuCrXwW+/W153LpVns+SIgaCksQ7KFy7Vh5nZuT5qamsj5CQbLCDT+7VOID8PDIiwScb3kfEhrohKlA3RAXqhqhQFN1obwjrOtkmxBSKbCLaGa9btsijqZnBNnbmc1OTZHn298tjU5PZmc+monPnX9RAUFJEGRQSUiSiBJ94HxEb6oaoQN0QFagbokJRdKN1yQjWQCT10HGptm7ktXxCUWGtX33QuSxDXutoZwUzrgnxJ0rwifcRsaFuiArUDVGBuiEqFEU3WhvCOk+2SfaYWqclC2gi6kMcQQzW+tUDnTt/BoLihRnXpEhE6aeiBJ94H+Ub6oZEJeqYmLohAHVD1KBu/IndEI4zY1PnyTbJFmaPR4cmYvYwiJEvdO/8GQiKD2Zck6Jg91Nvvw2MjgKTk9LW/at/JWMv7/g+SvCJ91F+oW5IVNxj4pkZYHgYaGkBfvu3gZUrgXPn5noJ1A2hbogK1E1tYjWE4zY7dJhsN2pws6RBMjB7nJgGgxj5w4TOn4GgeGDGNSkCdj81OgocOiSmHgAcOQJ8+MPAhz4EdHU52r/nHuD8eRnjfvzj8vz587WDT977yD0pu/vu2mN+ojfUDYmKe0y8ZAmwe7foZmwM+J3fAVasEC0sWDBXM8uWAQ89JFoLCnZTN/kjbd0cPlwd4HrwQY73TIS6CSY2QzgJsyPryXajBjezAZOD2ePENBjEyB80CYsFM65J3tm/X7R96JDTls3MyJjr4kV5/td+DWhulk1N77hD7oGWlvBjXPs+eu454LHH5LnOTmDHDnmOY2TzoG5IVOwx8erVwK5dooNFi0QzTU2ilePHZVPoEydqa6beuJm6yRdp6uZznwMeeEBMvfZ2+eyvfY2aMRHqJpimuD4oiZ317Ml2U5NMtvv75bGpKfnJdqO7x+u8+3we0CF7nJAoMIiRT+zJxr33Ap/4hDxu26Zvp08aw8643rJFHmkGkzwxNORktcyfL89duACUy6L1CxeAM2fk5wMH5H0dHdHHuJWKmDGrVwObNwPvfCfHyCZD3ZCo2GPiwUFHN7ZmWlpkrj85CZw82ZhmAOomT6Slm1IJePRR8Ruuu07Ge+vXUzOmQt0EE5shXM/sGBgA+vqAnTvlsVQK97mqk+1SSe3v2TRqcCdhkLtp9PuZjjt73I1OS7WLfo1INQxi5BeahISQPLBsmbPc32Z62vl/SwswPu5Mqtra5GcbXcbIJF2oGxIVe0zs1oFbM/PmyeOpU41pBqBu8kRauqFm8gV1E0xsJSOCzI7z54Ht22WSrFI6IWoNxDhKNTSazdfI79erO8xSFPov1eY1yjcqtcGzLoFDiAqsg09Icejpkfv8+HHnuXnzJIumvV3+dXRUT5Q6Oqo/I+kxMtEP6oZExR4Tnz7tPOfWzIIFssmT21tQ0QxA3eSJtHRDzeQL6iaY2AzhWmbH4KAUVr7uOqC723letbZwvclpXLWMG83mU/39ekYiN6Zy0LWeI69RvlE1+3UPYhDihYEtQswmakCnrU02P7njDpk4tbXJfd/SInU3OzqA5ctl+X+pJBuFLV9e/RlJjpFJOlA3RIUourHHxA8/LMuoT5+WcXBLi/xuqSRGzaWXAj//ubpmAOpGZ1TamjR0Q83oDXUTL7EZwrXMjqkpYMOGajMYUNtIKczkNK6NmxrN5ovy+7aoBwYkk3rJktpGIjemqiZq9nga8Brll0bNfl2DGIR4YWCLELNRDehs3CgbLj3wgEy22ttlHH/kiNTgPHHCyarZtEk2TLFJYoxM0oW6ISqo6GbNGuDf/ltnw7fpadHMgQPiH/T0OEaNqmYA6kZXVNuaNHRDzegLdRM/sRnCgL/ZceqUDBD8iJI6HXZyGleqdqPZfGF/3y3qs2eB116T6EJvr0QngGoj0dRUdJ2Je0k0r1F+icPs1zGIQYgXBrb0h+U8SC0aDehs2AA8/nj1eP6KK4CDB52fFy2SzVOSHCOTdKFuiAqN6Ka1Fbj1VtmDwdbNokXy2rlzjWsGoG50pNG2JmndUDN6Qt0kQ6yGMDDX7Ojriyd1OuzkNM5U7Uaz+er9vlfUFy8CCxfKce7eLWK1oxO2kWhqKrquJLEkmtcov9DsJ0WBWtcblvPQnywN+6SCl96fkxwjFxXqJhjqxp886sZNo9ecupmL6ZoBktUNNeMPdROMibqJ3RD2ElfqdNjJadyp2o1m8wX9vlfUdvHq+fPl+TNngFWr5DnbSDQ1FV1HkloSzWuUX2j2k6JAresLy3noT9aGfVoBnSTHyEWEuknn9/NGEXQTxzWnbhyKoBmAbU3cUDfp/H7aNCX9B+zU6aYmce77++WxqSla6nTYyWlcfy8NvKLu7pa6JRMT8rO9G6/bSDTp++mObci7TVtAfh4ZkciOCrxG+cVt9ruh2U/yBrWuL0n1XSQevIb92rXyODMjz09Nxfu3+vqAnTvlsVSS53UN6NQ6XpKeboKuAXVjHtRNbagbf9hHBUPd+EPdBGOybhLPEAbiSZ2OknVpSqq2V9QtLVI7ePduYGwMGB0VI9Fbd8SU7+eHTjUPk4wymXyNSG1UagPppHliJlloyNQ6WEWA5Tz0Jq3620GZOjquVMo6s0h30tBNvWtA3ZgHdaN2zEWGfZTaMVM31I3KMZugm1QMYaDx1Omgyek99zgbrrkny7qnavuJuqsL2LwZGB4G7roLWLnS30g0LRUd0O9mSTrKpOs1okHZGFHMft00T8wjSw0xsKUnOmdIkGQNe7v/HhgAtm8HliypXTZEp4AOy5zUJyndRNGMboFA6qY+1I3/sVM3tWEfVfvYqZvaUDe1j9103aRmCMeB3+TU3gnQRMMlqAP9ylf0P/4o6Hiz6BplShIalPEQxuzXUfPELHTQkK6BrSJTxL7LJJIy7N3999mzwGuvyd/q7ZVkAmBupo4uARNl4c0AACAASURBVJ20MotMJgndqGhGp0AgdVMf6mYu1E0w7KP8oW6CoW78yYNujDKEgerJaakEbN1qtuGiUweaJDreLElGtHXMwtXBXCoSOmqemAU1RPzQLRuLVJOEYW/339PTcv0vXACam4GLF6XM2JYt8jNQnamjS0CHZU7qE7du3GO+1atlo+pKRUrS/d3fATfd5K8ZgLoxCepmLtRNMOyj/KFugqFu/MmDbowzhN3kZbKsk6iTQtebJQlDXtcs3LzcL6agq+aJOVBDpBZFCSabSBKG/f79wFtvAcePA5OTsvnw4KBMnhYtEtNm1Sp5r45lQ1jmpD5x68Ye8y1ZAuzaJZP24WHRzMWLwJEjwMaN8l5drwF1Ux/qZi7UTTDso/yhboKhbvzJg26MNoQ5WTYHnW8WP0NeNcNX5yxc3i/porPmiRlQQySIIgSTTSVuw35gQMYknZ0y+Vq0SCZM09MyeRobk/fpWjaEZU7CEaduhoZkLLp7t/QXK1cC4+Py/3IZ2LsXeOc7ZQNrXa8BdRMO6qYa6qY+7KPmQt3Uh7qZSx50Y7QhHOdkWccl/nnCpJulkQxfnbNw7fulXJZGdnwc6OgAurtpLiWBSZoneuLVkH3vnjoFLFjgZOkQQvRD1bD3G4+OjABTU8D8+fKepiZZzn3ihBgzx48D8+bpWzaEZU7CE5duFi2SzM7JSTnPgKOZmRmZdL/yCrB+vb7XgLoJD3XjQN2Eo5Ggslc3g4Nm91EAdRMW6qaaPOjGaEM4LsNF1yX+ecLvZimXZfnRLbeIUaqDCd9ohq/OWbg9PdLQPvXU3NeuuYYGZdzkoYMoAjoHA90a2rdPNlqYmhLt9PQA99/PforUR2eNk2pqjUevukru+4kJZ+LU3i5LKVtbgY9+VMZSOpcNYZmT5PDTTWen9BelkvM+WzOTk8CKFcCv/Apw9916XwPqJjmoG6KCn26Gh+X/JvdRAHWTJNSNvmhpCIedvMRhuOi8xD9vuG+WAweAp5+WQuE//KHUqdLBhG80w1f3Jd5BZnXeycIUMb2DyDsmBAPXrAEeegj49KeBK66Qydjy5dJ26thP0XzUCxM0ToSg8eiuXcC73gWcPCnX0qa9XcYkt9xiRvkQljmJnyDdtLfL/72a+chHZCL+wQ/q03cEQd3ED3VDVKilGzuAUKmY3UcB1E0SUDd6o50hHHby4p50fvzj8r7z56MbLjov8c8jdmbbE0+IWeDN7M7a3Gg0wzeOrPWkDJX9+yUj+7bbpEi7XTJi+XJZkpFnrWdpipjcQeQZk4KBhw7JsVxxRfXzuvVTNB/1wiSNk+Dx6PCwTJA2b5bVVXb/3dIiyym5wqe41NPNVVdJezxvnjPm07n+K0kH6oaoUEs369cDr74qzy9Zwj6KVEPd6I1WhnDYyUuck06dl/jnFZ1N+EYzfBvNWk/SULG13tzs7Nhpk2etm2KKhAkEMPsyPnRuh7yY0E+Fvc+o4fQwSeMk+D5vaQFuvhnYs0dqeLa0yL00fz5LEBWdsLqx61AfP87SVYS6IWrU0k1Li4znymXpm9hHETfUjd5oZQiHmbz09MRr7ui+xD+P6GxuxJHhq1omIGnjsqhaN8EUCRMIYPZlvPi1Q/ambSdPAi+8oI9ZacK9G+Y+W7aMGk4TnftaMpd69/mmTcDtt7MEEamGuiEqUDdEhSDdLFgAfOYzktlJzRA31I3eaGUIh5m8xG3uxLUxHQmPzuZGXBuBqZQJSNq4LJrW7UzEZ5+V77h6tUQe3ehgioQJBFQqZmQ5m4S3HRodBXbvlk1TxsaAH/wAOHgwObOyXqas+/VFi2SjF53v3Xr998CAlAqihtND576WzCVMH80SRMQLdUNUoG6ICvV08973cixH5kLd6I1WhnCYyUvcGS9xGYAkPLobk1ltBJZ0NleRtO7Opj17FnjtNamb3NsLdHU579PBFAkTCAD0z3I2DXc7tGiRmMGVitwn7e3A1VeLSZyEWVkv29vv9aYm+f+5c3reu/X675ERatiPJEto6N7XkmqK1EeT+KBuiArUDVGBuiEqUDd6o5UhHGbysm9f/BkvcRiArIsYHhMahSyi4mlkc2VldqeJN+N29WoxgycmxPTbskXqKOtiioQJBFQq4YIFbIfC426HXn1VztnChWIG9/aKRpIwK+tlhD/0UO3XKxXgrrvEFNbt3q3Xfy9ZwvIFXpIuA5NGX8s2J16K0EeT+KFuiArUDVGBuiEqUDf6opUhHGbyklTGSyMGIGt7RoeNwlzSyubK+xIwb8ZtS4sYfLt3i2nx6qtyfnUJQIQNBNR7D9uh6Njt0J/9mRhbl18uO2k3NzvvsSzg1Cmgry8e06teRvj3vhf8+rx5EtTQjXr999AQyxe4SWuzyyT7WrvNefttyaafmAC6u4EHHwQ2bmz884tK3vtoFRh4qA91Mxfqpj7UzVyom/pQN3OhbupD3cxFB91oYQh7T8RDDwGHDvlPXnTLLk1rUpdH2ChUo5u2dSJKY+mXcdvVJSbaq68C110HfPSj+gQgwgQCbB3Ues/GjcD998fbDunQQaVBa6sEDPbsAVatmvv62BjwrW/J++Iw2utlhB87llwmbdLXNMh8XLGC5QvcpLnZZRJ9rT32GR2V8drkpDx/4gRwxx3Ajh3Ahg3x/k1STBjsJCpQN0QF6oaoQN0QFXTRTeaGcNCJqDWBiZLxkvQEOM1JHck/zJyeS9TGslbGrV0C4KMf1eueDBsICHrPoUPxtkO6dFBpUcuUHxwE3nxTggjd3c7zjRjt9TLC16wB3nij9uuqmbRpXdNa5iMDXtUkXTM+afbvl2M8dMi5jjanTwMPPAA8/njxriuJFyZdEBWoG6ICdUNUoG6ICjrpJlNDuJETESbjJY0JsOmTuryRh6xGZk47qLQRJm6kFCYQEPSe11+Prx3SqYNKi1pm5dQUsH59tRkMNBbwq6fPX/1VyVaOU7+6XFMGvBzSqBmfJENDkh08OVltBgNyPw0NMSAehTyMXZKASRfBUDf+UDfBUDf+UDe1oWZqQ93UhrqpjU66ydQQTvJEpDUBNn1SlyeKltVYBFTaCFMzEcMEAmq9J852SKcOKk38zMqBAeA73/F/v2rAr54+Ozvj169O15QBLyGuwFVWg+1ly6RmcC3a2xkQDwvHLrVh0kVtqJvaUDe1oW5qQ934Q80EQ934Q90Eo5NuMjWEkzwRaU2ATcxGzCPuAMDq1bLUe3xcNoPatk1eS9oIZBQsflTbiKJlIsbZDunUQaWN16zs60sm4FdPn3Hrt8jXVFfiCFxlOdju6ZHM+RMnqp+fmBAzuKuLAfEw6JK9rytMuvCHugmGuvGHugmGupkLNVMf6mYu1E19dNJNpoZwkicirQmwqdmIecMOACxZAuza5WxwA8iy7+eeA269Nbm/zyhYMjTSRhQpEzHOdkinDiprkgz41dNnnPrlNdWTRoz/rAfbbW3Agw/KBnKnTzvBz/Z2YNMmMYQZEK+PTtn7OsKkC3+om2CoG3+om2Com7lQM/WhbuZC3dRHJ91kagirnogwmZhpToCLlo2oI0NDMjHevdt/g5vHHgO2bEnmmsQ5MQ/SdhEzkHVqLHUnrnaI59xBl4Bfo/d+0DVduBCYngZ27ixOu6ITqsa/DoPtjRuBHTtkA7mhISczuKuLAfGwJJ28YPq4QZc2WDeom2CoG3+om2Com7mkkWBH3eQP6qY+OukmU0NY5USEzcRM29QoUjaijixbBgwP197gZno6uQlyXBPzIG0DxcxA1qmxNAG7HbI7yR/9KHonyXNeTdYBvzhWH9S6pk1N8v9vfKNY7Uoe0KUMyIYNwOOPMyCuSpLJC3lZuZR1G6wj1E19qJu5UDf1oW6qSTrBjrrJJ9RNOHTRTaaGMBDtRETJxKSpkQ/CRn96eoCWFnm/G7ue4ZIl4SbIKtGmOCbmQdp++GHns4pYh0eXxtIU4ugkec6r8Qv4pRGZjnP1gfeadnYC27eLRrxB0yK0K6ajUxkQBsTVSSp5IeuSIo1Qq22lxhyom7lQN/WhbuZC3QSTZIIddZNfqBt/dNVN5oYwEH4yETUTM0lTw/Q0dROIYmy1tQF33w3cd5+836a9HejtlezhehPkoL+3YkXt6+03MS+XZWO7t94CzpwRvQTpI0jbe/fK/zdvnvtaUerw6GQ4NHrvJ9l2xNlJ6nTOdSOtyHTcZQHc17SvDzh/nvW9TIWlXfJBUskLOpQUUSEvWT9JQ91UQ92Eg7qphrqpT5IJdtRNfqFu5qKzbrQwhMOikomZhKmh8wXNCyrG1kc+Atx4o+ikpQXo6ACWLwdGR+tPkIP+3oMPymedP+9/vb0T89FRqWU8Oirvf+YZYM+ean14TcGTJ2tru1TSY2kwafzeT7rtMLWTNIk0I9NJlgXQpeQAUaPWYHvhQuCmm6KVi2GAO1uSSF4w8f42OesnC6gbgbqJBnUjUDfhSSrBjrrJN9SNg+66McoQjnuJpMokSPcLmhdUjK22NskQtg23qSng+PFw0ahaf2/RImDXLuCqq4B3vct53n293RPzw4clo7dSkY11envl0f3+U6fmmoJTU/LPjyBNpr00uMio3PvuNmbRImeJflJth4mdpGkkYbrX6ouSLAugU8kBooZ3sD01JQHIHTvCB5wY4NaDuJMXTLy/w7StPT0MXrihbqgbFagb6iYqSSTYUTf5h7oR6ummr0/OVVaaMcoQjnOJpOokiBl46aBqbKlGo2r9vcFBmWTPm1f9vPd62393xw7g9Gng8sslO7m5ufr9fX3Af/7Pc03FwUHgJz+R0hTd3c7zZ8867+PS4GyJeu9725ihIQkY3HRTuN9XodFOkpmC9YnbdA/qi5IsC7Bhg7RtL78MrFwp7U5LC9sV03BvJLl1a7SAEwPc+cXEkiL12tYDB4AnnmDwIkmoG6ICdUNUoG6ICnnTzYULMt5etCg7zTSl82dqUyqJSbZzpzx6NwVzY2diNjWJgdLfL49NTdHqkXgnQWvXyuPMjDxfK1MTYAZeWjRibNkT5C1b5DGMLmr9vfFxeezomPua93q3toqp8o53AKtWOWaw+/19fdJJuBswQH5vwwapdezV9n33yT9b90eOSBby8ePyHWudJxIvUe59vzZm8WK5Vrt3AxcvBv++Ku5O0k2YTvLYMTGUvvpV4NvflsetW+V54hBnZLpeX2RZ4fu8KH3psWPAAw8A09PAoUPAD38I/PVfS1Aian9KwhPlGkXFDlh5+5alS+X5ffvi+R1iBnGNl9MkqG0tl4Gnn1Ybt5PwUDdEBeqGqEDdEBXypJtyWcbira3ZaibTDGGVLN046pEEZfodPixZnt3d/hlyJqap60aYLMS0oz+1/l65LNpavnzu7/hd73r6qFRqm4oLFwJ33CFZwn7a3rYNeO454LHH5OfOTtHqc88lE0Vitmg1Ue59vzamo0PO3+SkbDS4alXt31dFtYg/MwXDE6ZtCnvvhM06r9fnRelL3de6p0dK4Zw5IysbWluBL39Z2iISL/Y1evttqS8/OSnaePBBYOPGxj9fJVjNAHf2JNnPJrmxchIEta0XL0qQ3S94UcTVedSNA3UTHurGgboJD3XjQN2EI2kPIS+6OXxYHr3zgLQ1k5kh3IgB0Wg9klqToNFRybw8fVqyPP0m1SamqetEWOMiyd0p/aj195YtAz74QdFGmOtdTx/XXisbzPlRqYgZXEvblYqYv6tXz/3suE071pWcS71ru3GjZP0NDUnW5cxM9e93dwPt7aKl8XEJNgwOSnvT0RGPKQSodZIshROeem2TX43wWvdOWEPODkR4H4Hofan3Wjc3S3Bi1Sr5PgcP8lrHjX2NRkelbZiclOePH5cg4I4dskJE9bP375fPHRqSsUuLZ2RXK+DEAHe2pNHPJlG/LymC2tZbbpGVDH4ULXhB3VRD3YSDuqmGugkHdVMNdVOftDyEPOhmclL8Be+qciBdzWRmCGdpQPhNgsplWcpdqUj9Vzt7zzupTtuozBNRjYu0oz+1/p5t8Bw+LBP6iQkx9x58cO6x1NPHihXqAYW07hlmi/oTdG3vvBO4//659YIvuUQ2FQTEpOntBZ59FhgYkODT1JScyyuvlN+Pq7OM2kkyUzAatdqKSkXKbIS9d8IYcvUGVlHbBV7r9Nm/X87roUPO9bM5fVrKdzz+ePR21a2NmRlpc44fB264wWl3gvoWBrizg/2sP7Xa1n37ZINfP4oUvKBu/KFugqFu/KFugqFu/KFuakPN1MZPN9PTwDe+4f/+NDWTmSGc5aTUbxI0OChmX1dXdXkAv0m1aWnquqBiaKYd/fH7e2vWAJ/7nEzaJyeB+fMlkvO1r/kbePX0oRpQSOueYbZobfyu7caNYua6O793vEOMmeefB267zYn8XbwIfPjD8rsLF0qAwN580K+zTKtsBzMFo+PXVtg1wsPeO2Gyzr3asl+3tRK1XeC1Tp+hIadMhNsMBuR+HhqK3q76DbovuUTanGefldUoTU3BfUtWAW6WI2I/G4Rf28rghUDd1Ia6qQ11UxvqpjbUTW2oG3+omWC8uimV9NBMZoZwmpNSv8mHdxJ04oQ89vb6bwbmnVSblKauC6ZmppVKwKOPinauuMJ5PijaFaQP1YBCWveMqdcpLbzX1s8EbGmRLL1nnwVeecW5dosXA7feKkvEN22q/lxvZ+mXGdrZCdx8MzBvXrxGCgcx8RD13qlnyB06VH9gFbVd4LVOn2XLnDIRfrS3R29X/QbdXV0SgHrlFSl19MEP1u9b0g5wsxyRwH42Gn5tZbksQdZbbhH9FiGwQN1Ew6ubmRnZvLmlBbj77uJsykzdRIO6EaibaFA31ExUdBnbZGYIpzUpDZp8uCdBZ84AzzzjLLN0w6ypeDA1My2JaJdKQCGte8bU65QkQRlttTq/ri7J0vvgByXT0zZafvSj+p2lX/bf6Khk//3wh3Oz/xo1UlgKJx5U7p0gQ+711+tr5frro7ULvNbp09Mj2jh+vPr5iQkxg7u6orertdqd5mb5Wxs3hu9j0gpwcymhA/vZ6LjbygMHZDf35mbpE3ftKkZggbqJjq2bNDdl1g3qJjrUDXWjQtF1Q81ER4exTWaGcBqT0jCTD3sSVCrJZl95zZrSYYmmqZlpukS70jJyTL1OSVEvoy2o82tqEkPYbbaE6Sy9QQi7xrl9z86fL3XO4zRSWAqncVTvnVqGXBitqLQLvNbp0tYmNefvuENqBtv3cXu7rBTo6orerpo46OZSQgf2s2q0tsq5e+IJuQeS3mBXN6gbNdLclFlHqBs1qBvqRoUi64aaUSPrsY2yIRyHwZj0pDTK5CPPWVO6LNE09RyHnXinYbqnYeSYep2SIExQKWrnF+b93iziwUGn/mipBIyPy/NxGykshdMYcd87YbWl0i7wWqfLxo2SIfLAA9JH2JnBXV3JakMndAmu6gD7WXWKHFigbtQosmYA6kYV6oa6UaHIuqFm1MlSN0qGcJwGY5KT0qiTjzxmTYUxtNLExHMcZuKdpumehpFj4nVKgrCNc5TOL0xn6Q1C2AawTUeH8/+iGSm6E+e9E2VgRYNXfzZsAB5/PH1t6IKJWc1Jwn5WjaIHFqib6BRdMwB1owJ1Q92oUHTdUDNqZKmbyIawSTXgVCYfeZtUhzG00sa0c1xv4l2pmHNPRMG065QEYRvnqJ1fvfd7gxC2AWzXHF2+3PmsIhopulBrVUCc9w4HVvkiDW1UKrLZ5cCA9P9dXcCll2a/6ZaJWc1Jw342Ou6xfbksK2jGx6WfLJeL0R9SN9HwzgfduhkZkRqfRYC6iQZ1I1A30aBuqBkVstRNZEPYpDT4OCcfOtTgVaHoUaq4CDJl+vrMuSdINKIElaJ2fkHv99updmpKXrvhBik2DxTbSMmavK0KIGbi1Yaty7fekjHL1JS858orpZ5dPX0mOdYxMauZ6Ic9tu/vlw1YJifl+VJJAqaLFmV7fEQ/3PPB5mbZk2FyUjRjWcD27RI0y/NmTyQ61A1RgbohKmSpm8iGsEkGY1yTj7ATfx1NYy7RnIvqdaplyph0T5BoZJnR5g1C3Hkn8MwzwPCwtEU0UrLDpJUypDjYupyeFrOsqUmyJmdmZByzYkWwPtMIchQt413HcaHptLUB99wjmzROTjrns6tLNml89FGz22BqJn7s+eDDDwPPPittW1ubaKa3F7h40fy+m7qJH+qGqEDdEBWy1E1kQ9g0g7HRyUfYib8uG7d5b9ANG7hE043KdarX6Jl2T5DwZJ3R5g1CbNmiv5FShEFC3CtlinDOSPLYupyeBn7+c2clASADyY0bgXnz/PWZZpCjKBnvuowL88j589L/dXQ45SKWLxfNm7wyi5pJjjVrgLvuEn0sXlytGYC6If5QN0QF6oaokJVuIhvCJtaAa2TyEWbi39OjR7ZYrRv0zjuBJ5/kEk2VCW+YRs/Ee4KER6eMNt2NlKIMEuJcFXDsGPDHfyx9zYULwIIF0qZ86UvxnzMaz+Ew9TwNDUn/1tcn9157u/Pa2Jg8/773+evTpHJgJsBVBMkyNAS0tACrVs19zdSVWdRM8oyOSpu+du3c16gbUgvqhqhA3RAVstBNZEM464y5tAkz8ddhIhV0g/7FX4gp/OqrcszXXCP/8nat6hH1OoVt9Ip2TxQR3Y1YHdBxkJCUsRfXqoBSCfgX/wJ4/nnJ4LQ5cED6lm99K75zVhSzvlFMPk/LlklJmZkZMcvctLTI88PD/vpk6aN40WFcmGfyuDKLmkke6oaoQN0QFagbokIWuolsCAN6ZcwlTZiLosNEqtYN2twsdUiOHnW+y8GDxSxmHvU6RWn0inRPFB1TsweTRrdBQpLGXlyrAl58Edi1S5bxL1jgPD8xIc//9KfAL/1SY8cK6GnW64hJ58mvHerpEePXsuRxelq0NT3tPD9vnr8+8zhxyRIdxoV5Jo8rs6iZ5KFuiArUDVGBuiEqZKEbJUMYKE7GXJiLsm9f9hMpvxu0XJYdCm0jxE4913FymwZRJ7xRG72i3BNFJgmTMS8Gs06DhKSNvbhWBXz/+2LWLVpU/fz8+VIX87vfFSNv7155/j3vkc8+dy6aVnQz63XFlPMU1A7dfTdw332iqcFBCS40NwPd3fK7n/qUvz7zOHHJEhrsydJoG6xjv0vNJA91Q1SgbogKjehGR80A1E0aZKEbZUO4KIS5KDpMpPxu0MFBZwfmjg7ned0mt2kR9To12ujp2pgTNZIwGU1enu5Fp0FCGsZeHKsCxsZqv1apAE89BTz9NDA1JQG+c+fk71x9tWQUh9WKTma9zphwnuq1Qw89BNx4o3yXpibR2NSUGMMrVgDXX+//uUFjnXvuEZ2zLwuPDuPCvKPaBuva71Iz6UDdEBWoG6KCim501QxA3aRF2rqhIRyCehdFhxqyfjfo+LhMHru6ZIdCN7pMbtOk3nWqVGTTHXvSu2GDeqOnc2NO1IjbZDRpeXoYdBokpGXsNboq4H3vkzrB9tJ+m6kp+Tc2JuV92tqAI0fkPefPS/vy0Y/KxgNhtKKTWa8zJpyneu3QoUOSIfzII8CJE/Lz1JToo7sbuP/+2v2Qe6wzMCB/Z3oa+IM/kCzjlhb2ZWGJOt6gya5G1DY4TL+bFWHmEkw0iAfqhrpRgbqhblSIohvd54Yc26RHmrqhIRySehcl6xqyfjeobUb29spkzo0uk9u0qXWdTp0Ctm6da+DeeSfw5JPRjH7dG3OiRtwmoynL08OiQ2DMxgRjDwB+/delPTh9unpTOXtlR1eXPA4OAhcuAO3t0q6MjIhh19wsRvGOHcDHPlZ70KWTWa8zJpynMO3QNddIpvCnPw1ccYVkBi9fLnqp1w+1tsr988QT8ll798o909UlY4muLvZlYYk63qDJnjxh+t0sCZpLMNEgO6gbogJ1Q6JiwtyQYxv9aFQ3NIRjJOsast4btLMT2L692mgA9JrcNoJq5NJ7nYIM3CefBL78ZdmIL6zRb0JjTqITt8lowvL0qGQdGLMxwdgDpM7rn/858JnPyPm6eFFMuwUL5LgvXBDD98IFMYmnp6WdamkB/u7vpL0bGwO++U1gz57agy6dzHqdMeE8hW2HDh2S473iiur31OuH3P1hR4d8xuLFUnJi925gyxb2ZVGIMt6gyZ48JvS7fnMJ6iZbqBuiAnVDomKCZgCObXSjUd3QEK6DacslvDfopZfqPblVJc7IZT0D9+DBaJNeUxrzIqNyX8dtMi5aJH+/XBbjpbtbjD5AnjtzBti50zk+wIy2KOvAGJC8sRdnv9DbC/z4x7KB3PHjwGWXyQagf/RHcuytrZIZPD0t+iiV5O92dcn3AYDLL5dBWNCgSxezvha69LW6nif7/AwMSAmIwUFnozhgbjvk7YfKZfmd8XEpNXLqlP/fcfeHhw87z8+fL8+fOQOsWsW+zEtY/TJgnC26rR6hbsyAuiEqUDckKrppBginG2omWxrVDQ3hAPKwXELXyW0jxB2FitvAVb0pkzJEdDFadEH1vo7TZDx2TLL3Dx+Wz2hrE9Ovt1eOy15GZtfsbG6Wx5kZtbaoiBpIqu1Lol9YuBD4rd9yfi6VZIWHvbqjtVU2CJuedt7T3i5Zm+3tTjmAeoMuHcx6P3Tra3U7T97zMz0N/OQnwPr1ohO/dsjdD42OSnbv5KT8PDYmtavf/e6559fdH7o3o7UZH5dHnUqvZE0U/TJgnC06rR6hbsyBuiEqUDckKjppBgivG2omWxrVjTGGcJChkYTZkafUd90mt40SdxQq7micyk2ZlCGim9GSNY3e13GYjPYxWBZw002OUTM6CjzzjHO/rl0r7y+Xgaeekv/fdptTDzzsMRdZA3G1fe7szO3bgSVLku0X2trkWvf1yXWbmHAMYTtIMDIiRrC7RryJg6489bVx4B3PbNjgf36WLweGh4HbhZaEQAAAIABJREFUbwdWrnTaoVIJePll4IUXgP5+2YTw+HHRhl36ob1dNOx3ft39YXe3E3iYP1+e6+jQr/RKlkTVr47ZP0VCl7Iw1I1ZUDdEBeqGREUXzQDRdEPNZEujujHCEA4yNIBkzA6mvutL3FGouKNxUW/KpAwRGi1zefllycpdvFjOj12mIcp93ajJ6G1btmyRZdjj42LgLFzomMGALPO2sZdrA+GOmRpoHHf/c/Ys8NprMvCxN9gCkukXrrwS+OAHpT0ZGJDnVqyQY/jpT4H3vlfe494w1MRBF/taB7+xztSUBALssjE23d1SW3rlSuf8HDsG/OEfihls/97evfLa6tXSHtgrEbq6/M+vtz/s7ZWg1enTckzj42IOm152Ki6i6le37J8iosPKOerGPKgbogJ1Q6Kig2aAaLqhZrKnEd1obwgHGRoPPyz/t6z4zQ6mvutL3FGoJKJxUW7KpAwRGi3VHDsG/MmfAAcOiOkKVJsjad3X3raludkxeU+fnrsJpL1E2/t/oP4xUwON4e1/Ll4U7VQqzgZbSWXn9vRIuzEzA7zvfc7z8+eLMbxyZbUZbOqgi32tUGus8/LLskHcu95Vfb2B6vNTKkmf09cnZSTsjN62NqkXPDMDXHuttDVBmvXrDzduFO3feiuwaZP5ZafiJKp+dcr+KTJZr5yjbsyEuiEqUDckKllrBoimG2pGD1R1o70hHGRo2JkvmzfPfa1Rs4Op7/qSRBQqiWhc2JuyXoN76pRM8qOWRKHR4mCbLW1tYujZm3FNTDjGXlr3dVDb4ndd3XU8vTU96x0zNdAY3v7HPv/eDbaA+PUTNLj6+teBJ5/Mx6CLfa1Qa6yzcqW85taavUHcW2/J83aZif5+ed02gwExh4eGnFrkYTLKdclOMYEg/fptDtrWxvNLqBuiBnVDVKBuiAq1dFMuy7jy4EHRBTVjPtobwkGGRqmUnNnRqOlYxE2c0iKpKFRW0bigjtre/Ke1NXpJFBotDrbZsmGDaMauiWkbe2++KVmXSWdXlkqylHt0FHjjDdkUqmW2FT571jGD3O1Od7fz+8uXO/8P0xZRA43h7X/cNVUBJ2M7qezcoMHV5s35GHQVcZmZ3/ig1linu1uu6+nTYgjbG8SNjsr7n3kG2LNHsn9Lpbm/v2CB1J8eHZV2zv7Mc+eCz68O2SkmUEu//f1zNwd19908v+rkYXxN3aQPdZPNMZsOdZPNMZtOXnUzOgo8/7zMZV98Ucaf1Ew8ZKkZ7Q3hqNl0Nl6zI+pJbsR0LPImTmmRpyhUrY56cFAm8NddV20Khi2JUkSjpRa22dLS4tTEHBmR18bGpH1IOrvS3S60tACvvir/enrEtPHWRXe3O5s3y6O9OVTYtogaaAxv/+PWz9iYDIyOHk02O7fW4Covg66iLTOrNT6wVyl4aWmRWtGtrVL/fO9eeV9Xl1Pu5uxZ4PvfB+bNm/v7U1NSLuL8eekvDxyQc3799cBDD+Xv/KaNn37LZTnXV19dXQ+etdsbJy/ja+omXaibzA7ZaKibzA7ZaPKqm5kZ4KWXRBc33eTso0LNNE7WmtHeEA4yNPyy6eyf3WaH6klWMR25iVNjRDHu826ITE1JBqnbDAbCl0QpmtEShNvY6+qq3shtZATYujXZBtevXXjXu6Q26NQU8JnPyCZh9jXxa3eA6AEQaqAx/Pqfri4x6IeHgbvukiX9pgajdCFPAb4ggsYHzzwj5R38xjOrVwNf/jLw3e9KpvDll8tqAbv8w9Kloke7NvqFC/I3JieBEydk4tfWJp9fqchrr74qbQ9pHK9+z5yR592TbIC12xslb+Nr6iYdqBvqRgXqhrpRIc+6eeEFGWtefXV1+TFqpjF00Iz2hnA9QwMINjsaPclRTUdu4qRO1tGRLPEzRAYGgO98x//9YUuiFMVoqYfX2LM3cjt7Vs7Je9+b7N/3axeam8UUPnpUMvvc16RWu6PSdqxZI5mA3/ue3GNr1gC/+qtiDpFggvqfr3wl/+1SmuQlwBdEvfHB7bcDzz3nP55ZuFCCg+94h1NL2E1LC3DzzWIa79olZm+pJGZwU5O8Pjkp5nJ7uxjLDzwAPP548fqDJHDrd+dOpxSQF9ZuVyeP42vqJnmom/SOK09QN+kdV57Is27sZD3vBscANdMIOmhGe0MYqG9qBb2W9knmJk5qZBUd0anGj9cQ6euLp/5rEYyWemSdKZtlu+ANtLzxhtR8KkKgJQ4YVCFxUa8daG0N1lq9muDr10st9F/+ZSktceGC9HHT005m8IkTwDvfKW3i0JCZkxPdYe32ZMj7+Jq6SQbqJt3jyQvUTbrHkxfyrBtqJhl00IwRhjAQbGoFvZb2SebNokYW0RHdM5JZ/zVesjT2smoXSiX5zkNDkoXc0eFsKGXi0qWsYFCFxEGYdiBIa/X6BMuSWsGXXCKlTZYuBY4ckQzhmRn5G+WyGMWAZAqbPDnRlaDr1NkpBr13R3dSn7yPr6mbZCiqbgYHZaXIwIAkmFAz0aBuqBsV8qwb9lHJoINmjDGEVUn7JNPEUyNt416Hei31yDqrNY9kZexl1S7s2gX87d9Wa6W9XTajGh5mdiAhadJoO1CvT3j9dXlufFzev2CBBIJKJccUtiwxhBcvFtO43hhIp1U0plDrOjU3y+M3vqFnEFp38j6+pm6SoYi6GRuTTanXr5fSc9RMdKgb6kaFPOuGfVQy6KCZ3BvCaZ9kmnhqpG3c61CvJQxcrp4PwrQLcRsvpRLw53/u/B2biQlg927giiuYHUhImsQxPvD2CZ2d8jmvvy6ZPeWyrAQAxARetw547TXJ3CiX5e/Nmwds2iSGcNAYSPdVNDrjd522b5fz6B2L6hKE1p0ijK+pm/gpmm5OnQK+9S3guuuqN6WmZqJB3QjUTTTyrhv2UfGjg2ZybwhncZJp4kUnbeNeh3otYeFy9XwQ1C4kYbzs3y8GkNdUnj9f/s7wsNlLlwgxkTjGB3af4G03SiWpHXzZZcDFi5IJvGCB1Ax+6y0xiufNA666SszgoDFQXKtoipxh7O67+/qknIfuQWjdKcL4mrqJnyLppq9P/u829QBqRgXqhrpRIe+6YR8VP1lrJveGMJDNSaaJF420jXsd6rWQ4uHXLiRVvmRoCFiyRLIGJybECHb/zXnzzF66ZBJFNsXIXFTHB24dLVrkZGWsWweMjkrm/8WLMkDv7BQTuLNTTOEPf1g0d+utkh1cbwwUxyoaZhg7mBSE1p0ija+pm/goim6omXihbqgbFagb6iYqWWqmEIYwUJwb02TSNO51qNdCCJBc+ZJly2TJeG+vGEUjI85rlgV86lP5iVbrDE0xEgdeHQ0NAYcPAzfdJCsBdu8Wba1eLZnAGzbI81NTwGc/K89H6U8bHeSbUKc/TRiEJipQNyQq1AxRgbohKlA3+aAwhnCcMNsrOdIy7nWo10IIkFx01Q56XLwIbNkCnDkjm02Vy9JubdmifswkHFFNMfYtxA8/Hdn1gHfvFqN3ctKpFd7UJFnB69dL/7Z6dfR+tdFBvil1+tOip0eytd94A2hpEdO+uxs4d45BaFIb6oZEhZohKlA3RAXqJh/QEI4Is73yQ5IZyTR2SFjCGi9RNeUOehw/Lu1Vc7N8HoMe6RDFFGPfQmrhp6OODrnHJyeBkyfn/o69sZxqUKnRVTRcRljN6dNS0/nVVyVrG5A2uLcX+IM/YHtM/KFuSFSoGaICdUNUoG7yAQ3hCHAJZP5IIiOZxg6JQhjjRVVTWRepLzphTTH2Lfki7oCgn466u4H2dqkd7GZiQp5fvlx+Vl2y1+gqGi4jdLDv74ULgd/8TWe1xvS0GPcrV2Z9hERHqBsSFWqGqEDdEBWom/xQGEM4jgkal0CSetDYIVGpZ7xUKo1pivXTsyOsKaZr38KVDtFJIiDop6OWFsnAePZZ+XlqSjI1urrk+ebmxmviNxJQYp1+B+/9vWqV8xrHjqQW1A2JCjVDVKBuiArUTX4ohCEc1wSNSyBJPXQ1dojeBBkvfX3UlKmENcV07Fu40iE6SQUEa+no4kXgxhuBu+4C3nwTePppMYJHRoDh4Xhq4qsGlFin30HH+5voD3VDokLNEBWoG6ICdZMfMjeEk85AinOCxiWQpB5sHIkqtYwXaspcwppiuvUttfrNwUHgi18UA3LlSmYMe0kqIFhPR2vWAB/4AHD77XqVh2HJGkG3+5uYAXVDokLNEBWoG6ICdZMfMjWE08hAinOCxiWQpB5sHItLUsEtaspswphicfQtcerPr98cHQX27pXPHxuT42TGcDVJBm/C6Eg1mzfJwDxL1nDsmBWml7yhbtKHmiEqUDdEBeqGqJCEbjIzhNOqtRrnBI1LIEk92DgWkySDW9SU+dQzxRrtW+LWn7ffLJeB3bvlcxculDq169axNrqXpIM33ATVTDh2TJ886Jq6SRdqhqhA3RAVqBuiQlK6ycwQTqvWatwTNC6BJEGwcSweSQe3qKlioNq3JKE/b785OAhMTormSiXZPRhgHWsvdvBmcFBM9PFxOVctLXoGb7gJanpw7JgeedI1dZMO1AxRgbohKlA3RIUkdZOZIZxWXcwksuu4BJIEwcaxWKQR3KKmioFK35KE/rz95vi4PD8xAbS3A8uXO+9lHWuHtjbgzjuBz34WOH/eeb6zE/j61/W7X7kJarpw7JgOedM1dZM81AxRgbohKlA3RIUkdZOZIZxWXUxm15Es0KlxNL1Gke4EBbdmZoAXXojn3OukKaIPSQRXvf3m6KgYnBcvAhs3AmfOAN3dkvnKOtYOpRLw5JPAddfNzRB+8klg82a9xhzcsJLkEeqaRIWaISpQN0QF6oaokKRuMjOE06yLyey6YkDjcy55qFGkO7WCW6OjwEsvAcPDznt47kncJBVcdfebr74KvPEGMD0NHDki/9rbgU2b9CyFkBW1oveAnlkftnbKZSlzYRvY3d00+om5cCNWEhVqhqhA3RAVqBuiQpK6ycwQTjtzl9l1+YbG51zyVKNIZ/yCW+Uy8Pzzcn6vvhpobpbnee5J3CQZXG1tlc9/4gnJej1wQGoJAxLweOUVYMcOatnGtKyPnh6gqQl46qm5r11zDY1+YibciJVEhZohKlA3RAXqhqiQpG6aGj88dewMpHvvBT7xCXnctq24Bh5Rw2t8rl0rjzMz8vzUVLrH0tcH7Nwpj6VSen/bi52t5m40APl5ZESy1Ujj2MGtpiYJbvX3S0bl1BRwww2OGQzw3JP48dPf0aPycxzBVbsdWbsW2LIF+MAHgPe8B7j+ehl8nDsXx7fIByZmfQQZ2ISYSNJtIskf1AxRgbohKlA3RIUkdZNZhrBNmpm7LCmQT3Qpzq5blrJp2Wom4y1Lc/Ag8OKLQFfX3Pfy3JM4KZXkXt+yRdqeJUuAlSvjK4vkbkeam4FVq5zX+vupZTemZX3s3y91oW+7TepC2yUjli8Hjh/Xr8QFIWFhqTgSFWqGqEDdEBWoG6JCUrrJ3BBOC93MOhIfOhif3ixluybj0aPAF78I/Omfyk7zaWJitprJuINbl1wC7Nnj/z6ee7PRKbAY1K/FNahkOxIe0zaxtftOr9EPMHBFzIel4khUqBmiAnVDVKBuiApJ6KYQhjBrqeYbHQwLd5by6Ciwe7dTa3NsDPj0p4GvfCXd4INp2Wp5Iu5zn7QJqZPJqTM6BRbT6tfYjkTDpKwPHfpOQgghhBBCSDYUwhDWpaQASQYdDAs706pcFjPYNopsxsfTDz6Ylq2WJ+I890mbkDqZnDqjW2AxrX6N7Uh0TMn60KHvLCIMwDmonIuinr+ifm8/op6LIp+7In93L9RNeIr83d2wj4pGkb+7G9N0UwhDWIeSAiQ5dDAs7EyrwUHJDHabwQCwYoWzmViaRoFJ2Wp5I45zn7QJqZvJqTO6BRbT7NfYjuSTWn1nZ6fUpP7Rj4o9oE8CBuAcVM5FUc9fUb+3H1HPRZHPXZG/uxfqJjxF/u5u2EdFo8jf3Y2JumlK/k9kD5dF5h/bsLj3XuATn5DHbdvSa4DsTKvTp6ufn5gA2ttlk56sgg92ttqWLfJIEyc9Gj33tgnpzt4D5Gc7wNAISX9+ntAtsJh2v8Z2JJ94+87bb5fnd+wAvv1t4KtfBbZulcEqaQxvAG7tWnmcmZHnp6ayPsL0UDkXRT1/Rf3efkQ9F0U+d0X+7l6om/AU+bu7YR8VjSJ/dzem6qYQhrB7WaQbLovMF1kaFnamVUeH1AweGXGiPL29smkPgw8kKkmbkLqZnDqjW2CR/RqJC7vvvP564Lnn5N4v8oA+KRiAc1A5F0U9f0X93n5EPRdFPndF/u5eqJvwFPm7u2EfFY0if3c3puqmEIawbdY1NcmyyP5+eWxqYg1EEh9r1gB/+qfA+98vk+gPfEDM6a4umjREjaRNSN1MTp3RzYBlv1YMSiWgrw/YuVMeS6Xk/pYOg9I84xeAK5eBgQHg5EnghReSvb46oRKMLGoAk7pxiKqBomoGoG7cUDfhoW4E9lHR8H53WzOHD8s8aWAgu2NLE1N1U4gawgBrIJJ06OwEvvIVpw7MiRPcgImok/SmT9xUKjw61Cr3wn4t36RdU0yHQWmeWbbMmSSNj0vm9aFDknk9Ngb84AfAwYPFqLenEowsagBz0SK5N8tlWYXW2grs2SP7VVA3Dn4aKKpmAOrGDXUTHupGYB8VDbdu3GMbQHSzfTvwnvfkWzOAubopjCEMmLPzNzEbmjT5JIvdP5M2IXU0OXVGx3ub/Vo+yWLDR3tQWi7LBqnj4zIh7O7O/2QmDTo7pe2YnJRrd/KklJNauVLO/dVXA6OjxdjQUyUYWcQA5rFjMpE+fFjuwdZW2ati5Urpv9vbqRugtgaKqBmAuvFC3YSDunFgHxUet25mZmR+1NwsY9dKRXSzZEn+NQOYq5tClIwgJG24AVO+OHZMNlb66lfT32gp6Q0Ts96Q0TR4b5M0yKJ8Q0+PlBx56ingxReBn/1MHp96Sp7P62QmDUol4Gtfk8l0V5dsOHvxopjvp05Jqanm5uKU51ApeVO0Mjl2UMiygJtucnQzMQG89ZZMtO09Kqgbfw0UTTMAdeMHdVMf6qYa9lHh8Opm3jxnbGMHFnp7JbEg75oBzNVNoTKECSEkKllk6nkJmwWqmsXMLFNC9CKr8g1Bf5OoYxv869YBq1cDe/fKpGnxYpk4uWsyFqU8h8qKCx1XaSSFWzOABCFt3bS0AFddJaaNDXUTz/tNh7rxh7oJhrqZC/uo+nh1c9VVUiqirU3GNW7dFEEzgJm6oSFMCCEBeDs7m6VLJYK3b58eZmra9UYJIcmRRU2x/ftl8nfbbcCZM07JiOXLgePH9WnrTMRt8Dc3A5ddJiUjOjulzR4fd95bpPIcKsHIogQwvUEht26AuRs7UTfxvd9kqJvaUDe1oW78YR8VjFc3CxcC8+fL/HNkpFo3RdEMYJ5uWDKCkIxIc/d4oo4JGy15s5jXrpXHmRl53i7sT/SCbQCphbummJska4oNDUmb4TaDu7tlYqhLW2cqXoO/u1vq6k1MyM8dHfKY91qDJDx+QSFbN6WSoxmAuiEO1A1RgbohKnBskw+YIUxIHZLYTIzZnOagw+6f9TAli5k4sA0gQWSx4eP0NPDSS9Wf3d4u9d90aetMxbtpSEuLnNfnn5eA3cSEXGdu6Els/DaaaWkBNm0CXnlFgjb9/dwIllRD3RAVqBuiAsc2+YCGMCEBJGHa6FCTloRHh90/62FCFjNxYBtAwpBmTbFSCXjmGfnstjZZ8gfIYP7554EPfUiPts5Uahn8H/oQcPPNct7zXmuQRCMoKLRjB3DuXDFqVJJoUDdEBeqGqMCxTT6gIUxIDZIybZjNaRZZZOpFxYQsZuLANoCEJa2aYvv3A+fPAzfcAOzeLfq0mZpyBvZEnaw3DSHmQc0QFagbogJ1Q1SgbsyHhjAhNUjKtGE2p3no3tmZkMVMHNgGEN2wNdnVJbuLu+sIT0zo09aZTpE2m1EhiRJdpkPN1Ie6mQt1Ux/qZi7UTX2om7lQN/XRWTc0hAmpQVKmDbM5zSTtzi5Kx2FCFjNxYBtAdMOtyeZmYNUq57WjR6lJkjysq05UoG6ICtQNUYG6ISrorhsawoTUICnThtmcpB4qHYfuWczEgW0A0Q1qkmQJ66oTFagbogJ1Q1SgbogKJuimKds/n19KJaCvD9i5Ux5LpayPiETFPUF20+gE2c7mbGqSzKv+fnlsamI2J5nbcaxdK48zM/L81FTt37WzmLdskUdqSU/YBhDdoCZJWviNj+0SXe5gBCA/j4xIoJMUG+qGqEDdEBWoG6KCqbphhnAC1Mruu+ce2bRFx9ohZC5JLsNnNiepBTccKwZsA4huUJMkaWqNj6+9lnXVSW2oG6ICdUNUoG6ICibrhoZwzNRKC+/vB+64QyZXLS361Q4h/iQ5QWYBduIHNxwrDmwDiG5QkyQpgpZNfv/7UrvaD9ZVLzbUDVGBuiEqUDdEBdN1w5IRMeOXFl4uAwcOAJOTsmN3lCXgJHu4DJ+kCTcciw+W7iGEED0IWjbZ3AxcvBh/iS5iPtQNUYG6ISpQN0QF03XDDOGY8cvuGxwUM7itDRgfd57nEnBCiBdu7hQPuu/oSgghRSJo9UtLC3DzzcCePfGX6CJmQ90QFagbogJ1Q1QwXTc0hGPGL7vPbQJ3dFS/xiXghBA3SdauLgom7OhKCCFFot7ql02bgNtvZw1rUg11Q1SgbogK1A1RwXTd0BCOGb/svo4OMSi6uoDly6vfzyXghBAv3NypMbgxHyGE6EWY1S+sYU28UDdEBeqGqEDdEBVM1w0N4Zjxy+4rl4H2dokOuItKcwk4IaQWOnccusON+QghRB9KJQnUXXutbLAyPDx3g2UGPIkX6oaoQN0QFagbokIedENDOAH8svsWLQIefZRLwAkhJGm4MR8hhOiBt567vcHKzTdLogRXvxA/qBuiAnVDVKBuiAp50Q0N4YTwy+5zm8SdnSKc11+XbLaeHskuJoQQ0hjcmI8QQrInqJ77nj1SU8+EyRJJF+qGqEDdEBWoG6JCnnRDQzhFbJPYG01wZwuvWZP1URJCiNlwYz5CCMmeqPXc7aWXQ0Oy0oPJEsWEuiEqUDdEBeqGqBBFN7prhoZwygRFEx55RLKIaVYQQkhjcGM+QgjJlij13JksQWyoG6ICdUNUoG6ICmF1Y4JmmrI+gKJhRxPcy5gB+XlkRMwLQgghjWOvytiyRR5pBhNCSHqErefuTZZYu1YeZ2bk+amptI6Y6AB1Q1SgbogK1A1RIYxuTNEMDeGUiRKFIoQQQgghxETc9dzdeOu5M1mCuKFuiArUDVGBuiEqhNGNKZqhIZwyYaNQhBBCCCGEmIpdz72pSWrq9ffLY1NTdT33oSHJmBkYAA4flsdyWV5jskTxoG6ICtQNUYG6ISqE0Y2dCFouz9WNTpphDeGUsItJDwxIevjgINDd7bzujUIRQgghhJDa6L5RR9Hwux5h6rlPTwMvvVT9XHs70NvLZIkiQN0QFagbogJ1Q6JSa6xZTzfLlgFjY8CuXcDkpPN57e3AZZfpoxkawingLSY9PQ385CfA+vVAZ2d1cWnWuCQkP9CsIISQZDBho44iUe96uHdpd1MqAT/4gYx/29qA+fPl+YkJ4PnngQ99iMkSeYa6ISpQN0QF6oZEpZ5m7P1q/NiwAXjzTcksX7LEeX54WJ6/4op0vkM9WDIiYfyKSff0ANddJwK6/Xbg3nslusAJDCH54dgxYOtW4KtfBb79bXnculWeJ4QQoo4pG3UUhUaux/79kkFzww0y2RoZkX+lkvzezTczWSKvUDdEBeqGqEDdkKg0OtZ8801JAJ0/39HMyIj8vH49cPBgGt+iPswQThi7mPS6ddXPd3cDFy4AK1fWjioEwcxDQvTF24HYnD0rz2/bxoEDIYSoUmtstXSp1HDTZaOOpNFlLBjmetQa69o19rq6gC1bgDNngPFxoKNDsq/YV8YPdUNUoG5IVHTRDEDdmIQuumlEM4Acf2fnXM0sXw6cOMEawoXBbkD8UC0mzWWShOhNox0IIYSQ2iQxtjKNOMeCjU6+Grke7s2Wm5uBVauc144e1afGXl6gbogKcekmDqOHujEDndoagLoxBZ100+hY09aNVzOAXnWnaQgnjLsB8aIiBGYeEiLoEj30g2YFIYQkR9xjK9OIcywYx+SrkevR0yN/7+xZCZq6vws3W44X6oaoEJdu4jJ6qBv90a2tAagbE9BNN42ONU3RDWsIJ4xbCG5UhWBnHrpFBcjPIyPFWSZJio3u9XmLblYQQpKlVAL6+oCdO+WxVMr6iNIl7rGVaTQ6FrT18/TTwBe/KJsdN1KLuZHr0dYmE7SmJsm06u+Xx6YmbrYcN9QNUSEO3bzwAvD5zwOnTgGrVzdW95260Z84/ArqpnjopptGx5qm6IYZwgljC+GRR0QA3ghFVCEw85AUHROy5E2JCBJCzINlo+IfW5lGI2NBt37OngVee02CmL29Uh8RiF7eqNHrsWaN9N379smxX3KJ9JN5v45pQ90QFeLQzeHDwIEDwMKFcq1t3aiUUqNu9KdRv4K6KSa66SaOsaYJuqEhnAJxCoGZh2ajc5kDUzChPm/RzQpCSDKYEBBLCxMG2UmhOhb06ufiRZkwVSrA7t2y8Ulzs7w3apJBo9ejtTX7vjvvUDdEhTh0s3ixaGbxYtmEy60blYQm6kZvGvErqJvioqNu4hhr6q4bGsIpEZcQmHloLszqigdTsuSLbFYQQpLBhIBYmug+yE6KoLHgwoWylH/nTifwDIh2du+WzJlf/EV5rqNDHufPF12dOeNsfOKdfIUJaBf1epgCdUNUiEM37rJGXt34GT3UjdnU8ys2bpTyM+7rCzSmm7BJV9SNvkTVzYYNwJtvys+DgzJfwKoqAAAK1UlEQVTfXr8+ft3kXTM0hA2DmYdmwqyu+HBHD8tl6QDGx2WCUi7rlSWf9w6EEJIupgTESLLUGgs2Ncn/v/EN57nmZnmcmQFOngSOHJF+s7cX6O4G2tslgwaQvhSYm2TAgHY+oG6ICnHo5n3vczQzf7587vi4f0ITdWM+QX7FnXcC999ffX0b1Q01kw+i6GZsTMzg9euBzk7grbeAEyfEJ3D3UdRNfWgIGwgzD82DWV3xYUcP+/ulPtDkpDxfKknjv2hRtsdHCCFJwbJRxMY7FuzsBLZvl0mNnVlTLgNPPSX/v+02mWydOVO91L+3V/4/NgaMjsqYxJ1kwIB2vqBuiAqN6mbPHuD97wd++lOZD42NyeMll1QnNFE3+cHPr9i4UUw99/VtVDfUTL4Io5tyGdi1S34+cUL6pNZW4PjxuX0UdVMfGsKGwsxDs2BWV3y0tQG/+7vAb/yGRPvmzxcjuKsL2LQJePTRYjXihJDiwLJRxI17LNjXB5w/Xz2xGRx0/n/mjJM1U6lIMNVeQrl5MzA8DNx1F7ByZXWSAQPa+YO6ISo0qptSSYyaN9+U/2/dCrz3vdXjdeomX3j9ir6+ude3Ud1QM/mjnm4GB0UbS5Y45SC6u8ULGB11+ijqJhw0hAn5H+3dS2+UVRgH8IdSsCpQFMq1KMHoQjaamsjWBVEXLt2wdmNi2BE+gYnBnRuNX8DPQGLCzmiMykI2mKhchFSRq1Cu1sWTNzOtM23n9DYz5/dLmum8FDLh/b+XPue8z1kDZnWtnIsXIz7+OEcHn346FzeZnc0R5BpP4kA9tI2im04Dz82j/M33o6NzZ3b+/nv+orR9e8Qnn7QekXzwoNWn75dfcgZNJwa0B99K5ebBg7z3avowXr1qIsQwW05udu9uPZLdfq6Rm+G3GrlxjRp+83PTnpnmfZOb06cjfvst4uHDvD+Wm8UpCMMaMKtrZTSPd9y7l4+rbd+e22dm8nGio0frO4lDu6UuqsHg0jaKTjoNPDeLf7V/Pz6e18qzZyPefTfiyJG5+ZnfU+/atVzgZ8eO/LvtDGgPvpXITac+jA8f5mJjncjN4JMbSqxGblyjht/83LRnpv39+Hg+ufLOOxG7ds29P5ab7hSEYQ2Y1bUymsc7du/O/8dG+wqitZ3EoWFxhHpoG8V8nQaeJyZaf75rV+v7W7dyIZYPPph7/9Gpp97+/dmX78yZ7O+4cWNuN6A9HJabm259GP/6K+Kbb/Lvt/97cjMc5IYSq5Eb16jhNz83TVuRGzeyBtDk5vr1rAG8/757m14oCNM3hn1mm1ldy9c8MtJp9dCIiOnpPNHXdBKHCIsjQO26DTxPTeXrpUuLD0Z36qk3Ohrx1lv5GObZs62ZOga0h8Nyc9OtD+PERBZzbtyIuHvXRIhhIzeUWI3cuEYNv065OXAg+wNPTubCcu5tyikI0xdqmdlmVtfyNCfr9v5SN2/mn/3zTz4yUttJHCIsjgB0H3iOWNpgdLcFcMfHI954Ix/bffllA9rDZjm5WWjR5K1bc6bWnj0mQgwjuaHEauTGNWr4dcrNK69EnD/v3ma5qi8ID/us1EHQzGx79Cj/7+/dy8Leo0dmtjHX/EdGjh7NNhHT05mZL7+M2LJlvT8lrL2FfrnSVxvq0W3geSkDQgstgDsykr80GVgaTqW5WWzR5D17ZGaYyQ0lViM3rlHDr1Nu3NssX9UF4Vpmpfa7c+ci/vgjHxO5f7+1fWwsHwcws41Gt0eNDh7M7YrB1GqxX6701QYWYwFceiUzlJAbSsgNJeRmYSPr/QHWy/x+iy++mK///pvbHz5c709Yj6tXsyjcFOSbr9nZ3D49vd6fkH7SPDJy/HjEsWP5euqUQRzq1n6z087NDrBUzaDryEgOul64kK8jI9ox0ZnMUEJuKCE3lJCbhVU7Q1i/xf5x82YW4NsXB4vI93///f8CB+jFDHN1mz1f4+IIQDkL4NIrmaGE3FBCbighN91VWxDWb7F/jI/nwTgzM7coPDOT2597bv0+G8CgcLMz3Kx5wFox6EqvZIYSckMJuaGE3HRWbUFYv8X+sW9fxKuvRly+nLOFG2NjuX3PnvX7bACDpLmuzX+lvy1W7LXmAQAAsJKqLQhrLt0/Dh+OmJyM2L074vHjiHv3Ip55JmJ0NGLTJvuChZk1B0nRcDAttt/mr3nQuH49t586ZRY4AADQm2oXldNcun+074srV3IRuStX7AsWd/FixMmTEZ99FvHVV/l68mRuh5pYKHUwLWW/NWsetA9eR+T7mzezRQgAAEAvqp0hHKHfYr/q1tsZ2pk1By0WSh1MS9lv1jwAAABWWtUF4QjNpftBU9jbsCFiaqq1XWGPhSiAQYui4WBayn6z5gEAALDSqi8I6z+6/prC3uRkxNWrrR7CExO50JzCHp0ogC2Nc1wdtm3LdjvT0/l+375ckHN0VNGwn3Ur9j5+nMfs+fM5ULp1qzUPWHmuD5SQG3olM5SQG0rITW+qLghbgKc/XLsWcfduxNdfR9y/39o+NhZx4IDCHp2ZNbc457g6XLwY8cUXEd9+G/HkScTGjfk1ORnx2muKhv2s0wK3t25FnDmT/YO/+y7i+++zp/6GDRG3b///WPYEDSVcHyghN/RKZighN5SQm95Vu6icBXj6x7ZtOYrTHLDN1+xsbt+2bb0/If2ovZDSzqy55BxXhwcPIj79NOLs2bzR2bo1C4Szs7lY6o8/Rnz0kaJhv5q/wO2vv0acPp1/9vbbEYcO5XG7ZUs+OfPhhxHHjkUcP57tlNzcUsL1gRJyQ69khhJyQwm5KVNtQdiq3TDY5hdSLlzI15ERs+YinONqce5c5j4iB0IOHYrYvz9bRkxM5Pe3b6/rR2QRzQK3x49HHDkS8dJLEe+9FzE+3vqZ55+PuHMnYtOmiKNHs41S7ec4yrk+UEJu6JXMUEJuKCE3ZaptGaH/aP+4fTtne166lAdrY2wstytm0E1TSPn55zxmd+zImcEKJc5xtbh2LUfEGyMjOUs4Is+nT57Y14OgWeC26Xe2ceP/f8Zxy0pxfaCE3NArmaGE3FBCbspUWxDWf7R/7NwZ8eyzOevpzz9bi8rt2pVFYvuChTSFFOZyjqvDzp0LL5SwebN9PUgct6wFOaOE3NArmaGE3FBCbspU2zJC/9H+0eyLW7ci9u7Nx2X37s339gWUcY6rw+HD2R8rImJmprW9+f7gQft6kDhuWQtyRgm5oVcyQwm5oYTclKm2IKz/aP+wL2DlOa7q8NRTESdORExNZY/Zy5fz686dnDl/4oR9PUgct6wFOaOE3NArmaGE3FBCbspU2zIiQv/RfmJfwMpzXNXhhRciPv884qefIn74IbdNTUW8/rp9PYgct6wFOaOE3NArmaGE3FBCbnpXdUE4Qv/RfmJfwMpzXNVh8+aIN9/MLwaf45a1IGeUkBt6JTOUkBtKyE1vqm0ZAQAAAABQGwVhAAAAAIBKKAgDAAAAAFRCQRgAAAAAoBIbZmdnl/7DGzb8FREXVu/jsE5enJ2dnVitf1xuhtaq5UZmhprcUEJu6JV7G0rIDSXkhhJyQwn3xJTomJueCsIAAAAAAAwuLSMAAAAAACqhIAwAAAAAUAkFYQAAAACASigIAwAAAABUQkEYAAAAAKASCsIAAAAAAJVQEAYAAAAAqISCMAAAAABAJRSEAQAAAAAq8R+CUPRPtzElWAAAAABJRU5ErkJggg==", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3782,20 +3766,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3810,20 +3794,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3838,20 +3822,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "iVBORw0KGgoAAAANSUhEUgAABYQAAAD7CAYAAADaZ5DxAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOy9f5gc1Xnv+a2e0fySZkY/ZiQEsiQQwoEBGwslMCT2mpixMVzszV3AC+FufGN2k7VYfEMeLCePY+zgjWNIWC8rARvb99q+UbCjm+QGGwcERN4b28IWEjKREJaQxIxAP2YGaUYazUzPtKb3j7frVk1PdXfV6fpxTtX38zzz9Ex1T3d11bdOnfN93/Meq1gsghBCCCGEEEIIIYQQQkj6ySW9A4QQQgghhBBCCCGEEELigYYwIYQQQgghhBBCCCGEZAQawoQQQgghhBBCCCGEEJIRaAgTQgghhBBCCCGEEEJIRqAhTAghhBBCCCGEEEIIIRmBhjAhhBBCCCGEEEIIIYRkBKMNYcuyxizLuiTp/SB6Q50QFagbogJ1Q1SgbogK1A0JCjVDVKBuiArUDVGBuomX2Axhy7LetCxronSC7Z9N9bxnsVhcUCwWD5fe/1uWZX05wP580rKsH9fz+UlQ+p5TZcexIen9CgvqJBwsy7rDsqyfWpY1blnWjzyeL1qWdc51jL+RwG6GBnUTDpZl/YVlWQctyzprWdbrlmX9L2XPUzc1yKhuHrYs66hlWWcsy+q3LOuPy56nbmqQRd3YWJa12LKsIfd3sCxrdUk37mP8J0nuZ71QN+FQrR+cNt1QM+FhWdaNlmXtLt2L3rIs6w7Xc7xH1SCLurEsa1/ZMSxYlvV91/PUTQ0yqpvFlmV9z7KsdyzLGrYsa4tlWR2u58uP87Yk97deqJtwsCzrIsuy/tGyrFOle9Tvlz0fWXvTGNYb+eTWYrH4QsyfGQmWZTUWi8VCQh//cLFY/HxCnx0H1En9nALwNQC/AuA3K7zmvcVi8Y34dilyqJv6OQfgVgAHAPwqgGcty3qjWCz+1PUa6kZTEtTNNwF8qVgsnrMs6yIA2yzLer1YLP696zXUjaYk3J8BgK8C2A/vJIWFCe9b2FA34VCrH5wm3VAz9X/uFQD+BsDvAHgeQCeAhWUv4z1KU5LSTbFY7HHtgwXgMICtZS+jbjQlwXvUlwEsAnAxAAvA3wH4IoD7Xa9JzXEukZrvk6Bu/hrALwDcBuAKANsty/plsVjc7npNNO1NsViM5QfAmwBurPDcEwD+zvX3VwG8CLmIGgD8MYBDAM4C2AXgXaXXFQFcCuB/AzANYArAGIDvl57/nOv/XgPwW6XtlwOYBHC+9PqR0vZOAN8BMASgH8DnAeRKz30SwE8A/F8A3gHwZxDT7SrXfi8FMA6g2+M72v+/CcAogNcBfEjhOH4LwJfjOm9x/1An4ejE9X73APiRx/YigEuTPt/UjZ66cb3v0wD+kLqhbgIc04sA/CuAz1I31I2PY3k9gB0A/j2AH7u2ry4dk8akzzd1o5duUKUfnDbdUDOhaeZvADxU5Xneo6ibWsf0fyh9t/nUDXVT4zj+E4BPu/7eAOA5P8fZxB/qpn7dAFhQ+s7drm1/BeA/u/6OrL3RRSxtkIy0TwJ4P4BhACtKzz0AGVy+uySe9wJYUn5g4NFBBHA7gAshWSefgGS/LXedvB+Xvf47AP4RQDukU3kAwKdcry8A+D8gmdWtAB4H8FXX/3/GFmoFsRQA/AGAeaX9GQWwuPT84wBGKvy86nqfb5VEegpy4fxPcZ1D6sQcnbjer5ohfAzACQB/D2B10ueeutFHN6X/aQVwHMBN1A11U0s3kM7ZWOn7H7aPFXVD3VTSDWQwsBvANeXfAY6x9zaAtwD8JwBdSZ976kYL3XwLFfrBadMNNROaZg4DeKh0TI5DMrEWu57nPYq6qdUn/o8AvlW2jbqhbrzam38D4IeQLOFFAP4ZwH8oO84nIebkNkjWZ+Lnn7pJTjel/SoCWOp6368DeMX1d2TtTdxiGSs7CP+r6/lrIZ27fgB3urb/EsDHK7xnVbF4vH6P/V7lYoEMTKYAXOHa9nsomWml1w+Uvd+1AAYAWKW/XwZwRxWxHLNfW9r2cwD/LuBxXAdgSUmwN0MiI78e13mkTszQiet/KxnCHwDQBJkytwnAXhicUUPdhKub0v9/G8CzZe9J3VA31b6LBeB9AL4EoJ26oW5qfIc/APBEhe+wAMB6SF9nGYD/AleGjYk/1E30/eC06YaaCU0zU6VjeVlJI38HYIvred6jqJtq36UNwBkAHyzbTt1QN17vcyGAFwDMlH6eB9Dkev7XIaZjG4A/ghh8C5M+/9RN4rr5MYD/B0ALpJ9zCsAvXc9H1t7EXUP4fyxWqC9SLBZ/ZlnWYUhK9t+6nnoXJCU8MJYsiHQ/JBIASCegq8LLuyCufr9rWz9k+qvNUY99HgfwQcuyjkNS25+usktvF0tn1PX+F9b4GrMoFou7XX/+0LKsLQD+LSRVPS1QJ3XqpBbFYvG/lX6dsizrM5COzuWQSJ2pUDch6cayrEcAXAngBvd7Ujf/HerGg9L7vGJZ1kcgpvD9pe3UjUDdlLAs60IA90Gyg+dQLBbHIB1wADhpWda9AI5bltVeLBbP+v0cDaFuIuwHp1Q31Ez996gJAP+pWCweAADLsv4MYtjY+8R7lEDdePNvIebM/1e2T9SNQN3M5m8BvArg45BEib+AzEq4o7RPbs/mK5Zl/Q4ke/b7MBfqpn7d/DaAzaV9OQzRzH+vYx5le+O1gEciWJa1AUAzxGH/rOupowDW+HgL90mAZVmrIKnW90LSzxdCnHTL6/WQFPZpAKtc21ZCpp15fkaJbwO4G8C/A/BfisXiZJV9vKhUlN79/sdK+/tk2eqM7p99Vd6z6PpOqYc6UdZJLVKtI+rGv24sy/oSgI8C+HCxWDxT5fPsfaZuKpMZ3ZTRiOrHh7qpThZ082sAlgN4zbKsEwD+bwC/ZlnWCcuyGjw+z95fbfqtYUPdRNIPTrVuqBnfmnm1bD+89gllz/MeVZms6MbmdwB8p8zw8YK6qU5WdHM1gP+3WCyeKwUpn4TMZqkEdVOdTOimWCz2F4vFf1MsFruLxeK1ECP751U+MzzdhJFm7OcH1euLXAbgNKR2yNrS71eXnnsAciNfW/rS74F3fZE/B/A3rve8AlJU+t2QVPF/D6nvcU/p+ZtK++RO4f9rAP8AqeOxClIU2n79J1FWj6S0/V1w0uA/UOX7f7L0+Z+BRCluhzj7SwIex9sgUZAcgA9Dpsp9MK7zSJ0Yo5MGyJSD3wfw30q/zys91wO5WTWUtPQ1yLSNeUmff+omcd38EYCDAC7weI66oW683iMHmXq1qHQsfg1So/E+6oa6qfIezQAucP18BsDPUGp7INP13l3S1xIA3wOwPelzT91ocZ+q2A9Om26omdA087sAjgC4BDJN+29RWqwHvEdRN9WP5YrSe60p207dUDeV3mc7ZOp/K5x6tD8tPbcSUjKiCTI2fwBSSziwNnX5oW5C083lpf1rghjRwygtMoeI25u4xTIBqTFi//wDJJPo5wA+53rt/w5Jf24uffHPQ27kZwHshFOM2i2WtZD6ISMA/mtp2/9ZOpHDAB6FTPWwT34TgGfs50vbFpUEMwSJWnwBs1cgnCOW0nMvlL6fVeX7fxKzVyA8AMnAC3oc/6X0/2cA/ALA/xzXOaROjNLJJ0vf2/3zrdJzvwlpRM4BGATwXwGsTfrcUzda6KYIIF92HP+YuqFuqrxHDlJr+lTp+B2ArBps192ibqgbP8d01j4BuLN0nM5BAgzfgUegyqQf6ib6fnDadEPNhNfWQMoYDZV+/jOARaXtvEdRN9WO5R8B+BeP7dQNdVPpfS6GlH94p7Tvz9ragBh7r5Z08w6AFwGsT/rcUzda6OY/lPbvHKSe8HrXc5G2N/aAjdSBZVn/EcCxYrH4+Sqv+SREqL8R244RraBOiArUDVGBuiEqUDdEBeqGBIWaISpQN0QF6oaokBXdxL2oXOqwLGs1pNj8+5LdE6Iz1AlRgbohKlA3RAXqhqhA3ZCgUDNEBeqGqEDdEBWypJtULrIQF5ZlPQQpYv1IsVg8kvT+ED2hTogK1A1RgbohKlA3RAXqhgSFmiEqUDdEBeqGqJA13bBkBCGEEEIIIYQQQgghhGQEZggTQgghhBBCCCGEEEJIRqAhTAghhBBCCCGEEEIIIRkh0KJyXV1dxdWrV0e0KyQpdu3aNVwsFrujen/qJp1EqRtqJr1QN0QF6oYEhX0bogJ1Q1SgbogK1A1RgX1iokIl3QQyhFevXo2XX345vL0iWmBZVn+U70/dpJModUPNpBfqhqhA3ZCgsG9DVKBuiArUDVGBuiEqsE9MVKikG5aMIIQQQgghhBBCCCGEkIxAQ5gQQgghhBBCCCGEEEIyAg1hQgghhBBCCCGEEEIIyQg0hAkhhBBCCCGEEEIIISQjBFpULiryeWDfPmB4GOjqAnp6gObmpPeKkGig3kk51ATRHWo0/fAck6BQM0QF6oaoQN0QFagbEpSsaSY2Q7jSgR0YAB59FBgZASwLKBaBhQuB++8HVq6Ma+8IiQfqnZRDTRDdoUbTD88xCQo1Q1SgbogK1A1RgbohQcmiZmIpGTEwAGzcCDz2GPDUU/K4cSNw8KAc8JkZYPVqYNUqeZyZke1TU3HsHSHxkM9T72Q21ATRHWo0/fAck6BQM0QF6oaoQN0QFagbEpSsaiZyQ7jagX3wQeCdd4DFi2f/z+LF4srv3Rv13hESH/v2ia6pd2JDTRDdoUbTD88xCQo1Q1SgbogK1A1RgbohQcmqZiI3hKsd2KEhYHTU+/8sS8xiQtLC8LDo2gvqPZtQE0R3qNH0w3NMgkLNEBWoG6ICdUNUoG5IULKqmcgN4WoHtrUVmJz0fq5YBJYsiW6/CImbri7RtRfUezahJojuUKPph+eYBIWaISpQN0QF6oaoQN2QoGRVM5EbwtUObGenPH/q1Oztp05J8eYrr4x67wiJj54e0TX1TmyoCaI71Gj64TkmQamkmaEhqbF34gSwe7eUjSPExks3hQLw+usyY3R6mpohc6FuiArUDQlKVvs2kRvC1QYaS5YAf/qnQC4HvPkm0N8vj7mcrOTX1BT13hESH83NomvqndhQE0R3qNH0w3NMguKlmb17gZ/+VAbZW7c6C0gPDCS9t0QXynWzbx/w938PvPoq0NgIPPEENUPmQt0QFagbEpSs9m2sYqX0XQ/Wr19ffPnllwN/yMCALCw3MiLlI4pFMYnvvx9YtgzYswd4+WV5bt06+eEAJD4sy9pVLBbXR/X+qrpJK1NT0ri8844ERa680ky9R6mbrGkmLZrwA3VjJklrlLqJnqTPcdiwbxM9tmaOHwe2bAEWLQK6u53nT52SgdXDD5ujJeomeqamJMvq4YdlAH7ppUBDgzxnomYA6iYOqJvgUDfUTVComXT2bYDKummM48NXrpQDVj7QOHFCHHa3UXzgAHDhhfI/hKSRpiYJehBiQ00Q3aFG0w/PMQlKU5PMBNy/X9YMaW+XKbmNpdHF4sWSZbN3L7VFHOwB9PQ0MH8+MDgog+3GRmqGVKZYBA4dAsbGRC/unDbqhlSCuiFByVrfJhZDGJg70MjnJWt4ZgZYvdrZfuqUbDfNcSeEpIN8XqYVDQ9LjfOeHokoE0IIIcTBngG4bx9w5IgYey0tQG+vrBMCpHtlbqLGwADw1a/KYHvBAtnm1g01Q8phW0NUoG6IClnTTeQ1hCuxb59kBi9ePHv74sWyfe/eZPaLEJJdBgZk1sJjjwFPPZXOOkGEEEJIvbgTOy65RIy9hQsl+2rHDuD8eXldmlfmJsGxddPc7GimXDfUDHHDtoaoQN0QFbKom8QM4eFhcda9SJPjTggxg/JZC6tWyePMjGyfmkp6DwkhhBA9cCd2dHdL9szEBNDaCkxOSkbNqVMykLryyqT3luiCrZtLL3U0Azi6eeMNaobMhm0NUYG6ISpkUTeJGcJdXbNruLhJk+NOCDEDzloghBBC/OFO7GhslKmUliX3y7Exqdk4PAysXy/3z3w+2f0lemDrplwztm7Gx4G+PuBHP5KFoKgbUqutOXJEvAPqhrihbogKWdRNbDWEy+npEWf91KnZBkzaHHeSPlhjNp2kedYCNas3PD+EENMoT+zo7JQB0uAg8NprMpBqaABefBF44QXp299/PxeNzjpu3bg1Mz4OHDsm2VhbtzqLjVM3pFpbc+QIcMstkllO3RA31A1RIYu6ScwQbm6WA/foo7JKX/kB5YJyJCmqmTN2kfGRkfQ0AkRI66wFalZveH4IISbildjR0CBG8NgY8J73yHRLGy4aTYC5umloAJYvB4aGJJP8V36FuiGzqdTWNDcDl10m5oxlcZF6MhvqhqiQRd0kVjICkMHuww8D990H3HabuO8f/rAYcaanXhMzqbaoWBZqzObzMvVh27Z0TIEIgvsG4MbkWQtZ0KzJ8PwQQkzFTuzI5SSxo79fHk+flvqwblMPcMov7d6d3X4GoW5IcCppJpcDPvpR4OxZ73Jv77wjWXzUTDahbogKWdRNYhnCNk1Nkpn3ne8wQ4okS7k5Y2NHfe68UzTqfg6QRuDNNyWzYd26GHc4ZLKeqZjGWQt2XeS0atZ0eH4IISZjJ3bs3SuDoSVLgBMnZFDkxblz8vqOjmz2M4hA3ZCgeGnmyiulhqdXubfRUWDXLuDkSeCii6iZrELdEBWyppvEDeFaJpypqdfEPGqZMy+/nO4as7wOK98ATP3uaa6LnAZ4fgghptPUNDtwtXu3d/mlQkH6We95T7b7GUSgbkhQyjUDeJd7KxSAHTtk+8UXS0kSgJrJKtQNUSFLukm0ZATgmHBeqdcjI2LMEBIHtcwZOyvBC5NrzAK8Dt3YN4C+PnnUvRGvRlrrIqcFnh9CSNKcOQNs2QJ85SvyeOZMfe9XqfzSoUPyuHbt7O1Z7GekAeqGqBCHboaGJGOvsxNYutTZTs2YC3VDVKBu/JF4hjAzpIgfqi30Fha1zJl164ADB2YXGQfMrjFrw+swnXgVxgfSodk0wPNDCEmSHTuAT39aauLZ/MVfAI8/DvT2qr1npfJLk5PS5jU0zP0f9jPMgrohKsSlm7fflsfe3rm6oWbMg7ohKlA3/kncEGaGFKlFXLVta5kz69YBF16YfI3ZKMxxXofpJI11kdMEzw8hJCnOnJHB0swMsGKFs/30adn+L/8CLFig9t5e5Zemp4EnnvB+PfsZ5kDdEBXi1M3gIPDss5KxVw41YxbUDVGBuglG4oYwM6RINeKsbevHnEm6xmxU5jivw/SStGZJdXh+CFEnjtlDaeX735fMGfdgCQAWLQLeegv4x38Efvu31d+/vP5ePs9+RhqgbogKceomnwd27qRm0gB1Q1SgboKRuCHMDClSjVoLve3dO7fgdz34MWe8iozHQZTmOK/DdJOUZok/kjg/NNKI6cQ1eyiN5PMynXJ8XAZN8+cDubJVRY4eDfcz2c8wH+qGqBC3bqiZdEDdkKDYY5sXX5TfZ2bmagagbspJ3BAGmCFFKpNEbVtdzbOozXFeh4RkAxppxHT8BEiJN/b1/+qrMsiemQEaGyWTpqXFed273hX+Z7OfYS7UDVEhKd1QM2ZD3ZCguMc2hw87j+WaAaibcrQwhAF9TTgSPdUy1Vjb1iEOc5zXISHpJs4yPIREhZ8AKZmL+/q//npZKLdYlJ+33gIuuURWy25vBz7+8Wj2gf0M86BuiApJ64aaMRPqhgSlfGxzwQWim4kJRzO5nNQQpm7m4pFETUh8DAwAGzcCjz0GPPWUPG7cKNuB2bVt3ZhUlyUsaI4TQurFNtLcda4A+XtkhEYaMYMkZg+lAff139IC3HKLHK+JCRlgHzkig6bHH1dfcIWkD+qGqEDdEBWoGxKU8rGNrZt58xzNvPUWdVMJbTKESfbwk6mWhrosYcGF3wgh9UIjjaQBBkiDY9djPHZM+lbd3TJt8nd/F/jlL6WP1dcHfP7zyQ+WWONcH6gbogJ1Q1SgbogKx4+LH3L+PNDWNls3P/4xsHYt8Ju/KZnB1M1caAiTxPBbE9f0uixhQXOcEBIEr04HjTSSBhggDYZdW+/QIampNzgoGTS9vUBnJ3DVVTKN8o47kh8ssca5PlA3RAXqhqhA3RAVBgaALVuA115zdOHWzSWXABs26FHOQVfd0BAmiREkU83kuixhQnOcEOKHSp2ODRtopBHzYYDUP+7ZWO99LzA05NRj3LFDsq1GR/W4/s+cAT73OVlVftkyyfJpbGSN8yQwRTf5PPDKK8BXvyomwJo1ohmAukkC6oaoQN0QFWzdLFrkJLy0tkp5kR07gGuuSV4z9n7qrBvtDGEd06hJNDBTTQ2a44SQalQrx7N5M3DvvcCmTTTSiNkwQOqP8tlYvb0yUJqcBMbGgD17ZHCS9PU/MCBm8M9/Llk+b77pZPmUzxwj0WOCbtwZhfv3i26OHHEyw6ib+KFuiArUDVHBrRtbMyMj8tzYmCwi9+d/nnzfRnfdaGUI65pGTaKBUz4JISR8apXjOXOGRhpJBwyQ1qZ8NlZnp2RbDQ5Ke/DRjwL33JPs9W8Hsc6dk8HSwoWy3c7y6etjjfO40V037sDnwoWObtyaaWigbuKGuiEqUDdEBbdu3JoZH5eM8rvvTtZDNEU3ueQ+ejblGU2rVsnjzIxsn5pKeg9J2NhTPnM5aez7++Uxl0s+U4UQQkzFTzke20jr65NHtreEpBOv2VgNDcDy5fJz3XXJX/92EOuCC2Zvb22VDLHBQc4cixvddeNeVb6tzdnu1gxA3cQNdUNUoG6ICuW6sTWzZo2cq/I+RdyYohttMoT9LjBG0gWnfBJCskJcJZF0LcfDklCEREel68uE2Vh2EKu7W8pETEzIgMnm5EkZH+iwr2nDVN24A59euhkf12df0wh1Q1SgbogK1E20aGMIB1lgjKQLTvkkhKSdOEsi6dhBYkkoQuqjWkCl1vWl+wJ8dhCrsdG7DmBbmz77ahpp1Y078Fmum7ExeVyyRI99NRHqJvl9NY1aQX/qhnhB3SS/r9oYwrpmNJHkYDYZISQNVFvkLYqVZe1yPLp0kOL+/oSkjWoDomXLal9fus/GKg9i2XUAT54UM/iv/kpq75FgpFk35Zqx60cePChlBjduBN73Pj321TSoGz321SRqmXZ++oHUTfagbvTQjTaGsI4ZTSQ5TM8mi9PMpnFOiN4kURJJpw4SS0IRok6tAdGdd/q7vnSejVUpiLV6tWynGRyctOumkmYuuMCcsYKOUDdJ76F5+DHt/PYDqZvsQN3ooxttDGHdMppIcpieTRanmW26cU5IFkiqJJIuHSSWhCJEnVoDopdfTsf1pVMQKw1kQTfUTPhQNyQofky7NPQDqZtwoW6S3jMHbQxhwIwDRqLH5GyyOM1s041zUhlmfaeLrJdEyvr3J6Qeag2I7GCwF6ZdX2EEsXj/FLKim7ACn9SNQN0Eg7rxZ9qlpR9I3YQHdROMKDWjlSEM6JPRRJLD5GhQnGa2ycY5qQyzvtNH1ksiZf37E1IPtQZE69YBBw7w+gJ4/3RD3fiHunGgbvxD3Qh+TDv2Ax2oG4G68U/UmsnV/xaEhIvJ0aA4zWyTjXPiTXnW96pV8jgzI9unppLeQ6KCXRIpl5NgTX+/POZy2SiJlPXvT0g9uAdEbuwB0bp1vL4A3j/LoW78Qd3MhrrxB3XjUEszV17JfqANdeNA3fgjDs1olyFMiMnRoDjNbJONc+INs77Ti10Safdu+SkWgfXrZcXuLMCSUISo4WeNjbReX0GmSPL+ORvqhrpRgbqhboLidx0o6oa6cUPd+NNNHJpJvSHMGi3mkdQCg2FoJU4z22TjnDi4dXfwoET8vGDWt/mcOAF897vOlJ+dO7M1TYwloQhRw8+AKG3XV9Apkpw1NRfqhrpRgbqhboLi17SjbqgbN9RNbd3EoZlUG8Ks0WIucUeDwtJKvWZ2EFM6KeOchEe57oaHgUOHRO+dnbNfy6xvs+EikISQekjbgKgaKu0lZ015Q91QNypQN9RNULKkGYC6CQvqRqikmzg0k1pDmINv84mrgQhbK6pmtoopndZpFFnAS3cXXQQcPQps3w7ceivQ0CDbmfVtPtWm/Bw6BGzdCnR3cyYLIYSoTJHkrClC3RAVqBuiAnVDVAiqmzg0k1pDWLXeBktMpIcka7MENbPrMaXtqFH5Y5DPpubjx0t3jY3ADTcAzz0H7NnjRAWZ9W0+lab8jI4Cu3YBJ09KQIAzWQghqqTlfq4yRZKzptShbqiboKRFMwB1EyfUDXWjQpZ1E4dmUmsIq1ykLDGRHnSrzVILVVO6Xs1S82qEcWOqpLvOTlls7LrrgLVr/Wd9p+lmmUa8pvwUCsCOHbL94ouB5ctlO2eyEEKCkqb7ueoUSc6aCg51Q90EJU2aAaibuKBuBOomGNRN9JpJrSEc9GCzxIQZ+DG9dKzNUgsVU7pezVLzaoR1Y6qmu1xODGG/WeZpu1mmEa8pP0NDkiHc2QksXeq8NourDRNC1Enb/byeKZJZq0dYD9SNA3Xjj7RpBqBu4oC6mQ114w/qxiFKzeSiedvkcR9sN5UOtp2h6T4xgPw9MiIDc5IsAwPAxo3AY48BTz0ljxs3ynY3Qc9lUK1EgYopXa9mqfnglN+YVq2Sx5kZ2T415f+9wtJdmPtEosOe8pPLidnb3w8cOSIGfm+vUy/aJourDRNC1Ejb/dyrvXzzTfmb02rDg7ohQUmbZgDqJg6oG6ICdRMPqc0QDlpvQ4eyAaQyQSJEUddmiWJqvkq0qF7NUvPBCbPedFg1gaKogU2ioXzKz+Ag8OyzkiFcTlSzE1hahBDzKb+Ojx9P3/2c02rDh7ohKrh1c/CgjMW8MFUzAHUTBdQNUYG6iZ/UGsJAsIMdRtkADrSjI4jpFWVtlqim5quYg/VqVodSGaYRtokexg2Bxr5ZuKf85PPAzp3xrTbM0iKEmI/XdTw1BUxPe7/e5Ps5p9WGB3VDVCjXzfAwcOiQaKM8mG2yZtKHDgIAACAASURBVADqJkyoG6ICdZMMqTaEAf8Hu546MAAH2lETxPSKqjZL1HVsgpqD9Wq23v/PIlGY6PXeEGjsm0ucqw2nsQ4XIVmj0nU8NAT89KdSi7y729nO+zkBqBuihpduLroIOHoU2L4duPVWp9wVNUNsqBuiAnWTHKk3hP1Sz8CcA+3oCWJ6RWWyxDE1P4g5WO/3jNOMSgs6mug67hPxT1zThlhaxEz8zjziDKVsUOk67u4G1qwBTp8Gzp2L/35O/ekNdUNU8NJNYyNwww3Ac88Be/Y447M4xw7Ujd5QN0QF6iY5aAi7UB2Yc6AdPUFNryhMFh2n5tf7PXWrYaM7OproOu4TCUYc04Z0bL9IdfzOPOIMpexQ7Tpubwduvx244IJ47+fUn/5QN0SFSrrp7ATWrweuuw5YuzbesQN1oz/UDVGBukmOVBjCtZz7IM6+ysCcA+3oUTG9wjZZdJ2aX+/31KmGjQnoaKLruE9EL3Rtv4g3fmcecYZStqh1HV9wQbz3c+rPDKgbokI13eRyYtBQN6Qc6oaoQN0kh/GGcC3nPg5nnwPteEja9OLUfGKjo4mu4z4RfWD7ZRZ+Zx5xhlK20O06pv7MgLohKlA3RAXqhqhA3SRHLukdqIdy537VKnmcmZHtZ89Wf35qKpz9cAvYDQfa4WObXn198hhnZMbOUs7lpCHo75fHXG5ulnI+D+zeDWzbJo/5fHz7SeKH55voTpD2iySP35lHnKGULXS7jqk/M6BuiArUDVGBuiEqUDfJYXSGcC3n/umn43H2WcMzO/jJUs5KvRki8HwTU0h6lgXxj9+ZR3HNUMrCohqmoNN1zBly5kDdEBWoG6ICdUNUoG6SwWhDuJZzPzAQn7Ovk4B1Jg2DympT87NUb4bwfBPzYGkRM/A7dS6KKXbl9+n2dmDzZga9dEKX61i3KZ6kOtQNUYG6ISpQN0QF6iZ+jDaEazn3K1cCv/xl5efDdvZ1EbCuZCGTMkv1Zoie5zsNQRdCso7fmUdhz1Aqv08XCtKOXX01g15kLpwhR1SgbogK1A1RgbohKmRJN0YbwrWc+499DNi5M35nn4bMXNKQSennvGap3gyJ/3zX0mAWgi4kfnhPSwa/M4/CmqHkdZ8+fhyYnAT27wdWrAAaGmQ7g5zEhjPkiArUDVGBuiEqUDdEhazoxmhDuJZz394+9/lCATh/Hrj5Zjm5YQ9saxkyWR1Y65hJGQS/RluW6s2QeM+3n7bF9KAL0Q8GGZLF78yjMGYoed2nx8eljzI5CQwOAsuXO88xyElsOEOOqEDdEBWoG6ICdUNUyIJujDaEgdrOvfv5/fuBZ56RDJcXXwReeCHcgW0tQ+bee4FNm7I5sDY5czaI0ZalejMkvvPtR4OmB12IfjDIkC287tNtbc7v4+Ozn2OQkxBCCCGEEHPJJb0DYWA793198lg+QG1qEuNm507J6FuzBli1Sga4MzMysJ2aqn8/bEPGbQwB8vc77wBf+IIzsI7i83XG5MzZaud1ZESMNhs7az2XExOuv18ec7n01Zsh8Z1vPxo0OeiSZfJ5YPduYNs2ecznk94jhyBtX5rQ+ZxEidd9ursbaGmRY+A2hxnkJLqS1euX1Ad1Q1SgbogK1A0JSpSaMT5D2C9xZM9VM2RGR2XK5WWXRff5OmNy5mxQoy0r9WaIEMf59qNBk4MuWUX3cgxZDDLofk6ixOs+3dgIXH45sGePZAj396d3UQ1iPlm+fok61A1RgbohKlA3JChRayYVGcJ+iGNgW82QmZyULJsoP19nTM6crXZeCwWpq1geramVtU7SRdTn24/Z6zZz3JgQdMki5eUYdJw1krUggwnnJEoq3ac7O4GtW4E/+APgrruA++6TIBgHLkQnsn79EjWoG6ICdUNUoG5IUOLQTOIZwnEtshbHwLZaFmxXl7M6d1SfrzumZs5WOq/9/c6U6cbG8KI1WV14kFTGT4Z9U1P1RTZ1v86yhgk1n02e2aGCCeckaky9TxPC65eoQN0QFagbogJ1Q4ISh2YSNYTjTJmPY2BrZ9d4GTJf+hKweXN2BtaVMHGlRq/zWijIBXj11RKpsal3sSVOIzGXKI38am2L2+ylmWMOJpRj8Ku7tGDCOYkar3YsbeeZpBNev0QF6oaoQN0QFagbEpQ4NJOYIRz36uVxDWyrGTJZGlinjfLzOjgo291mMOAdrfFrFMZ9TZDwiMPI92v2mhh0ySJxzFoJI0iRpSBD1kpklMOAJDGZrF+/RA3qhqhA3RAVqBsSlDg0k5ghnETKfFwD20qGTJYG1rpSj0HiPq/btkmZCC/c0ZogA2xOIzGTsIx8P9qk2Zseop61Eqa5lxXdZa1EhhsGJInpZPn6JepQN0QF6oaoQN2QoMShmcQM4aRS5pMe2Cb9+VkmTIPET7Qm6ACb00jMJAwjn5l52aParJUNG2SRyl275LXXXAO8732VZxaUBxIAmnsqZK1EhhsGJInpZPn6JepQN0QF6oaoQN2QoMShmdgM4fJBa0dHdOnPYdby5AJf6SDs7Cc/0Zq9e4MNsKOYEkD9Rk+9Rj4z87KL16yRjg7gz/4MeOklZ+XYpiagtxd48MHZAYJKgYS+Ppp7qmR1Jg8DkiQNZPX6JfVB3RAVqBuiAnVDghK1ZmIxhL0GrQsWAA0NwNCQLNA1Pg60tck0/HrSn1Uy7SqZZszaSw9hZz/5idYEHWCHPSWA+o2Heo18ZuZlG/eskXwe+MM/lOzg9nagtVW2T0xItvAjjwB/+ZfyP9UCCd/4hvw/IPfXoSHnHjszQ3OvFlmcyVPejrl1MzLi6In4hwHZZDD9+qVukoG6ISpQN0QFk3VDzSRDlJqJ3BCuNmg9e1YGuWNjzvb2duDxx9Ucb5VMu0qm2YYNwObNzNpLC1FkP9WK1gQ1CsOcEsCs0/io18hnZh6x2bcP6O+X320z2P49n58dIKgWSDhyBDh9GhgdBXbsACYnneenpoBPfCLqb0JMw92ONTQ4usnnpR3asgW48EIGE/3CgKw+mDR4pW70gbohKlA3RAVTdEPN6EVYuoncEK40aO3oAF54QcySRYtmZwh/73tSMzGoYRU0066aafbggzIoWrPG33sRvYlqhcZq0RoVozCsKQHMOp1NlDfaeo18rjhLbIaHRauVyOedAEG1QMKiRcCZM8D27aLPhQtl+8SEPD77rJSVYFCI2Njt2COPAM89J21PczPQ2SnlSs6fZzDRLwzI6oNJg1fqRh+oG6ICdUNUMEU31IxehKmbXDS76FBp0Do0JJlKzc3A8uVivC5fDnR3yxfbuze8zwK8M+1s08xt1gHy99CQZFf5fS+iN25z1k2Uq3raA+xcTozC/n55zOWqG4W2ydzXJ48qjSuzTh0GBoCNG4HHHgOeekoeN26U7WFhG/n33QfcdZc8PvywvwY5CW0SPenqqh6oaG52AgTVAgm5HHDDDXKPzeflPmd3GG64QWbnqNxjq5HPS6mLbdvksZqxTfRk5Urg7rulP7Z+PXDttXIf6uyUfpFq3yxrVOtb8hjGR/ngddUqeZyZke12jXZdoG70gLohKlA3RAWTdEPN6EPYuok8Q7jSoHV8XB7b2uY+p2pYBc20q2aatbbOnmZb672I3qhmcdabWZpU4XhmnQoq0UzVc65a24crzhKbnh65qR89Ktm87hrCgGjYDhDUmoFw6aVi6rW2OjNwli6VmS8jI+EGhUzJbiC1GR2Vdm/VqrnPZS2YqAoDsnpQbabUoUPA1q2ShKLL9FzqRg+oG6ICdUNUqDaT/he/AL7+dZmlRc0QN2HrJnJDuNKgdXpajI6lS+f+j6ph5fVZhYI0xJOT8pn5vHNgqplmnZ2y8F1YC3yR5AlqzoZlciRROD7sBepMJWjpjKSMLa44SwC5N332s2LgvvSS07lqapIb+wMPOJqoFUgYHpZM4eXL535OvUEhd9CkowP467+Wz+IUsniIsgQOg4n1w2OoB/bgtXxhzaYmWb/k5Engoov0CWBRN3pA3RAVhocl+eT4cUcz3d3AuXPUDamMl26amoCdO+W5qSn5nZohbsLWTeSGcKVBa1eXDHBHR8MzrMo/69w5GTQBMmB64onZB6aaabZkCXDvvcCmTczaSxN+zVnT6+Qw61QIEs1M+pybvOIsCY+VK4EnnwReeUUGEYDU1H/f++bqr1ogYdmyaIJC5UGT4WEJun7kI7Nfl9V65VETddAqqmCiKQumhAEDsnrQ1SXlcV54wZnxVyyKMbNgAXDxxU7AzH2fTwrqRg+oG6LC9DTw8suz+2lNTbK9WKRuiDflurHbmgsukPZm9WrRjQ7+AzWjD2HrJnJDGKg8aD1xInzDyv6s3bvl8T3vAdaulWmywOwDU8s0Y9ZedknDomzUb7BoZhrOOdEfP8ZYU5PUb7322trvVymQECQo5Nes8wqaFAryvjt2SL1Z+14LcApZ2MQRtGpuBjZskIV19++XkiOdndJWqvbNslZShAFZPbj0UglWzczIQpuAGH12fXP3/d99n08K6kYPqBsSlHxeFuttapLzYZf7Gh4Gjh0TTblnRFM3BPDWzdmz0q8+cUL6R7ZudBiLUjN6EIVuYjGEAe9Ba1SGVVOT/HR01DZ3au0Ds/biRZcsorTUycm6foNEM9Nyzom+xG2M+bnHBtknr6BJW5u00ZOTwODg7BIVnEIWLnEErQYGgM2bxdi311JYsEBmTKloNOmZF0nBgGzyvPGGGDFHj8p1A8igqbFRrpl33pndXulwn6dukoe6IUHZt080csMNEhy3dTMxIfc+d2KaDXVDvHRz9qxo5vz5ubqhZggQjW5iM4QrEZVhFcTcybpppgs6ZRGxTk46CBLN5DknURKGMaYSMKt2fwu6T1731e5uoKVFyj/Zi8Xa78EpZOESddDKrYc1a5ztp05J+SwV8zbLMy/Yt0yW4WEJZvT1SbBqfFxKyb3xhtQ8d7dXgD73eeomWagbEhT73tzZOVc3v/iF6KYc6oZ46eatt4CDByUgX64baoYA0egmcUO4nLAyRGnumIVuWUSsk5Me/EYz7XM+NCTTLuwi7Y2NPOekfuo1xqIImAXdJ6/7amOjrAfw3HPyXv39nEIWFVH3a6IwbznzgiSFfb00NDgZnYWCTOEeHZX7uw37dsSGuiFBcd+by3Xz+utS79MNdUMAb910d0u/iG0NqUQUuqnbEA5zin+YA14aemahWxYR6+SkCz/RzOZm4BOfAD79aZl6YdPeDjz+eLbPuS6lXEymHmMsqoBZ0H2qdF89fx740IeAu+8GzpzhFLKoiLpf49ZDoSDBMTswNjOjZt4yOE+Swut6aWwELr8c2LNHtM0AFimHuiFBqXRvPnNGAuZtbRxLkrmwrSEqRKGbugzhMA3csAe8NPTMQscsoqTr5NCEi5d8Hvje94Drr5+bIfy97wHXXJPNdkOnUi4mU48xFlXALOg++VmIlURH1P0aWw+jo1KXbHLSeW5qSgJmQWFwniRFtetl61Yxa1gDkZRD3ZCgVNPMgw8CF1zAmqtkLmxriApR6EbZEA7bwI1iwJu0oadCVk3AMLOIwjyGSdXJoQkXP5XaICCdtS79XCe6lXIxmXqMMdWAWa1zXG2fFiyQaY7bts3+XxPvq2kiyuPf0yMzIrZvl3O9cKFsn5iQx2eflXplDM4TU2B7RVSgbkhQamkmTeMHEh5sa4gKYetG2RAO28CNKkPUpMLXWTYBw8oi0uEY1mtI04RTp55jr2OWelT4vU50K+ViMvUYYyoBMz/nuNI+5XLy+xNPeP+vSffVNBLV8W9uBm66CXjxRfk7n5fHlhZZzfj06ewE50l6YHtFVKBuSFCoGaICdUNUCFM3yoZw2OZJ1uvMZd0EDCOLSIdjGIYhTRNOjXqPfVbaoCDXSZZM8jhQNcaCBsyCnOPyfWpvB7ZskfNb/llZuBdlnXnzgPXrZaViu2zO0qWycMXISDaC80R/sjqbjtQHdUNUoG5IUKgZokJSulE2hMM2T6KqM2fKBUkTsP4soiiPYZzT63Ux4Uy5doBwjn1Wal0GuU6yYpLHiYoxFjRgFrQtdO/T7t2yqGKW70VZpqtLMsTtVdLd8JonOqDDTDBiHtQNUYG6IUGhZogKSepG2RAO2zyJos6cSRekLiZg0tSTRRTVMYx7en0UJlxQc9ekawcI59hnpdZlkOskKya5CQQJmNXTFvJelG14zROd0WEmGDEP6oaoQN2QoFAzRIWkdaNsCEdhnoRZZy7pAxsUZuLVT1RGatzT68MekAc1d027doDwjn2UtS51ybgOcp1kxSQ3Bb8Bs3raQt6LkifqtqLa+/OaJzrD2XREBeqGqEDdkKBQM0SFpHWjbAgD0ZgnYdWZS/rABoVZOfUTxTFMYnp9mANyFXPXtGsHCNfEiqLWpZcp394uCzjNmwd0dMjrzpyJ3iwOep1wQSjzqKct5L0oWaKeneHn/XnNB0eXgF/aSdsMBuomHqgbogJ1Q4KSNs0A1E0cJK2bugxhQN+FQuI4sGFeIFFn5WThYo7iGCY1vT6sAbmKuZt0o6SCziaWlyk/Ogps3w68+CJw+eXA/v2yvacHmD8/2vIcKteJru08Ebzad9W2kBmiyRH17Iwg789r3j+VTPYNG6Qed5r7XXGTphkM1E18UDdEBeqGBCVNmgGom7hIWjd1G8K6EvWBjSKLJ6qsHNPqwdZD2Mcwyen1YQzIVczdpBslFXQ2sfbtk+Pc1gYcOiT78q//KvtcLAIHDki2MAAcPQr09YlhHGV5DmYApodq7bvqOaY+kiHq2Rnu9y8UgKEhYHxc2qbxcT1nf+hOJZO9vx+44w65bhob093vihOdg79BoG7ihbohKlA3JChp0QxA3cRJ0rpJrSEc9MAGyaCNMosn7KwcP/uaNsI8hqZPr1cxd5NulFTR7djbvPYasGuXsx8TE3Is16yR3wsF5zyMjACDg8Dy5dGX52AGoPn4ad97epx72969/qP41Ef8RD07w37/0VFgxw5gctJ5bmpKZirwnAfDy8QvFORYTk6K2b58uWzXuQ6/Kegc/A0CdRMv1E0iu2s81E0iu2s0adEMQN3ESdK6Sa0hHOTABs2gDTuLJ8pyDn72lVTG9On1KuZu0o1SPeh07AG5tn/4Q+f4AWLeFYvAW2/J/jaWtcLj4/Koa3kOog+12vfnn5efLMwOSQNRz87o6pLO/I4ds9skADh5EnjmGeD22/Vu43XDy8QfGpLBUnOz054DetfhNwldg79BoG7ih7ohKlA3JChp0AxA3cRNkrpJrSEM+DuwKtm+YWbxRF3OwcR6sHHh14hPumGvJ2Cgau4m/Z3Twr59QEMD0Nkp2cCtrbKIXGOj3FBbWuTHTVubPFYzgLJQE5zUplr7PjMDfPObwIoV0dSjJeET9eyMnh7g/HnJEF62zNk+MSFtVEMDO/NB8TLx3YMkuz23yXq/Kyx0C/4GhbpJBuqGqEDdkKCYrhmAukmCpHSTakMYqH1gVbJ9w8riiXoBmTD3NW0ENeKTukDDCBiomrtpuJklzfCwmL+9vZKVNzIi5/D8ebnue3okM29iQl7f0gIsXVrdABoYkPPZ3y9tSHMzsGoV8NnPMusza1Rr30+flke3sWj/HUYUP+ygBIMc0c/OaG4Gbr4Z2LNH2iKblhZpo0ZG2JkPipeJ39Ymeu7slPbcTdA+YtavibQSlW6omXRD3RAVqBuiAnWTHZQNYZNPpnvfDx4UY8aLSpGOsLJ4ol5AJsx9TRNxGPFhEOZ+0txNBtuw6+yUxeIGByW62tMDvPKKnNt3vUvaAkC2Hz1a2QDK54EvfhHYvXv29qNH5X2ffFIP7ZLoyOdFO7t2yfT/iQmZwtXd7bzm1CkJRNiLFZZTbxQ/7JktWVr4tBZRz8644grgmmvk/U6ckGN94YXA/PkSRMhqkFgVLxO/UBCT/fLLJevaJki/i9dEuolCN9RM+qFuiArUDVGBuskOSoawySezfN+Hh4FDh2QQ1Nk5+7WVIh1hZfHEUc7B5HqwURGHER8GpuwnqUx5QMZdfP/GG4G77wbOnAE6OmT7mTPVDaBXXgFeekmMvtZWZ/vEhGx/5RXg2muj/14mYXLwspyBAQkIvPSSLAJWLALT09Kur10rxl4uByxYAHzwg8APfiA66e6eXau6ntkhYQfUTAnQxUmUAbyeHilbs2uXs+3YMXlcty6bQeJ68TLxOzqATZvU+l28JrJBmLqhZrIDdUNUoG6ICtRNNghsCJt8Mr32/aKLJLtu+3bg1ludaEetSEcYWTxxlXNgPdjZBDXiozSUqr036z8nSxjnvVZAJmgAbdcuMQLdZjAgf7/zjjxPQ9jh4EHgwQflHLa0iFEKyLT5K64wyxzO56Ud371bAgKWJQsTTk5KCZI33pDv9/GPy2tefhl4+215TWenlATo7Kx/dkjYgSoGvuKn2n2FqOFl4qv2u3hNZIewdEPNZAvqhqhA3RAVqJv0E9gQNvlkeu17YyNwww3Ac89JXT3bpPUT6ag3iyfOcg4sGeAQxIj3mw2vYh7Wem/Wf06OMGdBMCCTDAcPAnfc4ayGOzkppRWamyXDtrdXMmdNmNkCSPvS3y+/NzcDhw+LLhcscL7jvHnAY48B118PrFkjbciOHbKI2HPPSamAJUvqmx0SdqCKga942bdPAgi33uqUsGlrk1pwR4/q3YczDdV+F6+JbKOiG2qGUDdEBeqGqEDdpIvAhnCtVc1feknfqbmV9r2zE1i/HrjuOpl2G5dh09wMbNggGWyvvy4ZbJ2d9Q/YgXRNkw4bv0a832x4FfPQz3uz/nMyRDELIqyAjF37c2JibsmIpiZ5nsg5fPBBMUqXLRPT6403nJq7zc3Aq69Ku6/7zBab4WH5XgBw7pxTx8umUJDtZ8/K78Ds2tVHjgA33QTcfnt93zXsQBUDX/Fi94MaGpwSNjbskOsBr4loSWP/mJqJHuqGqEDdkKCkUTMAdRM19egmsCFc6WSOjsoU1dOn52bZ6pJ9VU2IuZwYwnFmxgwMAJs3y8CspUXMiwULgHvvre+YmVzjOQ781lX2kw3f06NmHvrNtGf95/jReRbE+94nma27djnmoE1vrzxP5Bza2cAzM3LeZmaknS0UpL2fngb275cgoAlZkV1dzo19enru8+4awePjzu+28Tc1JVmg9bYbYQeqGPiKl3o75GkdqOgEr4noSGv/mJqJFuqGqEDdkKCkVTMAdRMl9eomsCHsdTILBanB29QEXH317Dq8OmVf6SREdxbimjWz92XTJvVjZnKN5zjxM43fz9QGVfPQ77SJsMoNcBDvH52ntDQ3S+brI4+IvvJ52bZ6NfDAA7y2bYaHnQzqc+fEQM3lnOdnZoD58yUINzoa7zlVvRZ7eoBVq2Ra/8yMs902hxculEXlDhyQEgDlhBV9D3uhUi58Gox62/J6+kFpHqjoBK+J+vG6ToD09o+pmfqp1LameVxF3dQPdUPdqJC1exRA3YRBVLoJbAh7nczhYck++shHHDMYiC6jTnVApJMQo8pC1Dm7UTdqTeP3k0mlah4GydKqt9wAB/HB0H1Ky7JlwN13y4wMyxJtrFvHG6mbri4pl9DSIrNWys3gefPEED5zRkzhuM5pPddiczPw2c9K9u+OHbLfdqmQFSukbvDUlCw411h2Zw876Bl2XWzW2fZHGG25aj8ozYNbHVm2DLjzTu92ngHe6lS6Tvr60t0/XrkSeOgh4Omn5RisXAl87GNyTwCom2pUa1uHh6kb6sYb6oa6USGr9yigum6omepEqZvAhjAwd/B24ADws5/JALycsDPq6h0Q6TLwjCoLUefsRtPwk0m1d6+aeRhXtjoH8cHRaSZBOV7t34EDkhlKc9+hp0euvcZGMVRmZuRnclK2XXyxmMT5vHQ6wjintToyYVyLK1cCTz4JvPIK8PzzwIsvSiZ0V5cY3wsXAo8/Dnzve9EHPcNeqJQLn1YnzLZcpR/EYHN82O38O+/IDIbJSeDHPwa+9CVpUxjgrUy16+Qb33DMinLS0D8u18327cAzz1A3tajVtn74w+keV1E3alA31I0KWb5HAZV183u/B/zDP1AzlYhaN0qGMDB78LZkCbBzp/frwsyoC2tApMPAM6osRN2zG03CTyaVqnkYV7Y6B/HB0WkmgRua+/5xn8OrrgLGxsRUsevo5vOSHdzSAvzpn9Z/3PwEKsO6FpuagGuvlZ/Pftbb1LvmmuSDniRcwm7Lg/SD8nnJSj92TK6t7u7ZWehpGajogN3Oj44CBw9KuwVIqZjbbpM68R0dvAdUotp1cuSIBM68ML1/TN2oU6ttPX06veMq6kYd6oa6USGr9yigsm76+0U3N98sCTs21IxD1LpRNoTdxJVRlyZzK6pjpnN2o4nUyqSqxzyMI1udGeNq6DKTwE2a2r84cJ/D/fslAg045nBXl5jBl15a3+f4NeqjuBZtU8/OTv7Rj5zs5J4eJ2PZXgCTU6/MJam23A52HDoEHD4MDA5KIKW315kVloaBii7s2yfn8uBBpy9h8+abwLZtwAc/KNe8bczzHuBQ7TpZtEja/zT2j6kbdWq1rQsXpndcRd2oQ91QNypk9R4FVNZNQwNw/Djwk5/Iuijt7aIbasYhat2EYgjHlVGXJnMrqmOma3ZjnIRdg6ZWJlU95mHU2erMGFdHh5kEbtLU/sWFfQ7XrQNuvz0ag9+vUR/VteiVnZzLye/nz3PqVRrI54GhIeCtt0Sz5Rm6UbXl7mDHe98r+1Asys+OHcANN4jGJyelE28vcknUGR52ykS4B9mTk5IBMj0tZXDa2mYb87wHCNXa2VwO+NSnpNxO2vrH1I06te7Ny5end1xF3ahD3VA3KmT1HgVU1s25czKD8+TJubqhZoSodROKIQzEk1GXNnMrqmOmY3ZjXCS1gJpu5qENM8bjJcqCWWrhcwAAIABJREFU+Glr/+ImqmvUr1EfxbXolZ1cKAA/+IH8fuutzkKvnHplJu56a2+/LaZwZ6czwIqyLS8PdvT2OgsajowA3/2u1LDu6QGeeIJBhzDo6nKmUdrMzMh5tywJBLS0yLGemJDz0deXvXtApXttrXa2r09+0tY/pm784aUbP/fmpqZ0jquoG39QN7Ohbvyhopu03qOAyro5dUq00dQ0Vzdr12ZLM0AyugnNEAaiN8XSaG5Fdczc75vPi0DSvmoja6zOhRnj8RF1MCKN7V8a8GvUR3EtemUnDw05vw8OSpYKwKlXJuK+p61ZI1rbsUMyLJ57TmpFL1kSXVteHuzo7JQO57FjkolwySXA+9/PoEOY9PTIeT561Nl27pwMoubPF03MzMj21la5/g8eBC64IDv3gPJ7baEgsyFuvhm44gpgwwZg8+bq7Wza2kDqpjbVdNPXBzz7bHXN6Jr4UQ/UTW3cupmZkQzYxkbgnnv8tTXUDXWjopu0aQaorJuZGWDePPnbfmxtlYzh8+ezoxkgOd2EaghXIqysOZpbwUkqYzYJWGPVmyxnjMeF27hZsUJMufFxuZk98gjwl39Z//Fm+6cnQYz6sK9Fr+zk8XHv3wFOvTKN8nuabcgODsoiEjfdJKVQVPWTzwOvvALs2iV/X3ONLAZj98+8gh0NDdI5bWoC3v1uxwwGeK8Ng+ZmWaX9jjvk/tHcLAtgWpaUCrEsGTCNjMjrx8ZkqmVW7gHlgf/RUSdIsmePEyS59145blnp81A31fGjm4ULnfY0C5oBqJtauHWzaJEzQyafBx54APjQh4DPfCZbbQ1A3dSCuvGmmm5WrpRx0/S0oxvLAm65Jf3HxSZJ3URuCIdtSNLc8k/WMmbjrrEaZXmAsEljhFonbONm0SLghRdmT4mZmpJsultuqV8zbP/0I6hRH+a16GXYtbV5/w74n65nUtuWZrzuaQ0NkvU9NQUsXap+7Q8MAF/8IvDSS/JegLxXby/w4IPS1lQKdpw8Ka9dunTu+zLoUD9r1wJbtwJf+IJooKNDyoXYNfUWLJCgwPi43Hc2bkxfgL8S7iBJoSADpmIRWLZMtre1SZ9306b09XFrQd1Uxo9uLEv6atQNdWNj62bFCunbuxfCGhmR5I8stjUAdVMN6qYylXTT0QHceKOMP8bHpU0eHwcuvzzpPY6PJHUTqSEclSEZ1oC61qC33ueTJmsZs3HWWA0j0KG7foh/hoelnbMHGe5i+SdPAt/8pmTTbdpUf3CM5r5+JGXUexl23d3O827Dzm9pkSzNKtGdqO5p+bzodfduWc25tVW2T0xItrA9q6FSsKOtTabmu7ODw9gv4nDppcC3viVtyvHjwJYtEnDs7JTnly+Xa3rJEsnqzgruIMnQ0NzFacbH5diksY/rB+rGG+qmOtSNN7ZuvDQDOFmwWdQMQN1UgrqpTiXdlM+ybG3NVrmIJHUTqSGssyFZa9Bb7/O1iMMMjDtjNmniqrEaRqCDpku66OqSOj9eDXhzs2z/whfkdVnI1s8iSRj1lQy7devk96NHg5UWydqsEt2J6p62bx/Q3y+6KBTk/ebNc2r/uftnXsGOtWuBP/kT1jOPGnebctVVLBcEzA6SlJfEAZxZEWns4/qFupkLdVMb6mYutm68NAOIbqamsqsZgLrxgrqpDXUzlyR1E6khrKshWWvQ+9BD9T1fa9AclxkYZ8asDsRVY7XeQAdNl/TR0yN1NfP52dsnJmT6lGVJe3jZZbK9UHDqDI+MSKbeddfFv9/EfCplJwPBM5Z1DuJmkajuacePy2rgb74p7VZjo7MyeEeHtGPu/plXsIP1zOOF5YIEd5DEXRLHvtfasyLS2MdVgboRqJtgUDeCrZuTJ2dvd+vm6FFqxoa6EaibYFA3QpK6idQQ1tWQrDXoffrp+p6vNmiO0wyMK2NWJ+JoVOoNdNB0SR/NzbIC6AMPOMXwAaeW1t69zrRsezETu87w2Jho9mtfY3Y4UaNSdnLQdkTXIG6WCeueZs9Keu014DvfkRW/p6clM3h6WozgYlECVRdfXLt/xg58/LBc0Owgyfi4ZMucPClTlHt7pYxJmvu4KlA31I0K1I2jm0cecTTT3Oz07UdHqZlyqBvqRgXqJlndRGoI62pI1hr0DgzU93y1QXOcZmBcGbO6EXWjUm+gg6ZLOrnxRlkBdGhITJa2NonmjY5KXdeGhtmLmbhLSzQ1MTucJI+uQdysU+89zZ6V9M47UiN4fFzaooYGp19w5owsAnP+vNQV9tM/YweeJIE7GLF/P/DMM6LlkREp3ZT2Pi5Rg7ohKqxcKTX1n39e1gOZnpZ6p9QMqQZ1Q1RISjeRGsK6GpK1Br0rVwK//KX689UGzXGbgcziCZ96Ax00XdJJc7NkCNvlYKamZGrHwoXAl74EbN4MHDo0u86wPQ1k7VppI7duFfOYiwySJNA1iEvUcc9KamuTe39joxjAk5NiABeL8nxDg7Q9t97qv4/AxVFJEtjBiHXrgNtvZx+X+IO6ISo0NQG33AL09VEzxD/UDVEhCd1EaggDehqStQa9H/sYsHOn/N3R4dT6nJ6WAY/7+aCD5iTMQGbxhEu9gY5q+mtvF51t28bBtYlUa+/uvx/4zGekRISNPQ1kbEwy906eBC66iIsMkmRobgY2bAAefBB4/XXRZ2en6JjZDGbinpV06JBsmzdPzuW8eZJ5cP689HGuukoCUpdf7u+9uTgq0QH2cYkK1A0JCjVDVKBuiApx6iZyQxjQ70KoZei1t8vjF78IvPCCZPoB8j16eyVtW9UQZAZWOqgn0FFJfw0N8vjEExxcm0yl9m7lSuBznwO+/GU5r3ZJiWJR2pliUWp3Ll8ur+cigyRuBgYkk72hQczgyUkpI3DvvWyDTMU9K8leTGn+fMkSnpyUtmXxYjF129vFHD5xQha6rBaQ5OKohBBCCCGEmE0shrCO1DL0li2TQdN73ysDJ3c9UHuwY///iRNObY/hYfnfSoMoXctokODUE+go1197O7Bli+ihPFDAwXV6uPpqYM0aMVHs83z8uLQrnZ3OStcAFxkklYlimr7b4Fuzxtl+6hSwaRPbIFNxz0rq7hajP58HVqxwSticPCk1hQ8elPI1W7fWDkhycVRCCCGEEELMJrOGMFDd0Nu3Dzh7Fnj3u2dvLx/sdHXJit1BpkzqWEaDREslA8fW3+7dojcOrtONV0Do7bel3VizRra1tYlx09jIRQbJXKKapk+DL52Uz0rq7ZWFLUdH5V50ySXS1gBiEnd3O/9bLSDJxVEJIYQQQggxm0wbwtXwM9ipZ8qkbmU0SHT4MXA4uM4O5QGh114DnnxSDDkbu7YwFxkkbqKcps82SE/qzQb3CkKtXSulIW65ReoFT09LqSK3GQxUDwZwcVRCCCGEEELMhoZwBfwMdphRRWrh18Dh4Dpb2AGhfB54+mkxbZqbgdZWeX5iAti+HXj/+9XqikdRUoAkT5T3HLZB+hFWNnitWUnbtsn7FwrOIrr2TIVKwQCuh0AIIYQQQojZ0BCugJ/Bzo9+xIwqk4nDNPNr4HBwXZ20Gpx2aZobbpBp3CMjznNTU8BNNwXP+IyqpACJDr/6jjKLl22QXoSdDe4OQu3bJ/2Xjg557uBB4OhR2W4vogvITIV3vcs7GMD1EJIjrfdDN1n4jnGThWOahe8YN1k4pln4jnGThWOahe8YJ1k4nrp+RxrCFfAz2GFGlbnEZZr5NXA4uK5Mmg1OWx+dnUBfHzA46GTnTUwEP+9RlhQg0RBE31Hec9gG6UUU2eBurZ0755SpuewyqWOfywGXXipGMCCL5b7xhjzvBddDiJ803w9tsvAd4yYLxzQL3zFusnBMs/Ad4yYLxzQL3zFOsnA8df6ONISrUGuww4wqM4nTNAti4HBwPZe0G5xufTQ0AMuXO8+9+WZwg69eE0nXyGVaCarvqO859bRB1E64hJ0N7tbaihXACy8A7e3y3KFDwLJlYgAfOgRceKF8RmurvPbAgcrtBtdDiB772jp+HNiyBVi0KJ33QyD99/w4oW7S9R3jgrpJ13eMC+omXd8xDqgZfb4jDeEa1Brs9PUB3/gGcOSICDmXY0aV7tRjmgU1PYIaOBxczybtdbrDNvjqMZF0jlymlUr67ugAfvEL4Otfl8UF7XYmjixelTaI2gmfsLPB3Vo7fhyYnBSdnTsn7ca8eZIdPDgoJvCKFcDSpcBbb7H8VZK4r61Tp2QR0q4u4Fd/Vcp72DNKxsfNvx8C6b/nxwV1I1A3waBuBOomGNSNQN34p5JmenuB+fOd9SxGRmQG23XXJb3H9aG7ZmgIK+IWcnu7ZNaMjQGf+pSYxDSD9UXVNFMxPTgNuz6irJmqA2HrQ9VE0j1ymVa89D06KvWkh4elI71z5+x2RreZBNRONEQZLBofF20dPiwLyY2Py/mbmRGTePFiZ7aCH/OZ2eHRUH5tnT8PLFggZv7WrWLY53Ly2qkpYP9+8wehab/nxwF1Mxvqxh/UzWyoG39QN7OhbmpTSTPFoqxvMW+es57F2JiMI772NbMTTHTXDA1hBaoNgJ9/Xgxhoi8qplk9poduBo5JZKFOd5j6UDWRdI9cppVyfRcKYgYXi9I5Wr1ajLnydibKmQRBzT1qJxqiChYVCrKQ5dtvy2e0tsr2YhGYnpasDPt8+zGfmR0eHeXXVlub9EFOnZLzOG+eU/bj5EngmWeA2283u2+RhXt+1FA3s6Fu/EHdzIa68Qd1MxvqpjZemgGk73n4sJQw6+pyXt/UZH6Cie6aoSGsAAfAZqNimtV7zlkKQo0wsuRMyF4LSx+qJpLukcu0Uq7voSHJqmhuloW9li6V18V1b1Ex96id6Ag7WNTQAPzgB1ImIp8XA3h8XIIPq1bJ+Z+elpIShULtdoPZ4dFSfm11d8s1OTkJNDbKuQJkAdLOTjm/pvc/uTZH/VA3znbqxj/UjbOduvEPdeNsp2784aWZlhY5fufPOxnlExOyfe1a4OhRs3Wju2ZyyX68mXAAbDa2aZbLicnS3y+PuVzlwW/az3k+LzV6tm2Tx3w+6T0SVM6Vm4EBYONG4LHHgKeekseNG2V7WrFNpPvuA+66Sx4ffrh6tp7ukcu0Uq7vI0dkepRlSR2thgbntVG3M+Xm3qpV8jgzI9vt6VvlUDvRYgeL+vrksR6D1T5PMzPSyQZksHbunJS9WrECWL8eeP/7gd//feDOO4HXX698T7ADpe7OLSB/j4xI552oU35tNTbKwMiy5HqcnHSCN7298rzpfZF67/mEuqFu1KBuqBsVqBvqJihemuntlUSE6em5mmloMN9r0V0zzBBWgANg8wmaeZXmc677lF/VLLm4std0zEAOmnGse+Qyzbj1/dJLwD/9E3D11bPNYCD6dkZ1FgS1Ywb79klbeOutsnjHzp2SfXH+vHTAp6ZEcwsXAlddBXz3u7XvCWkPlCaN17XV3S2/NzXJeWpvl5kEDQ1i6pvcF7Fhma36oG6oGxWoG+pGBeqGugmKl2Y6O4H3vEf6ouvXz9YMYL7XAuitGRrCCnAAnA6CmGZpPeemTPlVKakQR2kX3c10v3Dxw2Sx9d3TAxw4IAvLxd3OqJp71I4Z2Oe3oUGyd37yE8lMmD9fsjE6O+Xx4EHghz+U19W6J6Q5UKoDXtdWoSD19q6+WrL4bUzvi5TDMlvqUDdJ74WZUDdJ74WZUDdJ74V5VBo3LFsGfPCDUsYsTV6LG101Q0NYAQ6As0daz3ma62FHnb1mipnuF50jl1khyXamHnOP2tEf9/k9fVra+DNnxAS2p3UuXiwd8YEB4JprnP8tFKS9O3xYVg2/7TbRaloDpTrhdW11dACbNqWrL0LChbohKlA3RAXqhgSl0rjhxIn0eS0mQENYEQ6As0caz3map/xGnb0Wt5keR2kKXSOXWSKpdqZec4/a0Rv3+R0fl5W/ly4VjbW2Ar/+61JD+Gc/m10veHQU2LFDDOOxMeDb35ZyE/YsiDQGSnXD69pKW1+EhA91Q1SgbogK1A0Jipdm0ui1mAAN4ToIMgDWsc4oCU7aTI80T/mNOnstTjM9LaUpiD+SaGfSOguCCO7ze+yYLGiRy0lpiO5uYP9+OdfufkmhIGawrQMAuPhiZ6FBe7FKdt7jJ219ERIP1A1RgbohKlA3RAXqJn5oCMcAzRyiK2me8hu1wRWXmZ620hREX2jupZuVK4GHHgLuuUfObWurtPu5nCwwt307cP31YhKfOiVtz+SktJkTE0BLi7PIh3sWBDvvhBBCCCGEmAcN4YihmUN0Ju1ZgeUGV3u7fMfXX5cM33oy9eMy09Nc55noB829dHPwoBjBH/uYZP+eOeM8NzUF3HKLrAr+6KNSM3hsTJ5raQF6e50Vn00vKUQIIYQQQkjWoSEcMTRziO6kPSvQNrjCztRvbgY2bAAefFCmW7e2Ap2dcvzCNNPTXOeZhAtLE5Fa2O1JZyfQ1wcMDkpN4bY2yQJuanLuCVu3Ss3giy92MoNtTC8pRPSD7RdRgbohKlA3RAXqhgTFBM3QEI4YmjnEBNKeFRhFpv7AALB5s5gkra0ytXrBAuDee8MtBZPmOs9pJu4OAEsTET+425OGBmD5cue5N9902pOmJuC222QBuZmZ2WZwGkoKEb1g+0VUoG6ICtQNUYG6IUExRTO5pHcgbvJ5YPduYNs2eXSvph0FNHMISR47U99d2gGQv0dGJDs6CG6Dec0aMdOvv16u902bZOp1WLhLU7ihKaMvAwPAxo3AY48BTz0ljxs3yvYoKA94rFolj/biX2HqkZhNkPbELimUy4lZ3N8vj7lcOkoKET1g+0VUoG6ICtQNUYG6IUExSTNGGsKqpm7cg3Sg8uBraEiEcOJEPMY0IVkm7Ez9sA3matCUMYskOgBx6pGYTdD2xC4fcd99wF13yePDD+uV2UDMhu0XUYG6ISpQN0QF6oYExSTNGFcywiv1esEC4KMfBebNqzw1N6nF3bwW7Tp7Fjh0CLj0UqnRp2v6OCFpIexM/bhLwaS9znOaiLtufD4vi4MdOyb3m+5uoNF1Z2dpIlJO0PYk7SWFSLKwtBpRgbohKlA3RAXqhgTFJM0YZQh7mbqjo8D27cA//zOwfr1kuXiZq0ku7uYefB0/DmzZItPLu7ud10RtTBPzMKEIuSm4M/XdkTrVsgtJlIKhKWMGcXYA7ADpoUPA4cOyQFhLC9DbK4uGASxNRLxhe0J0oaND2s1CQRY3dAe12H6RSlA3RAXqhqhA3ZCgdHWJXo4fdxZvtnWjm2aMMoTLTd1CQTKjbJOstVUWSPEyV5N26e3B1+7d8rvbDAbiMaaJOZhShNwUvDL13cc0aBAmbIPZDwwQmEFcwQJ3gPS975UyRMWi/OzYAfT1ScCUdaYJIboyMCBJEocOSdvV3OwEtc6fZ/tFvKFuiArUDVGBuiEqtLeLrzc56YzXW1qAyy/XTzNG1RAuN3WHhuQgt7bK3+Pj8uhVm0OXxd2SNqaJ/phUhNwkwqyFGXdd3yTqnxM14loE0F2bqrFROqaWJe3H8DCwZw/rTEdF3IvTEpJG7L6OZQEf+Ygzq2F0FHjuOembs/0i5VA3RAXqhqhA3RAV8nlg82bg6qsdzQCimz17gHvv1UszRmUIl5u6tgFs09bm/F5uriaR0eeFLsY00Zcky5uknTCnScdV1zep+udEjbCz0StRHlzs7JSs4MFB+dyPfhS45x5qI2w4e4OQcCjv69jt1/i4bL/7bl5TZC7UDVGBuiEqUDdEBbduVqxwNNPWJo9nziS9h7MxyhAuN3VtA3hiQlKwly51XltursY1SA/6HWziNqaJvjCL3BziqMPJAIF5xBEs8AouNjRI2aR8HrjuOprBYcPgDDEBU8oLlfd17PYLkFk3ug2Y0g51Q1SgbogK1A1RwUTduDUDiG5083KMMoTLTd2ZGWf6/A03yAEHKpurcWX0BfkOSRnTJByiaJiYRU7cMEBgJlEHCxhcjB8GZ4guePU9AOCFF4BvfEPW2Fi0qPJCyzpQq6/T3i4lWXQf+JkEdUNUoG6ICtQNUYG6iR+jDGFgrqn7iU8Azz4LnD4tA7Va5qoOK2vrYEyT+olq6jCNHuKGAQLiBYOL8cPgDNEBr75HQ4MsbvPznzuL3gwNOYve6JjBXt7XKRRkn0+ckOe/8x2ZWmlZ8tz588DNNwNXXJH84MlEsqabmRkZGzY2SvmkG2+kZlSgbqgbFagb6kYF6iYZ3RhnCANzTd2+PvPMVR2MaaJOlFOHafQQNwwQkEowuBgvDM6QpPHqexQKwA9+IOXTmpud+8TEBLBjh/SRjx7VL4Pd3dfZuxd47TWZ9dfYKIO8/n6Z/QfI97AXY7nmGrnWdMwK0pWs6eaaa4DXX5eFx/N54IEHgA99SB6pGf9QN9SNCtQNdaMCdZOcbnLxflw02OZqX588cjBMosaeOuw26AD5e2RELv56sI2e++4D7rpLHh9+mDeWLGLfVHI5CRD098tjLscAAeH9L07cwRk3DM6QuPDqewwNyWOhIAMLQAZUhYIsZPLaa/K3jhnsK1cCDz0k7dZll8lg6Dd+A5g/X+59P/mJ/BSLwLJl8rq2Nvk+jz7qlI0j1cmSbubNA555RgbdCxc6uhkaomaCQt1QNypQN9SNCtRNcroxMkOYkKSJY+ows8iJjUomqCmF90l86KIJXfYjKJy9QZLGq+8xPi6PDQ3OoOmtt+T3qSnJqm1ulhJrOnLwoDNgAoBDh+SxtRU4dkx+v/BC5/Xj47JAC+t2+ydLurHXl5mZmf36efOchA1qxh/UDXWjAnVD3ahA3SSnG+MMYVMHkiRdcOowqUXYbVWQAEFU9a2JueiiCV32QxWW6SBJ4tX3aGuTx9ZWGSS9+aYMnlpanO2NjbLeRl+fflotHwTa3weQ7Jly7OdZt9s/WdLN9PTsR/fzU1PUTBCoG+pGBeqGulGBuklON0aVjBgYADZuBB57DHjqKXncuFG2ExInnDpMqpFkW1Veg2nVKnnkFFu9yedlxdlt2+Qxnw/3vXXQhC77US8s00GSwqvv0d0tj5YFXHWVmKjnzwNjY/LY1ia1eM+erb+cVRSUDwK7u2WwNzEhA7+GBtk+MSHbly6Vvxl890+WdGNnXM2bJ49u3VAzwaBuqBsVqBvqRgXqJjndGGMIp2UgSdIB67qSSiTdVkVd35qET9QBBF00oct+ZIUogwwkGbz6Hm+9JYuTrFsnWSUdHfLT3S1Bi498BOjs1DejtnwQ2Ngoq4fn844hfPKk7H9vr/zN4HswsqQbO4Bw7pwzE6W3VxYlpGaCQd1QNypQN9SNCtRNcroxpmSEPZC0Vx20WbyYdcRIMnDqMPHCq60qFGRwe/gwsHUrcNtt0ZW6iaO+NQkPr1V1Aek8PPqotDH1tim6aEKX/cgCppfmIJWp1PcA5P7y7W8DF18smSb2oAPQN1upUm3u978fuOkm0fAzz8h3GRkBTp9m3W4VsqKbD3xADISnn5bpuIsWUTP1QN1QNypQN9SNCtRNMroxxhDmQJLoCBd+I+WUt1Wjo8COHVIIf2xMbmY7d0ZnzLC+tVnEEezURRO67EfaiSPIQJKlUt/jttvk/jIzM3uwpHtGba0A++23M/geBlnSzV13UTNhQd0kvcdmQt0kvcdmQt3Ev4/GGMIcSBJCTMDdVhUKYgbb2XmARDbt8hFRGDPu6Snuqfm63zCzShzBTl00oct+pB3OqMoulbJRTMhWqhZgZ/A9WtKoG2omeqgbogJ1Q1SgbqLDGEOYA0lCiAm426p8XjKDFy6cXTC+oSE6Y8bkG2YWiSPYqYsmdNmPtMMZVdmG5ayICtQNUYG6ISpQN0QF6iYajDGEOZAkhJiAu606fFjKRABiBrsLyUdpzPCGaQ5xBTt10YQu+5FmvIIMhQIwNCQLdAwOSrAqqjrmJHl0yTohZkHdEBWoG6ICdUNUoG7CxxhDGOBAkhBiBnZblWQBfN4wzSDOYKcumtBlP9JKeZDBrmM+Oir6evbZaOuYExIW+byUQBkelkBHTw8DGaQ61AxRgbohKlA3RAXddGOUIQxwIEkIMYOmJnML4JN4YbCThIk7yHDoELBrlwQZOjtllkJnJxeYI/ozMCAaHRmZGyhjIIN4Qc0QFagbogJ1Q1TQUTe5ZD6WEELSj23M5HKS/dnfL4+5HEvdkNnYwc6+PnmkNkg92EGGm24CVqwAPvAB0VZnpzy/eLF0RvfuTXY/iXnk88Du3cC2bfKYz0fzGY8+KsHU1auBVavk0V6QdWoq/M8k0RK1bqiZ9MG2hqhA3RAVsqwb4zKECSHEJJj9SQipB9WpZU1NQHc3cNFFwPLlc5/nAnMkKHFltuzbJ5+xevXs7YsXR7cgK4mOOHRDzaQLtjVEBeqGqJB13dAQJoSQiMlKqRvdaiIRYjr1dlK9FpizqbeOOa/3bFGe2WITRfmR4WHRuxcMZJhFXLqhZtID2xqiAnVDVKBuaAgTQjIEDYzo0LEmEiEmE0YntXyBOfd71FPHnNd79ogzsyXKQAaJl7h0Q82kB7Y1RAXqhqhA3bCGMCEkIwwMABs3Ao89Bjz1lDxu3CjbSX3oWhOJEB3xW6fM7qS6jVygev3f8vcGwq9jzus9m8SZ2eIOZLjhgqzmEZduqJn0wLaGqEDdEBWoG2YIE0IyQJzTQbKIrjWRCNGNIJm1QTup1d47zDrmvN6zSZyZLfaCrI8+Kpoq1zPv1+YQl26omfTAtoaoQN0QFagbGsKEkAxAAyNadK2JRIhOBA1MBemk+nnvsNo4Xu/ZJKryI5XggqzpIE7dUDPpgG0NUYG6ISpQNzSECTEW1sP1Dw2MaHEbV4UCMDQEjI8DbW3yN2tpERI8MBWkk8oaaCRqkshsycqCrGkmbt1QM+bDtoaoQN0QFagbGsKEGAkX9AnoZglrAAAJiUlEQVQGDYxosY2rw4eBXbuA0VHZ3tgo2zs6kt0/QnQgaGAqSCc1qRpocWRTEH3QMbOF6A91Q4JCzRAVqBuiQtZ1Q0OYEMNgPdzg0MCIluZm4Ld+S37GxhzjqqEBmDcP+MpXgCeeoC5JtlEJTPntpLIGGokL3TJbiBlQNyQo1AxRgbohKmRZNzSECTEM1sMNDg2MaMnngccfd46pZQG5nDyePQv89KfAK68A116b9J4SkhyqgSk/ndS01EBjKaRsw/NPVKBuiArUDVGBuiFB0V0zNIQJMQzWw1Uj69NBomTfPqC/X/TX1jb7uclJyRretYuGMMk2UQam0lADjaWQsg3PP1GBuiEqUDdEBeqGBMUEzdAQJsQwWA9XnSxPB4mS4eHqz58/H89+EKI7UQamTA56sRRStuH5JypQN0QF6oaoQN2QoJiimVzSO0AICYZ7arCb/7+9+2mNq3rjAP4kqY0R2yq2SkFaEbrQdNE0i9KNu25cuHIl+BZcWd2KLpQsXCn6AsS3oiAxgqKoC5sKClpiCiFpamx+i+OQZH5xOnOSuffcOZ8PhGkmf+6l5zs3c5577nP1w6UtZ8+m4tPMTMTffx/82s5OWr24uNjOvkFpeiembtxIj8f5ZnCcv3uceq2Q9re7iEifr6+nIjeTy/iTQ27IITfkkBtG1ZXMWCEMHaMfbp1K7j80Px/x/PMRv/ySTkz0VgTv7KR8vvRSxMJCu/sIk6rkY8OwtEKqm/Enh9yQQ27IITeMqiuZURCGDurypcGMrvT+Q7OzETdvRmxuphvIbWykovDp06kY/O67sgnjUPqxYVhaIdXN+JNDbsghN+SQG0bVlcwoCENH6Ydbh670H7pwIeKTTyJWVtIN5CJSm4iFhTL2DyZNV44Nw9jfCmn/pXVaIR2/EleUG//yyQ055IYcckOO0nLTlcwoCAMUrNd/aH/BJyL9Ybl1K60SL+XEwMmTEdeupQ9gvLp0bHgYrZCaUeqKcuNfNrkhh9yQQ27IUWJuupIZBWGAgnWl/xDQrEk7NmiFNF6lryg3/mWSG3LIDTnkhhwl56YLmVEQBihYV/oPAc2axGODVkjj04UV5ca/PHJDDrkhh9yQo/TclJ4ZBWGAgnWl/xDQrBqODaX1g+uySVtRTjPkhhxyQw65IYfcHI2CMHTIoMmxifNk6kr/IaBZk35sKLEfXJdN4opyxk9uyCE35JAbcsjN0SgIj0DBjTYNmhxHmDhPsi70HwKaN65jQ1vvd3rb/e23iM8/j3jyyfL6wXVVDSvKOX5yQw65IYfckENujkZB+D/0T4ZOnYr4+GMFN9oxqFn60lLK5NSUifMkK73/ENCO4z42tLUyd/9219Yivv8+vf+6fj3izJn0PaX0g+uiSV9RznjIDTnkhhxyQw65ORoF4UP0T4Z2dtLk48oVBTfaMahZ+vJy+vfi4v9/zcS5Wa4iALqsrTs192/3n38iHn88vaH/4ouIGzciZmbS9+oHl8/VJuSQG3LIDTnkhhxyk09BuM9hk6Hff4+4dy/ihx8inn12b1Ki4EZTBjVL397+758zcW7O7dvpD9HqahqT2dmIixcj3nrLVQRAN7R1p+b+7T72WHqcm0vP//FHxPnz6Tn94I7G1SbkkBtyyA055IYccpNHQbjPYZOhzc1U3Ll37+CkJELBjWYMapY+aAWqiXMztrcj3nkn4uuvDz7/66/p+PHpp85QAuVr607N/ds9dy7i0UcjtrbS55ub6VE/OAAAOB7Tbe9AaQ6bDPVWqkTsTUp6FNxowv5m6futraVVqM89d/jXTJybsbIS8eWXqTj/xBN7H7Oz6fmVlbb3EODh2rpTc/92T5xIvYOnpiI2NiLu3k0rlKen9YMDAIDjYIVwn8MmQ72VKnfvHiwOK7jRlEHN0t98M32PRurtWV6OuH8/Xd6839xcWlG3vBxx7Vo7+wYwrLbu1HzYds+cSb3x//or4vXXI555Rj84AAA4LgrCfQ6blJw4EfHCCxHffJNWCK+uKrjRvIc1S9dIHYCjaOtOzYO2+8EH+rADAMBxUxDuM2hS8tlnqSh8+3aanLzySsSpU23vMTUZ1CxdI/X2LC6m//+trYOrhLe20vOLi+3tG8Ao2rpTsztEAwBAcxSE/7W9nW4od+dOahvx3nsRP/+8Nyk5fTrio4/SDeempiJ+/DHiq69S8djKFdrSn9v5+cE3mWM8Xnwx4tKliG+/TVcUzM3t9SK/fj1iYaHd/WMyeL3TlLZOMB62Xbkvk3FhVDJDDrkhh9yQo8bcKAhHWvH74Yd7xd79l0devZqC8fbbEQ8epJt39aytpZ9bWrKCheYNyq2TFM3pjcMjj6Q/GBsb6Zhx6VJqNXPzpuMDR+f1To3kvkzGhVHJDDnkhhxyQ45aczPd9g60bXs7DXyv2HvxYnp88CA9f/9+Okuwvn7wBisR6fP19XR5IzRpmNwyfvvH4fLliFdfjXj55bQi+OmnI95/f7L/gNAMr3dqJPdlMi6MSmbIITfkkBty1Jyb6gvCwxR779zZu/y739RUaisBTXKSogz94zAzE3H+fMSVK2lV8E8/tbt/TAavd2ok92UyLoxKZsghN+SQG3LUnJvqC8LDFHvPnk1Lxg+zu5t6DEOTnKQog3GgCXJGjeS+TMaFUckMOeSGHHJDjppzU31BeJhi7/x86h+ytnbw62tr6fnLl8e/n7CfkxRlMA40Qc6okdyXybgwKpkhh9yQQ27IUXNuqi8ID1PsnZ1NzaSnpyNu3YpYXU2P09PpeTeMomlOUpTBONAEOaNGcl8m48KoZIYcckMOuSFHzbmpviA8bLH3woWIpaWIN96IeO219Li05IZRtMNJijIYB5ogZ9RI7stkXBiVzJBDbsghN+SoOTcn2t6BEvSKvd99l/qDPPVUOgvQP/AnT0ZcvdrOPkK/YXPLeBkHmiBn1Ejuy2RcGJXMkENuyCE35Kg1NwrC/1LspYvktgzGgSbIGTWS+zIZF0YlM+SQG3LIDTlqzE31LSMAAAAAAGqhIAwAAAAAUAkFYQAAAACASigIAwAAAABUYmp3d3f4b56a+jMiVse3O7Tk4u7u7rlx/XK5mVhjy43MTDS5IYfcMCrvbcghN+SQG3LIDTm8JybHobkZqSAMAAAAAEB3aRkBAAAAAFAJBWEAAAAAgEooCAMAAAAAVEJBGAAAAACgEgrCAAAAAACVUBAGAAAAAKiEgjAAAAAAQCUUhAEAAAAAKqEgDAAAAABQif8Bl+TZ3zFfYdoAAAAASUVORK5CYII=\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3866,20 +3850,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3894,20 +3878,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3922,20 +3906,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "iVBORw0KGgoAAAANSUhEUgAABYQAAAD7CAYAAADaZ5DxAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzde5hdd33f+8+aGY3uHglLsoWF5CDLYESCbye2SE8aBya4EJ4kTQwHSE5o4JyUwDEtxRFtQ4Jjn5TKwc3xMZAToKUUx02dpk15DLZsY7dJEcFItlPbGMmyrQu2LmNJY8kjzWg0+/zxndW9Zs++rPXb6/Jba71fzzPPlvbeM7Nnrc+6fX+XFTQaDQEAAAAAAAAAqm+g6A8AAAAAAAAAAMgHBWEAAAAAAAAAqAkKwgAAAAAAAABQExSEAQAAAAAAAKAmKAgDAAAAAAAAQE1QEAYAAAAAAACAmih1QTgIglNBELy+6M8Bv5ETuCA3cEFu4ILcwAW5QVJkBi7IDVyQG7ggN/nKrSAcBMELQRCcnl3B4ded/fzMRqOxrNFoPDf7878aBMGtCT7PB4Mg+Ot+fn8RZv/OqZblOFj050oLOUlHEATvCYLgO0EQTARB8Eib1xtBELwaWcZfLuBjpobcpCMIgj8MgmBPEAQngyB4JgiC/73ldXLTQ01zsy0IggNBELwSBMG+IAj+Wcvr5KaHOuYmFATBa4IgOBr9G4IguHg2N9Fl/OkiP2e/yE06up0HVy03ZCY9QRC8PQiCXbPHooNBELwn8hrHqB7qmJsgCJ5qWYbTQRB8I/I6uemhprl5TRAEfxYEwctBEIwFQXBXEATnRV5vXc7bi/y8/SI36QiC4KIgCP4yCIJjs8eof9jyemb7m6G0flBM7240Gg/m/DszEQTBUKPRmC7o129rNBq/U9DvzgM56d8xSX8k6Y2SfrbDe97SaDSeze8jZY7c9O9VSe+WtFvS/yLpviAInm00Gt+JvIfceKrA3HxF0s2NRuPVIAgukrQ9CIJnGo3GX0TeQ248VfD5jCT9S0k/UPtOCisK/mxpIzfp6HUeXKXckJn+f++bJP2ppF+X9ICkEUkrWt7GMcpTReWm0WhsjnyGQNJzku5peRu58VSBx6hbJa2U9GOSAkn/UdJnJH0i8p7KLOdZlfl7CszN1yU9IelXJL1J0sNBEPyw0Wg8HHlPNvubRqORy5ekFyS9vcNrX5T0HyP//5eSHpJtRIOS/pmkvZJOStop6XWz72tIukTS/ynprKQpSackfWP29U9Fvu9pSb80+/xlks5IOjf7/hOzz49I+pqko5L2SfodSQOzr31Q0n+X9K8kvSzpD2RFtx+PfO41kiYkrW7zN4bff6ekcUnPSHqbw3L8qqRb81pveX+Rk3RyEvl5H5b0SJvnG5IuKXp9kxs/cxP5uf9F0j8hN+QmwTK9SNL/kPTb5IbcxFiWb5W0Q9I/kPTXkecvnl0mQ0Wvb3LjV27U5Ty4arkhM6ll5k8l3dLldY5R5KbXMv27s3/bUnJDbnosx29J+q3I/z8q6f44y7mMX+Sm/9xIWjb7N6+OPPcnkv5d5P+Z7W98CcsSWY+0D0r6XyWNSVo3+9pNsovLN8yG5y2Szm9dMGpzgijpBkmvlfU6ea+s99vayMr765b3f03SX0paLjup3C3pQ5H3T0v6v2Q9qxdL+oKkfxn5/o+HQe0QlmlJ/1jSgtnPMy7pNbOvf0HSiQ5ffxv5OV+dDekx2Ybzy3mtQ3JSnpxEfl63gvCLkg5J+gtJFxe97smNP7mZ/Z7Fkl6SdD25ITe9ciM7OTs1+/c/Fy4rckNuOuVGdjGwS9JVrX+DmoW9H0k6KOnfSFpV9LonN17k5qvqcB5ctdyQmdQy85ykW2aXyUuynlivibzOMYrc9Don/teSvtryHLkhN+32Nz8v6ZuyXsIrJX1b0j9qWc6HZcXJ7bJen4Wvf3JTXG5mP1dD0prIz/2SpMci/89sf5N3WE61LIT/I/L6NbKTu32S3hd5/oeSfqHDz+waljbvfzz8Wa1hkV2YTEl6U+S539RsMW32/ftbft41kvZLCmb//31J7+kSlhfD984+9z1Jv5ZwOV4p6fzZwL5T1jLyU3mtR3JSjpxEvrdTQfinJQ3LhszdKelJlbhHDblJNzez3/9vJd3X8jPJDbnp9rcEkq6QdLOk5eSG3PT4G/6xpC92+BuWSbpadq5zgaQ/V6SHTRm/yE3258FVyw2ZSS0zU7PL8tLZjPxHSXdFXucYRW66/S1LJL0i6Wdanic35Kbdz3mtpAclzcx+PSBpOPL6T8mKjksk/VNZgW9F0euf3BSem7+W9P9KWiQ7zzkm6YeR1zPb3+Q9h/AvNjrML9JoNP4mCILnZF2y/0PkpdfJuoQnFtgNkT4hawmQ7CRgVYe3r5JV9fdFntsnG/4aOtDmM09I+pkgCF6SdW3/L10+0o8as2s08vNf2+PPmKPRaOyK/PebQRDcJenvy7qqVwU56TMnvTQajf82+8+pIAg+LjvRuUzWUldW5Cal3ARBcJukN0u6Lvozyc3/RG7amP05jwVB8A5ZUfgTs8+TG0NuZgVB8FpJN8p6B8/TaDROyU7AJelwEAQfk/RSEATLG43Gybi/x0PkJsPz4Irmhsz0f4w6LenfNBqN3ZIUBMEfyAo24WfiGGXITXt/X1ac+a8tn4ncGHIz13+Q9LeSfkHWUeIPZaMS3jP7maI1m38RBMGvy3rPfkPlRW76z80HJH1+9rM8J8vM/5zHPMv9TbsbeBQiCIKPSlooq7D/duSlA5I2xvgR0ZWgIAg2yLpaf0zW/XyFrJIetHu/rAv7WUkbIs+tlw07a/s7Zv1bSb8q6dck/Xmj0TjT5TNeNDspffTnvzj7ef+45e6M0a+nuvzMRuRvqjxy4pyTXiqdI3ITPzdBENws6e9J+rlGo/FKl98XfmZy01ltctNiSN2XD7nprg65+UlJayU9HQTBIUn/j6SfDILgUBAEg21+X/h5vTlvTRu5yeQ8uNK5ITOxM/O3LZ+j3WdSy+scozqrS25Cvy7pay0Fn3bITXd1yc3lkv6/RqPx6mwj5R/LRrN0Qm66q0VuGo3Gvkaj8fONRmN1o9G4RlbI/l6X35lebtLoZhznS93nF7lU0nHZ3CGbZv99+exrN8kO5Jtm/+ifUPv5RT4r6U8jP/NNskml3yDrKv4PZPN7fHj29etnP1O0C//XJf0n2TweG2STQofv/6Ba5iOZff51anaD/+kuf/8HZ3//x2WtFDfIKvvnJ1yOvyJrBRmQ9HOyoXI/k9d6JCelycmgbMjBP5T032b/vWD2tc2yg9XgbJb+SDZsY0HR65/cFJ6bfyppj6QL27xGbshNu58xIBt6tXJ2WfykbI7GG8kNuenyMxZKujDy9XFJf6PZfY9suN4bZvN1vqQ/k/Rw0eue3HhxnOp4Hly13JCZ1DLzG5Kel/R62TDt/6DZm/WIYxS56b4s183+rI0tz5MbctPp5zwsG/q/WM35aL8z+9p62ZQRw7Jr85tkcwknzqYvX+QmtdxcNvv5hmWF6DHN3mROGe9v8g7LadkcI+HXf5L1JPqepE9F3vsRWffnhbN/+O/IDuQnJT2q5mTU0bBsks0fckLSf5597v+eXZFjkm6XDfUIV/6wpHvD12efWzkbmKOyVovf1dw7EM4Ly+xrD87+fUGXv/+DmnsHwt2yHnhJl+NfzX7/K5KekPS/5bUOyUmpcvLB2b87+vXV2dd+VrYTeVXSEUn/WdKmotc9ufEiNw1Jky3L8Z+RG3LT5WcMyOaaPja7/HbL7hoczrtFbshNnGU65zNJet/scnpV1sDwNbVpqCrTF7nJ/jy4arkhM+nta2TTGB2d/fp3klbOPs8xitx0W5b/VNJftXme3JCbTj/nx2TTP7w8+9nvC7MhK+z97WxuXpb0kKSri1735MaL3Pyj2c/3qmw+4asjr2W6vwkv2NCHIAj+taQXG43G73R5zwdlQf07uX0weIWcwAW5gQtyAxfkBi7IDZIiM3BBbuCC3MBFXXKT903lKicIgotlk81fUewngc/ICVyQG7ggN3BBbuCC3CApMgMX5AYuyA1c1Ck3lbzJQl6CILhFNon1bY1G4/miPw/8RE7ggtzABbmBC3IDF+QGSZEZuCA3cEFu4KJuuWHKCAAAAAAAAACoCXoIAwAAAAAAAEBNUBAGAAAAAAAAgJpIdFO5VatWNS6++OKMPgqKsnPnzrFGo7E6q59Pbqopy9yQmeoiN3BBbpAU5zZwQW7ggtzABbmBC86J4aJTbhIVhC+++GJ9//vfT+9TwQtBEOzL8ueTm2rKMjdkprrIDVyQGyTFuQ1ckBu4IDdwQW7ggnNiuOiUG6aMAAAAAAAAAICaoCAMAAAAAAAAADVBQRgAAAAAAAAAaoKCMAAAAAAAAADURKKbytXV5KT01FPS2Ji0apW0ebO0cGHRnwq+IzfohnwgS+QLUeQBWSJfcEFu4ILcwAW5gYs65IaCcA/790u33y6dOCEFgdRoSCtWSJ/4hLR+fdGfDr4iN+iGfCBL5AtR5AFZIl9wQW7ggtzABbmBi7rkhikjupictBDMzEgXXyxt2GCPMzP2/NRU0Z8QWZqclHbtkrZvt8fJyfjfV0RuXD8v8sV+BVkiX4giD8gS+YILcgMX5AYuyA1c1Ck3FIS7eOopaxF4zWvmPv+a19jzTz5ZzOdC9vbvl7Zule64Q7r7bnvcutWe76WI3PTzeZEv9ivI0lNPSS+/LE1MSN/7nn0dOCCddx75qiPf9jc0XFaLb/lCOZAbuCA3cEFu4KJOuWHKiC7Gxqx7eDtBYBfdqJ7WFqHQsWP2/LZt0vBw5+/POzf9fl64cZ1TiP0KsvT009J3v2snK+fO2XODg9K6ddIll5CvuvFpf1OXoXd14lO+UB7kBi7IDVyQG7ioU24oCHexapVdsLTTaEjnn5/v50E+whahaHFVshahF16wFqErr+z8/Xnnpt/Pi+T6KWywX0FWJielb3zDTlIWL5YWLLDnz56VXnpJOnPGegqjPnzZ39BwWU1556sON3epA3IDF+QGLvLMDZmpjjrlhoJwF5s3W5Hn2LG53cWPHbPn3/zm4j4bstNvi1DeualTC5YP+i1ssF9BVp56Sjp50noES3bCMjVlWZ2clE6fLvbzIX++7G9ouCyHpBcleeaLHub+IjdwQW7gwtfckBm/kZvOKAh3sXCh9NGPSr/3e9Izz0iLFkkjI9Yi8IlP0JulqvptEVq40PJx++12odu6caedG196gNVFv4WNvPOB+hgbk6anpdWr7YTl+PHmvqHRsJ7Czz4rXXNNsZ8T+fFlf0PDpf9cLkryyhc9zP1FbuCC3MCFr7khM34jN91REO5i/37p85+33laLFtlw22XLpI99jJaeKkujRWj9etuIn3zSLnTPP9++L4uN2pceYHWRRmEjz3ygPlatshOYoSE7bi1dKg0M2NfMjE0hce+90g03kLU68WF/Q8Ol35JelLT2tLnlFmnPnuzyRQ9zPyXJTbveWVnvl8iNn8gNXPicGzLjL3LTGwXhDqLh2bix+fyxY9Kdd9LSU2VptQgND+ezEfvSA6wu0ips5JUP1MfmzdKGDdIPf2jHsGXL7PmzZy2ba9ZYoZgT0/open9Dw6XfklyUdOtpk1XG6GHup7i5KSIzErnxFbmBC59zQ2b8RW56oyDcgS8VexTDhx5VSZTt85YZhQ34auFC6bd/23rqHTkivfKKPT84KK1bJ/3UT9lxjRNT5I2GS7/FvSgpangjPcz9FCc3RQ6JJTd+Ijdw4XNuyIy/yE1vFIQ78KVi36rouxDWSdE9qrrplANfP2+VUNiAz9avl37/9+0rtHatfQ0O2rzCnJiiCDRc+ivuRYlLZ4k0zltpiPVTnNy4drAhN9VFbuDC59yQGX+Rm94oCHfgS8U+yoe7EKJ45KB4FDbgs8svlzZtspZuTkzLqaqNvz43tNZZ3IuSaGeJ6Wnp6FFpYkJassT2N62dJdI6X6Eh1k9xcvPII3M72ERzMz4uHTo0/+eSm2ojN3Dhc27IjL+S5ibOuY1UrdxQEO7Al4p9yJe7EKJY5MAfFDbgK19OMOCGRj/kLe4+I+wsMT4u7dhhN1sOTU1J731v8/9pn6/QEOufOLmJdrBpzc2pU9LXv27rMdy3kZvqIzdw4XtuyIyfkuQmzrmNVL3cUBDuwLcLauY0hkQOAMTjwwkGkqPRD2lw6WEeZ5+xebO0fLn08MP281assOdPn7bH++6TRkfte7I4X6EhNltZ5CbsYHP0qLRzZ/Na6vRpadEiaeXKufs2clM+5AYuqpgbMpO9rHIT99xGql5uKAh34dMFta9zGiNf5AA+q+ow97Iq+gQDydHoh37108O81z5j4ULp+uulhx6y/09O2uOiRdJ119kc5WFGOV8pl6xyE3aw+dSnLBPLllluFi2StmyRRkbm7tvITbmQG7ggN3CRZW7inttI1csNBeEefLmg9nFO4zKoWoGKHMBXDHMH+le1k0zkK48e5gsWSFdfLS1e3Jxjb80au2nliRPNjHK+Uh5Z52b9eukDH7Ah2yMjczMjzd23kZvyIDdwQW7gIuvcxD23kaqXm4GiPwDiic5pHMVNgjrbv1/aulW64w7p7rvtcetWe76syAF8FD1Ir1tnB+Rz56TDh6XbbrP5lwD0VrWTTOQr7GEevfeFZP8/ccJ6uPRr1SppYEBau1bauNEewwvtaEY5XymPPHKzdq39vNbMSOSmrMgNXJAbuMg6N3HPbaTq5YaCcEmEQyAGBmyow7599jgwwE2C2mltRdqwwR5nZuz5shaoyAF8FB6kBwel7dttDqbvfMfm73rgAfsC0FvVTjKRrzx6mMfNKOcr5UFu4ILcwAW5gYusc5Pk/LtquWHKiBLxaU5j31V5HkZyAN+MjVljy7e/LR08aP8eGJCGhqSzZ613fnQyfgDt+XZDW5RLHj3Mk2SU85VyIDdwQW7ggtzARda5SXr+XaXcUBAuGV/mNPZd1edhJAfwyapVVgjevdu2r4HZsSdnz1pRePdu6bHHpGuuKfZzAmVQpZNM5CvawyU6rDLtHuZJMsr5iv/IDVyQG7ggN3CRR26Snn9XJTcUhFFJzMMI5OeSS6zoe+6cTcIfOnvWegsHgU0fQUEYiKcqJ5nIV549zLPOaNVuCuwzcgMX5AYuyA1c5JWbOmaGgjAqKa/WRwDSs89Kq1fbwW16uvn84KAd5M6eLe6zAUCdVKGH+f79dtF34sT8i77164v+dNVEbuCC3MAFuYGLsufG18xkWhD2sQJeZizP+JiHEcjP2Jj02tdKBw40p4wYGLDt7NVX7d9XXVX0pwSqh/MCtJNFD5e8stZ6U+DQsWP2/LZtnMNlhdzABbmBC3IDF2nnhsxkWBD2tQJeVizP5HxsReLiHVW0apX1xH/d66SXXrLnGg3L+8yMdPnl0hVXFPsZgarhvAB5yTNrVb4pcN2QG7ggN3BBbpAUmTEDWfzQ1gr4hg32ODNjz09NZfFbq4vl6S5sRRodtcd+i8GTk9KuXdL27fY4ORn/e/fvl7Zule64Q7r7bnvcutWeB8ps82ZrcLn8cts/jYxIS5dKixfbAfVzn6OlHEiTD+cF/RwPUR55Z63qNwWuC3IDF+QGLsgNkiIzTZkUhMMKeHTuVsn+f+KEVcAxX6eLK5anH/op6Ppw8Q5kJZyiZWREuvRS6Q1vkDZulLZskf78z+2mcwDSU/R5AQ2c9ZF31rrdFHh6WjpyhEaIMiA3cOFLbqanrYCzezeZKQNyg6R8yYxU/DEqkykjfK6A+6pbl3WWZ/H6nffF52ECQBp8nKIFqKoizwt8ngcN6cs7a51uCrxvX/MCbWiIKVJ8R27gwofcjI9LDz9snXX+5m+kRx8lM74jN0jKh8xIfhyjMukh3K0C3mhYoSBrZRrK2Kv36MhI8cuz7vptRaKojzpIe4oWAO0VeZ5VdO9k5CM8j96zx85hpqfnv6dT1vo5Bw9HnAwMWIP5vn3S3r3S44/btEQbNzLKymfkBi5cc9Pv9X5rbp57Trr/fnvtHe+QXv96MuMzcgMXk5PS0aPSwYN275vW3NTtGJVJD+FOFfBjx+z5N785i9/aVLYbrfTqPRp+/qKWJ/ov6PrQSAIAqIYiz7No4Ky+6Hn0zIxdtBw4IF13nXVSkDpnLY1z8NYRJ0eO2PMbNsx9H6Os/EJu4MI1N2ld70dz893vSsePW4FmcLD5HjLjH3IDF+H6f/ll6Uc/sqLwyIhNczgyUs9jVCY9hNtVwF94wf7/iU8k7zWWpBpfxrlae11cnTzZe3mWqUd0GfVb0I1evEdR1AcAxBUe6//rf7We+I2G23lWP+cMNHBWW+t59Otfbz2eJOsB9dxznbOW5jl4dMTJ6tU2lLIdGiH8QG7gwjU3aV/vh7m55BI7xkWLeiEy4w9yAxfR9b9xo2VmZMSm+7j/fmtUqOMxKpMewlJ680kmrcbnOVfr5KT9vrEx2wls3mzF8KTiXFx1W55l6xHtu3brtd/eWGEjye23Ww5b1xND6wEA3bQ71i9fLt1wgx1D4p5n9XvOUPQoMKSj0zlsu/PokRHp3e+2oY3XXmtf7bKW1Tk4jRD+IDdwkXZuyEw9kBu4iJubkRErzB45Ij3/vHT99c1z6qiq5yazgrDUrIC7crlxSV5DGdMswsa9uGq3PLm5S7q6rdd+C7rcdAsA4KLbsf6BB+If69M4Z6CBs/xcbmQ8OGgXL5s2dT63z+ocnEYIP5AbuMgiN2Sm+sgNXCTNzeCgtHat9fJds6b9OWzVc5NpQbhfLtX4PCrtaRdh+7m4yrNHdNXFWa/9FnT7bSQBANRPWsf6tH4ODZx+ijNyrde5zvve534endU5OI0Q2SI3SCruKNmsckNmyoncwAW5yZZzQTit6RK6canG51Fp73ZBtXevdM89NidIkuXienHFzV3SE/dCmYIuACBPaR3r0zxnoIHTL3FHrmV5I+Msz8FphMgGuUFSSUbJZpUbMlM+5AYuyE32nArCec1Z61KNz6PS3umCanxc2rlTOnxYuuii5MvF5eLKl7lHqsCn4noeDS4AgHJI61jPOUM1JRm5FvdGxi7n0Vmfg9MIkS5yg6SSjpLNKjdkplzIDVyQm3wkLgjnOWetazU+60p7uwuq6Wlpxw57/sd+zOYiCT9rlnP5+jL3SBX4cqHMTQKrIyzsv/iiNRitWGH7Bgr8AJJoPdZPT0tHj0qHDklLl9p8eS4/J8Q5Q7klmQqk3xsZ95LFOTiN5NkgN0gq6bRDWeYmq+t9cpO+queGzGSD3OQjcUE4zzlr+6nGZ1lpb3dBdfSoFXxGRmxC6lDWc/n6MvdIFfhwocxNAqsjLOwfPCg9/bRNVj88bDm76CIK/ADiix7rn3xy/j7l05+Ot08Jf862bTaiaXLSntuwQfrkJzm+lFWSEU793Mg4rjTPwWkkzw65QVJJR1NmnZu0r/fJTTaqnBsykx1yk4+BpN8Qd8VMTkq7dknbt9vj5KTbBwyr8TfeKL3//fa4bVuxG1h4QTUwYEXYffuk556zv3/LFrtbYVTW0w34uIzKqN16feEF+39exfWwwSW6E5Ps/ydOWCEA/gsL+2fPWkF4+XJp3Tp7PHDAnr/9divoAEAc69dLt9xix6JLL5Xe9jbpl3/ZToBnZpLtU1rP4zqd16Eckoxw8uFcJ67WRvING+wxad7RHrlBUklHU5IbSNXNDZnJFrnJR+IewnFWTNoV76Ln1Wintdv4kSPSffdZD+FWeUw34OMyKqOiJ/b2aR5juAsL+wsXSmfO2P5PkhYvtuenp6VXX81u5ACAatqzp1kQjoqORtq8ufMQtPAkNAikq65qfj+jUMot6Qinos914spzVGIdkRsk5TKaktygqrkhM9kiN/lIXBDutWI2bbKhi3UY8h4twk5OSo8+yrx8VVBkcd2XeYzRn7CwPzHR/vWJCWloiAI/gGR6NRr+4AfS177WuUHet5NQpMNl+rAydCSgkTxb5AZJuU5VSG7qraq5ITPZIjf5SFwQ7rVi9uyp58UGc/nmy5dJuNPmwzzG6F9Y2F+ypP3rS5ZYhinwA0iiW6Ph9LR07732nk4N8r6dhCI9afaK8eUci0by7JEbJFXFzEjkJmtVzA2ZyR65yV7igrDUfcU880y5LjbSDEYZuqhXgU+TcKeNhoVqCAv7Z89KixZJp0/bdBGnT9v/h4bs/y4Ffl8OZgDy163R8Nw5u4dBuznowwZ5305Cka40esX4dI5FI3k+yA2SqlpmJHKTh6rlhszkg9xky6kgLLVfMZOT0tGj0o9+ZK+vXm2Fj5BvFxtZBMP3Lupl1zoJd8i3KUn6Kdr127BAwbB40cL+unXS00/b+mg0pIsuko4fl26+OXlWfTqYAchft0bDd75Teuih9t8XNsj/9E/7dRIKv/h2jkUjeTmQGyTlW2YkclMGvuWGzJQDuenOuSDcKixUvPyydPCgdOCA3WBtyxZ77HaxUUQBy7dgIJ4yzH+YRtHOtWGBgqE/ooX9//E/pLvvtiHdS5ZYL77Pfz7ZemGfBUDq3Gj45JPSgw+2/55GQ1q+3I6hV18tffOb1jA1NMTFC5p8PMdi9J3/yA2S8jEzErnxnY+5ITP+IzfdpVIQjhYqNm60ou6OHdL4uHT//XYn6/PPb3+xUVQBy8dgoDff5z8ssmhHwdA/w8PWwPW1r0mXXjq/R16S9cI+C0CoXaNhtyFoAwPSXXdJJ0/asXJw0KaYuP566bLLuHiB8fUci9F3fiM3SMrXzEjkxme+5obM+I3cdJdKQbi1UDEyIo2OSkeOSM8/bxccN9ww/2KjyAKWr8FAd77Pf1hk0Y6CYXdFTaWR1nphnwWgm05D0JYts38HwfxzrUcfbX9+lgamL0pf1svU93OsbshbZ+SmM3LTWZbLpsyZkchNN+SmM3LTGbnpLOvcpFIQbleoGByU1q6VpqakNWvaX2wUWcAqezDqyrdJuFsVWbSjYNhZkVNppLVe2GcB6KXdELSzZ6UvfrH7zebSPtdi+qL05bFMfT/H6oS8dUZuOuxRKakAACAASURBVCM3nWW9bMqaGYncdENuOiM3nZGbzvLIzUAaP8S1UFFkASsajKgyBKPOwh5QAwN2Ibtvnz0ODPgx/2GRRTsKhu21jkTYsMEeZ2bs+ampbH9/WuuFfRaAOMIhaKOj9jg+nu+5VtH73CrKa5n6fo7VDnnrjNx0Rm46y2PZlDEzErnphtx0Rm46Ized5ZWbVHoIu1bdiyxg+XZ3P8Tn0yTcrTptC0eP2kZ76JC0a1c2Q0TK3PqVpaKn0khrvbDPAuAi73Otove5VZTnMvX5HKsd8tYZuemM3HSW17IpW2YkctMNuemM3HRGbjrLa9mkUhB2LVQUXcAqYzBgfJmEu1W7beHkSWnvXumSS6R77sluiAgFw/aKnkojzfXCPgtAUnmfaxW9zy27dnPF5b1MfT3Haoe8GXKTDLkxReemTJmRyI3UeT5TctMZuSE3LvJaNqkUhCW3QoUPBayyBQP+i24LL71kd3Z/61ul1aub78nqxokUDOfzYSqNNNcL+ywASWR5rtXuBN+HfW5ZdZorbnSUZdoJeSM3LsgNuXFR99x0m8+07summ7ovG3LjJq9lk1pBWHIrVPhUwOLOj0hLuC3s2mX/jhaDpWyHiFAwnKvokQgh1guApNI6L8niXKvTCf5HP+rHPrdsWueKCx07Jn3rW9Ly5SzTdnw5xheF3LghN+TGRZ1z0y0zt98u3XJLfZdNL+SG3LjIKzepFoRd+VAo4c6PCKXZMBDt6j89bXMJT0xIS5bYjrEOQ0SK5sNIBKCKaETNVtrnJWmea3U7wf/856WPfUy68072uUn0mivuhhukBx5gmbaq+zGe3LghN+TGRZ1z0ysze/bUd9n0Qm7IjYu8cuNFQbhovVou0h7WD3+lfQEedvUfH5d27JDOnGm+NjUlvfe96X12dObTSASgCmhEzZbv5yW9TvBfeYV9blK95oobHmaZdlLnYzy5cUdu2r9Gbrqra27izGd65ZX1XDZxkJv5yE1veeSGgrC48yNMFhfgmzdLy5ZJDz9srTwrVtjzp0/b43332Vxd7PCyV9RIBHpRomp8L1ZWge/nJXFO8H0Y/VUmceaKY5l2VtdlQ276U9dlQ276U8dlE3c+0zoum7jquGzITf+yXjYUhMWdH/Pkc3EsiwvwhQulv/f3pG9/2/4/OWmPixZJ110nHT9e/IV9Jz6vq7KgFyWqyPdiZRX4fl7CTUDSV+c5BuGO3MAFuUFSZAYuyI3/KAjL7cKGYllyvhfHsroAX7BAuvpqafHi5vzBa9ZIg4O2LIq+sG/H93VVBvSiRFX5XqysAt8Lrpzgp6/OcwzCHbmBC3KDpMgMXJAb/1EQVvILG4plyZWhOJbVBfiqVdLAgLR2bbo/NytlWFdlQC9KVJXvxcoqSKPgmmXDNSf42ajrHIPoD7mBC3KDpMgMXJAbv1W2IJzkQijJhQ3FMjdlKI5l1eOpbD2pyrCuyoBelKiqsu3TyqjfgmseDdec4GeDefTggtzABblBUmQGLsiNvypZEHa5EIp7YUOxzE0ZimNZ9XgqW0+qMqyrMqAXJaqqbPu0snItuObZcM0Jfv+YggxJkRm4IDdwQW7ggtyUR+UKwv1cCIUXNmGAH3lkfoAplrkpS3Esqx5PZepJVZZ15bs8e1Fy0EW/kmaoTPu0MnMpuNJwXR5MQYakyAxckBu4IDdwQW7KpXIF4X4vhHoFmGKZGx+GGMcteGTV4ynJzy2ywOfDuipC2ss8r16UHHTRL9cM0TvUTzRclwNTkCEpMgMX5AYuyA1ckJvyqVxBuJ8LoTgBrmuxrF9FDzHOomiWVdG26AJf0euqCFkt86x7UXLQRb/IUDxl6oVPw3U50JO7GGXalluRmeKQGyRV5sxI5KYo5AYu+slN5QrC/VwIxQ1w3YplaSlqiHEWBY+sCoi+FGfqNBw862WeZS9KDrrdFX1SVfTvj4MM9VZ0I11SvRquN22Sdu3qncsy5LfMWjswTE9LR49KExPS+Lh06FBxn62qyrYtt2rX6SXMzYsvSt/9LttpFsgNkip7ZiRyUwRyAxf95qZyBeF+evDG7V1cp2JZ2ooYYpx2wSPLAqJPxZm6DAf3aZknxdDwzoo+qSr698dFhrrzpZEuiW6jPN77XunTn+6dy7Lkt8yiHRjGx6UdO6QzZyxrx49Lt91mr7/97Vw4paGM23Kr1k4v0dycPCn9+38vPfCA9OEPk5u0kBskVYXMSOQmb+QGLtLIzUCmnzADk5PWs2X7dnucnJz7enghNDBgF0L79tnjwEDvHrxJeheHxbLRUXsswwZaV2kXPMICYrTBQbL/nzhhBURXFGfyV+ZlztDw9loPjhs22OPMjD0/NVXt39/u83Q6bpKh7rLc32cpbLi+8Ubp/e+3x1tukf7sz3rn0rf8VlXYgeHoUbtYajSkRYukI0fswumll6SbbpI++Ukr0KM/Zd2Wo6KdXqanm7mRpFdftQvvZ54hN2kiN0iqCpmRyE3eyA1cpJGbUhWE9++Xtm6V7rhDuvtue9y6dX6Q2l0IbdvWvVfL5KRd5IQhnZ5uvsb8wOWWRsEjWlDZscMujNvpt4BIcSZ/ZV7m0YNuVNJ9Vq+GtrIp+qQqi9/vuo56HTfTylBVlaXBaHLShuF9/vP29d3v2v4r2nC9Z0+8XBa9/dRF2IHh+HHL2enT0t699trGjdKFF1png7ExCvFpKMu23E2008sTTzRzc+iQ5WXlSumCC8hNmsgNkqpCZiRykzdyAxdp5KY0U0Yk7Q6dZLh7dGjk0JD0t39rX5s3S0uXMj9w2fV7I8DWobNjY3bRdv750sjI3Pf2W0DM8qaFzAfZXlrLvIjlm8YNAKs4NLzok6q0f7/rOopz3KzjTSSTKEOD0f790s03W2Pl1JR9rkbD5gq+8cbmkLy4uSx6+6mT9eulD3xAOnXKOiJMTtqF0kCku8bQULMQ7+v0RWVQhm05jrDTy5e+ZNv7smW2Xa5YMfd95CYd5AZJVSUzErnJE7kp5rOWXRq5KU1BOKt5PttdML/xjdKzz9prH/mIdMUV+VwUU7DLRljwuO02aedOW84LF9r67lXwaJePiy6SDhyQHn5Yeve7pcFBez6Nom1WxZkqFv3SUvaiaj9zmldlvqpWRZ9Upfn7+1lHcY+bzIvfWZaNdEl0Oj+YnGwe25Yvt/3PwYM25cCjj9pwvLe/3Ybmxc1l0dtP3axda9k6d85uuDLQMnZvyRK7oKIQ3x9ftuU0DA9LW7bYNn7uXPsGHHKTDnKDpKqUGYnc5IXcwEUaucm1INxPwTOrHivtLpgHB6U3vMEumBcsyOeimIJdfjrlqJ12+Rgakq67Trr/funxx5sXz2n1qEu7OFPVol+ayl5Udb0BYJlvqNdN0SdVaf7+ftZRkuNmvzeRrGqDpg89qLudH4yN2ecKP+tzz9nry5ZZUfjcueaQvFtuiZfLorefugmX96FDc58/fdrmFF6zxhqhKcT3x4dtOU3kJh/kBklVLTMSuckDuYGLNHKTW0G42wXNBRf0vpDMqseKD0MjfSgoVVm4fINAuuqq5vNxlm+nfIyMSFdfLV17rQ3LTbtHXb/FmaiqFv3SVseiqg/7vywUfVKV5u/vZx2l3VO503G66g2aRfag7nV+8HM/15xP+tVXbdqBRYua75uebg7J27Ondy7D9Xz11dI3v2nz2w4Npb/9VLUBwUW4v9i2zXrKHD5szy1aZL1rxscpxKelSqMhyE1+yA2SqlJmJHKTF3IDF/3mJpeCcLcLms98xubpPXmy+4VkVj1WfBgaWeaCUhn0s3y75WNgwArCvq+bqhb9fFHm5evD/i8rRZ9UpfX7+1lHaR03ezXo1qFBM81GuiR6Hb+OH28WUqempLNnbf0MDNjj0NDcIXlXXtk5l63reXDQehhff7102WXpbT9Vb0BwsX69LZMHHpC+8hVbjytX2votc+8gHxW1LWeB3OSH3CCpKmVGIjd5ITdw0U9ucikId7qgOe886cEHpbe8xaZoCLW7kMyqx5cPQyPLXFAqg36Wrw/56FeVi34+KPPyrUK+uyn6pCqN39/POkrjuNmrh+r73keDZpZ6Hb9WrLBlv3ev9byYmLBC7syMvefCC+cPyWuXy27r+dFHpRtuSK9ncB0aEFwMD0vvepc0Olqd3kE+qWqvdHKTnapmRiI3WSI3cEFu4CKN3ORSEO50QXP0qPVaGWr5FJ0uJLv1uHJdGEUPLZbKXVAqg36Wr0s+fNuhV73oV7QyL18f9n/ort911G9P5V49VL//fRo0s9Tr+LV2rXTjjdK3v21F1vBrcNBGXw0MzN0XdTo+5TVSiRFRvRXdkFVFdeiVTm7SVYfMSOQmbeQGLsgNXKSVm1wKwp0uaCYm7HHJkvmvdbqQbBekfheGywVzmkW/MheUyqDf5ZskHz7u0NsVlKanbSjwO99pf1fRResyK3tRteipFdBbv+uonxOwXj1Uw7y3Q4Nm/+Icv8Ii6pYt1lP4hReaU0WcPGnD8j77WbupR7eb0+VR2GdEFPLWrlf69LT07LPSxz8ufepT0uWXcw6Epk4jGY4etbz86q/a6AvOnRHFvgYuyA1cpJmbXArCnS5ozp61C9U1a+Z/T9wLybSGHya5YE676NeroNRoSLt2+dPjtGzSKNjFyYfPQ2GjBaUf/EC6917rQfbQQzZtS9FF67Ire1GVFttiJGlYLGod9eqheuWV0u7dNGhmJc7xa2zMCsDr1tnX3/k70pEj1ug+Pi792q/ZXM//5J/YexcssGLxypX2Mz/+cekXf9FOJNtJs7DPiCjkrbVX+vi4tGOHdOaMdOqUdOut0saNnAOhqd1IhvFxaedO24eeOmXHO86dEcW+Bi7IDVykmZtcCsKdLmhWrWreYdD1QrLb/MRPPCF96Uv2O9IqomZV9OtUUDp0SNq61a8ep2WUR8HO96Gww8O2HXzta7bttW5zRRety46iKpLwcTRBO716qF55pfTa15a3h3w7vk370+v41VpkHRy0qSQkWycXXGANf9/+dvN7pqaa63Rqyr5efNEKyxs2NH9W2oV9RkTF41sGyyzaK3162i6Ywn2UZI8zM81zoDIjN+loHckQzc2yZdLIiJ3rR8+dy4zcpCPpvqaM50dR5CYd5IbcuEgzN7kUhKXuBc9+LiTbDT8MK+RjY3aR8+ij6V1oZ1n0ay0o+dzjtIyyLtiVYSis70XrOuBAiDLt2+P0UC17D/moboX6FSukb3zD3rN+vfTud1vjcx66Hb96FVk3bZJuuaX5t8zMSM89Z/9/5RVbX695jY3WevxxO7EcGop3PpZ0f1b2KXbyUJbGorKINpgcPWq9Z847z6ZTOXlSevVVawQ5eND2YWVFbtLT2sgWzc3x43acW7JEWr2a3KCp3b4mPOZOTDRzMzFR/ustcpOepMcocgMp3dzkVhCW2l/Q9Hsh2XrQbm3Fvfhi6ymT1oV2nkU/inflUoahsGUoWlcZB8J6ai2aTU2579u7FeCyamyIc5yuQg/5boX6T37SpsY4dar5/B/+ofSFL9gopCL1KrLu2WPnRmEWXn3V/r94sZ1Anj5tF6lr19rz119vxeFe52Ou+7MqNSAkEWf7LFNjUVlEG0wmJmz/+9xzlv0gsPn2XnxRet3r/DwHIjf5a21kC3Oze7fdf+NHP7LMLFpEbtDUuq+RbD/zwgtzczM1ZdP3+XjORG7yV/ZjlERuipBmbnItCHfSz4Vk60E7rJAvXGgH6nB+4rSKqHkW/Sjelcsll9jG+PjjNkR39WrrZdVuKOzkpPTYYzYfmSRddZV0xRXZ9xQtQ9G6qjgQ1lO7otn4uO0b2um2b+9WgJOybWyoQsG3l06NsEuW2JQLq1bZHL2h48el3/ot6a/+yhqgi9StyPrMMzZf8NGjVvw9e7b5fdPTNsVEeK40NGT/Hh3t/vv63Z/VIU9RcYvndARIX7TB5OWXm1OjLFpk2/OiRbZdPPVUfj3+4yI3xWhtZDt2rFkA3rjRHiVyg7miuTlxwnrp/ehHdoyN5ubwYbuXyw03FPt5W5GbYpT5GCWRm6KkmZuBfD5ydsKFMTBgYXr+eevBEwTWa2dwsPneNIqo0QJ0VNz57yYn7QZx27fb4+Rk5/dSvCuP/ful3/3dZg+Chx6S/uIvbCMcGJg7FHb/fisi/MZvSP/qX9nXb/yG9JGP2GtZ6je/ZZdk+0tbeCCMDumW7P8nTvQ35LDIvwudtRbNNmywx0WLLA/nzs3/nk779k4/a2ZGuu02+2r32u23234JvXVqhN2zx4qo4cVcaOVKu+D7y7/M5/P1EhZZR0ftMTrH8MCAnRMFgTWaT001exFccUXzXCnuuUWW+7Oq6bbttm6fdATIRthg8p732IXRhRdKr3/9/G3aJ+SmWGFmbrxR+rmfs33b617nd2YkclO0MDf//J/bsl+6VLr00rmNCCMjdsz16ThJbopVxmOURG6KllZuvOgh3K9oz5jvflf61rekyy+fWwyW0imi9jP/XdLhlZ3mBTx61DawQ4es+BNnWDDzlmYnujN885ulyy6zO7wfPmx3c7/11mbvsclJK9zs3CktX25DdiU7Qdi1y1773Oey6yla5/kbi56uod2BcHratucXX7R9l8t2WfTfhc46tYZv3Gg3Pd2zR3rjG5vPd2uY6dayHh1p0PpanFZ3jg+mUyPsiRP2uGBB++87cCC7z5SG8Fzi3DkrFr/0kvTf/7v9f8UKy6OUrGGQE/v4kvSKoSNAdoaH7QaY11xj2+wrrzRfW7TItpPoc0UjN8ULG9nGxuz4euBA83ggkRu0NzwsXXutdfb5gz+Yv6/ZssXWkU/HSXJTvLIdoyRy44M0clOJgrDUPGhv3mw9NMfHs7uDtcv8dy7DK9sV706elPbutekJ7rknXvGHglG2WneG4R3e16619bZ7d3Nn+NRT9pzULAaH/56czGfIRB3nb/RhuobWA2F488szZ2xUw7e+ZVlJsl368Hehs05Fs6EhO1ZNTcVvmOlWgJucdC/OcXxo6tQIOzhoX0uXtv++170un8+XVLTQPzoq3XefnSwGgf2te/fasLInnrD90KpV0s03x9tncGIfX5Liea8bBFZ9FE/WVq2y7Xh01BruJyZsSpg1a2zb8Cm35MYf5AYu3vQma0gIbyQXZmZw0KacIjdoVaZ9jURufNFvbrwrCPfbUymvHpBJ579znTclWrx76SXprrukt77V5qcNHTtm7/m1X7MiU3S5xSkYFa3svdOS7AzHxroP55+ayqfFuG7zN/owb1H0QHjeec2bX4bznV9+uW2/SQq5Pvxd6Kxb0WzpUpsmZsGCeA0z3X5Wt/1lt+IcDQpzdTp/2LjR5gEcH7dpIkLHj9tIj1/4hcI+ckftCv3Ll9uchcPDlolFi2wEy9iY/XtwUPr85+M1BnBiH1+S4nmdR/HkIczt+Lg12od8zC258Qe5gYvNm215z8yQG8RTpn2NRG580W9uvCoIp9VTyccekP0MrwyLd7t22b+jxWDJLuIefFDat6+5YYbLbWysd8GoSFXonZZkZ7hqVffiTXihjnT5MLw5eiB84gn7TMuWNYePDQ4mL+T68Hehs15FsyuuiH9c6jaF0JIl1sPzhz+04mV4w7peJwI0KMzX6fxh506b+/3gweZ7ly+XvvCF4m8o16pbof+BB+zvazSkrVvtmPT611uOJiZsqqM4UxdxYh9f0uK5j+ewVVGm3JIbf5AbuCA3SKpMmZHIjS/6zY03BeG0eyr51gMyjeGVneYgDXsarlhhk3lLzeU2OupvwagqvdOS7Aw3b7a/9cABmzc4OoewZK+5tv6Vvad1lnwZ3hweCL/0JesNfvHFzeFjoTjbZbiu9+yx9X3RRc0iYIhh28VL88Su3c86dUp69lkrAg8PW0PDE0/Ytr90ae/fQ4NCe+3OH7Zskf7qr+wGcgcO2DQRv/AL/hWDpXiFfsnes3KlNSifOdN839SUFY7f9a7mc+2OL5zYx+OyH/DtHLZKypJbcuMXcgMX5AZJlSUzErnxST+5ybUg3K1gVfWeSmkMr2xX1Dp61C7kFi60XmKhcLmdOOFHIaydqqzzJDvDhQulm26ynlg7djQLLuENCG66yW2HX4We1lnyaXjz8LAVlx59dO6wjlCv7TK6rmdmbB7QAwek666zOxdL/g4tqqM0T+yiP+vQIenrX587hdAb32iNBFNTNh1Frx7IvjSUlMWyZdIHPlD0p+gtTqG/0bD9R7RBOXT4sPSVr1iD8vBw7+NLGY7TRSvTBV4dlOWClNz4hdzABblBUmXJjERufOKam9wKwr0uKKreUymNnmLtiloTE1ZoHxmxnoZRQWC9f3wphLWq0jpPsjNcv1764helxx6zYciS3XQgyfDxKJee1nXrTezbEBzXAnW7dX3++dLDD0v33y9dfbU0MODv0KK6SvPErtsUQoODVhR+4QWbm7jX+vepoQTpiVvoP37cGpSjxWDJ9pdnz9rxbPPmaozkyVqcY2qZLvCQD3IDF+QGLsgNXJCb6sulIBynYFWHnkrtioabNlmPrmee6V2Ya1fUCgvs4RykUY2GdOGFfhXCoqq2zpPsDIeHpWuusa9+Je1pXdfexD61YLoWqNut65ER6d3vlh5/3HqZX3ttun9X3RoPyiKNBjWfGkrIWXriFPobDZtmpvUmp6dP27zmK1dahqoykidLdT2mlomP+xdy4z9yAxfkBi7IDZJKKzO5FITjXFDUpadStGi4f7/06U8n28hai1rLl0t33SWdOzf3fdHlNjzsTyEsqi7rPGtJCkNVmbfZlUsLZlYHaJcCdad1PThon23TpnSLM5wI+CutBjUfGkrIWbriFvo//GGbpiicfubMGRthcNVVzQxVaSRPFup+TC0DH/cv5MZ/5AYuyA1ckBsklWZmcikIx7mg6HQBs2yZ9I53SI884k9rSTtJi0b9bGStRa3Xvrb3hZ+PXfl96p1WZkkKQ/T2SibrA3TS7TLPXvWcCPitXYPa9LS0e7fNLf/Xf21zCV9xRe9jZpHHB3KWjTiF/re/XXrb22zf/+yzto9buNCmI1m0SDrvPHufzyN5iu5RwzHVb77uX8iN38gNXJAbuCA3SCrtzORSEI5bxGi9gJmaku67T7rnHn9aS9pxKRqluZH50MPLVZk/uy+S9LSmt1f8AoKPB+g8e9VzIjBX0YWnVq0Naq++atOGvPyyFfLuuKN5s8rPfMavY2YUOctOr0L/woXSjTdK73mP/X/xYnscGZEuu0y6807pllv8HcnjQ48ajql+83X/Qm78Rm7ggtzABblBUmlnJpeCcJIiRngBMzkpbd1qgfOlGNOOa9Eo7Y3Mxx7AcZX5s2ctThEqSU/rqs3bnFSSAoKPB+g8e9VzItDkQ+GpnbBBbdcu6V/8C/tsGzdKS5faMenYMRtdc9NN0pe/bFMM+YacFevkSelNb7K5g8fHrRj8hjfYvuaFF+weBz6O5Ilz7pWHuh9Tfefr/oXc+I3cwAW5gQtyg6TSzkwuBWGXIkY/xZg8e3K5fk42MvSSpAgVt6d1nedtTtp44+sBOq9e9eyjjI89xaOf7amnpJ07pePHbZj/0qU2F+zBgzaFxNSU9J3vSL/5m9JnP+tfT2FyVqynn5Yee6yZ4Zdfll580W5UG+7nrrzSv5E8cc698lDnY2oZ+Lp/ITd+IzdwQW7ggtwgqbQzk0tBWEpexHAtxuTdk8v1c7KRoRuXIlScntZ1nrc5aeONrwdoKZ9e9eyjjI89xaW5x7oXX7SenNPTVhR+8UXL6KJF9t7hYWliovgCdjvkrDiTk9I3v9k8BoROn5Z27LCbVIb7uXb7nCKnUfGlwa7Ox9Qy8HX/Qm78Rm7ggtzABblBUmlnJreCsJSsiOFSjElSREvrQsa1aMRGhm6yLEJVbd7muNty0gKCrwfovLCPMr4UnqJaj3ULF0r79tlnCddVOB+sJA0OShdcYPsU3+bkJWfFeeopy8bIiBWBw8wsXiwdPiydO9d5PxdtkJiZsR7qQ0PShz9sN6vLujDsU4Nd1Y6pVeLz/oXc+IvcwAW5gQtyg6TSzkyuBeEkXIoxcYtoafYi7qdoxEbmv6J6QGVdhKrKvM1JtuWkBYTWnW1r0aPTz0rCtxuVtWIf5VfhKdR6rFu92op64+PWE3jBAnv+7Fl7XLFCWrPGppHwcU5eclaMsTHbn23ZYj2CT5xovhYE0rveZRnftWvuPkpqNkisXGnfe+aM7c9uukl629vsMcvpSXxrsKvKMbWKfN6/kBt/kRu4IDdwQW6QVJqZ8bYg7FL5jlNES3s+yH4r9Gxk/iryRlI+FqF8k3RbdikghDvbBx6QvvIVe275cumee+w51yxMTkoPPmg3+pqetqLKwIAfNyprVfd9lG+FJ2n+sW5oSPqpn7IC8LPPWm/PRsN6f65bZ68NDvq976h7zooQHmdGRqTRUenIEWtQWLLEHlessJv7th4DR0ftuXXrbD8WnXLixAnp6NHspyfxuUcN/MP+BS7IDVyQG7ggN0gqrcx4WxCWkle+4xTRshiK73OrTlVl3bOy6BtJ+ViE8k3Sbdm1gNBoWPF33br568IlC/v32/d8+9v2sxcutALKli02RDvNfPneA7kMfCw8tTvWjYxIP//z0ve+Z9lculS65BJp7VorBrPvKI+8ttvW48zatfb8sWOW6/vus7yH+9jpaWtw+IM/sO8bGrKewdH5hyXroZ7H9CScewEAAADuvC4IS8kq33GKaI88ks1QfFp18pPH3IVF30jKxyKUb1ym1XApIKSZhbChYWzMfmdYSAlv4jQ6Kh04kE6+iuzhXjW+FZ46HevGx6XLLpM+9jHpzjtt3R88mP2+g4aH9OS53XY7zoyO2kiIcL83Pt6cGuLll+1zPvdc+33wkiXS1FQ+05Nw7gUAAAC48a4gUNu9FwAAIABJREFU3M+FZZwiWhZD8bkYzk+0526Wcxf6cCMp34pQvnHdlpMWENLMQlhcDud4DS1ebM8fOTJ3epvHHpN27rT3XHWVdMUV8fYtRfdwryKfCk+9jnV57jtoeEhPEdttp6xEG8+np+1YG67bRsMKvufOWYPsqlU25c3p09KiRTZf9YED/k5PAgAAAMCzgrDLhWW7Ymy3C+G0h+JzMZyvsKDWae7CsbF0Lpx9mcPXpyKUb/KaViPNLITF5SVL2r8+MWHD+6empN/6LSvCTE3Za8PD0rXXSp/5TO99S9E93JG9XkXfPPYdNDykq6jttl1Wovu9o0fnTg0RBNY49eyz1oh14IBNWbJokU19Mz7O9CQAAACA77wpCLtcWHYrxna6aEpzKD4Xw/kLC2qtF6ihoaF05i5kDl//5TWtRppZCIssq1db8eT0aesdHJqetp95773WM3j58ubrp09Lu3ZJt90mfe5z3f8+H3q4Y760R5MU3WBEw0O6fNpuo/u9iYnm82Ev4I0b7eu88+xYvHKlfR0/ztRGAAAAQBl4UxBOemHZTzE2reG0XAznLyyoRS9Qo9Kau5A5fMshj6HxaWYhLLK88or1pNuxw/Yhk5P2c1etkq6/XvrjP7b3R4vFixfb++LsW3zp4Y6mKo4m8amAWQU+bbfR/d6JE9KpU/Z82At4cND+v26d9JGP2DQ4TG0EAAAAlIc3BeGkF5b9FmPT6FnFxXD+woLaoUNzn89i7kLm8C2HPHpJppWFaJHl+HHp0kvtccEC6UMfshs5PfKIFX47idPgQQ93v1R1NIlPBcwq8G27Dfd7u3bZ48KF0iWXNIvB4ee64opy5hcAAACoM28KwkkvLH0oxnIxnL+woLZtmxXGDh+257Kau7DoIdnwR1pZ6FVcXrWq+zQCw8O99y30cPdLVUeT+FbALDsft9tw7vI/+iP7XAcO+PG5AAAAAPTHm4Jw0gtLH4qxXAzno928m7ffLj3wgPSVr0hnzyafuzDtuTyRraqtr27F5c2brXB44MDcOYZPn7bHiy+Ot2+hh7s/fGjAzIKPBcyyy2q77Xcfyv4EAAAAqBZvCsJJLyx9KMZyMZy9bvNuvutdNsQ+6QVqVnN5Vq1o6Ysqzr3azcKF0k032TzZO3Y0i4VhT72bboq/b6GHux98aMDMCoXC9KW93Yb70JdftlE0Z85YJm++Wdq0qbjPBQAAAKA43hSEpWQXlr4UY7kYzk7ceTeTXKBmNZdn3YqWeanq3Ku9rF8vffGL0mOPSTt32nNXXcVcnWWVdQNm0Y1RFAqL1W39h/vQ8XFpzx4rBks2AuE975HuucfmBQYAAABQL14VhKVkF5a+FGO5GM5GFvNuZvEzexUtb7nFLsTpOZxcVedejWN4WLrmGvtCuWXZgBltjJqZsWPhxIT0sz9rIyiuuIL9TZX1aox86inLxJ49zddChw9Lv/u70le/SkMTAAAAUDfeFYSTohhbXVnMu5nFz+xWtHzySek3f9Ny6kvP4aJ7EyZRxNyrcZZPmZYh/JBFA2a0MWrlSumRR6SDB+2Gm489Jv3pn0pvfav0e7/HSIUqijOCYmysOU1EtBgs2T5rbKzaDWsAAAAA2it9QRjVlcW8m1n8zE5Fy+lp6emnpUsvta9QkdMdlG1qi7znXo2zfJIsQwrH9RB3PafdgBk2Rq1bJ23fLr30kv2OpUutADg4aFOO3Hab9LnPpbO/IdP+iDOCYtWq5jQR7SxaVN6bGgIAAABwR0EY3spi3s0sfmanouXRo9ZT74IL5j5f1HQHZZyPN8+bR8ZZPo1G/GW4Z4/1zBwbs6LLyIgVsH0tvsNNkY0sYWPU0aP2+yVpwYLm6wMD9pjW/qZsDUpVF2cExU//tB2jDhyY+/rp03P3SwAAAADqZaDoDwB0Es67OTBgBY19++xxYMB93s0sfma0aBl16JD9vDVr5n9PVtMddBP2JosWViX7/4kTVjDyTRbrq5M4yyfuMtyzx27Y9Nhj0pEjVkjbs8eGbt9+uzUUoPxaGxE2bLDHmZn01/PkpLRrl/UE3rXL/h82Rk1MSOfOzf+esDg8Odn//ibPvxXxRBsjp6eth/jevfY4PW2F3oULpZtvtuLv4cO2nwoL+pdd1py6BAAAAEC90EMYXsti3s20f2anG0YtXWrF4sHB+d+TxXQHvRQxH28a8rp5ZJzl02j0fs/kpPQ7v2NFl+XLbf0vXWrP/+AH0qZNzNlZFXnd9LBTz9yPftQy9sMf2rQAZ8/a/mh6Whoasty98oo91+/+ps43ePRV2Bi5b5/tW8KpISYnrQB83nn2/02bpHvusRvIRUcsjIyk37AGAAAAoBwoCMN7Wdw4MO2f2a5ouWmT9OlP5zPdQRx5z8ebpjxuHhl3+fR6z4MPSjt2SKdO2bBsyYpz69ZZwWZ83N/iO5LJo5Gl21Qmn/2sFX8PHLC8nTljvYVHRuy9k5P23osv7n9/U9YGpSppN3/zRz9qoxHOnGnO5TwyYr1/77yzOY3NJZdIX/1q9g1rAAAAAMqhtAVhbmwD37QrWrbrORzOuZn3hXie8/GWUZzlE66/Tu/ZtEm65RbrFTw8bD3xJOu5efCgFWHOnPG7+I748mhkid447qWXrOC7ZInl78EHpZ/4CelXfkV67jnpu9+1+YRPn7bHhQulLVukm27qf39T5galKujUS3x01PZNS5Y0s7Fmje2DWntu59GwBgAAAKAcSlkQ5sY2KIu8pjuIo9PUFkUVqH0Td/l0e8+ePdZjc/lyKwKfPWvzuC5Y0OwdvHkzxfeqyKORZWxMevVVK/6GUwJINmfwxIRla3DQGiNe/3orGj/5pPQzPyP90i9JV1yRzrZNg1JxuvUS//KXbX+zdu3876PnNgAAAIBOSlcQ7nZhdPvtzeGRgC986pXlU4HaR3GWT7f3PPOMtHKl9c4cGLBiXljEO31aWrZM+v3fZ3lXRR6NLOedZ72Ely+3nxt66SVrFI2OjBkctJ7E585Jb3ubdM019nwaI2poUCpOt/mbn39eOn68/ffRc7tYjGSDC3IDF+QGLsgNXJCbaildQZgb2wD98alA7aM4y6fTe1atskLwli02j/BrXmMF4elpafFi6Q//0ObyRL6yPHEpqpFlwYLOr0ULgWmOqKFBqRjd5m9eudLmj6bntl+6bXcXXMCFFNojN3BBbuCC3MAFuame0hWEk97YhhYMAHkJh9WfO2dzex45YsP6z56VVq+W3vnOoj9h/eQxxVCWjSyvvGK5OnDA/obQkiWWqbEx6xUcihYCsxhRQ4NS/rrN3zwwIH3oQ9IDD9Bz2xfdtrvPfEZaulQ6eZIpzzAXuYELcgMX5AYuyE01DRT9AZJKcmOb/fulrVulO+6Q7r7bHrdutecBIG3hsPqBASvgTU3ZMP4LL0znxl5IpvXEZcMGe5yZseenpor+hJ1NTkq7dtm81GfOSNddZ1NA/PiP2+M73iG95S1WGH7hBWnfPnscGGgWAsMRNdGeo5L9/8QJ6+kL/0Xnb44Ki/+jo1bcv/FG6f3vt8dt2zgBL0qn7e688+zGj2Nj5dsfIXvkBi7IDVyQG7ggN9VUuh7CcW9sw1zDqAJ6uJcPw+r9UdYphqK9mmdmpL17rYHhuuuaNw87dsx6Bt96q7R7d/usJR1RAz/Fnb/ZxyzXUaft7uhRuygaajnz9n1/hHyQG7ggN3BBbuCC3FRT6QrCcS+M4hQCNm+m2AZ/5THUHdlIa1g9DQL9KWNBtF1j5vnnSw8/LN1/v3T11dYLONwXLFvWOWtJRtTAbzQ0lUen7W5iwh6XLJn/mq/7I+SH3MAFuYELcgMX5KaaSlcQluJdGPUqBPzgB9LXvkaxDX6ihztoEOhfGQui7RozR0akd79bevxx6dpr7StOMTDuiBqUw/Dw3IbssGGbRiK/dNruzp61dbhmzfzv8XV/hPyQG7ggN3BBbuCC3FRT6eYQDoU98EZH7bH1wrhbIWB6Wrr33nLOK4l6YO7Peivz3Lc+6TX3qo8F0U6NmYODdlzbtKn9Ma+d6JzWneYZRnlwX4Ry6LTdrVolbdkijY/Pfb/P+yPkh9zABbmBC3IDF+SmmkrZQziObj2jzp2zi+t2xTbmOYEPyjjUHekp69y3vok7xZBP0u7VnMZUA0xdUjxGjZRLp+3u0KFy7Y+QL3IDF+QGLsgNXJCb6qlsQbhbIeCd75Qeeqj991Fsgw/KONQd6SlTg4DvxUJf5l6Nu5yymOah15zW3T4bU5f4gUai8mm33fmyP4K/yA1ckBu4IDdwQW6qpbIFYalzMJ98UnrwwfbfQ7ENPmDuz3orS4NAWYqFad3kz1WS5ZR3r+Zun+2CC+iV6osyNRKhu6L3RygncgMX5AYuyA1ckJtyqnRBWGofTIpt8F23otBHP2qNGr72yET/yrCPYgh7PC7LKa9W9l6f7X3vo1eqL8rSSAQAAACgHCpfEG6nU7Ft+XK7Sd0jj1BoQ/HaFYXOO0+6807/e2T6zPcpDqRyzH3LEPZ4XJdTHq3svT7b979Pr1RflKGRCAAAAEB51LIgLM0vtk1NSffdJ91zD4U2dJZ3MTFaFJqctDvK0yPTnY9THHTKlO9zMTGEPZ5wOU1PS0ePShMT0pIl0urV9vyhQ9KuXcU0UPRah+E20g69UvNVhkYiAAAAAOVRuYJwkoJdWGwLC21BQKENnRVdTKRHZn98nOKgV6Z8nouJIezxrFolnTpl89afOdN8ftEi23a//nVbz0XsU3qtwyuvlHbvpleqL3xvJAIAAABQHpUqCLsW7Ci0oRcfion0yOyPb9u5D5nqB0PY47nkEunZZ209r1zZfP7ll6W9e6Vf/mXpwgubz+e5/nutwyuvlF77Wnql+sTnRiIAAAAA5TFQ9AdIS2txZcMGe5yZseenpjp/L4U29BIWE6NFE8n+f+KEFROzRo/M/vi2nfuQqX6EQ9gHBqxYuG+fPQ4MUCyMevZZaeNGafFiW6/hl2RTR7Ru03mu/zjrMOyVeuON0vvfb4/btjGVEgAAAACUWWV6CPfT+49CG3rxoZhIj8z++LadF5WpNOfBZgh7b2NjzRuWHjnSnEP45Enpe9+z/7fKs4Gi0zpsNIqb2xiouzLc/BT+ITdwQW7ggtzABbnxT2UKwv0UVyi0oZdexcTly5MVT1x2htxUqD++bedFFKizmAebIezdhet5cFBau3b+60uWzH8u7waK1nVY9HzpQJ2x/cEFuYELcgMX5AYuyI2fKlMQ7qe4QqENvXQrJg4OSnfdZT3+4uzc+tkZ0iPTnW/bed4F6rLPWVxWndbz0JA1JA21HIWLbogkJ0Bx2P7SU6deSOQmPeSG3LggN+TGBbkhNy7Szk1lCsL9FlcotKGbTsXE5cvtMQji7dzS2BnSI9OdT9t53gVq326qVxfd1vMXviD92Z/50UARIidAcdj+0lG3XkjkJh3kxpCbZMiNITfJkBtDbpLJIjeVKQinUVyh0IZu2hUTz56VvvjF9jcGa7dzY2dYPJ+28zwL1D7Mg11X3dbzVVe5r/8sehaQE6A4bH/9q2MvJHLTP3IzF7mJh9zMRW7iITdzkZt4sspNZQrCkl+9/1BNrcXE7duT7dzYGaJVGgXqOIVB326qVzed1rPr+s+qZwE5AYrD9te/Oja8k5v+kZu5yE085GYuchMPuZmL3MSTVW4qVRCW0u39V6d5XeAm6c6NnSHSFrcw6NtN9eAuy54F5AQoDttf/+rY8E5u+kdums+Tm/jITfN5chMfuWk+T27iyyo3lSsIp6Vu87rATdKdGztDpClJYdC3m+qhs16NkVn2LCAn6AcN6f0Jt79t26SdO215LlwobdggffKT+W1/ZV6PdWx4Jzf9IzfkxgW5ITcuyE0xuSlzZqTsckNBuI06zusCN0mLJxRb6iOPg07SwiDT6vgvTmNk1j0LyAlc0JCentbtu9P2noWyr8c6N7yTG3fkpvP/s0RuyovcuCM3nf+flbJnRsouNxSE26jjvC5oL05RL2nxhGJL9eV10HEpDPp0Uz3MFbcxMo+eBeQESdCQno5wOQaB3XAylNdyrMJ6rGPDO7npH7lpPk9u4iM3zefJTXzkpvl8HuutCpmRsssNBeE26jivC+ZLUtRLWjyh2FJdeR506jjkqMriNkbWuWcB/ERDejqKXo5F//601K3hvej1VvTvTwu5MeQmGXJjyE0y5Mbksd6qkhkpm9xQEG6DIguq0pKE/OV50KEwWC1xGyPr2LMAfqMhPR1FL8eif3+a6tTwXvR6K/r3p4ncGHKTDLkx5CYZcmOyXm9VyoyUfm4oCLdBkQVVaklCvvI86FAYrJYkjZF161kAv9GQno6il2PRvz9NZb95TBJFr7eif3+ayI0hN8mQG0NukiE3Juv1VqXMSOnnhoJwGxRZULWWJHSX5o4174MOhcHqSNoYWaeeBfAbDenpKHo5Fv3701KFm8ckUfR6K/r3p4XcGHKTDLkx5CYZcmPyWG9VyYyUTW4G0v2I1REWWW68UXr/++1x27ZqbqCYr2otSehs/35p61bpjjuku++2x61b7XkX0YNOVJYHnbAwODpqjxSDyylsjBwYsMbIffvscWCAxkj4jeymo+jlWPTvT2JyUtq1S9q+3R4nJ5vPR6f82rDBHmdm7PmpqSI/dTaKXm9F//4kyE1T0eut6N+fBLlpKnq9Ff37kyA3TUWutzJlRso/N/QQ7oLeV/VVpZYkdPbKK9KnPiVNTEgXXCCtXi0NDfU3VzQjDNCPvHp812mYGvLBaIV0FL0ci/79cXTrITM2Vs8pv4peb0X//jjIzXxFr7eif38c5Ga+otdb0b8/DnIzX5HrrQyZkYrJDQVhoA2KetW3f78Vg7/3PWnZMlvPixZJW7b0v2Mty0EHfsq6MbJuw9SQHxrS01H0ciz693fT66a/o6P1nfKr6PVW9O/vhtx0VvR6K/r3d0NuOit6vRX9+7shN50Vud58zoxUXG4oCAMdUNSrrnCH++qrVgxescKeP31a2rGjucPt54Ds+0EHfsq6526vkw2XXvEAkJdeN/09cYIpvzAfuYELcgMX5AYuisoNBWGgC4p61RTucC+80OYRCi1ebM8fOcIBGfnLo+dur5ONqg5TA6qqbtO/9Lrp78qVTPkVB7lpIjfxkZsmchMfuWkiN/GRm6Ysc0NBGEDthDvc1attmojTp60YHDp82ApmRR+Q63YgrLN2PXenp6W9e6WPf9ymN7n88v7Xf6+TjSoPUwOqpo7Tv/S66e+FFzLlVy/kZi5yEw+5mYvcxENu5iI38ZCbubLMDQVhALUT7nCHhmzO4B077IAjSadOSUuWFH9AruOBsM5ae+6Oj1suz5yxTN56q7RxY//rv9fJBr3igXKo6/QvcW76OzzMlF+dkBty44LckBsX5IbcuCA3+eZmoP+PDgDlEt3hjozYnMHXXGMHnZ/8SelP/qTYomvrgXDDBnucmbHnp6aK+2zIRrTn7vS0FYPDRoBwnus01n80+1EMU0MZTE5Ku3ZJ27fb4+Rk0Z+oOGEjUvSiQbL/nzhhFwtVFN70d2DAesjs22ePAwNzG3LDKb9GR+2xihePLsgNuXFBbsiNC3JDblyQm3xzQw9hALUT7nBbh1xcfLE9v2xZsZ+PeV6z59t0HNGeu0ePWs/g8GaHkvVaT2P9d8p+r+FGvi0v1A+jJuYq4/Qvae1HuOmvO3JDblyQG3Ljomy5SfNcl9y4Izf55oaCMIBa8vlAXbYDYdn4WFiK9tydmGg+f/q0zXO9Zo39P431nzT7Pi4v1Etdhw92U7bpX9Lej3DTXzfkhty4IDfkxkWZcpPFuS65cUNu8s0NU0YAqC1fh+qU6UBYNr5OxxEdJnTihM0bHJ5cbNkiDQ7a+9Ja/3Gz7+vyQr3UdfhgN2Wa/qXTfuTsWbth5je/yRQgeSE3cEFu4KIsuel2rrttm/Q3f8NUVXkiN/mihzAqh6HNiCpjHuJMKg83Pk/HEfbc3bWr2eNx06ZmMbiI9e/z8kJ9MGpiPtfpX4rQbj8yPi7t3Gnr9tQp26cw8iB75AYuyA1clCU3nc51BwelBx+0uVzDzjrkJnvkJl8UhFEpDG1GVFnzUJYDYRn5XlgaHpauvVb6oz+y9X/gQLHr3/flhXpg1ER7Pk99FNW6H4neOHPZMru568UX13sKkDyRG7ggN3BRhty0O9dtvcHzhg32PLnJB7nJDwVhVEY/cwyWsRcpuiv7nJNlOBCWUVkKS76s/7IsL1QboyY6K8Mcha37keiNMycn7aaZEiMP8kRu4ILcwIXvuWl3rhvmZuHCZmYkcpMncpMPCsKojGi3/elp2yAnJmxjnJjovAGWtRcpuqvCUHffD4RlVKbCkg/r/5JLbJ7gxx+XLrhAWr1aGhryc3mhuhg1UW6t+93wxpmtN82UGHmAJnIDF+QGSbW7NpiYsAaEkZG5mZHIDUxVckNBGJURdtsfH7eu+mfONF+bmpJ+8IP5xZWy9yJFZwx1RzsUluILG8umpqTdu60RZXjYToAuuojlhXz50mseyYQjsK6+2m7mdPy4naedOmXFmehNMyVGHsCQG7ggN3A1Oip9+cvS889LK1e2v7FziNwgVIXcUBBGZaxaNX/eltDhw9K990o33DD34rEKvUjRno9D3ZmaxA8UlnqLNpa9+c3SZZdJR47YvnTBAunWW20uPiBPPvSaR3ytI7AGB6Vz56Rf+iXbf6xcab1oQseOScuXS2fP2p25OU7WE7mBC3IDF9HcLF9ujQinTkkf+pD00EOWoShyA6lauaEgjMrYvNk2vvFxG9ocOn3aTgAGB+cXeOlFWl2+TQ3A1CR+obDUXWtj2eCgtHatfb3wgvUYZvkB6KTbCKwnnpB+//elO++cO1JjcNAev/hFjpN1RW7ggtzARbfcPPKI9PGPkxvMV7XcUBBGZSxcKL3znTbX5YkTzefDIUInTswv8PrYixTp8GlqAKYmqaYq9/imsQxAP556yvYTS5ZIe/fa4+rVzRFYr7wyd6TG8uXSXXfZ/qW1EZfjZH2QG7ggN3BBbuCiarmhIIxKedObpKuuat5IbskSm9B7cNC68rcWeH3rRYp0+TI1AFOTVE/Ve3zTWAagH08/Le3cOfd4GzbQh41K0ZEau3ZJJ09ynKw7cgMX5AYuyA1cVC03FIRRKZs3W6FiZsaGNoc6FXh96kWKbPgwNQC9LaulDj2+aSwD4Gpy0m7o1Ho/h9On7T4PmzbNb1RyPU5WeaRG3ZAbuCA3cEFu4KKKuaEgjEpxKfD60osU1UVvy2qpQ49vGssAuHrqKRuZNTJiF0mLF9vzixfbjSnPnZvfqORynKz6SI26ITdwQW7ggtzARRVzQ0EYleNS4PWhFymqi96W1VKXHt80lgFwMTYmDQ3Z8MkdO+be1yEIpHe9a/5+JOlxsg4jNeqG3MAFuYELcgMXVcwNBWFUEgVe+ITeltVSpx7f7EsBJBXuI0dGpNFR6ciR5n0dJiakyy6b/z1Jj5N1GKlRN+QGLsgNXJAbuKhibigIA6ilvOdzordlddDjGwA6a91Hhvd0OHbMhlV22kcmOU7WZaRGnZAbuCA3cEFu4KKKuaEgDKB2iprPid6W1UCPbwA+8PVGNf3sI+MeJ+s0UiNNvmZGIjc+IzfkxgW5ITcuyE2+uaEgDKBWiprPyeeDG5KjxzeAIvl+o5p2+8hNm6Q9e6Rnnun/OMhIjeR8z4xEbnxUxtwsX26f9Zln7Ly733NucpMcuSE3LshN/rmhIAygVoqYz6kMBzckR49vAEVIo2Ezj0bK6D5y/37p059O7zjISI1k0moMJzf1UsbcZHHOTW6SITeG3CRDbkzeuaEgDKBW8p6XhzvMAgDS1G/DZt6NlFkdBxmpEV8ajeHkpn7Klpssz7nJTXzkponcxEdumvLMDQVhALWS97w83GEWAJCmfho282ikbO2dMzWV3XGQkRrx9NsYTm7qqWy5OXrUPtPGjXPfk9Y5N7mJh9zMRW7iITdz5ZUbCsIAaiXveXm4wywAIE39NGxm3UjZrnfO+Lg01OGKg+NgPvptDCc39VS23PzoR9LBg/a5R0bmvpfM5IfcwAW5KcZA0R8AAPIUzsszMGAHh3377HFgIJt5ebjDLAAgTdGGzag4DZtZNlK29s7ZsMEeFy2yC7Vz5+Z/D8fBfPSTGYnc1FXZcvNjP2bZ2LFjfm7ITH7IDVyQm2JQEAZQO+G8PDfeKL3//fa4bVs2c+D1e3ADACCqn4bNLBspw9450dE3UnM45Z49c5/nOJiffhvDyU09lS03q1dbT73xcenIkebzZCZf5AYuyE0xmDICQC3lNS8Pd5gFAKTN9YYjWU6b1Kl3ztCQ/d6pKY6DRernJjXkpr7KlJuhIWnLFun++6Xnn7fskJlikBu4IDf5oyAMABkr8g6zrTdp2bzZitQAgHJzadjMspGyW++cpUulj3xEWrCAO60XybUxnNzUW5lyMzIiXXWVdP310po1ZKZI5AYuyE2+Kl8QphgCwAdF3GG23U1awoNiFtNjAAD8l1UjZa/eOVdcUa6LJMxFbuCiiNycf750ww3kpszIDVyQm+QqXRCmGAKgrlonvw8dO2bPb9tW3gMXAKA/WTRSMkVS9ZEbuCA3cEFu4ILcJFPZgjDFEAB1Fk5+H93/Sdaq+cIL1nKad49lAEB1TU7aiLzRUTv+rFwpXXjh/N45jN5DKJqF973PLrBPnmzfq4vcIERu4ILcwEXVc1PZgjDFEAB11ukmLZI9//LL+X4eAEB1dRuVF71YYvQeQkmyQG4QIjdwQW7gog65GSj6AyQ1OSnt2iVt326Pk5Pt30cxBECddbtJS6NhrZoAAMTV6Ry8dVTehg32ODNjz09NJXsfqqVdbpJkgdzUE7lBUv0eo5K+F9VQ99yUqodwt6r7BReumALWAAAGBklEQVTM7Z593nkUQwDUV6+btLz5zcV9NgBAuXQ7Bx8bizcqj9F79dMpN+G0InGyQG7qh9wgqTSOURK5qRtyU6KCcLc5gT/zGWnpUpvLI1yRy5ZJg4MUQwDUU5UnvwcA5KfXfTlGR+ONymP0Xr10y82XvywtX97++1qzQG7qhdwgqbSOURK5qRNyY0pTEO5UdT/vPOnBB6W3vEV6wxuazx87ZsWPRoNiCIB6Wr/ebqD55JN2IGo3+T0AAN306vly4kS8UXlMZVQv3XLz/PPS8ePtv681C+SmXsgNkkrrGCWRmzohN/9/e/fOE0UXxgH8YeXipRH09ZaIhaU2YqMmVoZPYGfsbI2JX8Boq9FKP4ifwsqO1oJKEjRBYlQksm9xslnktjtHmN2Z8/slZHB3MQvnP2fIwznPJI0pCO9XdV9dTT05Jnd8J3NzEevrEQ8fRkxNKYYAZZqeHt8tKgCMv0ErX2Znh2tRpJVRWQ7KzexsxPfvw2VBbsoiN1R1WNeoCLkpidwkjbmp3H5V9x8/0vHkyd3PTUykovDCQlryvbCgGEz7DHujRQCAqgatfLlwIe2+63TSqprl5XTsdP7elddrZTTodbTDQbnpdCIePRouC3JTFrmhqsO6RkXITUnkJmnMCuH9qu6bm+kHfO7c7q8Z9+XZ8K8OaoQ+Pz/qdwcANN0wK1+mp4drUaSVUTkG5WZxMX0MkwW5KYfcUNVhXqMi5KYUcpM0piC83w2Szp6NuH074tu35i3Phn8xqBH6y5dpAtrYSD1yvnxJ58u1a+l1Ox+bmRnJtwEAjLFhb1I6bIsirYzKMGxuhs2C3JRBbqjqsK9RVV9LM8lN0piCcMT+VfeVlTSQnz6lwvCvX6nI9eLF+FfkIdegRuhLS+k8ePUq/XtjI018Z86k7QtbW1YV72evIrqCOXWRP+ogZ+1Rx1g2deULe6vr/JebdpEbcrhGkUNu6tGognDE3lX3+fmIx48jnj1LxeDjxyOOHYt4906Ri/Ya1Ah9ZSWdAx8/9h/f2or48CG1WLl/P50nEbtXFZdMGw5GSf6og5y1R51j2cSVL+xW9/kvN+0gN+RwjSKH3NSnMTeVO8jGRsTbt+kvB3fupAG9ejUVv968ifj9e9TvEA7foEboq6up+DszkybQ06cjpqbS86urEZ8/918/N5cm3KWlo3/f42xnG44rV9LRXEId5I86yFl7GEuqkhlyyA055IYcclOvVhSEe1vnt/cQjlDkot22N0Lfrtc/e20tTZgnTvSf29yMmJyM+PPn74JwRPrr29evR/++x5m5hFGSP+ogZ+1hLKlKZsghN+SQG3LITb1aURAetHW+9CIX7dRrhN7ppB7By8vp2Omkxyf3aAjTWyEcsXt1cbeb+uaUzFzCKMkfdZCz9jCWVCUz5JAbcsgNOeSmXo3rIbyXQVvnSy9y0V4HNUK/eTMdf/7srxI+dar/tZcu9T/vrSq+fr3e9z9uzCWMkvxRBzlrD2NJVTJDDrkhh9yQQ27q1YoVwoO2zpde5KLdeo3QFxfTsXdTuBs3Im7dSn141tbSx/p62m5x8WJ6fOeq4tJvKGcuYZTkjzrIWXsYS6qSGXLIDTnkhhxyU69WFIQHbZ0vvchFmWZmIp4/j7h7N+Ly5Yjz59Px3r2I9+8jnj6NePAg4smTtMrYneXNJYyW/FEHOWsPY0lVMkMOuSGH3JBDburVipYREQdvnYdSzc9HvH7tvKjCXMIoyR91kLP2MJZUJTPkkBtyyA055KY+rSkIR/S3zgN9zovq/MwYJfmjDnLWHsaSqmSGHHJDDrkhh9zUoxUtIwAAAAAAGExBGAAAAACgEArCAAAAAACFUBAGAAAAACjERLfbHf7FExOrEbF8dG+HEbnS7Xb/O6r/XG5a68hyIzOtJjfkkBuq8rsNOeSGHHJDDrkhh9+JybFnbioVhAEAAAAAaC4tIwAAAAAACqEgDAAAAABQCAVhAAAAAIBCKAgDAAAAABRCQRgAAAAAoBAKwgAAAAAAhVAQBgAAAAAohIIwAAAAAEAhFIQBAAAAAArxP2uMfs57pHIeAAAAAElFTkSuQmCC\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3950,20 +3934,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "iVBORw0KGgoAAAANSUhEUgAABYQAAAD7CAYAAADaZ5DxAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzde5wdZ33n+W91Sy2pkdySrJYtLEsiWOYissbIk1jJhg0DCgTiye4wwMZJNszC7MwCawZmjAjJcBnYLCMnTNaDA1nIhPEEHMeZS0IAI+zATjIjc5GwM/IdgSX5JqktdctSt06r1Wf++HXNqT59LlXPqctTVZ/369WvI52+nT71rXqqfs+lgmazKQAAAAAAAABA9Q0V/QIAAAAAAAAAAPmgIAwAAAAAAAAANUFBGAAAAAAAAABqgoIwAAAAAAAAANQEBWEAAAAAAAAAqAkKwgAAAAAAAABQE6UuCAdBcDYIgh8r+nXAb+QELsgNXJAbuCA3cEFukBSZgQtyAxfkBi7ITb5yKwgHQfBEEAQzCxs4/Pj0ID+z2WyubjabP1z4+V8IguATCV7P24Mg+OtBfn8RFv7O2bb3cbjo15UWcpKOIAjeGgTBfwmCYDoIgm91+HwzCIJzkff48wW8zNSQm3QEQfDbQRA8HgTB80EQPBIEwf/W9nly00dNc7M3CIJjQRCcCYLgSBAEH2r7PLnpo465CQVBsD4IgpPRvyEIgm0LuYm+x/+syNc5KHKTjl7nwVXLDZlJTxAErwuC4OBCW/RkEARvjXyONqqPOuYmCIIH297DuSAIvhz5PLnpo6a5WR8EwZ1BEDwXBMFEEARfDILgksjn29/nfUW+3kGRm3QEQXBFEAR/FgTBqYU26h+1fT6z482ytH5QTDc0m817cv6dmQiCYFmz2Zwr6NfvbTabv1nQ784DORncKUm/K+mlkv52l6+5ptls/iC/l5Q5cjO4c5JukPSYpL8l6e4gCH7QbDb/S+RryI2nCszNH0j6WLPZPBcEwRWS9gVB8Eiz2fz3ka8hN54q+HxGkv6FpIfVeZDC2oJfW9rITTr6nQdXKTdkZvDf+3JJX5L0a5K+IWlM0tq2L6ON8lRRuWk2mzsiryGQ9ENJd7V9GbnxVIFt1CckrZP0IkmBpH8n6aOS3h/5msq8zwsq8/cUmJs/kvSApL8n6eWSvhkEwaPNZvObka/J5njTbDZz+ZD0hKTXdfncZyT9u8j//4Wke2U70bCkD0k6LOl5SQckXbnwdU1JV0n6PyRdkDQr6aykLy98/oOR73tI0v+y8PzLJJ2XdHHh6ycXnh+TdLukk5KOSPpNSUMLn3u7pP8s6V9Kek7Sb8mKbj8eed0bJU1LGu/wN4bf/2lJU5IekfRah/fxC5I+kdd2y/uDnKSTk8jPe6ekb3V4vinpqqK3N7nxMzeRn/vnkv4JuSE3Cd7TKyT9V0kfIDfkJsZ7+VOS9kv6+5L+OvL8toX3ZFnR25vc+JUb9TgPrlpuyExqmfmSpI/3+DxtFLnp957+Twt/2wvIDbnp8z5+TdK7Iv9/t6Svx3mfy/hBbgbPjaTVC3/zeOS5/0/Sv438P7PjjS9hGZWNSHu7pJ+RNCFp88LnbpZdXL5kITzXSLq0/Y1RhxNESW+R9ELZqJO3yUa/bYpsvL9u+/rbJf2ZpDWyk8rHJL0j8vVzkv4v2cjqVZJ+T9K/iHz/e8OgdgnLnKT3SVq+8HqmJK1f+PzvSZrs8vE3kZ/zhYWQnpLtOG/OaxuSk/LkJPLzehWEn5b0rKR/L2lb0due3PiTm4XvWSXpGUlvIDfkpl9uZCdnZxf+/h+G7xW5ITfdciO7GDgoaWf736BWYe8pSU9K+kNJG4re9uTGi9x8QV3Og6uWGzKTWmZ+KOnjC+/JM7KRWOsjn6eNIjf9zon/taQvtD1HbshNp+PNL0j6qmyU8DpJfynpH7e9z8dlxcl9slGfhW9/clNcbhZeV1PSxsjP/Zyk70f+n9nxJu+wnG17E/5B5PM/KTu5OyLplyLPPyrpF7v8zJ5h6fD194c/qz0ssguTWUkvjzz3D7VQTFv4+qNtP+8nJR2VFCz8/3uS3tojLE+HX7vw3Hck/WrC9/FVki5dCOwbZT0jP53XdiQn5chJ5Hu7FYRfLWlENmXu05IOqcQjashNurlZ+P5/I+nutp9JbshNr78lkHStpI9JWkNuyE2fv+F9kj7T5W9YLek62bnOZZL+VJERNmX8IDfZnwdXLTdkJrXMzC68l1cvZOTfSfpi5PO0UeSm198yKumMpJ9te57ckJtOP+eFku6RNL/w8Q1JI5HP/7Ss6Dgq6ddlBb61RW9/clN4bv5a0r+StFJ2nnNK0qORz2d2vMl7DeH/udllfZFms/ntIAh+KBuS/SeRT10pGxKeWGA3RHq/rCdAspOADV2+fIOsqn8k8twR2fTX0LEOr3la0s8GQfCMbGj7n/d4SU81F7Zo5Oe/sM+fsUiz2TwY+e9XgyD4oqS/KxuqXhXkZMCc9NNsNv/Twj9ngyB4r+xE52WynrqyIjcp5SYIglskvULSa6I/k9z8d+Smg4Wf8/0gCF4vKwq/f+F5cmPIzYIgCF4o6SbZ6OAlms3mWdkJuCQdD4LgPZKeCYJgTbPZfD7u7/EQucnwPLiiuSEzg7dRM5L+sNlsPiZJQRD8lqxgE74m2ihDbjr7u7LizP/f9prIjSE3i/2JpL+R9IuygRK/LZuV8NaF1xSt2fw/QRD8mmz07JdVXuRm8Nz8sqTbFl7LD2WZ+e/rmGd5vOl0A49CBEHwbkkrZBX2D0Q+dUzSi2P8iOhGUBAEW2VDrd8jG36+VlZJDzp9vWwI+wVJWyPPbZFNO+v4Oxb8G0m/IulXJf1ps9k83+M1XrGwKH305z+98Ho/23Z3xujHgz1+ZjPyN1UeOXHOST+VzhG5iZ+bIAg+JunnJf1cs9k80+P3ha+Z3HRXm9y0Wabe7w+56a0OufkJSZskPRQEwbOS/l9JPxEEwbNBEAx3+H3h6/XmvDVt5CaT8+BK54bMxM7M37S9jk6vSW2fp43qri65Cf2apNvbCj6dkJve6pKbV0r6/WazeW6hk/Kzstks3ZCb3mqRm2azeaTZbP5Cs9kcbzabPykrZH+nx+9MLzdpDDOO86He64tcLem0bO2Q7Qv/fuXC526WNeTbF/7o/0Gd1xf5pKQvRX7my2WLSr9ENlT878vW93jnwuffsPCaokP4/0jSf5Ct47FVtih0+PVvV9t6JAvPX6nWMPhX9/j7377w+98r66V4i6yyf2nC9/HvyXpBhiT9nGyq3M/mtR3JSWlyMiybcvCPJP2nhX8vX/jcDlljNbyQpd+VTdtYXvT2JzeF5+bXJT0u6fIOnyM35KbTzxiSTb1at/Be/IRsjcabyA256fEzVki6PPLxXknf1sKxRzZd7yUL+bpU0p2Svln0tic3XrRTXc+Dq5YbMpNaZv53ST+S9GOyadp/ooWb9Yg2itz0fi83L/ysF7c9T27ITbef803Z1P9Vaq1H+18WPrdFtmTEiOza/GbZWsKJs+nLB7lJLTcvW3h9I7JC9IQWbjKnjI83eYdlRrbGSPjxH2Qjib4j6YORr/0/ZcOfVyz84b8pa8ifl/RdtRajjoZlu2z9kElJ/3Hhuf97YUNOSPqUbKpHuPFHJH0l/PzCc+sWAnNS1mvxYS2+A+GSsCx87p6Fvy/o8fe/XYvvQPiYbARe0vfxrxa+/4ykByT9r3ltQ3JSqpy8feHvjn58YeFzf1t2EDkn6YSk/yhpe9Hbntx4kZumpEbb+/ghckNuevyMIdla06cW3r/HZHcNDtfdIjfkJs57uug1SfqlhffpnKyD4XZ16Kgq0we5yf48uGq5ITPpHWtkyxidXPj4t5LWLTxPG0Vuer2Xvy7przo8T27ITbef8yLZ8g/PLbz2u8NsyAp7f7OQm+ck3SvpuqK3PbnxIjf/eOH1nZOtJ3xd5HOZHm/CCzYMIAiCfy3p6Waz+Zs9vubtsqD+j7m9MHiFnMAFuYELcgMX5AYuyA2SIjNwQW7ggtzARV1yk/dN5SonCIJtssXmry32lcBn5AQuyA1ckBu4IDdwQW6QFJmBC3IDF+QGLuqUm0reZCEvQRB8XLaI9S3NZvNHRb8e+ImcwAW5gQtyAxfkBi7IDZIiM3BBbuCC3MBF3XLDkhEAAAAAAAAAUBOMEAYAAAAAAACAmqAgDAAAAAAAAAA1keimchs2bGhu27Yto5eCohw4cGCi2WyOZ/XzyU01ZZkbMlNd5AYuyA2S4twGLsgNXJAbuCA3cME5MVx0y02igvC2bdv0ve99L71XBS8EQXAky59Pbqopy9yQmeoiN3BBbpAU5zZwQW7ggtzABbmBC86J4aJbblgyAgAAAAAAAABqgoIwAAAAAAAAANQEBWEAAAAAAAAAqAkKwgAAAAAAAABQE4luKoelGg3pwQeliQlpwwZpxw5pxYqiXxXQQkYhkYO6YXunj/cUdUX24YLcwAW5QVJkBi7IjaEgPICjR6VPfUqanJSCQGo2pbVrpfe/X9qypehXB5BRGHJQL2zv9PGeoqwGveAh+/VEbpBUGsUVclM/HGvggtykh4Kwo0bDQjQ/L23b1nr+1Cl7fu9eaWSksJcHkNGSyaqXkhzUC9s7fbynKKtBL3jIfj2RGySVRnGF3NQPxxq4IDfpYg1hRw8+aCFcv37x8+vX2/OHDhXzuoAQGS2Po0elPXukW2+V7rjDHvfssecHRQ7qhe2dPt5TlFH7Bc/WrfY4P2/Pz872/xlkv37IDZJKIzMSuakbjjVwQW7SR0HY0cSE9Uh0EgTSc8/l+3qAdmS0HNI6ke6GHNQL2zt9vKcoozQueMh+/ZAbJJVWcYXc1AvHGrggN+mjIOxowwYbnt5Jsyldemm+rwdoR0bLIeteSnJQL2zv9PGeoozSuOAh+/VDbpBUWsUVclMvHGvggtykjzWEHe3YYWuVnDq1uJBz6pQ9/4pXFPfaAImMlkXWvZTkoF7Y3unjPUUZpXHBk0X2uau338gNkkqruEJu6sXXY41Ebnzma27KnBkKwo5WrLCFqz/1KemJJ5YuaF2nhajhJzJaDln3UpKDemF7p4/3FGWUxgVP2tnnrt7+IzdIKq3iCrmpFx+PNRK58Z2PuSl7ZoJmt0pEB9ddd13ze9/7XoYvp3xmZ21K93PPWeHmFa8o38VhEAQHms3mdVn9/Crmpky9QFllNMvcVDEz3TQadgO5+fmlDdvQUHp3OvXlWEVu8uHL9k6LD7mp2ntadZzbpHeRkkb282rrBkVuyI2LuucmzYIIuUlPXXKT1vkZufE/M5JfuSlLZqTuuWGE8IBGRqRXvaroV4E8la0XiIz6La/Rh+SgXtje6eM9Rdls2WIXI4Ne8KSR/XC9/G3bFj+/fr21fYcOsX/5gtwgqbQyI5GbOvHpWCORm7LwKTdVyAwFYSCBRsMKd/Pzi3f8U6fseZ96gVAeaZ5IAwAQSuOCJ41ZUdzVu1zIDZJKqyhHbuqF3MCFL7mpQmYoCCdUpqUCyqYM720VeoHgJ0YfAgB8k2RWVKfzOMmee/xxe/6KK6RlbVcfdbyrd9WRG7ggN3BBbuAijdycPCk99ZRdx4+PL85NWTJDQXhBnGJk2ZYKKJOyvLdV6AUCAADoJ8msqE7nccPD9jg/bx+HD0vHjkmveY00Ntb6WYPcDR7+ITdwQW7ggtzARVq5uXBBevJJy8zYmLRrlz2WKTNDRb8AHxw9aotB33qrdMcd9rhnjz0fag/N1q32OD9vz8/OFvXqy69M7+2GDbbzd1KWXiAAAIB+wllR0RulSPb/yUmbFSV1Po/bvFk6cEA6eFC68krpx35Mev3r7eu//nXphz+0mVVDQ+mul4/ikRu4IDdwQW7gIq3cbNtmmRkbk6amLDeHD5crM7UfIRy3d4ClArJTpvd2xw7r7Tl1aumdJMvSCwQAANBP3FlRnc7jTp5s/fvECWnTJrtguuEG6f77peuvtw/Wy68ecgMX5AYuyA1cpJ2b3bvt3z/6kfSGN0hveUt5MlP7EcJxewdYKiA7ZXpvV6yw3p6hIStWHzlCzyEAAKieuLOiOp3HTU93/vfwsP3c7duts5/zpuohN3BBbuCC3MBF2rkZHrbC8BVXSBs3lisztR8hHLcYyVIB2Snbe7tli40cP3TI8nHppfQcAgCAaok7K6rTedzoaOd/S36e2yE95AYuyA1ckBu4IDcttR8hHLcYGQ1NFEsFDK6M7+3IiPUY7t5NzyEAAKieuLOiOp3HjY+3/r1xY+vfPp/bIR3kBi7IDVyQG7ggNy21HyEct3cgDM2nPmVhCe8wuHYtSwUMivcWAADAP3FmRXU7j9u50x6PHePcrm7IDVyQG7ggN3BBbkztC8JJipEsFZAd3tv8NBq2dvbEhI2Q37HD9gMAQD3RLhTP520Qzorqpdt5nMS5XZbIDVyQG7ggN3BBbvxW+4KwlKwYGSc0cMN7m72jR63zY3JyaefHli2Lv9bngzcAIB1J2gVkoyrboNt5HOd22SA3cEFu4ILcwAW58R8F4QVpFCMpoMFnjYYdkOfnpW3bWs+fOmXP793b6gSpysEbANBdknYB2Uh7G3AuWg/kBi7IDVyQG7ggN+VAQTglFNDguwcftHxGD8iSrZ39xBM2Qv5Vr6JAAAB1EbddQHbS3AZpnItywVUO5AYuyA1c+JQbMlMe5KYcKAingAIaymBiwg6gnQSBLZciUSAoExo3AIOI2y4gO2ltgzTORRncUB7kBi7IDVz4khsyUy7kphyGin4BVRAW0NavX/z8+vX2/KFDxbwuIGrDBjsAdtJs2trZEgWCsjh6VNqzR7r1VumOO+xxzx57HgDiiNsuIDtpbYNBz0XDC64LF6xjcW7OHi9csOdnZ+O9DuSD3MAFuYELH3ITLQpu3mwFwIsXpWeftYIgmfEPuSkHRgingAIaymDHDusNO3Vq8QH11Cl7PrxbJgUC/zErAUAa4rYLyE5a22DQc9EHH5SefNI+zp9vPb9ypV1EMTvIL+QGLsgNXPiQm7AouG6ddM89i3MzOyt94xvSm94U73UgH+SmHBghnAIKaCiDFStsasTQkC39cOSIPQ4N2fNhATF68I6iQOAPH2YlNBrSwYPSvn322Ghk/zuRj7S3LVnxV9x2AdlJaxsMei769NPSQw+1plKGH82mPf/ss0n+quT7PceJZKqYG5cMkJtkyI3799SZD7mZmLCBMPv3d87NH/xBstGe5CZ75Mb9e/LECOEUMMIGZbFli40ePXTIetMuvdTyGT0ghwfvT33KDtrta+1QIDBFrt9b9KwE1mKqrrS3LVnxX5x2AdlKYxsMei46NWUXRatWLX5+1Sp7TadPx38tSfd7jhNuqpQblwyQGzfkhty4KDo3GzZYLs6ft6+NCpcbiTuynNzkh9z4nxsKwimggIYyaC9gvvrV3QuYFAh6K/rgXuSsBJarqK60ty1ZKY+REabnFm3QbTDouejatfY1MzOLizQzM/Z8+4yUbpLu9xwnBlOF3LhkgNwMhtyQGxdF5mbHDmnZsqWjK2dmbKmRdeviDYghN/kjN37nhoJwSiigwWcuBUwKBJ35cHAvclZCuFxF9G+X7HU88UT1130rcmR41tLetnXPSlVUOfNVM8i56KZNtm2PHbP9NrRypT1/2WXxXkPS/Z7jRPGKzo1LBshN8cgNXLjmZsUK6Z3vlG6+eWlmdu2yUaBxBsSQm3IiN9mhIJwiCmjwkQ8FzCrx4eBe5KyEoperKFLRI8Ozlva2rXNWqqLqma+CTgV7lzZoxw7piiukjRuluTlpeloaHbWRNcuXZ3fzF44TxfApNy4ZIDfFIDdwkVZuXvc66bWvtZ+zbJllZuNGW4Ik7oAYclMe5CYfFISBivOhgFklvhzci5qVUNebaNahYyXtbVvXrFRFHTJfdmkW7KMdjefOtaZYrlqV7c1fOE7kz7fcuGSA3OSP3MBF2rm5+ebWz5udtVHmSQbEkJtyIDf5oSCMUmIKa3y+FDCrwqeDexGzEup6E806dKykvW3rmpWqqEPmyyyLgn0RN3/hOJEvH3PjkgFyky9yAxfkBi7ITb6Gin4BQFJHj0p79ki33irdcYc97tljz2MpnwqYVRA9uEf5dnDPSjiqY2jIikJHjtjj0FC1b6JZh46VtLdtXbNSFXXIfJmFBfv2my+tX2/PHzrk9nPDjsbdu+0x6/2e40S+fMyNSwbITb7IDVyQG7ggN/lihDBKhSmsyZWld6osily/1xd1vIlmXTpW0t62dcxKVdQl82Xlc8E+6X7PcSI/vubGJQPkJj/kBi7IDVyQm3xREEapMIU1OQqY6SvDwT0r7cu1vPrV9ViupU4dK2kvRcINV8upTpkvI98L9kn3e44T+fA5Ny4ZIDf5IDdwQW7ggtzki4IwSsXXHiPf1bmAmRXfD+5ZSHOB/7KhYwV1Q+b9RsEeLsgNXJAbuCA3cEFu8kVBGKXic4+R7+pYwER6WK6FjhXUD5n3FwV7uCA3cEFu4ILcwAW5yRcFYZQKPUZAMViuxdCxgroh8/6iYA8X5AYuyA1ckBu4IDf5oSCMUqHHCCgGy7UAgH8o2MMFuYELcgMX5AYuyE0+KAijdOgxAvLHci0AAAAAAFQDBWGUEj1GQL5YrgUAAAAAgGqgIAw4ajRsXdWJCRs9uWOHLWkBVBHLtQDwDe0wAAAA4IaCcEJcfAymKu/f0aNWGJucXFoY27Kl6FcHZIPlWgD4gnYYAAAAcEdBOAEuPgZTlfev0bC/Y35e2rat9fypU/b83r0UyFBdLNcCoGhx2mEAAAAA3VEQjoki4GCq9P49+KAVtaN/h2Trqj7xhI2epGCGMqrKCH4A1RanHUY90G7BBbmBC3KDpMgMXOSZGwrCMVEEHEyV3r+JCRvh3EkQ2FR6oGyqMoIfQPXRDkOi3YIbcgMX5AZJkRm4yDs3Q+n/yGpKevHRaEgHD0r79tljo5H9a/RZkRdvaW+LDRtsx+yk2bR1VYEyaR/Bv3WrPc7P2/Ozs0W/QgBooR0G7RZckBu4IDdIiszARRG5YYRwTEkuPlyq+lWfTlDUxVsWPSw7dtjPOHXKRjiHTp2y51/xinReO5CXXiP4Dx+W7rpLGh+v5rEJQPnQDqNKM8+QH3IDF+QGSZEZuCgiNxSEY4p78eGyVm4dphMUcfGW1brFK1bYtvnUp2zHbN9mZVkLGQh1G8E/NSUdOCAdPy5dcUU1j00Ayod2GCwbAhfkBi7IDZIiM3BRRG4oCMcU9+IjaVW/Sjdb66WIi7cse1i2bLFtc+iQ7ZiXXmpF7SpsK5RHWjMLOo3gn5uT9u+351/0ImnTJnu+ascmAOVEO1xvLBsCF+QGLsgNkiIzcFFEbigIJxDn4iNpVb9O0wnyvniLsy0GKaiNjFRn26B80pxZ0GkE/8mTNkJ4bEzauLH1tVU8NgEoJ9rh+mLZELggN3BBbpAUmYGLInJT64KwSzGw38VH0qp+msPCy7AOcZ4Xb/22xeystGdPtZfqQDWlPbOg0wj+p56yx127pOHhxV/PVCcAQJFYNgQuyA1ckBskRWbgoojc1LYgnNW6vUmr+mkNC6/DOsRJ9doWq1dLd99t71WVl+pANWUxs6B9BP+JE7aPjI0t/VqmOgEAisayIXBBbuCC3CApMgMXeeemlgXhQUfX9RqJm7Sqn8aw8LqsQ5xUr23x+tdLd91Vj6U6UD1ZLTgfHcHfaEjf/S5TnXwWbYsuucSeO3PG3xkiAJCGMsyIg3/IDVyQG7hoz82rX01u0F8RuallQXiQ0XVxRuImqeqnMSy8TusQJ9VtW3zrW9z5E+WVx4LzTHXyW7QtOnfO2gHJLlRe8AJmiACoJmbEwQW5gQtyAxfkBi6Kyk0tC8Kuo+uSjMRNslbuoMPCsxotWBWdtkWWBTV6kpG1vBacZ6qTn6Jt0ebN0j33SGvW2OeOHZN277YbAtZ5hgiA6ul2Hn7ypPTBD0q//MvSpk2cd2ExcgMX5AYuyA1cFJmbWhaEXYuBWY7EHeRma3mMFqyarApq9AjCVZKOhDxH7+Z5I0jEE22LnnlGOn/etr1kz584YScNdZ8hAqBaOp2HT01JBw5Y23n2rJ3Tcd6FKHIDF+QGLsgNXBSZm6H0flR5RIuBUf2Kgb6OxHX9e+osLKgNDVnR5MgRexwaci+otffsbN1qj/Pz9vzsbLp/A6rj6FFpzx7p1lulO+6wxz177PluwtG7N90k3XijPe7dy4lFHUTbounppZ8Pn2OGCDppNKSDB6V9++yx0Sj6FQHxtJ+Hz81J+/dbh+jq1XYTVM670I7cwAW5gQtyAxdF5qaWI4RdR9f5OhK3Cmt9FrHMQtrT4VnLGS4GuSkko3frKdoWjY4u/Xz4HDNE0N62rlkj3XYbs1hQTu3n4SdPtmZINBqtYx/nXYgiN3BBbuCC3MBFkbmpRUG4U7HRpRiY17qdLsq81meRyyykWVDzdQQ5/EZHApKKtkXj49LKldLMjH1u5Upp40Y/2iUUq71tnZuz48krX5m88wnwQft5eDgbYmamdewLcd6FELmBC3IDF+QGLorMTeWXjOg1FTssBu7ebY/9LoSyWGYgTUn/Hh9UaZkFX0eQw290JCCpaFv05JPSlVdKzz9vH1deaTeW86VdQrriLvfQqW0dHbXRBg8/LF282Pra9eutaHzoUC5/AuCs/Tx8asrW1QsCadcuaXi49bWcdyFEbuCC3MAFuYGLInNT6RHCg0zF7qbMI3F9VKXRkT6PIIe/6EhAN72W0gnbooMH7eNnfsbWl9qwwW4oR7tULY2GdM890uc/byN9162zk8Zus2k6ta3T05af8+dbNx4M0fmEsoiehz/7rPRHf2T7w9hY62s470I7cgMX5AYuyA1cFJWbSheEsyo2+rZuZxHr76alSqMjq7CWM/JHRwI6ibOUzrPPSn/8x52/huNNdRw9Kt1yi3TvvbaNV6ywtcV27bKRvp06uDu1rdH1pttvRkjnE8okeh7+ildw3oV4yA1ckBu4IDdwUURuKl0QrlKxsZsi199NQx6jI/MsmDOCHGEAS+QAACAASURBVJ30yiAdCWgXZ3ZLs5n+DBj4J8zCxIRtz7Vr7fmZGbv78O7dtkRIewd3p7Y1XG96ampxcZjOJ5QZ511wQW7ggtzABbmBi7xyU+mCcNWnYmexJEbesh4dWUTB3LcR5ChWnAxyooCoOLNbpOost4PuwiwsaztbW7XKnj9xonMHd6e2ddky6WUvk+6/30YIHzlC5xOqgfMuuCA3cEFu4ILcwEUeual0QbjqU7GrsP5ulqMjq1AwR7klyWBeJwplXmKmLuLMbmk2qz8DBq0sREf0Rk1P240m2ju4e7Wtd90lnTlD5xMAAABQZ5UuCFd9KnZVlsTIanRkFQrmKDffMlj2JWbKYtCie9zZLVWeAQMTZiFc7mFmxkYHhy5caLWZ7Zh5AAAAAKCbSheEpWpfEFVpSYwsRkdWpWCO8vIpg4yYz0caRfc4s1vCn1vVGTAwYRbOnLGbyO3fb9lqNCxf4+O9O7iZoggAAACgk6GiX0Aewgui3bvtsSpFj2jRIIqCgKlSwRzl5FMGw9HK0eKhZP+fnGytS4ulGg3p4EFp3z57bDS6f1206L51qz3Oz9vzs7Pxfl84u2VoyEaSHzlij0NDreJfnK9B+UW38+nT0tVXS1deaWsB33KL9Du/w+h+AAAAAMlVfoRwlVV9SYxBVX0NafjPpwz6NFq5TJKM+E1ziZA4s1uqPAMGLXlsZ9YWBwAAAOqFgnDJURDoLs2CORfLcOFTp41Po5XLIukyG2kX3eNM90+6JEDRx7Kif39ZZbn0A2uLAwAAAPVDQbgCWCOwuzQK5lwso5d+BS5fOm18Gq1cFklH/PpedC/6WFb074/Lt6J1lq+HtcUBAACAeqIg7AnfLkCrZJCCeRUulslWduIWuHzotOk2Wnn1aun1r5e+9S3y0S7piF+fi+5FH8uK/v1xuRat4x5nkx6Psy6ip7nMCQAAAIDyoCDsgbKMmqqjsl8sk63slKXAFdU+Wnl2Vrr7bumuu8hHJ0lH/Pq0REi7oo9lRf/+OFz36bjH2aTH4zyOMawtDgAAANTTUNEvIE1x7wTvk7TuSo9slPlimWxlKyxwRUeCSvb/yUkrcPkoHK386ldL3/iG5Zh8dBYd8RvVa8RvWHS/6Sbpxhvtce/e4gvsRR/Liv79cbjs03GPsy7H4zivZ9DzHt+XOQEAAACQDecRwr5NQy/rSMgyjJqqszJfLJOtbJWhwNUL+ejPdcSvD0uEtCv6WFb074/DZZ+Oux+57G/9Xs/DD0u33z7YeY/Py5wAAAAAyI5TQdi34msW0yrzKniXvahUdWW+WCZb2SpDgasX8hGPLzcFHFTRx7Kif38cLvt0p/1obk46eVJ6+mnpvvvsb3fZ33q9nrk56Stfsa8Z5LzH52VOAAAAAGQncUHYx3Uz0x7plmfBu+xFpaor88Uy2cqWrwWuuJ1ZaebDtxkjafNxxG9SnY5lc3PSxYvSG99o7WSc7ea6rctwLHXZp9v3o6kpaf9+6fx56exZ6Wtfkx57TNq9O/n+1uv1XLwoDQ93Xk4i6XlPVTo9AAAAAMSXuCDs4zTjNEe65V3w9rWoVAVpFaniXCz7WBAjW9nyscCVpDMrrXz4NmOkatI8tkSPZQ8/bCNMh4ele++V7rmn/3YbdFv7Xnh02aej+9Ell1gxuNm0n7VypfTKV1qR+O67pTVrku1vvV7PG99o201qjUienpZGR+38JekI/yp0emAxH89L4D9yAxfkBi7IDVyQm3QlLgj7OM04zZFueRe8fSwqVUHaRapeF8u+FsTIVvZ8KnAl7cxKIx8+zhipkiyOLSMjduJ0++3WdrYXJ7ttt0G2dZlO3JLu09H96IEH7G9cvdqKwbt2tUbxPvGE9Ja32I0ck+xv3V7PoUNWxI+OSA7Nzkpve1va7wzKxNfzEviN3MAFuYELcgMX5CZ9iQvCPk5DH3SkW/Ri9fHH7YK3k6wK3j4VlaogzyKV7wUxspU9X0bWuXRmDZoPH2eMVEWWxxaX7ea6rct44hae47Q/dhPuR5/7nBVjt22TNm60YnAoCGx7uexvnY4xO3ZY4fmb37Si9Nq19vzMjD3efbctU+GSkTIV8LGU7+cl8BO5gQtyAxfkBi7ITTYSF4R9nIY+yEi39ovViQnp8GG7UBsbW/y1SQreSS+ofCkqVUGeRaoyFMTIVj24zt4YJB8+zhgpm25tRZbHFpft5vI9ZTxxcy1gj4zYiODvflfatGnp58Pzh7SOxytWSD//89Jf/qX9v9Gw97nZlLZvt4wcPChdf32yn1tkAZ9CdMsg70UZzkuQDXKDpAY97pKbeiI3cEFu/JS4IJzHNHSXsLiMdOt0sXrFFdKxYzbq5oYbWiN8khS8yzgiqkryLFJREIMvipi94eOMkTLp1VZkeWxx2W4u31O2E7dBC9h5d5gvXy5dd520apWtIfz447YtDh2yG9p96EPS7/++FYjjKLKAz3lTy6DvBecl9URukFQax11yUz/kBi7Ijb+GXL4pLL7edJN04432uHdvOiftR49Ke/ZIt94q3XGHPe7ZY8/3E4682b3bHptNGyGzb589NhqLvz68WI1euC1bJr3mNTbt8/77pSNH7MJ1aChewbv9gmrrVnucn7fnZ2cTviGRn9vrb0FLnkUqCmLwRbQYFZXl7I0ifmdV9GsrxsayO7a4bDeX7ynbiVuncwLJ/j85aYXWXsIO86EhO29Iev6Q1IYN9rPHx6Wnn7YO7MlJ6cwZW1P4Rz+S3vpW6Qc/iPfzBv37XWV13lRGabwXnJfUD7lBUmkdd8lNvZAbuCA3fks8QjiUxTT0NEenxOmF6HaxOjZmo26uv95G1iRZV3PQEVGdRkcfP87ImSTyHKWV5+9iOi16KeImgty40F2/tiJ8H7M4trhsN5fvKduJWxoF7DzXbQ/bn8OHpelpy0azaYXh0VHpyitt5PCHPyx94Qv9X0OSvz/N9qhsI8mzlMZ74ePSbsgWuUFSaR13yU29kBu4IDd+cy4IZyGtsMQtLPe6WB0asoJw0ouQQS4oOxWx16yRzp2zm8f0K5JTMDR5Fqny+l1Mp0UcRdxEkBsXuunXVjz/fLbHFpftlvR7ynbillYBO69128P2573vlU6ftqLwyIjNdNq82c5jVqywrMU5f4r796fdHpVtJHmWXN+L9vO/d79buu02OurqgtwgqUGOu+SmvtI61uzYwYCSOiE3fvOqIJzWRUHcwnIWF6uuF5TditiPPio98ID05jf3/lsoGC6WZ5Eq699VxhszoThF3ESQGxcmF6etyPrY4rLdknxP2UaQl62ALVlG3v9+6V3vkubm7HVeeqkVhUMrV8Y7f4rz92fRHpVtJHmW2t+LuTkb5T09bed3a9Ys/Z5u53/veY8tH0JHXfWRGyTV6bgb5uapp6QTJ+x43z6wiNzUm0tuetUoGFBSD+TGbwMVhNMekZrWRUHcwnIWF6uuF5TditjLltm6KidOLL1zefi3lKlgmOco5jyLVFn+LqbTAtUTt60oe7G9TCPIy1bAluyE+U/+xG4iNz1tr/f5522EcLNpxeCxsXjnT3H+/oMH02+PyliIz0r0vRgelvbvt/WgGw3bHl/8ovTCF7Y6+nud/336036d/yE75AZJtR93p6YsN1NTlpm775a++93FA4vIDZLmJk6NosznuIiH3PjN6aZy0mA3f+smrRsUJSksp32DPNebynQrYo+O2uP0dPe/pagbwSSVRWbqgOm0SIqbUPov7xuQFan9hq8+/21Z3jQ3beEJcxBIv/AL0qpVNuLi/HmbXTQ5aTecS3L+1O/vz6I9qtO+0E/4XjSb0te/bhdLkhX1X/96e4+jN2Apy/kfskVukFT0uHv4cCs3YWZe/OKlN3wiN0iaGzIDidz4zmmEcFYjUtManZN0tEnaI7BcRkR1K2KPj9v3Xbiw+Pno3/Ktb/lfMCzTKOZ2Ra/NzHTa+kgjaywfk59Bt1eZRs/WSVlGZbfPHnnrW+0k+9ln7Zzh3Dk78R4ft+fi7v+9/v5e7dHcnM1m2rcv+f7AvtCyZYv0K79i58Fr19rAgI0bbeSntHgkNh3GCJEbJBUed++6y25g/qIXLc5M+8wPcgMpWW7IDELkxl9OBeEsp7CncVHgw7TPpBeU3YrYZ85Iu3bZiV23v6UMBcOyLnvgQ3GN6bT1kEbWfOh4KboDJS9pHRvKUnyEf9pPmC+5xEZbLF9us4pe+lJp504bhZHW/t+tPTpypDViY9kyt/2BfaFlasqOn1u3Lv1c9GKoDOd/yA+5QVIjI9ZpeMUVS5cmlMgNOoubGzKDKHLjJ6eCcNZV+zQuCso22qRXEfsjH5Euv7z731KGgmEZe3p8KK5JfnRwIFtpZa3ojhcfOlDy4MuxAfXWfsJ88qRNtduwwfbBK6+0kRdp7v+d2qO5OfvZr3zl4kIU+4O7uBdDZTj/Q37IDVyQG7iIkxsyg3bkxj9OBWEfqvZxRqH5ONqk1+vuV8Tu9reUoWDoQ2aSKrq4FlW2Dg4kk1bWiux4qVOR1JdjQ11GY1dBFtuq/YQ5vNfAzIzdTG7jxtbXprn/t7dHJ07Y8+2jEtv3B/IaX9yLoTKc/yE/5AYuyA1cxMnNyAiZwWLkxj9OBeGiq/ZxRqH5eOER53W7FLEbDfs7f+7npNOn7Wdu2uRXwbDozLjIorg2SC6TZMPH/KO7tLJWZMeLL0XSPBRVeI/u1xcuSF/7mnT2bLVHY1dBViPn2y/Op6YsDytX2lJT4bpsUvr7f7Q92rfPlonoJNwfqj57IO02N0nhhQ7j8iI3cEFu4KKo3JCZciM31edUEC6yhzDOKLRnn/XvwiOr0XO9LrJ82mF87VXudZBLu7iW1wVx1S+8q8g1a+35veqq4jpeyrgsjKsiCu/R/Xp+Xvre9+y4+ZrX2LqxUjVHY5dd1iPnoyfMx45Jn/lMaw3hF7zACrVZ7//99oc1a6o9eyCrNjfJxZCPM+LQG7mBC3IDF0XnhsyUE7mpB6eCsLR0Q65ZY0F55BErDGQ1IrHfKLSDB6U//mP/LjyyGD1XtinavvX09DvIpTmqOa9tVbZMwLhkrVt+3/Y26c477bgyP2+zBpYtk975zu5FmzSUcVkYV3nPeGjfr595xvbjFSuk/ful3bvTXysW6ch65HzYKfTQQ9JXv2o3oH3kEenhhy0jL3+5tHlzth2v/faHIKju7IGs21wuhqqJ3MAFuYELcgMX5KY+hgb55nBDvuQlVoT9zGekO+6Qbr1V2rPHChZp6zcK7eBBu/CIXpRI9v/JydZdsPOWxei58ELTt7+1lzAzu3fbY5Ejg6MHua1b7XF+3p6fnW2Nah4asovWI0fscWgo+cV1XtuqjJlA8qz1yu+dd0qf+IT0lrfY9HHJOuzuuiu747K0uCgU5fOyMK7SPDbE0b5fh2vFrlolnT/fWsNVqt5o7LLLcuT80aO2T//Lfyn91m9J3/++9PTT0uteJ732tdLVV1sWP/GJbGeH9NsfpqaqO3uANtc/jYZdC+zbZ4+NRtGvaCly4x9yAxfkBi7IDZLKMjPOI4RDeY9I7DcKrdnM5sJj0PVTshg9V6cp2mmLO2orrVHNaW6rXlkkE+WVJGvR/M7NSSdPWpFwdNQeDx2SvvENGxnYPmKv23F50GOcr8vCZCXPGQ/t+/Xo6OLPhwViqXqjscsuq5Hz0XOv0VHL3dq1dkO573zHOl03bZIOH5b+7M+k8fFs15PvtT9MTFR39gBtrl/al9aJzpB53ev8uZcCufELuYELcgMX5AZJtc8KnpuTLl6U3vhGmwk46Ln9wAXhvG8k1G9q4nXXSd/97tLvm5uzYD/2mF18JHnj0lg/JYspxnWaop1Uv+JWkoNcGlMa0tpW/bJIJvzhUmCNm7Uwv1NTtmTA+fOtz83OSnffbYWgtWvtdYyP28lGt+NyWmtE+bYsTNbymu7Uvl+Pj9uNw2Zm7P9hgfjUKWn1arvh3L59nXOX5s0huHllf1ktLxI99zp8uPX8qlX2/IkTlosDB6Tjx6Urrsh+Pflu+0MZbyobF22uP6KdJOvWtdrGRkO6+WYbNX/zzX7cS4Hc+IPcwAW5gQtyg6TaB9+G1/5TU9L990s7d9q2GOTcfuCCcN69B/1GoV122dILj6kp6ZvftELJt79tBeO4F0VpjYDOYvRclS+yBhGnuDXIQc6lCJLGtoqTRTLhh6xv7Ldhg3Vy7d/f+tmhJ5+UPv95y+SaNfbcypXSrl1287H243LaszxYEyp97fv1smW2PcN2bWamNUU/CGz5pk65SzOX3LwynqxGzkfPvdpHjEu2XMwDD9jvetGLbLSwVMx68lWePTBom0unSnrCTpLNm6V77lncNk5O2nvsy70UBskNmUkXuYELcgMX5AZJtc8KDq/9L7vMnh8dbS156pqbgQvCRfQe9BuFFr3wiN6N/fWvT3439jRHQKc9eq7KF1mu4ha3XA9yrkWQNLZV3CySiWLlsYzOjh02VWRqyhqE0LlzVghaudJuMhaeZMzMtG4+1n5cznuWB5Lrdvz4mZ+R3vAGy9OaNdIXv2if67RMyMc/nl4uuXllMnHa/qQnz9Fzr+iI8VWr7LmZGTs+jI1JGze2vq+o/bqqswcGadvpVElX2Ely8qSNuIp2lErWkRaue1h0m+aaGzKTPnKT659QGeQm1z+hMshNrn9CJUQHgHTKzfS0DfoY5Nx+4IJwUSMSe41Ci1543Hefrc3yyldagSQU96Ko2wjouTn7G7/+dft/3J6PtEfPVfUiy1Xc4pbLQW7QIsig2yruaPy6ZeLMGenLX7YGaMsW6YYbpEsuKe715FFgXbHC1g26/377XaGLF+33LF/eGjm6alVrGvnjj0uXX774uMwaUeXQb78+eFB6/vnuufvzP08vl3QiJNep7Q+LwA89JH31q3aOsmxZvJPn9nOvXbuk//yf7YZuFy+2crFr1+JzH6m4/bqqswdc2lw6VdIXdpJE11SPGh21dtGXNi1pbshMNsgNuXFBbsiNC3JDbpKKDgDplJtwluAg5/YDF4QHGR2R5XDy8MIj/NntF0RSvDeu0wjocO2OiQn7/6OPFtvzUdWLLBdJiltJD3JpFEEG2VZJRuNXLRPdjhX790vvepcVwkJ790rve5/0whcWM00lrwLry19u6waFN5IbHbX34aGH7PPXXiv98IetgvHZs3aS0X5cTnuWB9OEstNrv+6Xu6NH08slnQiDC0dQPPecrfPbbNpo3nBpl34nz+3nXufOtY6DYRsVPS5GFb32WxWPEUnb3CTnE1V8v7IQdpI8++zi52dmbAT9xo3SsWN+rXuYJDdJz0HJTTzkhty4IDe0US7IDblJKjoAJLpEXDQz0mDn9gMXhCW30RF5DScftNjRPgonXLtjZsZ+9jXXWLG5/eKNkBcj6fZOcpArughS1/WBux0r/sE/sGLw/LytxSTZNIrDh+048sY32mi7vDtr8lpGZ8cO+1nz8631QSU79oyNSS9+sX2cOGEF48lJac+epe9DWrlqNGw9rM9/3o6T69bZmrZME8pHv9xt2WKdl90+nySX3GhiMNERFKOj1g6tXbt4aZc4HY3hudfBg/Z4zTXS9u12TjI3J/3FX9g60zfc0OoUL7q9YCqhiXs+wfsVX9hJsnevdX4eP27PhWvoT02V+1wpyTkouYmP3LT+T27iIzf2bzKTDLmxf5Ob+KIDQKanW7kJB5GEdchBcjOU1osNLw7bHztpH06+das9hgsiz86m9aoWFzui4r5x4UYYGrKLs7/5Gwv7qlWLp2KuX99a8+XoUSu83HqrdMcd9rhnjz0Pd42GXfju22ePjcbSrxl0e/dSdBGkPYtHjrRuJFXV9YF7HSv+yT+x5SLWrbOvnZ+3G6qF78P0dHbHlV4GzWCcnEud8zA9bScVL3uZHZuGh61YvG6dFYevvTbez0maq6NHbXvcfLP0yCPWu/3YY/Z7837/62rHDltH+NFHrVPkmWdaSxutXSv9nb+T3rExy+NsHYQjKNavXzz9a9Uq69Q6ccL+Hz15bjRsCazbbrOP++6z50ZG7OOSS6SXvrR1TrJsmfSa19h+d//9frQXeZ77+S7O+QTvV3Jbtth7c8st1g5eeaV09dW2dNzQkPTud9t5er/21Udxz0HJTXLkhty4qHtuyIybXrmZn7dBAd/6VvkyI5GbrIQDQN73Puk3fsOu57dvt2uJ8J5pg+QmlRHCSav8ea4/mMbNvKIjoMM1g8ORwVFBYBfht9/utnYKo4q7i5uxLG+058MI3bqtD9zrWHHixOJG49w5K4CFN1c6c6b1tXmua5rnTYY65eGSS6RPfzrZ7x4kV2HDPjHRGukoLR7teOwY68pm7fhx2wceeKC1X4yMWMflRz5ixeK0jo3c0HQw0REU0elfobBIHJ48Hz0qffSjVgTutG27jcgYG5Ouu066/no7cSy6vWDt6ZY45xOHDvF+uRgZkd70Jmt7OrWNZR2RFPcclP3MDbkhNy7qnBvaKHedcjM7K919t3TXXeXMjERushTOan/Vq6S3vCXd3AxcEHZZPDrvqfdpFNGiSws8+mjnNYmbTQu4S8gZOt9d0oxlVTT1pQhStfWBe+l1rFi9evEaTBcuLP589MZyea9rmudNhjrlwSX/rrkKLyKWL1/8fHgjuxMnWFc2a2F2Vq+W3vzm1jIhFy5YwfHyy+3r0jw21q1zKk3RERTj461OrFWr7LnR0dbJ8/bt0q//uvX4r1nT+pqZGVt7+JZbpF/5le4jMoaGrCDs2mak2VFd9LJLPolzPsH7NZhom9Zo2Ey9Mt/oJu45KLkZDLlZitz0V8fckJnBhbkJMxME5c2MRG7yknZuBi4Iu/QoDjL13vXiJK0iWr+ej3XrkoecOzL25pKxrIqmFEHy1etYsXmzjYo8fdr2u7AgOTNj2+OlL219bRHrmmZ5k6G0f/cgwoa900hHyQqTw8OsK5ul9uxE15Ruz06a2ahT51Sa2s8jdu2y0fTHj9u+ND1thd/3v196/HFb7kFqFYPDfzcatn3Dk+20Z6+k3VFd9LJLvul2PtFsWgfA44/b8fWKK2wJkKg6vl+DqMrox17noOH1EblJD7kx5CaZuuTm5Enpqafs/+Pji3NDZpKpSmYkcpOntHIzcEHYpcrvOvXeh1G0/Xo+JiaSX/BU6SCQBd96kiiC5KfXsWLjRulzn5Pe+15bO7jZtPU3ly2TfvEXW0X6sqxrmkXO81iGJizydBrpKNkyHuHJALLx9NOW84sXrTAfPcGit90/nc4jtm+37femN9macuHJ8yOP9F4LrNGQnn8+/dkrWXRU+7Dskm/azyei57nz87Ye+LFjth702Jh9TZ3fL1e+nUcOotM5KLnJBrkhNy7qkpvnnrPrr2PHWje4GhsjMy6qlBmJ3OQlrdwMXBB2GfHhMvXep1G0vXo+Lrss+QVP1Q4Cact6VBFrN/ur37Fiyxbpr/5K+rM/s4Zl1Sq7mdm5czaqrkzrmqad87w60MIiz5kzrZGOk5O2XwWB/V1leP/L6uhR6Utfkh56yJaMkFp3Kx4bo7e9KP3albizTTZs6N0erVhh37tli/Txj0t//ueWiS1b7EaCa9a4vf4sOqp9WXbJV53Ocy+9VPrmN+3+FdddZ0uA8H4lV+XR6eQmO+SG3LioS25e/GL7W/fvl6amLDc7d9rfR2aSqXJmJHKTlbRyM3BB2HXER6eLoe3bbcrKI48svYDybRRtt1GiLhc8VT8IDCrLUUU+jDpHb/0KJ6tXS7/8y62vn50t55IeaeY8zw606DHv9OnWnXKXL5fe8Q67YUIZ3v+iuXRMhdt53bpWO7JqVeuGfjt30tuetjjbKW67Eme2yY4ddgfmY8dao+/n521fnp62EeHbty/9nY8+Kn33u+5tWVYd1Sy71F2n89yxMemGG6T777e1oK+/nvfLRZVHp5Ob7JAbcuOiTrkZG7Pz/BMnpB/9SHrDG+yGV2QmmSpnRiI3WUkrNwMXhAcZ8RG9GDp6VPpn/6z7BVSZRtEmveCp+kFgUFmNKvJp1Dl6S7JMR1mX9Egz53l3oFHkGYxrx1R0O0dHZ0vS2bNWmP/kJ9kOaYmzndJuV1askD7wASv+3nef3Uhzaso+Nz5uv+dDH7JZEatXp9eWZdlRXdZjdNa6necOD9v22L6d981VlUenk5vskJv8X1cV1C03w8N274rZWVvOr8x/X1GqnBmJ3GQlrdwMXBCWBi8GxLmAKtso2iQXPFkWPKuyFEIWBSffRp0DaeW8iA40ijxuBikgRrdztLd9etqKhr/6q8x0SEvc7ZRFu7Jli/TZz0rf/rb0kY/Ya7jqKjuRHh620cAPPCC9+c3p/U46qvNXtvPcsom2r888Y/vpunV2HL3ssvKeH5ObbJEbuCA3SKqqmZHITZbSyE0qBWFpsGJAnAsoHy5Osiywpl3wrOJSCGkXnMo06hz1kUbOaXjz06tdiNNmDFJAbN/OYW+7ZN972WUp/IFdVKnDMY642ymrdmVkRHrBC6z9bn8Ny5bZCIsTJ1rbf9DfWfXRKj7y4Ty36kZG7Hh1++3VOT8mN9kjN3BBbpBUFTMjkZusDZqb1ArCg4hzAVX0xUkeBda0Cp4shRBP2kWzuhVI4C8a3nz0ahekeG3GIAXEorZzFTsc+4m7nZK2K0najW6vYXTUHqen4/3OuFgKJl9Fn+fWQZzz47IhN9kjN3BBbpBUVWs45CZbg+bGi4Jw3Auooi5OyrZzshRCPGkWU+pYIIG/aHiz16td2LvX3vMg6N9mDNIx1Wk7z81JFy9Kb3xja3ZNmh1TZWsP0xJ3OyVpV5K2G91ew/i4vecXLix+Po2OAZaCyRdF+OSSdKrEOT8uI3KTHLkhNy7IDblxETc3Va7hkJvk8sqNFwXhJBdQRVyclG3nZCmEeJIWzbrtVM6s8wAAIABJREFUlHkVSBiBjCRoeLPVq104cMD+vXPn0s+1txmDdkxFt/PDD0tf+YotHXHvvdI99yTvmOp3nClbe5iWuNspbrvi0m50ew1nzthNBUdH6QCqAorw8SXtVKny+TG5iY/ctJCb+MhNC7mJL0luqpwZidwkkWduMikIJy1c+T6arWw7J+uHxhe3aNZrp5yYyL5AwghkuKDhzU6vdqHR6Pz83JwV877+dft/2DYO2v6NjNjPuv12O/63FyzjdkzFOc6UrT1MS5LtFKddcSms93oNH/mIdPnldAChPlw6VTg/BrmBC3IDF0lzQ2Yg5Z+b1AvCroUrn0ezlW3nZP3QZPoVzfrtlLt3Z1sgqesUbcBnvdqFTh2gU1PS/v1WUJWkRx9d3DYO2v4NOnI37nGmbO1hmpJsp37timthvd9roAMIdeFyzOP8GOQGLsgNXCTNDZmBlH9uhtJ64dLSC8qtW+1xft6en53t/f3hBdTu3fboS5Er+iZH+bpzhqOIhoYsNEeO2OPQkB8jrssm3CmjO5hk/5+ctI8sCyT9fn9Z16BCMo2GdPCgtG+fPXYbhYp89GoXwrYv/NzcnBWDZ2asoHrNNUvbxkHbv0FH7sY9zpStPUxbWucpgxTWfT1XAvLkcszj/BjkBi7IDVwkzQ2ZgZR/blIdIVzVtQV9X9KiE59HXJdNv51y3bpse/PqOkUbLSwZ4p9e7cI//af2NeHnTp1qLaG0a5et8Su5t42dlmUadORu3ONMGdtDHzEKBBhM0mNe9Lj5S79kX/P885wf1w25gYskuWk/R/v4x6XHH+d6vI5cc8Oxpt7yzk2qBeEqF67KWGBl/dB09NspL7882wJJnadogyVDfNavXQg/F64ZfM01rWJwKGnb2K1z4N3vHqzAmOQ4U8b2ME1p3OCTwjowmLBT5eRJm4UxPW03Vly2bOkxj05VhMgNXMTNTa/McE1eP2nkhmNN/eSdm1QLwlUvXJW5wJrGBWxdxRnJNTKSXYGEkWT1VtWZF3nI47jXq12Ifu7RR5cWg6VkbWOvzoHbbpPe8x7p0592KzAmPc6UuT0cRJon7XUvrAODWLFCetvbpHe9y0bDhNaskX7v91r7UaMh3XKLtQPLltlF1fi4dOYMnap1RG7gIk5uwnO0Cxfs68MizoULZKauyA1c5J2bVAvCFK78RK/TYOKO5MqqQMJIsvqJFjIff9wKgHNz1lMYHvDHx8s/8yJLPh330mob+3UOnDnjXmDkONNfFqP1fSys04GMMmg0pDvvlH7qp5aOoLnzTmnnTtu/7rlHuvfexfvmypW2fM/p03Sq1g25gYs4uXnwQempp6Rjx6Tz51vfu3KldOWVZKaOyA1c5J2bVAvCXFD6J84FLPoreiRX0b8f+WkvZE5MSI88Iv3X/7p4BkZ4wE975kUVikG+LbMxaNsYbpOvf93+hs2b7aQgKuwcGKTAyHGmtzqM1u/XkVKF4wOqodv+KLX2xx07pM9/vpXj0MyM3ejz6qvpVK0bcgMXcXLzzDP2dWvWLM3Ngw9Kx4/n9WrhC3IDF3nnJtWCsMQFpW/iXMAinixGciW5uPZxJBnS1amQedll0n332YiUl7/c7hgq2f9/8AO7MEmLT6NqB5F14c6lKObaNka3yalT0kMPSSdO2CilsbHW16W1LBPHme6qfJ8EqX9HSrgkSdmPD6iGOPvjgw/a6Jr24/OqVZbj06fLv5wdkiE3cBEnN5OT0uys5SRq1Sr7/KlT2b9O+IXcwEXeuUm9ICxxQemTql/AJuXT6KaqFN+Qnk6FzNOnbXmIkyetty888K9aZSNFH3ssneOtb6NqB5HlcW+Q/TZu2xgep55+WvrSl6R162ybXH659KMfWQ7uuUf6hV+w4xfLMuWj6vdJ6NWRcviw9OEP23tQ9uMDqiHO/jgxYcfPkydt1Ez0wqnRkJYv57hZN+QGLuLkptGwdrA9MzMz9vy6dfm8VviD3MBF3rnJpCAMf1T9AjYJnwqwVSq+IT2dCpnT05aFTZusAHzppbaO0MaN0pNPptepE2dU7Y4d/nSo9JLVcS+P/bbTiODwvX7wQeniRensWdsG4TpSV1zhviyTT51kvqv6fRJ6daRMTdkaZe0zEqq0XAbKJc7+eOiQzarZtcum+k9Otr4uCKR3vINzrbohN3ARt/1/+cvt3DyamZUr7fnLL8/3NaN45AYu8s4NBeGKq/oFbFy+FWDrsBZl3bkU2joVMkdH7XFoyNYM3rSp9bk0O3X6jap9+GHp9tv96FDpJ6vjXh5LUUSPUxcvSqtX2+NXvmLbf+NGy8mzz9rj8uXSJz5hX5eUT51kZZDVfRJ8Kcr36kg5f95OMjup42wjFC/O/hi2BRcvSrt323I709N2F+7xcXsO9UJu4CJubjZvtqXe2m8ExajyeiI3cJF3bigIVxw3+jO+FWBZyqPaXAttnQqZ4+Otz2/c2Pp32p06vYpBc3NWkCzLdPGsjntZ77ftx6mwM2B+3taJmp+3/w8N2ed+/MetmOiybIhvnWRlkfZ9EnwqyvfqSNmwQRoe7vx9dZttBH/02x+jbcGxY7aPDQ/b19XpHBiLkRu4SJKbc+esMNNo2HRuclNf5AYu8swNBeGUJB3hk+eIIG70l38Btt/2ZSmP6hqk0NatkPmqV9m/wwuTLDp1ehWDLl60i6Ho85LfI9qzOO5lvd+2H6fGx21UZjgV6MIFe5yZsecHWTbEt06yMkl6n4Ru7YFvRfleHSkf+5h0223MNsqaL6PFy6Tf/liHc2Bykxy5ITcuyA25cUFuyI2LvHJDQTgFSUf4FDEiqO43+suzABtn+7KUR3UNWmjrdnCXsj1R6FUMeuMbpXvv7fx9Po9oT/u4l/V+236cWrbM1jC85x4rBjcalq2VK+354WH34xezFPLRqz2YmPCvKN/r5JLZRtnyabR41VT5HJjcZIfcwAW5gQtyAxdp5IaC8ICSjvDxbURQXeRVgI27fVnKo7rSKLR1O7hnfaLQrRh06JAVJTup04j2rPfbTsepsTHpp3/alox4yUtsDemNG60YPMjxi1kK2evXHuze7WdRvtvxpw4jWIrCuWF+qjRKidzkh9zABbmBC3IDF665oSA8oKSjAZmmm54koc+rAJtk+3JxXU15FNqyPFHoVAyK26FSpROYbrLcb3sdp77wBenOO+348uSTgx+/mKWQvX7tweRk+YryVR7BkpU4x0XODbPXaFjH5uc/b+vir1tn67H7OkqJ3PihTLmJew5GbrJHbuCC3CCpcDs89JD01a/agKFly5KNwqYgPKCkowGZppsOl6kHeRRgk25fLq6rJ+tCWxHTbuJ0qNRpOlCW+22v49TOnekdv5ilkL1+7cG6dRTlqy7ucZFzw2wdPWrH1b/8S9sGK1ZIJ0/a0jsXL/o3Sonc+KFMuUlyDkZuskVu4ILcIKlwOzz3nHTggG2HsTHLzNhY/FHYFIQT6NQTknQ0INN0BzfI1IOsC7BsX2RZaCty2k2vQiXTgdLV7TiV9vGLWQqdpTXSvV97cPnlFOWrLMlxMczK3JxdAE5PS6OjdmNJzh0GE26HiQl7v9eutednZqT9+23plmPH/BmlRG78UKbcJD0HIzfZITdwQW6QVHQ7jI62chPNTNxR2BSEY+rWE/Ludycb4cM03cH5PPWA7QtpaaFtzRo7bjzyiDX2rgWmorPfrSBZ9OuCuzSLzFVYMiTNke5x2oOREYryVZXkuLhjh03z+4u/WPpzdu7k3GEQ4XZYvnzx86tW2fMnTvg1Sonc+KFMuUl6DkZuskNu4ILcIKnodjh8uPV8NDObNsXLDQXhGHr1hNx2m/Se90if/nS8ET5M0x2cz1MP2L4IhSMDjx+X/vAP3db0aedr9n19XchPFZYMSXuke9z2gKWDqinpcbHXaHK4C7fD6Gjnz09PW/vsyyglcuOHMuXG5RyM3GSD3MAFuUFS0e3QKTfT0/YYZxQ2BeEY+vWEnDmTbIQP03QH4/uyDGxfpLWmTztfs+/r60I+qrJkSBYj3WkP6ivJcfHBB23/ueEGG9URTqncuNGfaaJlFW6H8XFp5UqbTrlqVevzc3Ot/dIH5MYPZcpN0nMwcpMdcpP9664icpP9666a6HbolJvR0fgz1CkIxxCnJyTpCB9GBLkrw7IMbN/6SnNNn3a+Zt/X14V8VGXJkKxGutMe1FOS42KYveFhm+IXxSyLwYTb4cwZ65Tdv9+OV42GvbcbNvg1g4vc+KFMuUl6DkZuskNu4ILcIKn27RDm5vhxe1+np604HCc3Q/m85HJj9Jtfwmm4Q0NWbDhyxB6HhgY7WDYa0sGD0r599thopPmqURdhcWz9+tZ0DckOyufPW++o5NYIZpX9Qfn6utLE8aG7qiwZQluPNCU5LpK97ES3w+nT0tVXS1deKb3sZdItt0i/8zt+LWtDbvxQptwkPQcjN9khN3BBbpBU+3aYnJS2b5euvVb6jd+Q3vc+m6EYJzeMEI6B0W/+SXsabhXWv4Qf0lzTp9NNunydgu7r60oDx4feqnKyR1uPtMU9LnbL3smT0uys9Oyz1hFVxhs1+qBs7RO58UOZcpPktZKbbNUpN3Nz0g9+YNcrFy7YI5lxQ27y/RuqIK3MUBCOgRuF+Smtabhpr3/ZqYjHQa4+0lrTp18R0scp6FWcGl+V9XGzVJVCqktbz/Ee/cQ5LnbK3vPP252jr7pKuusuOqIGVbb2idz4oUy5iftayU326pCbc+fs/Eeyc5/PfIbMDIrcZPqSKymNzFAQjqlMvTZIJs31LxlJiDTW9KEI6Y+qrI+bpSp1miZp6zneI03R7D3zjPTFL0o/9VPWsRiiDUA7cgMX5AYuwtwcPGiP11xjnQjDw/Z5MoNOyI3fKAgnMEgFnlFE/kpr/UuKeJA6F8e2b5cuXpTe9CZbD6pfZxJFSH9UZX1cKdt2qEqdpnHaeo73yEKYvYMH7d/R4oxEG4DOyA1ckBu4GBmxj0su4ToF8ZEbf1EQzgGjiPyW1vqXFPEQGrQ4VqUiZNlVZX3cPNqhMk11GxTHe2SJNiA5Bl6QGxfkhty4qHtuyIwbckNuXGSdGwrCGWMUkf/SWv+SgxyiBimOVaUIWQVVWB+Xdih9HO+RJdqAZBh4YchNMuTGkJtkyA2ZcUFuyI2LPHIzlM6PQTfhKKJoIUGy/09O2igiFCuc4j80ZCO7jhyxx6GhZOtfcpBDWqJFyKgyFSGrIq3jQ5Foh9LH8R5ZStIGNBo25XvfPntsNPJ9rUVr7/DautUe5+ft+dnZol9hfshNfOSmhdzER25M0usUckNuJHKTVF65YYRwxhhFVA5prH+Z50jCuk85qboq3aSrCsq+Pi7tUPqqMHIc/orbBjDiiOVboshNfOSmhdzER25MkusUckNuQuQmmbxyQ0E4Y4wiKo9B17/Mq4jHAbIeyl6ErJoyr49LO5Q+Om2QtX5tQHTkyObN0smT0vS09Oyz9n2f+lQ9ckiH12LkJh5ysxi5iYfctMS5TiE3hty0kJv48soNBeGMMYqomrqN0M26iMdaoPUyaBGSkeTlk8Y2a/8ZV11FO5QFOm2QtV5tQDhyZN066Z57pPPnW5+bnZW+8Q3pTW/K53UWiQ6vpchNf+RmKXLTH7lZrN91Crkx5GYxchNPXrmhIJwxRhFVT78RuoMU8foVg5hygrgYSV4+aWyzbj/jbW+T7ryTdihtZR45jnKbmLDO4f37W/tz6Phx6Q/+QNq9u/r7NwMvkiE3htwkQ24MuUmG3Bhykwy5MXnlhoJwDhhFVB1ZjtCNUwxiygniYCR5+aSxzXr9jDvvlD7xCemxx2iHgCrYsEE6fdpGzkQvliTrSL5woR6dxAy8SIbcGHKTDLkx5CYZcmPITTLkxuSVGwrCOWEUUTVkNUI3bjGIKSeIg5Hk5ZPGNuv3Mx57jO0OVMWOHdKyZUvvuj0zI61caVMt69JJzMCL+MhNC7mJj9y0kJv4yE0LuYmP3LTkkZuh9H4UUH1ZjdANCznR6QCS/X9y0g4C0uKpA1FMOUEUI8nLJ41txnYH6mPFCumd77R9e3Ky9REE0q5d0tBQvTqJw4EXu3fbIxfZnZGbxchNPORmMXITD7lZjNzEQ24Wyzo3jBAuIW4UVZysRujGLeQw5QRxMJK8fNLYZmx3oF5e9zrpta+1c4hly6TRUWnjRmlqik5idEdu4ILcwAW5gQtykx9GCJfM0aPSnj3SrbdKd9xhj3v22PPIXlYjdJMUcsKpAzfdJN14oz3u3cuNwqqm0ZAOHpT27bPH9mkzvTCSvHzS2GZsd6BeVqyQbr5ZuuwyaXjY7r597JiNnqGTGN2QG7ggN3BBbuCC3OSHEcJd+DgKlxtFFS+rEbpJ7yLJmtTVFucGg70wkrx80thmbHegfliXEC7IDVyQG7ggN3BBbvJBQbiDQYsxWeFGUX7I4uBEIQehtDp+aETLJ41txnYH6odOYrggN3BBbuCC3MAFuckeBeE2Po/C5YZBbrIY7Z3FwYlCDqR0O35oRMsnjW3GdgcAAAAA9EJBuI3Po3C5YVByPo32jlOYppBTXml1PNDxAwDoxsclzeA3MgMX5AYuyA1ckJviUBBu43MxJuk6s3Xn02hvnwrTSF+a25eOHwBAJ5xLICkyAxfkBi7IDVyQm2INFf0CfONzMSZcZ3ZoyEYrHzlij9xtsbNwtHe0eC7Z/ycnbbR3HtoL01u32uP8vD0/O5vP60A20t6+0Y6fKDp+AKC+2tuaK66w88InnpA++EHp+eeLfoXwTafzk82bpePHpfe+V/r2t+1rgChyAxfkBi7ITfEYIdzG91G4rDMbny+jvX1ehgSDS3v7coPB6mEaFIBBRduaqSlp/37p/Hn73Nmz0j/8h9InP8loGrS0n59Ec3P2rPSJT0gvfjGjsLAYuYELcgMX5KZ4FITblKEYwzqz8fgy2tuXwjSykcX2pePHD2kUcpkGBSANYVszN2cXS+GxJDQ9XfzNj+GX6PlJp9ysXduazURuECI3cEFu4ILcFI+CcAcUY6rBl9HevhSmkY2sti8dP8VKo5Dr0zrmAMotbGtOnrSRM9FisCRddllrOSzaDkiLz0865WZ0lNlqWIrcwAW5gQtyUzwKwl1QjCk/X0Z7+1KYRjbKuH1dR77WZemDtAq5LBeDMmo0pO9/XzpwwP6/c6d07bXV3NfLJGxrnnhi8fMzM9LKldLGjdKTTzLrCC3R85Pp6dbz0cxIzFbDYuQGLsgNXJCb4lEQRqUNMto7reKXL4VpqT4FvTz5tH3jcB35WqelD5IWcrvtVywXg7I5elT66Eel++6zXM/OWlavvVb67d+Wtm8v+hXWV9jWfPCDtq5eaOVKadcuaXiYWUdYLHp+MjnZyk00MxK5wWLkBi7IDVyQm+JREEbluYz2Trv45cMyJHUq6OXNh+0bh+vI17otfZCkkNtrv2K5GJRJo2H78sGDtj+fOWPruc3NSX/1V9Jb3iL96Z9KV11V9Cutry1bpN//fbuB3PS0LROxcaNdMPk8KwXFCc9PDh5stdXbt7cusskNOiE3cEFu4ILcFGuo6BcA+Ka9+LV1qz2GC5rPzrr93LAwvXu3PeY9MjiLvwktRW7fuMKRr9GlLST7f7j2ZJrfV1ZxC7n99qvt21vToKI4sYGPHnxQOnLE8jsxYVlfuVJavdqOZ5OT0oc/THtRtDVrpE9+0o41jYYtE/HEE9LQkJ+zUrppNOzib98+e2w0in5F1TUyIl1/vfS7vytdfrl07Jjt6+QGvZAbuCA3cEFuisMIYaBNFdf9rOLfhORclzCo29IHcdeF7rdfPf54uZYTQb1NTNhJ6/nzNip45crFnx8etq+hvSheWWaldMOMpWKQG7ggN3BBbuCC3OSPgjDQporFryr+TUjOdQmDui19EHdd6Dj71atelc2JDeuBI20bNliG5uY6f3542IrEtBd+yOvmx2kfa+q2BJFv8shNFu0TuSkWuYELcgMX5CZfpSoIcwGMPKRZ/PIls3Ur6KGzuCNf0/q+MovTQx13v0r7xKaMvc/w344dtuzJo48uLgpfuGCPa9dKY2O0F3WSxbGGGUvVllX7RG6qjdzABbmBC3KzWGkKwlwAIy9pFb98ymwdC3pYKu7I17S+r+z6FXKL2K/K2vsM/61YIX3gA9Lzz0tf/rJ0+rSNCh4eljZvtryPjdFe1EVWxxpmLFVXlu0TuakucgMX5AYuyM1SpbipHDfEQp7C4tfQkBW/XBY09y2zafxNqIZw5OtNN0k33miPe/f276Rw/b4qK2K/qtsN/pCvLVukz31O+sM/lHbulK6+WvqJn7Ai8NgY7UWdZHWsYcZSdWXZPpGb6iI3cEFu4ILcLFWKEcJlHX6N8hp0QXMfM1v2RdqRHtclDPJas7JM8t6vOvU+z81JJ09KTz8t3XcfyylhMCMj0i/+ovTzP097UVeNhrR/vx1TVqyQxselZZErhkFGujBjqbqeftq248WL0ujo4twMOjqK3FQXuYELcgMX5GapUhSEyzr8GuU2SPHL18xS0APSl+d+1d77PDVlhZvz56WzZ6WvfU167DGWU8LgaC/qKVzu6vBh6Yc/lE6csJsJ7tplo8SlwUa61HUJoqo7elT60pekhx6SVq+256K5GXR0FLmpJnIDF+QGLshNZ6UoCJd1+DXqq0yZ9eXGdwD6i/Y+X3KJFYObTdtnV66UXvlKKxKznjCApKLLXV1zjc08aDbtY/9+afduO74MOtKFGUvVEuZm3brW+e+qVdLMjOVm5850RkeRm2ohN3BBbuCC3HRXioJwWYdfo77KklmfbnwHoL9o7/MDD1hHzurVrR7u4eFyLKdERxTgn/blrnbtWjwD4f77pRe/OJ2RLoxAr45obsLMTE7a586etRtUfvKT6VwQk5vqIDdwQW7ggtx0V4qCcFmHX6O+ypDZLO+yCSA7Ye/z5z5nN6jctk3auNGKwSGfl1OiIwrwU/tyV2NjNir4xAnpRz+ydaXf+U7ODbBYNDfRzExP24jyX/1Vju1YitzABbmBC3LTXSkKwlI5h1+j3nzPrI83vgMQz8iI9XB/97vSpk1LP+/b0jQhOqIAf3Va7mp42I4xjYZ0/fXsn1iqPTdhZiQ7n7zsskJeFjxHbuCC3MAFuemuNAVhqXzDr5EPn6ce+5xZX298ByCesixNE0VHFOCvMh5TUDxyAxfkBi7IDVyQm+5KVRCuC58LnL5h6rG7Mt34ri7Y95FEGZamaTcxYaODn3xSevppe+6FL5Quv5yOKKAo0bZn927p7rulw4dtGuX589Yefexjfh5TUIz285V3v1u67TZyg97IDVyQG7jolJtbb5UOHLDPrVhhA1R8vWbKCwVhz1DgjI+px4OhpywbrkVd9n248H1pmnYXLrRu5HDxoj03PCxt3ixddRUdUUDeHn9c+shHpJMn7Y7bY2PS0JB05oxdZK9cafvobbfRHsEcPWrtzpEjrYvqrVult75V+uxnyQ06IzdwQW7golNu1q9vzY7uNku6jigIe4QCZzJMPR5MGUcX+s61qMu+j0H4vDRNVKMh/cVf2P4xNCS94AX2/IUL0rFjtr9cfXWxrxGok8cft4vq8+dbHZcjI3bH7ZER6YYbWjerpD2CZMfxj35UOnhw8fNHjkjf/Kb0sz+7+DhObiCRG7ghN3DRKTfz89J990nj49Kb38y5TdRQ0S8ALWGBMzpaU7L/T05agRMtVVsDt9GwA9e+ffbYaGT/O8PRhTfdJN14oz3u3UvPqov2ou7WrfY4P2/Pz852/172fdTBgw/aUhHj49Ly5VaEOn/eRgoHgY2of+yxol8lUA+Nho0MPn/ebqaydq19TE/baOH5ebsDd4j2CJL0/e/bRfWKFa3MrF1reXnqqaXnruQGErmBG3IDF51ys3y5DTw5eVJ65pnW15IZRgh7pWoFzqxVaQ3cIpcLKMvoQt8NMmKdfR91MDFhJ+8jI9KP/Zh07pyNDl6+3B6Hhsg6kJdwaaP2JY2GhqyTptGw4nAU7REOHLAO7lWrFj8f5uaZZ2wJoChyA3IDF+QGLjrl5sIFadkye749N3XPDCOEPVKlAmceomvgRpVtDdxBRpbCH4MUddn3UQcbNrSKT0ND0po11mGyZo39f8UKsg7kZWLC1l1st3y5Pc7NSaOjiz9He4Ruwtx0Qm7QDbmBC3KDpMhMdxSEPVKVAmdewjVwh4ZsBOaRI/Y4NFSuNXBZLqAaBinqsu+jDnbssA4vSZqZaT0f/nvbNrIO5GXDBruB3MqVi/fHcG3v4WFp48bW8/3aoyKWvUL+du608+toZiQ7916+vJWfELmBRG7gJs3ckJn66JSbaFY2bWr9m2MNS0Z4hZt8JReugXvokI3AvPRS26HL9F6xXEA1RIu60eJ+nKIu+z7qYMUK6QMfsGno993XOraNjEi7dkk330zWgbzs2GHnTMuWSQ8/bB3Qkl3sbNok/a2/ZTd7jNMeFbnsFfJ17bV2vD5wYOmF8e7d1okQ9zyG3NQHuYGLtHJDZuqlW27Wr7ft32jYQEKONYaCsGeqUODMW9nXwGW5gGpwLeo2Gq21HH/pl+x7nn+efR/VtGWL9NnP2g0fDhyw53butJM3sg7kJ9pmbd8uTU3ZDeY2bJD++T+3fTXOueiZM9IHP2gdPZddZjeNXLaMO3dX1YoVdjPCW26xc51Gw57bts069S6/nNxgKXIDF2nkptGw75+YsKyMjlpuzpwhM1XVKzfvfa9t+37HmjrlhoKwh8pe4EQyg4wshV+SdujUpecRiBoZkX7yJ+0DQL6inZAbNkgf/7j0+OOd26x+56JHj1px5jvfkVavtguvlSttZE6cG6qiPNpz81u/RW7QH7mBizRzc8890r33Lr4WC3Nz+jSZqZIkuemnTrmhIAwUjOUCqiVuh05/UxX9AAAFZElEQVT7zQRDjHIAAGShVydk0gubsA07d86KM2vX2vMzM9L+/Tadl2WvqoHcwAW5gYu0c/P5z7d+RijMzdVXk5mqIDfuuKmcR+qwaDU6C0eW3nSTdOON9rh3r/soUbLkP24mCADIS3sn5Nat9jg/b8/Pzib7eWEbdvnli59ftcqWnjhxgmWvqoDcwAW5gYsscjM3Z4OvosLcnD5NZqqA3AyGEcKeYOo4+o0sbZ8GsWPH0gOVRJbKgpsJAgDyEhZUojNSJPep1mEbNj5u0yhnZuxiKXT8uP0ulr0qN3IDF+QGLrLIzbp10smTSzPTaEjLl5OZKiA3g2GEsAfS7tVA9Rw9Ku3ZI916q3THHfa4Z489H0WWyoObCQIA8pJ2J2TYhi1bZmvqBYFdkE1OSmfP2g1YWPaq/MgNXJAbuMgiN0NDSzMTDpp6xzvITBWQm8EwQrgA7SM9Z2c792pccon0wAPS5z5ngew2IhTFijtyd5Cvj7vWbNo9ZMhOFjcTTJotwBdkt5zYbuWRdidkexu2e7dN2z5+3Ioz/+pfSUeOSI88QjbKjNzABbmBi6xyc/FiKzPT09KFCzba/NWvtqUVOYcptzxzs26d/b59+6qTGQrCOes0nX9q6r+1dwcpbURhHMCfSaVC6aq04KoBEQpu6iF6Bs/QQ7jqITyBZ+gVCkJWbtSVCG50I+5EmC4eoVqcmvc1k+TN/H6bbIYgvL8m/ue9b/Idz6fu7vLQ6tvbXBifnDj2v45KxzNExjmUlLzGENRj0Q8TNCqEWslunaxbXRZ9E7LtM2wySengIKUfP2SjD+SGCLkhosvcXF3lfIzHuSA8OEjp8FBu+mBZudnczL3c0VG/MqMQXqK2nZ5nZ3kn8JcvOWyPj7kMbpr8JNXJJKXt7Zd3hLI6JTt3I9fPlJS8xhDUZfYwwdPTvI4fPuQPrdLf72i2YNVkt07WrT6LvgmZ0sufYbu7+Z9s2egHuSFCboiQGyKWkZv371M6Ps7v/XfpXHtmFMJL1LbTc2cnF8IXF7kUvrnJTzB8+zYPzv/0KV/n2P96KR3PEB3nUFLydjGGgG699jDBeRgVQq1kt07WrU6Lugn51N+fYdOpbPSN3BAhN0TIDRFd52Y6Ten+vp+ZUQgvUdtOzzdvcpH38JADdX2dB+RvbeXZwePxn2sd+18fpeMZouMcSkreLu6Qsf6MCqFWslsn61avRdyE/BfZ6Ce5IUJuiJAbIrrMTZ8zoxBeon/t9Hz3LqXv3/Nskl+/Uvr5M6WvX5+XwSk59r9OSsczRMc5lJa8XdwhY70ZFUKtZLdO1o02skGE3BAhN0TIDaX6nBmF8BK9ttNzfz+Xdnt7KZ2f5wfLOfa/vkrHM/zPOIfSkrfrO6usF6NCqJXs1sm60UY2iJAbIuSGCLmhVJ8zM1r1DzAks52eo1He6Xl5mV9Ho+c7Pee9jtUqXaf/XddZyfvtW36VA2b8zaBWslsn60Yb2SBCboiQGyLkhlJ9zowdwks2705Px/7rULpO1pWuyBa1kt06WTfayAYRckOE3BAhN5Tqa2YUwisw73F+x/7rULpO1pWuyBa1kt06WTfayAYRckOE3BAhN5TqY2aMjAAAAAAAGAiFMAAAAADAQCiEAQAAAAAGQiEMAAAAADAQG03TzH/xxsZNSumyux+HFfncNM3Hrt5cbnqrs9zITK/JDRFyQynfbYiQGyLkhgi5IcJ3YiJezE1RIQwAAAAAQL2MjAAAAAAAGAiFMAAAAADAQCiEAQAAAAAGQiEMAAAAADAQCmEAAAAAgIFQCAMAAAAADIRCGAAAAABgIBTCAAAAAAADoRAGAAAAABiI32fB44mqBeeiAAAAAElFTkSuQmCC\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -3978,20 +3962,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -4006,20 +3990,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -4034,20 +4018,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -4062,20 +4046,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -4090,20 +4074,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -4118,20 +4102,20 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", @@ -4146,16 +4130,16 @@ ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "iVBORw0KGgoAAAANSUhEUgAABYQAAAD7CAYAAADaZ5DxAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOy9fXhcV33v+90jWZJlSWM5ll8SxU7iF7AN5MUBIgoUDigE0jz0tMfpgYYbziW9HEhuOM0tNeUpLTlQTjAlpblJU27JIeQ05KFJew6HhhDHNLlNi4DESpxrxySO40h2/CIptmTLkkaSNfeP3+wzW6PZM3uv/bbW3t/P8/gZa2Y02rP3Z6+912/91m9ZxWIRhBBCCCGEEEIIIYQQQtJPLukNIIQQQgghhBBCCCGEEBIPDAgTQgghhBBCCCGEEEJIRmBAmBBCCCGEEEIIIYQQQjICA8KEEEIIIYQQQgghhBCSERgQJoQQQgghhBBCCCGEkIzAgDAhhBBCCCGEEEIIIYRkBKMDwpZljVuWdUnS20H0hp4QFegNUYHeEBXoDVGB3hC/0BmiAr0hKtAbogK9iZfYAsKWZb1mWdZk6QDb/+4O8pnFYrGtWCy+Wvr8+y3L+qqP7fmkZVn/EuTvJ0Hpe05X7MeGpLcrLOhJOFiWdb1lWT+zLGvCsqynqrxetCzrrGMffyeBzQwNehMOlmX9uWVZByzLOmNZ1q8sy/rfKl6nN3XIqDc7LMs6bFnWacuyBizL+mLF6/SmDln0xsayrGWWZQ07v4NlWReVvHHu4y8luZ1BoTfhUOs+OG3e0JnwsCzrg5Zl9ZeuRUcsy7re8RqvUXXIojeWZe2r2IezlmX9yPE6valDRr1ZZlnWDyzLesOyrBHLsh60LKvD8Xrlft6Z5PYGhd6Eg2VZF1iW9UPLsk6WrlH/seL1yNqbxrA+yCPXFYvFXTH/zUiwLKuxWCzOJvTndxSLxT9O6G/HAT0JzkkA3wLwZgD/xuU9lxaLxVfi26TIoTfBOQvgOgAvA3g7gJ9YlvVKsVj8meM99EZTEvTmPgC3F4vFs5ZlXQBgp2VZvyoWi//geA+90ZSE72cA4OsA9qN6ksLShLctbOhNONS7D06TN3Qm+N/dDOD7AG4E8ASAPIClFW/jNUpTkvKmWCxucWyDBeBVAA9XvI3eaEqC16ivAugEcDEAC8DfA/gygNsc70nNfi6Rmu+ToDd/C2APgH8HYDOAJy3LeqlYLD7peE807U2xWIzlH4DXAHzQ5bV7Afy94+evA/gp5CRqAPBFAAcBnAGwG8CFpfcVAawH8H8AmAEwDWAcwI9Kr3/B8XsvAvi3pec3AZgCcK70/tHS83kADwAYBjAA4I8B5EqvfRLAvwL4CwBvAPgaJOj2Vsd2rwAwAaCryne0f/9uAGMAfgXgAwr78X4AX43ruMX9j56E44nj824C8FSV54sA1id9vOmNnt44Pvd/Avi/6A298bFPLwDw/wH4Q3pDbzzsy3cB6APwHwD8i+P5i0r7pDHp401v9PIGNe6D0+YNnQnNme8D+EqN13mNojf19umvl77bEnpDb+rsx8cAfNbx880AHveyn038R2+CewOgrfSduxzP/T8A/pvj58jaG11kaYVkpH0SwHsAjADoLr32eUjn8k0leS4FcF7ljkGVG0QA2wCcD8k6+R1I9ttqx8H7l4r3PwDghwDaITeVLwP4lOP9swD+T0hm9WIAfwXg647f/5wtqossswB+H8Ci0vaMAVhWev2vAIy6/HvB8Tn3lyQ9CTlxfjuuY0hPzPHE8Xm1AsJHARwH8A8ALkr62NMbfbwp/c5iAMcAXENv6E09byA3Z+Ol7/+qva/oDb1x8wbSGegHsLXyO6Ac2HsdwBEA3wWwPOljT2+08OZ+uNwHp80bOhOaM68C+EppnxyDZGItc7zOaxS9qXdP/F8B3F/xHL2hN9Xam98A8GNIlnAngH8C8J8q9vMJSHByJyTrM/HjT2+S86a0XUUAKxyf+zcAnnP8HFl7E7cs4xU74fccr78TcnM3AOBjjudfAvBRl8+sKUuV9z9vf1alLJCOyTSAzY7nPo1SMK30/sGKz3sngEEAVunnZwFcX0OWo/Z7S8/9EsAnfO7HKwCcVxL2I5CRkV+L6zjSEzM8cfyuW0D4vQCaIFPm7gawFwZn1NCbcL0p/f73APyk4jPpDb2p9V0sAJcDuB1AO72hN3W+w+8DuNflO7QBuBJyr7MSwCNwZNiY+I/eRH8fnDZv6ExozkyX9uXGkiN/D+BBx+u8RtGbWt+lFcBpAO+reJ7e0Jtqn3M+gF0A5kr/ngDQ5Hj91yBBx1YAfwQJ8C1N+vjTm8S9+RcA/zeAFsh9zkkALzlej6y9ibuG8G8WXeqLFIvFX1iW9SokJfvvHC9dCEkJ940lCyLdBhkJAOQmYLnL25dDovoDjucGINNfbQ5X2eYJAO+zLOsYJLX9f9bYpNeLpSPq+Pzz63yNeRSLxX7Hjz+2LOtBAL8FSVVPC/QkoCf1KBaL/1z677RlWZ+D3OhsgozUmQq9Cckby7K+AeAtAN7v/Ex687+gN1Uofc5zlmV9CBIUvq30PL0R6E0Jy7LOB3ArJDt4AcVicRxyAw4AJyzLugXAMcuy2ovF4hmvf0dD6E2E98Ep9YbOBL9GTQL4brFYfBkALMv6GiRgY28Tr1ECvanOb0GCM/9vxTbRG4HezOfvALwA4KOQRIk/h8xKuL60Tc6YzX+xLOtGSPbsj2Au9Ca4N78L4J7StrwKceZ/1TGPsr2ptoBHIliWdTOAZkiE/Q8dLx0GsM7DRzgPAizLWgtJtb4Fkn6+FBJJt6q9H5LCPgNgreO5NZBpZ1X/RonvAbgBwCcAPFIsFqdqbOMFpaL0zs8/Wtrev65YndH5b1+Nzyw6vlPqoSfKntQj1R7RG+/eWJZ1O4APA7i6WCyervH37G2mN+5kxpsKGlF7/9Cb2mTBm3cAWA3gRcuyjgP4SwDvsCzruGVZDVX+nr292ty3hg29ieQ+ONXe0BnPzrxQsR3VtgkVr/Ma5U5WvLG5EcADFQGfatCb2mTFm8sAfLtYLJ4tDVL+NWQ2ixv0pjaZ8KZYLA4Ui8XfKBaLXcVi8Z2QQPYva/zN8LwJI83Yyz/Uri+yEcApSO2QDaX/X1Z67fOQC/mG0pd+G6rXF7kDwPcdn7kZUlT6TZBU8f8Aqe9xU+n1a0rb5Ezh/1sA/x1Sx2MtpCi0/f5PoqIeSen5C1FOg39vje//ydLf/xxklGIbJLJ/ns/9+O8goyA5AFdDpsq9L67jSE+M8aQBMuXgPwL459L/F5Ve2wK5WDWUXPoWZNrGoqSPP71J3Js/AnAAwKoqr9EbelPtM3KQqVedpX3xDkiNxlvpDb2p8RnNAFY5/n0OwC9Qansg0/XeVPLrPAA/APBk0see3mhxnXK9D06bN3QmNGf+dwCHAFwCmab9dygt1gNeo+hN7X3ZXfqsdRXP0xt64/Y5T0Km/i9GuR7tz0qvrYGUjGiC9M0/D6kl7NtNXf7Rm9C82VTaviZIIHoEpUXmEHF7E7csk5AaI/a//w7JJPolgC843vsZSPpzc+mL/zHkQn4GwDMoF6N2yrIBUj9kFMD/KD33Z6UDOQLgTshUD/vgNwF41H699FxnSZhhyKjFn2D+CoQLZCm9tqv0/awa3/+TmL8C4cuQDDy/+/Hp0u+fBrAHwL+P6xjSE6M8+WTpezv/3V967d9AGpGzAIYA/A8AG5I+9vRGC2+KAAoV+/GL9Ibe1PiMHKTW9MnS/nsZsmqwXXeL3tAbL/t03jYB+FhpP52FDDA8gCoDVSb9ozfR3wenzRs6E15bAyljNFz6998AdJae5zWK3tTal38E4Okqz9MbeuP2ORdDyj+8Udr2n9huQAJ7L5S8eQPATwFcmfSxpzdaePOfStt3FlJP+ErHa5G2N3aHjQTAsqz/CuBosVj84xrv+SRE1HfHtmFEK+gJUYHeEBXoDVGB3hAV6A3xC50hKtAbogK9ISpkxZu4F5VLHZZlXQQpNn95sltCdIaeEBXoDVGB3hAV6A1Rgd4Qv9AZogK9ISrQG6JClrxJ5SILcWFZ1lcgRay/USwWDyW9PURP6AlRgd4QFegNUYHeEBXoDfELnSEq0BuiAr0hKmTNG5aMIIQQQgghhBBCCCGEkIzADGFCCCGEEEIIIYQQQgjJCAwIE0IIIYQQQgghhBBCSEbwtajc8uXLixdddFFEm0KSYvfu3SPFYrErqs+nN+kkSm/oTHqhN0QFekP8wnsbogK9ISrQG6ICvSEq8J6YqODmja+A8EUXXYRnn302vK0iWmBZ1kCUn09v0kmU3tCZ9EJviAr0hviF9zZEBXpDVKA3RAV6Q1TgPTFRwc0blowghBBCCCGEEEIIIYSQjMCAMCGEEEIIIYQQQgghhGQEBoQJIYQQQgghhBBCCCEkIzAgTAghhBBCCCGEEEIIIRnB16JyYVAoAPv2ASMjwPLlwJYtQHNz3FtBSHzQ+WzD4589eMyJbtBJEib0iahAb4gK9IaoQG+IX7LqTKwB4cFB4M47gdFRwLKAYhFYuhS47TZgzZo4t4SQeKDz2YbHP3uYfMyzeiOUdkx2kugHfSIq0BuiAr0hKtAb4pcsOxNKyYhCAejvB3bulMdCofp77rwTmJsDLroIWLtWHufm5Pnp6TC2hBB9oPPZhsc/e5h8zAcHge3bgbvuAh56SB63b5fnibmY7CTRD/pEVKA3RAV6Q1SgN8QvWXcmcEDYaydy3z6JuC9bNv/5Zcvk+b17g24JIXpB57MNj3/2MPWYZ/1GKM2Y6iTRE/pEVKA3RAV6Q1SgN8QvWXcmUEDYTydyZETSr6thWcAbbwTZEkL0g85nGx7/7GHqMc/6jVCaMdVJoif0iahAb4gK9IaoQG+IX7LuTKCAsJ9O5PLlUoujGsUicN55QbaEEP2g89mGxz97mHrMs34jlGZMdZLoCX0iKtAbogK9ISrQG+KXrDsTKCDspxO5ZYsUZj55cv77Tp6U59/yliBbQoh+0PlsE/T4e6nNTvTC1HM+6zdCacZUJ4me0CeiAr0hKtAbogK9IX7JujOBAsJuncjZWQkWv/xyOZDR3Cyr9OVywGuvAQMD8pjLyfNNTUG2hBD9oPPZJsjx5wJfZmLqOZ/1G6E0Y6qTRE/oE1GB3hAV6A1Rgd4Qv2TdmcYgv+zsRNplI8bGgCeflPrBv/gF8Mwz8p7bbgPWrAF27JBSEm+8IVlHb3lL+ncyyS50PtuoHP/K2uw2J0/K8zt20B+dMfGct2+E7rxTboAsSwZ77Wu3zttO6mOikyQaCgUp9zYyIkkdW7bI+e8H+pQ96A1Rgd4Qv4ThDEBvsgbbmmAECghXdiLn5oBnn5Ud96EPAfm8vK8ykHHFFSFsOSGGQOezjd/jb9dmdwaDARl0e+01uVDRJ70x8ZzP8o1QFjDRSRIug4NyLz46unDQZ80af59Fn7IDvSEq0BvilzCdAehNVmBbE5xAJSOAcify1luBq64C1q0DrruuHAwGuFI5ITasC0vqkeQCX/Qz29g3Qr298shgMCHpwDnzpLtbzu1z54Djx+Uefno66S0kOkJviAr0hviFzhAV6E04BMoQtrE7kXaadkPDwvdwpXKSdcIe+STpJKkFvugnIYSkE3vmSWcnsGsXMDVVfm16GnjiCeDaa5PbPqIn9IaoQG+IX+gMUYHehEPgDGEnXKmckOpU1oVdu1Ye5+bkeY5gEZskFviin4QQkl5GRqQ97+srD/bZ/4pF4L772M6ThdAbogK9IX6hM0QFehMOoQaEuVI5IdWxR7DsxRdtWE4lG/gpxZDESqf0kxBC0svy5cCpU5I9s3jx/Neam4GZmXDbeZYfSgf0hqgQpzd0Jh2wrSEq0JtwCKVkhA1XKiekOknWhSXJolKKIe4FvugnIfER1irahHhlyxagsXFh52VyEmhpkemWYbXzLD+UHugNUSEub+hMemBbQ1SgN+EQaoYwMH+RuY9/XB537DB/RxESBJZTySZBSjHEucAX/SQkHgYHge3bgbvuAh56SB63b5fnCYmK5mbgppukEzM6Wv5nWUBPj8xACaOdZ/mhdEFviApxeENn0gXbGqICvQmHUDOEbexABiFEcJZTcU7LZzmVdGOXYrjoovnPL1smsyj27tWjraSfhERP5Q2lzcmT8vyOHZxJRaLjgx8EPvAByUxvbARaW4EVK4CxsfDa+aDXPGbP6we9ISpE7U0Y99f0Ri/Y1hAV6E1wIgkIE0Lmw3Iq2cSUUgz0k5DoMWWAiKST5mbg858vT3mcngYOHw63nQ9yzUvzdEyToTdEhai9CXp/TW/0g20NUYHeBIcBYUJiIu66sCR5TCrFQD8JiRZTBohIevHSzgfJZFG95jF7Xm/qeRM0+4nepJMovQlyf01v9IXXKKICvQkGA8KExAjLqWQL00ox0E9CosOkASKSLrx2hIJmsqhe85g9rydevAkj+4nepIs4vAlyf01v9KTSm/e+Vx9v6Iy+0JvgMCBMCCERwVIM6Ub3mlBEL0wbICLpwGtHKIxMFtVrHrPn9cOLN2FlP9Gb9BCXN0Hur+mNfujuDZ3RE3oTDgwIE0JIhERRioGByOQxoSYU0QsOEJG48dMRCiuTReWax+x5vfDqTZjZT/TGfOL2RvX+mt7ohQne0Bn9oDfhwYAwIYTUoDL4un498Mor/oKxYZZiYCAyeUypCUX0g7W6SVwUCsAjj8j165JLgNlZWYEbqN4RCjOTxe81j9nz+uDHm7Czn+iNuSTljcr9Nb3RB1O8oTP6YPfL+/qAgweBSy+d/zq98Q8DwoRoDrNBk6My+HrmjFx81q8H2triD8YyEKkHptSEInrCWt0kauxr14svAocOAcPDQEsL0NMD5PPynsqOUJKZLMye1wO/3iSd/URv9IDeEBVM8obO6IGzX370KPDqq+KN0xmA3viFAWFCNIbZoMlRGXydnQV27ZKfDx8GenuBhoZ4g7EMROqBKTWhCCHZw3ntuvhiYGhI7hsmJyWjxr52VXaEks5kYfZ8sqh4k7QzAL1JGnpDVDDRGzqTLJX98uZm8aZYnO8MQG/8woAwIZrCbFB1wsiqrgy+Dg8DU1NAZ6c8PzQErF5dPxgbZoY3A5F6kHR2CyGEuOG8ds3OSsbV5CSweHH52tXcvLAjpEMmC7Pnk0PFGx2cAehNktAbooKp3tCZ5Kjsl3d1iTfFovTP7X55ZaCX3tSHAWFCNIXZoGqElVVdGXydmJj/uvNnt2Bs2BneDETqQdKjzYQQ4obz2tXYKFMp+/rkOjQ+LlNzN2+u3hEyIZOFRIOqN3Qm29AbogK9IX6p7Jc7vRkfl9hIoVA90EtvasOAMCGawmxQ/4SZVV0ZfG1tnf+68+dqwdgoMrwZiNQDHUabCSGkGpXXrnxeplIODUkn+8YbgW3b3Nsp3TNZSDQE8YbOZBd6Q1SgN8Qv1ZKibG+efx748IeBq65yD/TSG3cYECZEU5gN6h8/WdX1SjlUBl/tqSmnTsmUphUr5H1uwdgoMrwZiNQHjjYTQnSk2sBhQ4NcPzZvrh0MJtmF3hAV6A1Rgd4Qv7glRY2NAevWATfdRGdUYUCYEE3ZsgVoawN+9Stg0SLJSO3qAk6fZjaoG16zqr2UcqgWfO3uBg4eBC68EDhypHYwNqoMbwYi9YGjzYQQ3Yhq4LBQAJ57Dti9W37euhW4/HL1mvhEL+gNUYHeEBXoDfFLlElRWfeGAWFCNOXECSmw/8ILwPS0PNfUJNMhvvxlBgCr4SWr2k8ph2rB140bgZdfrh+MjTLDm4FIQgghboQ9cDg4CNx+u9Tqq3Y/olITn+gHvSEq0BuiAr0hfokiKYreMCBMSKzUK1PgfN+ddwJLlgC//dtSU2liQlZiXbIEWLUq/m03AS81dvfu9VfKoVrw1UswlvV+CSGEJEVYA4eFAvCNb0jmTHu7lEwCZMC6v19e++Y3OUidFugNUYHeEBXoDfFLmElR9EbIJb0BhERNoSAn9c6d8lgoJLMdg4PA9u3AXXcBDz0kj9u3y/OV2PVnly2TmkqrV0t9nDe9CThzRoKWZCH2dJJcToK7AwPymMuVp5PEtVifl20hhBBCdGbfPrl2AeXOkvP/9kAqIU7oDVGB3hAV6A1Rgd4IzBAmqcZLrdg48FOmAIgvaJlG6k0niXOxPtb7JYQQYjIjI7UH0qenw70n8TqTiuhNnN7QmfRAb4gK9IaoQG8EBoRJavEbhI0SO+PXa5mCOIOWaaTWdJK4Szmw3i+JG51vOgghZrF8+cL2Y24OOHtWFrnt6JCplmGgyyA+CU5c3tCZdEFviAr0hqhQ6Y3tzMwMMDUls7TDiLno7g1LRpDU4iy74GTZMnk+zikAfjN+nUFLJ6w/GxyWciBpxk9pGkIIqceWLeXB7MlJ6SS9+qpcO0dHZQHcb30L+Nu/DVaWq3IQf+1aeZybk+ftxV6IGdTy5tQpuSbdcQfw6KN0hpShN0QFekNUcHozOirOvP46cPQoMDwMvPIK8MIL6b+3YUCYpBadyi74zfhl0DJa7FIOt94KfPzj8rhjhx6jdISoYsJNByHELJqbgc9/Hti6FRgbA371K1nLwLJkfYPWVmDPHuBrXwP+4i/UB6B0GsQnwXHzZm4OaGwUb156Sd7zB39AZ4hAb4gK9IaoYHtz2WXixJkz0leyvZmbk/hA2u9tWDKCpBadyi6olClg/dloYSkHkjb8lqYhhBAvrFkD3HuvzDq49165H1mxQtocywLyeWl7WlvLA1B+y3LpNIhPwqHSm6VLgSNHZMGeJUvkPaOjkolFZ4gNvSEq0Buiwpo1wGc+I14AwLlz873Jwr0NA8IktcRdK7YWdsbvnXdKYKayfoxbw8KgJSHEKybcdBBC1Ei6NnhTk2QEb9oksw+OHZNMmqVLy++ZmJD3eBmAqvw+HR36DOKnhaSdAeZ709QEHD9eDs7YLFpUzpSqd8/r/E7Dw8DsbPX30Rl16E043yFr0JtwvkPW0MGbsTEZ5LbvbSq98XNvA5jnDQPCJLWoBmGjghm/hJAo0WlWBCEkPHRZkMTZxkxMLHy9tVUe6w1AVfs+7e1SFkuHQfw0oIszQNmbas4A4o2X1dwrv9PsrNxTNzZKR96GzqhDb+iNCvSG3qigizdh3dsAZnrDgDBJNboEYStHv9773vhHvwghehN0lFynWRGEkHCorA1uc/Kk2vTFIDjbGLuDBMgiPi0tkmED1B6AqvV97A6hDoP4JqOTM0DZmxMn5j/v9Obw4dqDlm7fqbEReP556XQ3NtKZINAbeqMCvaE3KujkTRj3NoC53jAgTFJP0mUXdBn9IoToSxjthG6zIgghwdGpNrizjZmYkCyrEyekhnBPD9DQUH8Aqtb3OX0auOEGmdLLmVTq6OQMUPbmG98oO9PcLB3tnh6Zrltv0NLtO61dK53sa66RTjudUYfe0BsV6A29UUEnb8K4twHM9YYBYZJ5oqxdo9PoFyFET8JsJ3SZFUEICQfdaoM725j9+4FHH5XO0ugocOpU/QGoet/n9Gmgtze67c8CujkDiDff/CbwxBPAffcBMzNAZ6c3Z4Da36mxUTrZ9CYY9IaoQG+ICrp5E/TeBjDXGwaESaaJOntXp9EvQoiehN1OJD0rghASHjrWBrfbmCuuALZt8zcApeP3SRu67uOmJuDaa6VD7HfQUtfvlCZ03cf0Rm903cf0Rm903MdB7m0APb+TFxgQJpkljuxd3Ua/CCH6wXaCEOKG7rXB/Q5A6f590oDu+1hl0FL375QGdN/H9EZPdN/H9EZPdN/HWfIml/QGEJIUdlae84QF5OfRURkVCoqpI0WEkPhgO0GIGoUC0N8P7Nwpj4VC0lsUPnZtu1xOZgwMDMhjLmdmbfC0fR8dSeM+TuN30o007uM0fifdSOM+TuN30o007mNTvxMzhElmiSMrz9SRIkJIfLCdIMQ/WVqwNW21wdP2fXQkjfs4jd9JN9K4j9P4nXQjjfs4jd9JN9K4j038TgwIk8wSR1aec9XK115b2GnVuXEghMQD2wlC/OGl5FPaSFtt8LR9Hx1J4z5O43fSjTTu4zR+J91I4z5O43fSjTTuY9O+EwPCJLOEkZVXKEjpiZERCTBv2SLBHScmjhQRb8eWkLDw0k7QSUIELwsxEkIIIYQQQtxhQJhklqBZeX6mq5o2UpR1sjQVmehBtWCvsw2ik8R0whzQ4EKM6YSDXkQFekNUoDdEBXpDVNDZGwaESaZRzd71Ml2VGcBmwmNL4qZesJdOEtMJe0AjjQsx6txZiAMOeqlBb+iNCvSG3qhAb+iNCvRGb28YECaZRyV718t0VWYEm0mtY3vwIPDww0BXVzYvaCR8vAR72d4Qk4liQCNtCzHq3lmIGg56qUFv6I0K9IbeqEBv6I0K9EZ/b3LJ/nlCzITTVdOL27EdGwN27wa+9z3goYeAu+4Ctm+XCx0pUygA/f3Azp3yWCgkvUV6Ywd7nUEtQH4eHZVgL9sbYjJeHPeLXfIpl5NBkYEBeczlzFuIsbKzsHatPM7NyfPT00lvYfRE4UjaoTf0RgV6Q29UoDf0RgV6Y4Y3RmQIR51mnvU0duKfNE5XJUK1Yzs7C/T1yfMXXwysXi3P6zS6pwNZHwVWwUuwl+0NMZmoBjTSsmArZwBw0EsFekNvVKA39EYFekNvVKA3ZnijfUA46gADAxhEhbRNVyVlqh3b4WHJEM7ngRUryu/N0gWtHiZMidERL8FetjfEZKIc0EjDgq0mdBaihoNe/qE39EYFekNvVKA39EYFemOGN1qXjIg6zZxp7ESVNE1XJfOpdmxffVUuXD09QEPD/Pdn5YJWDxOmxOiIM9jrxBnsZXtDTMaL41nGhM5C1NAR/9AbeqMCvaE3KtAbeqMCvTHDG60zhKNOM9c1jZ0lLMwgLdNVyUIqj+3QEPCTn0iGcCVZuaDVg6PAatjB3jvvlOtO5UwVuz1he0NMxavjWYUzAOiICvSG3qhAb+iNCvSG3qhAb8zwRuuAcNgBhspA67Fj+gUwWMLCLEyYrsoBBjWcx7ZQAJ55Rq9//UMAACAASURBVM8LWlLHt/LvdnRwFFgVr8FeE9obQiopFKSduPpq4NQpaTNXr+aAho0JnYU44KCXP+iNQG/8QW8EeuMPeiPQG3/QG0F3b7QOCIeZZl4t0Do9DczMhPP5YcAanCQI1QKDJ05wgCEMdLygFQrAY48Bf/mXwOSktFfLlslj1Me3Wnva3i4lDHQMmieJ14C9TsFeDiKRsKg1yJ2l+5l655TunYW40Kkd1AF64w16Mx964w16Mx964w16U8ZLf4HeCDp7o3VAOKw0c7dA6/Aw8LOfySJRXV3qnx8WupawIPpTrdPd1iaBwiVLOMAQBjpd0AYHgS99CfjRj4Bz56SucUMD0N0NXHZZtMe31sCV7Z4uQfOkMXHGh4nbTPSEg9yC13NK584CiR96Q1SgN0QFekP84qe/QG/0RutF5cJaSMdtsaOuLmDdOpnCqMNCPazBSVRwWxxxZATo61tY95aLfKljX9B6e+UxqczgHTvk2OZyQGenlGtoapIyOPv2SVsR1fGttXjcuXPADTcAt94KfPzj8rhjRzYDiSYuWmriNhOhUAD6+4GdO+WxUEh6i7jQJMBziqhBb4gK9IaoQG+IX+hMutA6QxgIJyuvVqC1vR3Ytg1YtSr5rD+uxEhUcMssX7RIGuShIanX6KTWAAOni+vNvn0yeDU7CzQ6WvBFiyQgOzoKjI1FN4BUb+Dq9GkJmGcdE2d8mLjNRN+sbg5y85wiatAbogK9ISrQG+IXOpMutA8IA8HTzOsFWlet0kParK/EaHogMqzt9/s5bp3u1lZ5nJhY+JrbAIOugQVSZmREHGl0ab3PnQOmpqIbQFq+XILRx46JW62tMtuisZEDV05MDIaZuM1ZR+eyDBzk5jlF1KA3RAV6Q1SgN8QvdCZdGBEQDoopgVYdF66KC9MDkWFtv8rnuHW6u7rEmdnZ+c+7ea9zYIGUWb5c2oqWFgnIzsxIdrDNuXPynqjatfZ2GfmdmioPVLS0AJs26dWeJo2JwTATtznr6JylYcq9V5TwnCIq0BuiAr0hKtAb4hc6ky60riEcFmHVIo4Du0RGlmpwml6HJqztV/0cZ6fbyenTwFVXSaPtxXvWezSDLVvEjVxOjq1lSXB2fFwcWboU+M//OboF5e65Rxauc9amHhsDnn8euOUWvdrTJHE7L3UOhiW5zTrWwDUBnbM0TLr3igoT2wGSPPSGqEBviAr0hviFzqSLTGQIA+HUIo6LrK3EqHOGkxdqbf/Bg8DDD0u2br3yD6r7oVZm+Ze/LCVRvHivc2AhSkwrVdLcDPzhH0p28M9/Lj9blhzTyy8HvvlNYP36aP6209HubqlPbZeNmJiQQQgi6DTjw6vjSW2z6TNEkkT3LA2T7r2iQKd2gJgDvSEq0BuiAr0hfqEz6SIzAWHArECraUGqIJgeiHTb/rExYPdu4MQJ4IIL6gc5guyHep1uL97rHliIgqQDUarn+Zo1wF//NfDcc+IYAGzdKgHhKC/CTkcbGuYvVjgwoP+5Gjc6BMP8Oh73NrNUTTBMKMtg0r1XFOjQDqSBLN0XA/QmLOgNvVGB3tAbFbLkDZ0JBx2cyVRA2BSSDlLFjemByGrbPzsL9PXJ8xdfXA6cVQY5nI3A8PDCer82XvZD0E63CYGFMEk6EBX0PG9qAt75TvkXF6afq0mQZDBM1fE4t9n0GSJJwywNM8h6UDwoWbsvtqE3waA3RAV6Q1TIojd0Jhi6OMOAsGYkHaRKAtMDkc7t7+iQwO7hw8Dx48CKFfLPxhnkWL58fiMwOyvPNzZKjVibuPZD1gILSQaiTD3PTT9Xs4YJwVbTZ4gkiXNA8WMfk/b6zBlmaZiCDlkhJmDq9TIq6I036M186I036M186I036M186E19dHKGAeGEcDtRTOjAh43pgUh7+2+/Hdi1Sxb2mpiQf52dstiXcwEuywKOHQMeeGBhI9DYKItzzc7K/+PeD1ma/pFkIMrU89z0czUKdL7p0SnY6rafmHWuhi5ZBUQNHj/vmHq9jAJ64x16U4beeIfelKE33qE3ZeiNN3RyhgHhBKh1oujUgY+TJOpWhhnEWblSFtZ629uARYskGHzggGx/Xx/Q2ys1VwE53qOj1RuBtWslGHzNNZJZnERANivTP5IMRJl8nmdp0KAeut/06BJsrbWfmHXuH52yCoh/ePz8YfL1MkzojT/ojUBv/EFvBHrjD3oj0Bvv6ORMLr4/RYCFJ8ratfI4NyfP5/N6dOCTwA5E9vbKY5Qr2m/fDtx1F/DQQ/K4fbs8r8q+fTJV981vBtatAzZtkgAxAExNAUND8n87yNHZ6d4INDZKMDjq/ZB1nIEoJ3EEonQJ1KkS17mqM/Xa8unppLcwWcdt6u0ny5LAcC4nI+IDA/KYy2U369ymUAD6+4GdO+WxUJDn7awCZwAdkJ9HR2WwhugLj58/TL9ehgW98Qe9EeiNP+iNQG/8QW8EeuMdnZyJPUNY5+m1cVAvPdzOnGK2VDRENXJVOcrT2Aj09Eh28Pg4cOiQ/G1nJrgujUBWSbL8AbMizUenqT5u6FDiw7mfZmelxvrEhAyYTUyU9xOzzssUClJ+6DvfkX3W2SkB8qzPJEoLPH7+4PVSoDf+oDcCvfEHvRHojT/ojUBvvKOTM7EGhHWfXhsH9U6UM2eS78CnmaiCONVGefJ5yaB8/nngwx8GrrqqHORYuVKfRiDLJFX+QIdAHQmGKTc9SZf4sPfT2JgMkE1NlV+bngb27y9nmScdQNeBwUE5Xv/0T9ImNDdLEL2nBzh3TtoMewG5anBAUX90ygoxAV4vBXrjD3oj0Bt/0BuB3viD3gj0xjs6ORNbQNhLZmaxmP7sYS8nStId+DQTVRDHbZRnbExKSNx00/zjp1MjkHWSCkTxPDcbk256kgy2Ll8uWa59feU2zubECeDRR4Ft2+g9UL5PGhmR/WHvq8nJci36w4c5k8h0dMoKMQVeL+mNCvSG3qhAb+iNCvSG3vhFF2diCwjXy8x84gn5l/bsYa8nCrOlosFPEMdPeROVAK8ujQBJDp7n5uK1LY+qTJIp5Ze2bJHM1rExmRlhMzkpsygaGvQor5EElcdwelrugRYtmv++xYvl+aEhziRKAxwQViPr10t6owa9oTcq0Bt6owK9oTd+0cGZ2ALCtTIz5+aA++4DurvTvyIhT5Rk8RrEUSlvohLg1aERIP4xJRhHosNLWx5VmSSTyi81NwMf+YiUzhkdLT/f0iJlEEZH9SmvESfVjuHYmNSfr1yMw2ZiQgLonElkHtWuGTx+pB70hqhAb4gK9IaoQG/MJ7aAcK3MzFOn5LHaioRRLM6TdDCHHbnk8BLECbLwHAO86cekYByJllpteVQLWEb1uVGyeTOwdWt5IbnWVmDFCglunjqlV3mNOHA7hi+9BOzZA3z0oxIwn5yU7GCb2Vlpa2ZmgJ073e9fkr7HIfOpdc3g/QJxg94QFegNUYHeEBXoTTqILSBcKzOzsRFob6/+e2EvzqNLMIeBw+SoF5CPauE5Yj4mBuNItLi15VG1Iya2T1u2SDs7NwesXl1+Pqs1xdyO4bp1EhA+dEiyp/v65H2FgtyvNDcDZ88C997rfv+iyz0OEXjNICrQG6ICvSEq0BuiAr1JD7m4/pCdmZnLSad1YEAeczlZcCvnsiVhLs5TKe7atfI4NyfPT0+H83f8bE9/v2T69PfLzyQe7CBOb295hXubqBaeI+ZjB3KqzWYYHZVgHCFAdO2Iie1Treu/iaWSgl673Y5hY6MEz6enJXN640bgwguBTZuAr31NBs7b2tzvX3S7x8kqTj8eeUTOSV4zSD3oDVGB3hAV6A1Rgd6kk9gyhAH3zMxiURaUi3pFQp0yq5jFoy9+Fp5TgdN5zcXEYBxJhqjakajbp6hIS6mkMK7dtY7hkiXAZz4jC8s599PevbKYXK37F0Cfe5ysUunHkSPA66/LMc/n57+X1wxiQ2+ICvSGqEBviAr0Jr3EGhAG3KfXxrHQmi7BHKbY643XhedU4ECA2YQRjOOAQDaIqh2Jsn2KGtNLJYV17a53DC+/fOHneLl/KRb1uMfJKqdPA1/4gpT1WLUK6OqS43jkiJT/6O2Vutk2Og/gkPigN0QFekNUoDfEL4UC8NxzwNe/Lv3V9etlRhu9SQ+xB4TdiCN7SJfMKp0ylclCvCw8pwIHAswnaDBOtwGBtAenk/x+UbUjUX0uqU9Y126VY9jRIR7PzsqifF1dckMOzL9/0eEeJ4sMDkon+5e/lLIeAwOyMODb3y6ZM2NjwNBQuYa2CQM4JHroDVGB3hAV6A3xi91vPXgQ2L9fvHntNVnnoquL3qQFbQLCQPTZQ7pkVumSqUzciWKAggMB5hMkGKfbgIBuwemw0eH7RTXQmZbyC6YR5rXbzzEcHAQefFBuyItFaYdaWuSG/Ny58v2L7XjS9zhZw27bJyaks7R0qTw/OQk88wzwjndIvT17wcDmZqnv/Ad/wHM2y9AbogK9ISrU8+aKK4DHHgOefRa44AIJ9J13HhMNsoyz37p0admbyclyVvDb3w784z/SG9PRKiAcNWFkVoWRceY104ckS9gDFBwIUEenTFbVYJxOAwK6BafDRqfvF9VAp+nlF0wk7FlGXo6h7bJlAR/6kNyET01JRsbjjwMf+MD8+xdmj8eP3bavXCn73WbxYnn+jTfkWDj3v9u9AMkO9IaoQG+ICrW8GRoCnn5aFvpdskTuMdragFtuSUeCCFHD2W91Lp5stzWvviqJCvTGfFITEPYaMAqSWVUr42zlSm9/32umD0kfupQsMY0wMz3DCCxX+wwv7YdOAwI6BaejIO3frxo6DZqklSRmGVW63NsrnbeJCXn+hhvmt4PMHo8fu23v6pL7uclJ6TABcr3q65NEgF//9XKNvbQMvhF16A1Rgd4QFdy8mZsDhoeB9nZgxYqyNydPAnffTWeyjLPfWulNsQjs3i39jK4uemM6qQgI+w0YqWRW1co4+/KXZWTkzJnaf99vpg9JF16DCQzslAkz0zOMwHKQz9BpQECn4HQUpP37VaJDeYy0Y7fLV14J/PjHwKlTMrOnMgM37Pa70uWGhnKdtoEBWSCmEmaPx4vdtjc2yuB+X5+ci4Bcqxobgfe/f/6CK2kenCLeoDdEBXpDVHDzZnJSYhGrVsnztjd0hjj7rZXenDwpzy9dSm/SgPEB4bimBrtlnHV0ALt2AZdeCrzpTbX/vt9MH5IuvJQsYWBnPmFleobRTgT9DF1qmAN6BaejIO3fz4lO5THSSmW73NAgM3quuQbYtKmcgRtF+50ll02lsm237+1OnAA6O4Hzz5e6epWkcXCKeIfeEBXoDVHBzZsXXgBmZoDrrlt4r0hnsk2lM/m8ePPKK3K/29kJXH75/MEngN6YSC7pDQiKHTByBlgA+Xl0VAJGYeCWcTY8DExPl2sA1/r7bpk+69ZJp69apg9JF/Z03ltvBT7+cXncsUOerwzsrF0rj3Nz8vz0dPlzCgWgv18Wjujvn1/bJ02ElekZRjsR9DPsAYFcToLZAwPymMvFPzPAeZF3kpbFp9L+/ZzEdQ3MKtXaZfua/cwz5WCwn/bbD1G7nJVrSZRUtu1Hjsh+vOgiWchp0SJZM+LYMSkXduyY/MyAfrahN0QFekNUcPPm4oslsaapqbo3dCa7VOu3Hj4sZVK/+MVywgLbGvMxPkM4rqnBblk6ExPy2Npa/+8z04cA7tN5vWbDZimLOKxzJox2IozP0KW+ZxgLbOpM2r+fk6yVx4gbr+1yVHWro3Q5S9eSqHFr24tF4B/+QVbhrmTr1nQNTvmBpbEEeuMPeiPQG3/QG6GaNxs2AF/6kgT79u+X8hGA7LOWFpkJnVXoDdsaFUz0xviAcFxBVrfp3jMz0iFbsaL+39dpyjjRDy+BnaxNDw/rnAmjnQirrfFS3zOOi4kuwemoSPv3s+FAY7R4DbhHGZiPwuWsXUvioFrbXijUPj+zCAci5kNvvEFv5kNvvEFv5lPNm5tvBq6/XoLBdl8jn5eSWFldIIzelGFb4x1TvTE+IBxXkNUtS2f5cimmPTZW/+9nKWuN+MdLYCeqLDRdCeucCaOdCPoZXoO8cV5M0r74VNq/H8CBxqjxGnCPOjAftstZu5YkQaEAPPKITM298krxYHpaZpStWCFTL7O2n6MYiDAxG6cW9GYh9KY+9GYh9KY+hQLwy1/KPUo+L9+lvV2caWjI5v0AvakN25rqmOyN8QHhOIOsblk6x497//tZyVpTRfcGM8rt8xLYeeqp7E0PD+OcCaOdCPIZXoO8zNojfrG9/MY3gN27xaHmZvGHA43B8RpwNy0wz1Ij0WK3+fv2AYcOyeI9LS2SQGAv+JTF/Rz2QISp2Thu0Jvq0Jva0Jvq0JvaOL157TWgra3sjb1QGL0pQ2/Y1tTCZG+MDwgD8QZZq2Xp+P37cWWt6R5crUT3BjPq7fMScMzq9PAwzpkw2gmVz/AT5GXWHgmKW5CPqOF1ICjJGUAq1/qsXkviwNnmX3KJLD68dCkwOQn09ckq3Q0N2dzPYQ5EpG0Ald64Q2/coTfu0Bt36I079KY6dKY2JnuTioAwkPzU4KT/fiW6B1crqRR/dlYamtdeA77wBeDb35YpLLpsn43biakajK8XcDQtC003wjhP/X6GnyAvs/aIX+y2ybJkIQcbE282dcXrQFASM4BUr/W8lkSHs82fnZXsmclJYPFieX5oSO4HsrifwxyISNsAKr1xh964Q2/coTfu0Bt36E116ExtTPYmp/qLhQLQ3w/s3CmPhUKwDQn787JMZfBy7Vp5nJuT56enk97ChdjiL1sm9Zh37QJ+8QuR/pe/BD79aen46rB9TpYtk+f37i0/NzgIbN8O3HUX8NBD8rh9u/fttwOOvb3y6Awm2FlouZzsm4EBeczlOD28FmG0L6qf4SfIy6y9bBGGl37aJqJOrXa52vve+175+amnorunCXKt57UkOpxtfmOjTKW0LDkfx8dlmmVW97NzIMKJykBEkAFUHfsc9MYdeuMOvXGH3rhDb9zRwRs6Yx4me6OUIRx29qlp2ay6Y+JolC3+7KxMO7AdsJmYSDbbzeuJGUeKP+tQ+yOM9iXIZ/gJ8jJrLzuEdd07elT8OHdOFnTo6pIbNaD+TYNJJYVMIq57mqDXel5LoqGyzc/nZSBhaEg6TDfeCGzbls39HGZpFdUBVF37HPTGHXrjDr1xh964Q2/cSdobOmMmJnvjOyAcdsCr3ud95SvAgQO1O61eO7ZZ6QDrPO3c7RjY4g8PA1NT84PBALByZTnbLYlgttcTM65gvG4lSnQljPYq6Gf4CfImWYeUxEdY19HBQeD73wdefFEWAwHmL+5g2s1mGoiz7lcY13peS8KnWpvf0CDt++bN2e4wAeENRKgMoHo5P5OC3tSG3lSH3tSG3lSH3tQmKW90rjlMZ+pjqje+A8JhB7xqfd6+fVIqoKnJvdPqtWObdAfYTzA6aOBa12nntY6BLf5rr83/nclJCXCsWAEcOZJcMNvrialzMD6LhNFeBf0Mv0FeZu2lnzC8tC/+nZ3lNn/x4vLiDlu3mnezmQbinKHj5Vof5UB4VgbZ/cKBvfqEMRChsp+9nJ9JQW/qQ28WQm/qQ28WQm/qk4Q3Os/ypjPeMNEb3wHhsANebp83OytfbuNG+Wfj7LQWi946tkl3gP0Eo8MIXOs47dzLMbjtNllAbny8/Lqd7Zb0qpVeT0xdg/FZJYz2KozP8BvkZdZeugnDKefFv6dHgsCjo/La+Dhw6hRwxx1m3WymgTgHBatd62dngYMHZabN4CDw3e8CZ86EPxCe9CC77nBgLx787mfdB+3pTTzQG6ICvSEq+NnPdIbYxOmN74Bw2AEvt88bHpYFUVaunP985aial45tkh1gP8HosALXOo7geD0G3/62ZIVPTMixX7FCgsE61FD1cmLqGIzPMmG0V2G1eQzymk2Y2ZBhOOW8+DvreE1MyMKcn/hE9cCc7jebphPnoGDltf7sWXEUADZtAr74RWl33v9+cQQIZyA86UF2U2CbHw9+9rMJg/b0Jh7oDVGB3hAVvO5nOkOcxOWN74Bw2AEvt887cUJ2wooVC3/H7rQWi946tkl2gP0Eo8MMXOs2guP1GLS3S1abnXl05EjywWwn9U5MHYPxWSaM9opBfhJ2NmSlU7OzMgh6/DiwZAmwYUP9ALTz4m///sSELCwHLBxMrfZ7lehys2kycbcX9rW+v18e3/Y28WdoSK43zc2SPd7bK4OrYQyEM8ucmAqv50QFekNUoDfEL3SGqBDUG98B4bADXm6f19oqBaobGhb+jrPT6qVjm2QH2E8wWjVw7RY40GkEx88x0C2Y7RfTtz9NhNVe9fYC3/mOrKLa2QnkcgzyZ4UosiGdXr7wAvD881L7N5eTv3HDDeKXZbkHoO2L/8AAsH+/lAiwt7elBejoqP63ebMZLUkMCjY1yb+OjrKjExPyuHixBG+PHZP7KTuD/Ngx9b/HLHNiKhy0JyrQG6ICvSF+oTNEhaDe+A4IA+EHvKp93oYNwJe+VLvTan/Reh3bJDvAfgKhKoFrU+r4+T0GOgWzVTB9+9NEkPbKeX61t0td1vFx4FOfkiAxL8zpJ6psyDVrgN/7PeC3fkuCuZOT0oa/9JJ8ZlMT8LGPldvLygB0czNw883A9dfL79vZw/m8lAu4++7qwWrebEZPEoOCR4+KI+fOyYC6M5t8ehr4138tPzc+Djz4IPDWt6rdJzDLnJgMB+2JCvSGqEBviF/oDFEhiDdKAWEg/IBXtc/z0mn18p4kO8Dr10tn7LnngFWrgK4uoLGxeiDUb9DUpDp+cRwDrnhO3FBpr2qdX088IQFhkn6iyoYsFIA/+zP5jFxOSkUsWiQZnDMz8v8nnwR+8zfdp/qfOSPXhdbWcrkIu+56rWA1bzZrE8a1JM5BwcFB4PvfB158EWhrK/99y5K6wsPDUkJk6VIZeGhpkZkOqvcJzDInpsNBe6ICvSEq0BviFzpDVFD1RjkgHAdeOq1eO7ZJdIDt7MKZGeDAAelgNjVJKYzu7oWBUL9BU9Pq+EV5DHTLlGZw2nxMO79INESVDblvnwTq5uakBnBLizxvt1/FogTzhoaA1avLr1WWGWpsLL/upF6wmjeb1dHtWlIPe+Cqs7Ps6uLFEvgF5HvMzMggweioeNbTI5nkqu0Ys8wJIYQQQggxH60DwoC3TqvXjm2cHWBnduGWLcCb3ywde3uxvK9+tZzJ48RP0NTEOn5RHAPdMqVNCyiQ6oR1fjkHB+y6rqdPc6DAFKLKhhwZkcDduXPzn8/lpM2YmRF3Dh8uzywJo8wQcUe3a4kXnANXPT2ygNzoqLw2Pg6cfz6wdq0MGjgzyIFg9wnMMieEEEIIIcRstA8Iq5J0hmZldmFDg3TIVq+WjJqXX3YPjHoNmjIYIOiUyWliQIFUJ4zzyzk4cPasuApIe7RkifpAQdLtW5aolg05OyuB3I98RNoXlf2/fLlkaba2yiJfNrmcBIOLRbluHDwo7cemTcHLDJHa6HQt8Ypz4Cqfl1I2Q0PlxeN6e4Hduxd+JyD4fQKzzMuwTSYq0BuiAr0hKtAbogK9ST+pCAhXitreDtxzT7IZmlFk71Z+z/XrGQwA9MqUNiWgwMa9PkGDbc7Bge5uYNcuaZsAyfrs7ZWAjd+BAmagx48zG3L/fuDRRyVY+9OfynFV2f9btkgw7vLLpXTE+Lh85unT4sKSJXJ8W1rEk+efBx5+OFiZIVIbna4llbi12ZUDV/bgMyBOvP3t5UGFLN8nRAnbZKICvSEq0BuiAr0hKtCbbGB8QLhS1NlZ6bRfdlmyGZphZ++6nZC/8zvAD36Q7WBAHJnSXgOoOgcUbNi4e6My2DY3B5w6JVP3b7rJ3Tkb5+DAsWPA1JTs57k54Phxydq78ELJ5PM6UMAM9ORoapLz/oEHpA2oDK753f9Ov971Lll49MwZ8au7WwYP1q+XAJ+9aNzp0ws/h1P3w0PXWTe12ux6C9decYWUjeCgQTSwTSYq0BuiAr0hKtAbogK9yQ5GB4SriWoHXvbvl061XSvPa4ZmWJmTYU7lrXVC/uAHUo/45ZdrBwPSnBEa9bRpPwFUXQMKNmzc/WEH2554ArjvPnmuvV0yNZ94onYQ3Tk4MDEhj1NTwJEj8vO5c8DRoxLM2b/fW0DYlAz0tBL2/ncGc48fB/75n2Wg4JJL5td6BYCBAfcBJTtYbbfxqmUsso6OJThqtdm33y6DBfUWruWgQXSwTSYq0BuiAr0hKtAbogK9yQ5GB4SriToxIZ3gqan5q7MD9TM0w8ycDHMqb70TslY94rC/l45EOW3abwBVx4CCEzbu/ikWJfjb3e0vK9Q5ONDaKv8/ckQem5rEh/Z2WWjy0UeBbdvqu2pCBnqaiWL/O+uwrlolgWHndcum1oBS2tv4uNCxBIdbm93RIeVK3vY2bwvXst5vNLBNJirQG6ICvSEq0BuiAr3JDkYHhKuJ2tpa/r+dlWdTq0MdReZkWFk5QU5IL98rDYS1ryszqaen/QVQvQYUksrYZuPuH9UgunNwoKtLytmMj8t07lwOWLwYmJyUhaAaGrwF43XPQE87Ue9/lQElZv2Hi27ZtG5t9vCwXJ8WLZKfbS/b26W92rsXuOqq+LYzq7BNJirQG6ICvSEq0BuiAr3JDkYHhKuJ2tVVXoTHGRyul6EZVeZkGFk5QU5IL98rLQTd19Wy7MbGJIBXDbcAar2AQpLZfGzc/aMaRHcODrz0ktQfPntWgr8tLfJcdzfwvveJC16C8bpnoKedqPe/SoZq0GtXmssJqaJTNq1bm20PeLe2ynWqr09mRgEy8LRjB/CtbzFDPGrYJhMV6A1Rgd4QFegNUYHeZAejA8LVRG1sBDZtkhXZJyak7qJbEK+FfwAAIABJREFUh9rZET5wQDKsqpF05mSQE5IZod5wy7J76SVgzx6Zjuus5wnUDqC6BRS8ZvNFFaRh4+6fIEH0NWuAr3wF+PSngY0bZQp3W5tkC8/NSXZfW5sEi70E43Wc0p4l4tj/fjNUg7TxLDWhP25t9uysOLFsGfDkk+VjZ9PUxAzxOGCbTFSgN0QFekNUoDdEBXqTHYwOCNcS9eGHZUV2tw51ZUd4ZAQ4eFDem8/P/ztJZ04GOSGZEeoNtyy7deskIHzggASFbVQDqF6y+ZYvjy5Iw8bdP0GD6AcOyH5997ul5mexKPU/ATnGr7wCrFzp3SXdprRnjTj2v58MVdU2nqUmzKC5Gbj5ZuBP/xT41a9kdkE+L8f9qqukHZ+aKgeDJyflPRs2AIcPx1MXPutZ5myTiQr0hqhAb4gK9IaoQG+ygdEBYUBN1God4QsukM7Tk08C111XzgbVJXNS9YRkRqg33LLsGhtlH05Pewug1usY18vmO34ceOCBaIM0bNz9ETSIbh/zxkagp0emdo+Oymvj4+KM32C8TlPas4hO+1+1jecCk2YwOAjcc0+51MzUlMwq+NznxMPPfQ44c0ZmRJ07JyUk3vc+eX8cs4CYZS7o1CYQc6A3RAV6Q1SgN0QFepN+jA8IA/5FrdYRbmwE3v9+4PHHpdyEnXWlU+akygnJjFBv1MqyW7IE+MxnZHp/rQCql45xvWy+U6fiCdJ4cSnrWV9OggTRncc8nwd6e4GhIQngjI4C27dnK3BC6uPn3FNt41lOSH+cg9fr1pWfP3kSuPtuaZNuvBH4/d+XY97YKMfumWdk8CnoLKB6HjLLnBBCCCGEEHPRMiAcdSDKrSOczwNXXinTMDdsSE/mJDNC61Mvy+7yy/1nndu/7+wY1/s7S5cmG6Sxz70XXwR+/GPJMmtszG7WlxPVEdLKY97QAKxeLT+fd564RYiNSsalShvPckL6Uy+Lu78f+OlP5d6luRlYvFhen5yU2U7veY/6LCAvHjLLnCQBB6uJCvSGqEBviAr0hqiQlDfaBYTjmH5YqyOcy0lAOG2dGKb71yZoJrXXjnG9vzMyklyQxj733ngD2L1b/l4+L5lm+TyzvlRhlj7xSpCMS79tPMsJ6U+9LO7+fikX8Z73AE89BQwPywBeS4ssOnfNNWVf/NxkevWQWeYkbliihKhAb4gK9IaoQG+ICkl6o1VAOK7ph+wIk2oEyaS2O8azs9Ipn5iQWo5dXQs7xrX+zsqVybjpPPdaW2Vbli6VTLO+PilzwKwvdZilT+pRKACPPCLZ+RdfLG1JY+kKHcW5x4GK+FAd8a+XxT0zAxw5Arz+etmXc+fktU2bysfQ702m1wFOZpmTOGGJEqICvSEq0BuiAr0hKiTtjVYB4aDTD712utgRJm6oZlIvXy4LhO3aJYv+2LS0ABdeuLBj7PZ3knLTee4dPFh+fvFieX5oSMocMOtLHWbpEzfsgN2+fcChQ3K+tbSUs/OBaM49DlRET5AR/1qD1w0NwNNPA88+K4HghoZyELZYlIGFjg61m8xqmb/2YOfRo8DPfy7bxsF1EicsUUJUoDdEBXpDVKA3RIWkvdEqIBxk+qHfThc7wiRM1q8HXnlFOt2dneXnT52S5zdu9P5ZSbjpPPdaWxe+PjEhj1nK+mL9JxIHzoDdJZdI0K0yO98Z7AsbDlRER9ARf7cBwvZ2eczlZLHThgZpo2dnZWCytVX+5vHjajeZlZm/Y2Pi4tSUfP5jjwEvvyzbxsF1EhcsUUJUoDdEBXpDVKA3RIWkvdEqIKw6/VC108WOMAmLV16RVeCPHJHOt83ixUB3t3Se/bgWt5vOc6+rS7ITJyfLixS1tuqf9RVmAJf1n0hcOAN2s7Pzzz07O7+5We9zj1THLRjb0QHs2QPce6+0Vfk8cP751dusagOEMzPyu83N8vuHD8v9Ty4nJSNyOfHle98DbrrJ/02mM/O3o0OCwcWi/L2WFuCyyyRIbN9fcXDdOxxoVCfLJUrojTpZ9YbOBIPe0BsV6A29USFpb7QICNsSHT0KTE9LhlRXV/n1eoGoKNKsKTbxw8iIZG319koAx64hvGKFBIl1HxGsnPrb0yNBgBMnJGgwMSEBKl2zvsIM4CZdx4dkC+eocGNj+dwbHZVszEOHgM2b9T33iDvVRvztbNvjxyUo3NQk/zZvlsHDam1W5QDhzp3yuXYm8JIlEgSem5NBhZUrJWt4ZkZmqfi9yXRmJu/ZI9+jra1cxqShYeH9FQfX68OBxmBktUQJvQlGFr2hM8GhN/RGBXpDb1RI2pvEA8KVEk1PAz/7mUzBb2vzNv0w7DRrik3ccBsosEd2Ghqk1q4TvyM7SQxGVJuavGGDZJtde60sUKRr1lfYAdywB5g4uERqUTkqnM+XB5YOHQJuvBHYtk3Pc4/UpvLYzs5KMPjcOeDsWQncLl8uGeFHjsjPXtos+3O7uspZwfZsjqkpea2lRcoXLV2qdpNpZyb/zd9IG3bxxTLA2dBQfg+nP3qHA43ByeL6H/QmOFnzhs6EA70R6I0/6I1Ab/yRtDehBIRVAx5uEq1cKVkt118v/68XiAozzZpiEzdqDRSENbKT5GCEqXW1ww7ghjnAxMElUo9qbUdDg1xDN29mMNhkKo/t8LAEbGdn5XX7eNvlQWZnJVBcr82yP/f0aXnfzp3lz7UsyRju6ZH7qNWr1W8ym5rkc555ZuFAJ5Du6Y9hk/SCIWnB1PsUVehNOGTJGzoTHvSG3qhAb+iNCkl6EzggHCTg4SZRV1c5e8aLRGGmWVNsUg0vAwVBR3Z0GIwwsa522DMEwhpg0uF4Ev1JelSYREflsT16VMqALFpUzu51MjEhZUPqtVnOz83lpM2ampLnt26VxQnHxsr3P01N6jeZSU9jSwtJLxiSJky8T1GF3oRHVryhM+FCb+iNCvSG3qiQlDeBAsJBAx5hSRRmh5pik2p4HSgIMrLDwQg1wi7EHlYAhMeTeCVL2QRZw3lsf/5z4LHHZLD72WcXvre1Ve6rvLRZzs/dvx949FHJLG9slEXmKu9/VG8yOWARDkkvGGIyWS67RG/Uyao3dCYY9GYh9KY2WXUGoDdB0MmbQAHhoAGPMCUKq0NNsUk1vA4UBBnZ4WCEGmFnsIUVAOHxJH7ISjZBFrHvKdaulcVHGxulxu/kpJSLmJyUnxsb5WevbZbtzBVXSGmRqAYUOGARHGZaq5H1skv0Ro0se0Nn1KE39MYvWXYGoDeq6OZNoIDwsWNywM+dk8yWri7p0ADeAh5hSxRGh5pik2rEMVDAwQg1oshgCyMAwuNJCKm86ZuZAX7xC+D882XRwDfekHZl82YpJaHaZkU9oMABi2Aw09o/LLtEb1TIujd0Rg16Q2/8knVnAHqjgo7eKAeEBweBBx8EXnwRaGuT51paZAGSfN5bwENHiVS3Sae0bxI+cQwUcDBCnSgy2IIGQHg8CUkHYS+cu2KFLPh2xx3AmTNAZyewahWzbtMOM639wbJLAr3xB72hMyrQG3rjFzoj0Bt/6OiNUkDY7uR0dpaz4Oxpj319sqiJ14BHlBKpduL8bpNuad8kfOIYvNBxgMQkdMtg4/EkusGBS/9EuXBud7debRaJHt2uUzrDsktl6I136I1AZ/xBbwR64x06U4beeEdHb5QCws5OTk+PBIFHR+W18fFy5ovXgEcUEgUN0nrdJh3Tvkk0xDECxlG2dMHjSXRB14FLnYPUuiycS0gWYdklogK9ISrQG+IXOkNU0NEbpYCws5OTzwO9vcDQEDAxAYyNATfckHwHM64grY5p3yQ64hgB4yhbuuDxJEmj68ClrkFqG50WziUka7DsElGB3hAV6A3xC50hKujoTU7llyo7OQ0NwOrVwLp18sVWrQpr89SwO3HOnQzIz6Oj0okLC2YAEUII0Zk4r4leqQxSr10rj3Nz8vz0dPzbVEnQ67vzps8JOwuE1Mcuu5TLyQDMwIA8FouSiPLUU0B/v7QlhNjQG6ICvSF+cXMmlwNuvlnurXfupDdkPjq2NUoZwjpGtp3EGaRlBhAhhBAdscsxPP64XJ+7u4HGiqt+UgOXJsyuCXp9Zx1xQoJRWXZpehr4yU+Ahx/Wc1YB0QN6Q1SgN8Qv1UoDdnQAd9+t7+w3kjy6tTVKAWHdOzlxBml1D44TQgjJHs5yDCdPAi++KKWdenqk1JNNUgOXJsyuCeP6nnQdcZ1rNBPiBbvsUqEAbN8u7YNOpW+IntAbogK9IX5xlga0vdGtRBvRD53aGqWAMJB8J6cWcQZpdQ+OE+IHBg8IMZ/Kcgzd3RIMnpyURWB7e6XUU5IDlybMrgnr+p5UHXHdazQT4gcTZhUQ/aA3RAV6Q1SgN8QvOjijHBAG9F0sKe4grc7BcUK8wuABIdEOisQ14FJ5c9HYKJnBfX3yt/fskRuNJAcuTZldY+r1XdeFBAlRxYRZBUQ/6A1Rgd4QFegN8YsOzgQKCOtM3J04XYPjhHiBwQNCoh0UiXPApdrNRT4vmcF79gDvehdw9dXJBjZNml1j4vW9VsbBwYNSp6yrizNBiJ5UGzwzYVYBSRZ6Q/ziNlBPb0gt6A1RQddrVGoDwoCZnThCkkCH6QqEJEmUgyJxD7i43Vw0NMg5ffXVepzPpmbfmoBbxsHYGLB7N3DsGNDaCkxNiS+33w5s2BD/dqYVll9Sx23w7OabzZhVEAR6ow69oTd+qTVQb8ospiDQGzXoDb1RQedrVKoDwoQQb+gwXYGQJIlyUCTuAReTbkg5cBsN1QYFZmelbMj0NHDihAwQAMDhw8D110vW8Pr18W9r2mD5JXVqDZ7dcw9wyy2yervuswpUoDfq0Bt64xcvA/WmzGJSgd6oQW/ojQq6X6MYECaEaDFdgZAkiXJQJO4BF5PKMZBoqDYoMDwsN/Hj40BnJ7BkSfn9J04Af/InwP33048gsPxSMOoNnp0+nc5ZBfQmGPSG3vjF60A9vSFO6A29UUH3axQDwoQQozIKCYmCKAdFkhhwYTmGbFNtUODIEckOXrZsfjDYfv/ICMsDBYXll4LhZfAsjbMK6E0w6M385+lNfbwO1NMb4oTe0BsVdL9GMSBMiEYkVZcn6xmFWa2HlNXvXY0oB0WSGnBJ4w0p8U7loMDQEPDd78r5Xo2WFpYHCgrLLwUjq7OV6E0w6M1C6E1tsuoMQG+CQG+qv0ZvaqO7NwwIE+KBOAJnSdflyWpGYdL7PSmy+r3diHJQROcBFw4KpBvnoEChADz6KPD66/PfMzkpweB8PvmbUtPR/aZfd7I6W4neBIPeLITe1CarzgD0Jgj0pvpr9KY2unvDgHAEsIOdLuIInOlSlydrGYW67PdaRNGemPC9kyDKQREdB1w4KKAnUd1DNDcDt98uC8idOFH+zJYWYNMmCQgnfVNqOrrf9OuOzoNnUUJvgkFv6I1fsuoMQG+CQG/ojQq6e8OAcMiwg50u4gqcsS5PMkS934MGdsJsT5zbMjwsQcl16+a/J6u+xTWIp9OACwcF9CTqe4gNG4CHH5YF5EZGypnB+bweN6Wmo/tNvwnoOHgWNfQmOPSG3vgli84A9CYo9IbeqKCzN8YFhHXOvq3Vwd6xA/jEJ4CxMf22O+vUciquQC3r8iRDlPs9aGAnzIBd5bYMDgKHDgFnzwJdXfKvsXQ1yJpvWRrEUx0U0Pm6qzN+95ufcz7IMVm/Hrj/fj1vStOAzjf9pqDT4Flc0Jvg0Bt645csOgPQm6DQG3qjgq7eGBUQ1r3j7hY8bGgAdu0CBgbK9Vd02u4sU8+puAK1rMuTDFHt9zCCuWENRlRuy9gY8Oyz4u7TTwOrVwOtrUBPj2QJZsm3LGXJVrZ1R45ILdnly+W4O3G2bbpfd5PGLTCrst+8nvNhHBNdb0rTAvcvUYHeEBXoDVGB3hAV6E36yMX9BwsFoL8f2LlTHgsF77/n7LivXSuPc3Py/PR0lFvtjWrBw9lZoK+v3GHTcbuzihenVAOGfj131uVxwro80RLVfrcDO84aS4D8PDoqgZ16hDUY4dwWuz1qaQHa28XhRYvksa9Pskaz5FsYx8kLqte9sKjW1l1ySfm4nzs3//1222bCdTdJBgeB7duBu+4CHnpIHrdvBw4cUNtvXs55HhNCCCGEEEJIGMSaIRwkq8WEGqvVgofDw8DUlGQMtbaWn9dpu7OKF6dUCqireM66PMkQ1X4PI5gbVvayc1vs9mjpUqC7Gzh4EDh1CujoAMbH5f933JEd3+KYAaBDhm21tq6rSzKDx8aAoSHJFAfmt2179+p/3U2KWtnlf/qnMjPIb41uL+e8CfdChBBCCCGEEP2JLSAcdGquCTVWqwUPJybku+fzwIoV89+vy3ZnFS9O+Q0YBvGcdXmSIYr9HkYwN6zVXJ3bMjFRfr6lBTj/fKkn2toqgcFPfCJbZQCiLtWiS0mKam1dY6OUCXn8caknPT29sG0z4bqbFLUCs/v3A4sXV/+9Wvut8pyfnZVBnBMn5BzdsEEyunlMSJphzXKiAr0hKtAbogK9ISro6k1sAeGgWS0m1FitFjy0s8J6eiRjyEmxKNO2+/v1EyMLeHXKT8AwqOesy5MMYe/3MIK5YWUvO7fFOUthclKCVps3S9v02mvAypV+vqX5hBV0r8S+4Pf1SRb2pZfOfz3ubE63ti6fB7ZuBa65RgYsK9s2E667SVErWL54sWTiV6PWfnOe8/v2yb/paTkemzcDX/oS0NvLY0LSiw4zKoh50BuiAr0hKtAbooLO3kQWEK6MgB89GiyrpVbHva0NmJmR+oxJB1Urg4ft7cCDDy6s0XjypARhHnwQOHNGPzGygJ9gkNeAITPqzCXMUbvmZuDmm2XquJ0tmM9LsMZPMDeM7GVnkGliQgJMJ07I9tgDVVmtVR1FyRDnBf/oUeDVVyXL0160zybO9qBWW3feecC2bdW/a1QB8zRQK1iez8t9icp+W7MG+MpXgE9/Gti4UQZpVqwon6ePPSb3FTwmJG1UzqiwM+QPHQK+8AXg298W9wlxQm+ICk5vurvFmYkJ4Phxue++807O0CQLoTdEBd29iSQgXC0CPj3tvtiJl6wWt457Lif/v/defYKqlcHD889fuN32gk6Wlf7V7XUlimAQM+rMJOxRu8FB4J57JIhjZwu2tQG33OL/88LIXnYGlvfvBx59VLZtdFTqBme5VnWYJUMqO6bNzXKxHx+XQN673w2sWiXlGuJsD1TbOtY2d6dekP2WW4C771bbbwcOyHs2bpz/vJ1Zvm0b8MQTPCYkXezbJ21wayvwwgtyHtj3+OPjMkhyxx1MmCDzoTdEBdubuTm5ns7NSSk1y5J4xRNPANdem/RWEt147jmZ+bdo0fy2BqA3xB3dvQk9IOxWM3F4GPjZzyTbpaur/LyfrBa37FvLWtgh0ymoWi3gMDMjQexqq9tzYZj4CLt+rEkZdbrWsYmbsOu8Oj/PuajUyZMSIPL6eWEfHzuwfMUVElBireoyYZUMqSwZ09QkC7bNzooPTz8NdHYCmzbF2x4UCuLR1VeXBwBWr/Z23FnbvDr1guVB9lu9mSZNTTwmWSIr1+oXXwR275YBs2PH5HxqaZFsGkCyaXS6t9cdeiOv0xvvZMUZQLx55hm5hhaL4s/Zs+JNoQDcd5+UaKIz9cmKN4ODwNe/Lu6cPj2/rWlpkdmX9MY79EYfb0IPCLvVUO3qksWLTp2SBlc1q8XZce/vl3ILJqy2XRlw2LmTpQV0Icz6saZk1OlcxyZugtZ9juLzoj4+rFUdDc5A3uysdDZWrZLnJybkubEx4PnngYcfjqc9qOWSynWXlKkX9FXdb15mmvCYZIOsXKsLBeDHP5bvt2iRzGBpaZHkiSNH5Pq5cqXsB53u7XWF3tAbv2TFGaDsTaFQdgaY783MDJ3xQla8sZN9WlrEmcq25pJLJAZAb7xBb/TyJvSAcK3MlrY24Prr5eIcRlaLyfVaWVogveieURd2RqzphN2OBP08Hh9zcbbrw8NSKmTpUqCjQ0pHbNggI8ITEzJKHDV0KXqiCMyaNNOEREeWzt99+6SjlM9LB9Fm0SKZ9l8sSi3tI0f0vrfXAXpDb/ySJWeAsjfNzZJYZuP0prOTztQjS97YyT7r1sn0/9lZeX7RIrnXt9e0ojf1oTf6eZML+wPrBTpXrpTOU2+vPAY54CYHVZ0dPifs8KUDO0gQhudhYzdO1cqV2FkUWSLsdiTo5/H4mIuzXZ+YKD9fKMjxu+IKKdXQ2BjPhZ8umYk90ySXk1kFAwPymMvpNdOEREuWzt+REWkXe3qkozQ9LZ2lqSkZSN2wQQI4ut/b6wC9oTd+yZIzQNmbrVvFE9sZpze5HJ2pR5a8sZN9qnkzPQ2cOyftEL2pD73Rz5vQM4TjzGwxOYvGlNICJH2YnFkfBWG3I0E/j8cnWYLUtHK266OjkmkCyPSgnh7pmALxdU7pkrnoPtOERIfdBj3+uFw3urulM+EkbeevPZCazwO/8RvAP/6jdJba2mQqZVeXGff2SeG8bh04IJlX1aA3xEkW2xqg7M3FF8uU7bNnJSCzaJF409xMZ2qRRW+cyT6V3kxNAb/2axLcozfu0Bt9vQk9IBxnoNP0oCo7fCQJTM6sj4Kw25Ggn8fjkxxh1LSy2/X+fnlsbpb6+XYwOM7OKV0yG9YJzh7ONujkSVmEZGhIBpTy+fL77PM3LYuyVA6kfvCDQF+f1Fy3LJlxsXixGff2cVN53RoZkdXMzztvvjMAvSFl/LY1QPq8OX0aeNe7xJmpKfHFsuS70ZnqZNWbyrbG9mZsTIKahYIMRNGb6tAbvb0JPSAMxBvoND2oyg4fCQuvDafJmfVREXY7EuTzeHySIcyaVk1NwFVXAd/6lvzu4cPJDFjSJULMobIN6u6WDtPkpHQgentlYMk+fzs6gO3b07EoS7WB1A0bJHPm2muBTZvMurePi2rXrQsukGvOk08C1123cDCS3hC/bc1b3pKuRaCc3pw6BWzcKI+LFgGf+pR8fzqzkCx7w7ZGHXqjvzeRBISBeAOdDKqSrGM3nG+8IaNOk5MyVe7226XhcWJ6Zn1UhN2OqH4ej08y2DWtnMFgQAKpr72mtvpr0gOWdIkQc6hsg+zaqH19MtC7Z4+0R0uXAjffDNx9d7oWZUm6vTSRatetxkbg/e+XabnPP1+eKUJviI2ftua228SftC0CRW/8k3Vv6Iwa9EZ/b0ILCKchrZsQEykUpKEZHAReflka0JYWWVX5+uuBhx+WKetOTGicsgyPT/xEVW836QFLukSIGVRrg/J5yZ7Zs0emGl59tZy/e/eGP4AVFX76B0m3l6bhdt3K54Err5SZKhs2lNt9ekMAf21NU5OUwDLBG7+xCHrjD3pDZ1SgN/p7E0pAOC1p3YSYyK5dwE9/Kg1SsSgjbxMTMiVjbAz4kz8B7r9/YQBI98Yp6/D4xEua6+3SJUL0x60NamiQjtDVV5fPY1MWjGT/IFpqXbdyOQkIO9t+ekMAf20NYIY3dCZ66A1Rgd7oTy7oB1TWBVm7Vh7n5uT56engG0kIqU6hAHznO7IYQkODFCZvaZGG6cgRqYc1MiKjaYQQd5z1dp2w3i4hJA78tEEmDGCxfxA9fq9b9IYA6fOGzsQDvSEq0Bv9CRwQtuuCOBesAeTn0VEGogiJkn37gNnZhSNpixbJ81NTEiDWYTSNEJ2x6+3mcjIlaWBAHnM51tslhKhRKMj0x5075bFQcH+vnzbIhAEs9g/UiMoZgN6kmSx7Q2fUoTf0RgV6ky5vApeMMCGtm5C0MjICdHYCS5YAp0/Pf212VhrbfD750TRCTMCUerus2U+I/qhMKfTaBpmwYCT7B/6J0hmA3qSVrHtDZ9SgN/RGBXqTPm8CB4R1T+smJM0sXy5B3/e9TxaPGx+XGsKANEobN5YbXUJIfXSvt5u2ulWEpJHKKYU2XlbJ9toG6T6Axf6BP+JwBqA3aYPe0BkV6A29UYHepNObwAFhZ1q3M3Val7RuQtKMff7NzQHXXw88+aQsKDc3J6Ui1q7VYzSNEBKcIDdihASFmenesacURr1Kts4DWOwf+CMuZwB6kyboDZ1Rgd7QGxXoTTq9CRwQ1j2tm5A04zz/RkeByy8HTp2SGsKf+hTQ28tzkJC0EOeNGCFOmJnujzROKaxGrUEC9g/8kRVnAHoTJvSGzqhAb+iNCvQmnd4EDggDeqd1E5J2eP4Rkg2ydCNG9IGZ6f5J45TCSrwMEvD+xDtZcAagN2FDb8QXOuMPekNvVKA36fQmlIAwoG9aNyFZgOcfIeknKzdiRC+Yme6fNE4pdOJnkMB5f1IoiC8sO7KQtDsD0JsooDdlb+iMd+gNvVGB3qTTm9ACwoQQkkVYV5PERRZuxIh+MDPdPyZPKTx9GvjRjyRDZs0a4LrrgI6O+e9RGSRg2ZHamOwMQG+Sgt4s9IbO1Ife0BsV6E06vWFAmBBCFEnDRYCYg+k3YsRMmJmuholTCvv6gM9+Fjhzpvzcn/858Fd/BfT0lJ/zO0jAsiPeMNEZgN4kDb0p/0xnvENvyj/TG+/Qm/LPafGGAWFCCFEgLRcBYham3ogRc2FmujomlXM6fVo6S3NzQHd3+flTp+T5p58G2trkOS+DBM7ZM8PD0l6tWzf/vSw7shCTnAHojS7QG/Gmrw84eBC49NL576Mz1aE39EaFLHvT3g7096frGsWAMCGEKMC6miQpmpokSGd3mvfuZakSEh21MtNvvtnsummkzI9+JJkzzs4SAHR2AkeOAD/8IfC7vyvP1Rsk6OgAtm8vz555/XX5jOXLgXx+/uez7IjZ0BuiQlTeHD0KvPqqBGp6euZ7Q2fMh94QFcLyJpcDHnxQPitN16jAAWHWzySEZBHW1SRJwVIlJG6qZaZ3dAB3300P08LgYO3XDx8u/7/eIMHdd8+vTlyiAAAJAElEQVSfPdPUJL/f1wf09gINDeXPYtkRs6E3RIWovGluBoaG5PVKb+iM+dAbokIY3rS1yf8tK33XqEABYXZKCSFZhXU1SRKwVAlJisoVlbdvp4dpot59+4UXLnx/tfI1e/cunD3T1SXZM2Nj0ulevVqeZ9kR86E3RIWovOnqAlpa5D58aqrsDZ1JB/SGqBCGNzMzwL33zs8aTss1Kqf6i5Wd0rVr5XFuTp6fng5vIwkhRDecU0qcmHYRIGZhlypx3pAA8vPoqNy8EBI19DB9XHed1MY7dWr+86dOyfMf/ejC37EHCXp75bGpqfrsmcZGmYZrWcChQ8DAgGTe5HJcENN06A1RISpvnM6Mj4svdCY90BuiQhjejI2l9xqlnCHM+pmEkCxTayqSSRcBYhYsVUJ0gB6mj44OWW37s5+Vmng27e3yvL3gSj3cZs/k88DWrcA11wArVnBBzLRAb4gKUXqTz0sQ5/nngQ9/GLjqKjqTFugNUSEMb9J8jVIOCLMzQAjJOm5TkUy6CBCzYKkSogP0MJ309Mhq2z/8oWS7AMBb3yoDoIWCtzVCai3Ict55wLZtvEamDXpDVIjSm7ExYN064Kab6E3aoDdEhaDepPkapRwQZmeAEELm19UkJGrqrZrMUiUkDuhhemlrA97zHuCZZ2Qm4MGD/tYI4eyZbEJviAr0hqhAb4gKQbz5/9u7g9w2qjCA41+cUNqiUCSIECzoAlUCZQPsOEBXHABxBE7QFRdgwYJNT4BYILFkwQW6AUQrigRVkdLu2lC1IIUaCmbxZMVtJ0382WPPm/n9pMo0RJFV/zO2vzd+0+dm0gNhbwYAYLX6/IKEeuiwv5Zx4Uqfnhke3ZChGzJ0Q8ai3fS1mfRA2JsBAFi9vr4goS467KdlXSPEp2eGRTdk6IYM3ZCxjG762Ex6IBzhzQAArEMfX5BQHx32j2uEkKEbMnRDhm7I0E2zhQbCEd4MwJPG47ICtb9f9tre3T3ZBvcAAOvkGiFk6IYM3ZChGzJ002zhgTBw6Natso3K/ftPb6Ny3Ab3AADr5BohZOiGDN2QoRsydNNstKwfNB5H/PBDxLffltvxeFk/Gerw5Ebl58+X2//+K1//++9130OmHK8A4GnTa4SMRmVPvb29cjsauUYIR9MNGbohQzdk6KbZUs4QdlYklG0ifv894uzZiJs3y+3Ozvwb3NMuxysAONqrr0Z89FHEd9+V58n33it/hvpmiZPRDRm6IUM3ZOjmaQsPhJ88K3Lq3r3y9U8/HfY/MMPx888R33//eO+nT0e8//6wNyrvEscrADha06Lpr79GvP66RVOOphsydEOGbsjQTbOFt4y4fr38o87uwxFR/n7/fjkrEvpuPI745pvDs02nfyaTiCtXIh49Gu5G5V3ieEVf2QYFWJStr8jQDRm6IUM3ZOjmaAsPhPf3y4S9ibMiGYrr1yM2NyPOnYv466/Dr585E/HgQcS//w53o/Iuqfl4ZeDHUW7dirh0KeLzzyO+/LLcXrpUvg5wUhZNydANGbohQzdk6OZoC28Z8cor5SzIJpOJsyIZhv39iK2tsj3ElSvlwDK1sRHxwQe2IuiCWo9X9j3mKLZBgXqNx+VNyv5+eX7a3S0XPVmXmhdNh0Q3ZOiGDN2QoZt6LDwQ3t0tg4l79w4n7o8elYtqPXwY8c8/JYh1BgBtmw4az52LuHgx4s6diIODcmG5g4OIt99e9z0kovl4FVH+/tJL3TyL28CPZ5mueM+2EeFiltB1XVzoq3XRdEh0Q4ZuyNANGbqpy8JbRkSUAdjt2+WCWteuRXz9dcTVq+WMycuXfXSVfhuPy74zDx5E/PJLOai89lrEm2+WhZCXX+7moHGInn++PBmNRmVYtrdXbkej8vVFBqttbengIy79MU8jJ/1eK971sg3McHV1L7vZRdNZ00XTCxc0u066IUM3ZNTYzfZ2ORlRM+ujm/osdIbw7PR/e7u88bx2rZwN+c47ZU/VCGey0V+zvwNbW2Uh5OrVctB54YXD1TDdd8cbb5Rj0U8/lWPWdGC/yGPU5kqogV8/zNPIPN9rxbtOXTx7gtXp6pn900XTzz4r92O2zQ8/jPjkE82uk27I0A0ZtXWzuVluL1/WzDrppj7pgXDTx5jPnIn47beIu3cf/951BwBtaPodeOutiBs3yurXxx9HvPuuYXAXnTq1vGNR21s6GPjVb55G5u2pxm1Qhs42MHR5oa9p0fTChTKc0ex66YYM3ZBRUzfb2xFffFHu15OvhTWzWrqpT3rLiKaPMR8clOn7w4dlD9VZ6w4Alq3pd2BzswyFX3wx4rnnhnEQGbq2t3Q47iN1Bn7dN08j8/bU5jYotMM2MHR9oW+6aHrxYrm9cUOzXaAbMnRDRk3dnDoV8eefmukC3dQnfYZw0/T/7NnD/z44ePz/dSEAWKYur4CxOm138KyP1Bn41WGeRjI9tbENCu3x3EFtZ/Zrtht0Q4ZuyKipG810h27qkx4IN03/d3YiTp8uF9eaHQ53MQBYVNdXwFiNVXRg4Fe3eRrJ9rTMbVBol+cOalvo02w36IYM3ZBRUzea6Q7d1Cc9EG6a/m9tlQvK/fhjOUN4b6+7AcCialoBoz2r6sDAr17zNOK40n8eYyLqWujTbHfohgzdkFFLN5rpFt3UJT0Qftb0/6uvIv74o9sBwKJqWgGjPTrgOPM0oqf+8xgzVctCn2a7RTdk6IaMGrrRTPfoph7pgXBEPdN/aIvfASJ0wPHmaURP/ecxpjaaJUM3ZOiGeWmGDN0sOBCOqGP6D23yO0CEDjjePI3oqf88xtRGs2TohgzdMC/NkDH0bkbrvgMAAAAAAKyGgTAAAAAAwEAYCAMAAAAADISBMAAAAADAQGxMJpOTf/PGxt2I2Gvv7rAm5yeTyU5bP1w3vdVaN5rpNd2QoRvm5bUNGbohQzdk6IYMr4nJaOxmroEwAAAAAAD1smUEAAAAAMBAGAgDAAAAAAyEgTAAAAAAwEAYCAMAAAAADISBMAAAAADAQBgIAwAAAAAMhIEwAAAAAMBAGAgDAAAAAAyEgTAAAAAAwED8Dy9EjkatEvi1AAAAAElFTkSuQmCC\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } ], "source": [ @@ -4228,15 +4212,63 @@ }, { "cell_type": "markdown", - "source": [ - "## t-SNE with drug condition(Serotonin and D1)" - ], "metadata": { "id": "KJQq8NRxRxH-" - } + }, + "source": [ + "## t-SNE with drug condition(Serotonin and D1)" + ] }, { "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/" + }, + "id": "H_NgAd13gv0m", + "outputId": "34470295-c92f-4b80-cb7f-02e795ec3b1d" + }, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", + "WARNING:matplotlib.legend:No handles with labels found to put in legend.\n", + "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", + "WARNING:matplotlib.legend:No handles with labels found to put in legend.\n", + "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", + "WARNING:matplotlib.legend:No handles with labels found to put in legend.\n", + "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", + "WARNING:matplotlib.legend:No handles with labels found to put in legend.\n", + "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", + "WARNING:matplotlib.legend:No handles with labels found to put in legend.\n", + "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", + "WARNING:matplotlib.legend:No handles with labels found to put in legend.\n", + "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", + "WARNING:matplotlib.legend:No handles with labels found to put in legend.\n", + "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", + "WARNING:matplotlib.legend:No handles with labels found to put in legend.\n", + "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", + "WARNING:matplotlib.legend:No handles with labels found to put in legend.\n", + "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", + "WARNING:matplotlib.legend:No handles with labels found to put in legend.\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], "source": [ "data_exc_umap_scaler = StandardScaler()\n", "data_inh_umap_scaler = StandardScaler()\n", @@ -4281,58 +4313,48 @@ " ax[p].get_yaxis().set_visible(False)\n", " ax[p].legend()\n", "plt.show() " - ], + ] + }, + { + "cell_type": "code", + "execution_count": null, "metadata": { "colab": { "base_uri": "https://localhost:8080/" }, - "id": "H_NgAd13gv0m", - "outputId": "34470295-c92f-4b80-cb7f-02e795ec3b1d" + "id": "_PbygZokBkLw", + "outputId": "bc44b863-b98b-4458-bb37-6a12eb010a76" }, - "execution_count": null, "outputs": [ { - "output_type": "stream", "name": "stderr", + "output_type": "stream", "text": [ "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", - "WARNING:matplotlib.legend:No handles with labels found to put in legend.\n", - "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", - "WARNING:matplotlib.legend:No handles with labels found to put in legend.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", - "WARNING:matplotlib.legend:No handles with labels found to put in legend.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", - "WARNING:matplotlib.legend:No handles with labels found to put in legend.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", - "WARNING:matplotlib.legend:No handles with labels found to put in legend.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", - "WARNING:matplotlib.legend:No handles with labels found to put in legend.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", - "WARNING:matplotlib.legend:No handles with labels found to put in legend.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", - "WARNING:matplotlib.legend:No handles with labels found to put in legend.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", - "WARNING:matplotlib.legend:No handles with labels found to put in legend.\n", "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", - "WARNING:matplotlib.legend:No handles with labels found to put in legend.\n" + "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n" ] }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } - ] - }, - { - "cell_type": "code", + ], "source": [ "scaler = StandardScaler()\n", "\n", @@ -4386,72 +4408,31 @@ " ax[p].get_xaxis().set_visible(False)\n", " ax[p].get_yaxis().set_visible(False)\n", "plt.show() " - ], + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "-Qa7JHLqRlmE" + }, + "source": [ + "#UMAP" + ] + }, + { + "cell_type": "code", + "execution_count": null, "metadata": { "colab": { "base_uri": "https://localhost:8080/" }, - "id": "_PbygZokBkLw", - "outputId": "bc44b863-b98b-4458-bb37-6a12eb010a76" + "id": "2uI_1hRT_3IE", + "outputId": "3eb4fbd8-ddf1-415b-de9f-61386a4da543" }, - "execution_count": null, "outputs": [ { + "name": "stdout", "output_type": "stream", - "name": "stderr", - "text": [ - "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", - "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", - "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", - "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", - "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", - "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", - "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", - "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", - "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n", - "/usr/local/lib/python3.8/dist-packages/sklearn/manifold/_t_sne.py:982: FutureWarning: The PCA initialization in TSNE will change to have the standard deviation of PC1 equal to 1e-4 in 1.2. This will ensure better convergence.\n" - ] - }, - { - "output_type": "display_data", - "data": { - "text/plain": [ - "
" - ], - "image/png": "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\n" - }, - "metadata": { - "needs_background": "light" - } - } - ] - }, - { - "cell_type": "markdown", - "source": [ - "#UMAP" - ], - "metadata": { - "id": "-Qa7JHLqRlmE" - } - }, - { - "cell_type": "code", - "source": [ - "!pip install umap-learn" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "2uI_1hRT_3IE", - "outputId": "3eb4fbd8-ddf1-415b-de9f-61386a4da543" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", "text": [ "Looking in indexes: https://pypi.org/simple, https://us-python.pkg.dev/colab-wheels/public/simple/\n", "Collecting umap-learn\n", @@ -4485,6 +4466,9 @@ "Successfully installed pynndescent-0.5.8 umap-learn-0.5.3\n" ] } + ], + "source": [ + "!pip install umap-learn" ] }, { @@ -4499,28 +4483,28 @@ }, "outputs": [ { - "output_type": "display_data", "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAA/oAAAHiCAYAAABY/Ld+AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzde3xcdZ3/8dd3LrnNPZcmaZqmFwotbWkX2rAgSNEqKyqg+AMRakFlZb0ui7oFdGUXFNYLLnhDAaFSUNB1BRZwBRSRi9yk2PTeJE2TJm2ay+Qyk2Qu5/v7Yy7MJJNkkkxmkvTzfDz6IJ2cM+d7ZiY07/P5ns9Xaa0RQgghhBBCCCHE3GDK9QCEEEIIIYQQQgiRORL0hRBCCCGEEEKIOUSCvhBCCCGEEEIIMYdI0BdCCCGEEEIIIeYQCfpCCCGEEEIIIcQcIkFfCCGEEEIIIYSYQyToCyGEEEKI45ZSaoNSqiXX45gIFXGfUqpbKfVqrseTilLqLqXU13I9DiGOVxL0hRBCCCFExiiltFLqhGGP3aSU2hb9ekN0m/8Zts2a6OPPDXtcKaUalFK7UhzrOaXUoFKqXynVoZT6jVKqchpOa1xKqYNKqY1ZOtxZwHuABVrr2iwdc0K01tdorW+GyV1MSfU5EulTSm1WSr2hlOpVSrUopb6llLIkfP+gUmpAKdWnlPIqpV5SSl2jlJJ8OEfIGymEEEIIIbLtGHCGUqok4bHNwL4U274TmAcsUUqtT/H9z2mt7cCJgBv4XqYHO92iFzMm8nt5DXBQa+2bxLEs4281u83kc8zi2IqAfwZKgdOBdwNfGrbNB7XWDiKfp9uAfwXuzdL4xDSToC+EEEIIIbItAPwW+CiAUsoMXAo8mGLbzcCjwJPRr1PSWncB/w2sSvV9pVRxdLp7a3TK+29H2S6pkqyUul8pdUv061Kl1P9GK6BdSqk/K6VMSqkHgIXA49HZBV+Jbv/30UqpVyn1llJqQ8LzPqeU+oZS6kXAT+RCxpXR2Qt9SqlGpdTlKcb3SeAeIhdK+pVS/x59/Gql1IHouB5TSs0fdk6fVUrtB/aPct4px6qUOjM6W6I6+vc10ddvefTvZyXs16yUujLxdVNK2YCngPnR8fYrpeYrpWqVUi9H92tTSv1AKZUX3ff56LDeim5/6UTPUSn1Q6XUd4ed42NKqWvHeN+vUUrtj47ph0oplfD9TyildkfP/f+UUjXRxxdF902slj+nlPpU9OsrlVIvKqW+p5TqBG5SSrmUUj9XSh1TSjUppb4au9AT3f4FpdR3osdqVEq9L+G5x/2MAGitf6y1/rPWOqC1PkzkZ+sdo2zbo7V+jMjP4GalVMqfITG7SNAXQgghhBC58HPg49GvzwPqgNbEDZRSRcBHiISUB4GPxsLgcEqpUuBi4M1RjvcAkSrnSiIzBCZT+b8OaAHKgHLgBkBrrTcBh4hUSO1a628ppaqAJ4BbgGIi1dT/VkqVJTzfJuAfAQeRWQ53Au+LVlnPBLYPH4DW+l7gGuDl6LG+rpR6F3ArcAlQCTQBvxy260VEKrsnD3/OscaqtX4J+AmwVSlVCGwDvqa13hMNu08B34++JmuHjzk66+B9QGt0vHatdSsQBq4lUnE+g0jF+TPRfd4Z3X1NdPuHJ3GOW4HLEgJ0KbAReGj4+Sf4ALAeOCV6nPOi+15I5L3+cPQ8/wz8YoznGe50oIHIZ+YbRF4vF7AEOIfIz8FVw7bfS+S1+RZwr4qwkcZnZBTvBHaOtYHW+lUin++z03xOMYNJ0BdCCCGEEFkXDZDFSqmTiASdn6fY7MPAEPB7IkHUCrx/2DZ3KqW8wFtAG/Avw59ERe7bfx9wjda6W2sd1Fr/aRLDDhIJmTXR5/iz1lqPsu0VwJNa6ye11obW+mngdeD8hG3u11rv1FqHgBBgAKuUUoVa6zat9ZjBLMHlwM+01n/VWg8B1xOp+C9K2OZWrXWX1npgEmO9iUgwfRU4DPww+vjHgGe01r+Ivh6dWuu0gqfW+g2t9V+01iGt9UEiFxPOydQ5RkNrD5ELCBCZPfKc1vroGMe4TWvt1VofAv5I5MIFRC6s3Kq13h19r74JrI1V9dPQqrX+fnTfQHQs12ut+6Ln/l0iF31imrTWd2utw0QuWFQSuUgAk/iMKKU+AawDvpPOWIlc7BGznAR9IYQQQgiRSWEigTyRlUhIHu4B4HPAucD/pPj+ZuCRaBgcJDI1f/j0/S9ord1a6yqt9eVa62Mpnqca6NJad0/kRFL4NnAA+H10+vSWMbatAf5fdBq4N3ox4iwioS2mOfZFtPJ9KZFQ2aaUeiI2PT4N84lUuGPP1Q90AlWpjjXRsWqtg8D9RG6L+G7CxY1qoD7NMSZRSp2oIrdBHFFK9RIJz6Vj7DKZc9xK5CIG0f8+MM6wjiR87Qfs0a9rgDsSXpsuQA079lgSx1VK5OehKeGxpmHPFR+H1tof/dI+mc+IUuoiIjMh3qe17khjrFVEzk/MchL0hRBCCCFEJh0CFg17bDHJwSbmASLTtZ9MCDQAKKUWAO8CroiGwSNEpvGfH52GPRHNRGYPuNPY1k9kin9MReyLaAX2Oq31EuAC4F+UUrGK8fDKfjPwQPQiROyPTWt9W8I2Sftorf9Pa/0eIgF7D3B3WmcXqcLGq8vRKd4lRKrvKY81kbFGp/Z/HbgP+K5SKj9hv6VpjC/VsX9M5ByXaa2dRKbGqxTbxUzmHLcBFyql1gAriPSFmIxm4NPDXp/C6KyUWEPElJ+ZFOPqIHLRK3E2wMJh5zGqiXxGlFL/EP3+B7XWO8Z7bhVpdlkFvJDOWMTMJkFfCCGEEEJk0sPAV5VSC1SkUd1G4IPAr4dvqLVuJDJd+8YUz7OJSBf+k4hMoV5LpLN+C3DZRAaktW4jci/5j5RSHqWUVSn1zlE23w58TClljgal+HRypdQHlFInRJu09RCZvWBEv32UyD3XMduADyqlzos+V4GKLDO3INVBlVLlSqkLowF2COhPeO7x/AK4Sim1NhrCvwm8Ep0Wno5Rxxo91/uJdGP/JJHbI26O7vcgsFEpdYlSyqKUKlFKrU3x/EeBEqWUK+ExB9AL9Eer0v+UYp/E13PC56i1bgFeI3JB6b9HuW0hHXcB1yulVgJEm+n9v+gxjhEJ6VdEX7tPMMbFj+h0/EeAbyilHNHp//9C5D0Y00Q+I9GeBg8CF0dvYxjreZ1KqQ8Q6XmwLZ2LAmLmk6AvhBBCCCEy6T+Al4hUBbuJNBO7XGtdl2pjrfUL0eZsw20GfqS1PpL4h0joGrX7/hg2Eamk7gHaiSw9lsoXiVyY8BK5LzyxCrwMeIZIwHo5Or4/Rr93K5ELHF6l1Je01s1ArInbMSJV4S8z+u/fJiKBr5XI1OlzGBl+U9JaPwN8jcitDW1EguZH09k3uv9YY/0CkeaFX4tO2b+KSOA+O3ov+/lEmhR2EblIsibF8+8hEtQboq/PfCIN/z4G9BGpOj88bLebiDQA9CqlLpnCOW4FVjP+tP1Raa3/B/hP4JfR2wzqiPR8iLmayOvVSaTZ40vjPOXnicwEaCDyc/IQ8LM0hjKRz8jXiPRVeFK9vdrBU8O2eVwp1Ufk/b4RuJ3kpoBiFlOj9w8RQgghhBBCiNkrOnNjG5EGihJ8xHFDKvpCCCGEEEKIOUcpZSUyQ+MeCfnieCNBXwghhBBCCDGnKKVWELn9ohL4rxwPR4isk6n7QgghhBBCCCHEHCIVfSGEEEIIIYQQYg6RoC+EEEIIIYQQQswhlnG+L/P6hRBCiNRUrgdwvCgtLdWLFi3K9TCEEEKIGeeNN97o0FqXDX98vKAvhBBCCJFTixYt4vXXX8/1MIQQQogZRynVlOpxmbovhBBCCCGEEELMIRL0hRBCCCGEEEKIOUSCvhBCCCGEEEIIMYdI0BdCCCGEEEIIIeYQCfpCCCGEEEIIIcQcIkFfCCGEEEIIIYSYQyToCyGEEEIIIYQQc4gEfSGEEEIIIYQQYg6RoC+EEEIIIYQQQswhEvSFEEIIIYQQQog5RIK+EEIIIYQQQggxh0jQF0IIIYQQQggh5hAJ+kIIIYQQQgghxBwiQV8IIYQQQgghhJhDJOgLIYQQQgghZjSDQY7wbXaxil2cwlHuwCCQ62EJMWNZcj0AIYQQQgghhBiNxmA/G/HzVzQDALRyA708yQn8DoXK8QiFmHmkoi+EEEIIIYSYsXr5PQO8FQ/5ABo/Pl7Ex4s5HJkQM5cEfTHjPffccyxYsCDXw5gQrTVXXXUVHo+H2traXA8npWuuuYabb74518MQQgghhBiTj5cw6B/xuMEQ/byUgxEJMfNJ0D8OKaU4cOBA0mM33XQTV1xxBRAJ1kopPvShDyVt89Zbb6GUYsOGDUmPa61ZsmQJJ5988ohjbdiwgYKCAux2O6WlpXz4wx+mra0tsyeUpkWLFvHMM89k5VgvvPACTz/9NC0tLbz66qtZOeZE3XXXXXzta18DJncxJdXnSAghhBAi06zMx0TRiMdNFGClMgcjEmLmk6AvUiorK+Pll1+ms7Mz/tjWrVs58cQTR2z7/PPP097eTkNDA6+99tqI7//gBz+gv7+fffv24fV6ufbaa6d17NNBa41hGGlv39TUxKJFi7DZbBM+VigUmvA+s81MPseZPDYhhBDieOTho6RqLaaw4ubD2R+QELOABH2RUl5eHhdddBG//OUvAQiHwzz88MNcfvnlI7bdunUrF154Ieeffz5bt24d9TmLi4u5+OKLqaurS/n9rq4urrrqKubPn4/H4+Giiy5Kud3wSvKVV17JV7/6VQA6Ojr4wAc+gNvtpri4mLPPPhvDMNi0aROHDh3igx/8IHa7nW9961sA/OUvf+HMM8/E7XazZs0annvuufjzbtiwgRtvvJF3vOMdFBUV0dDQwP3338+SJUtwOBwsXryYBx98cMT47r33Xj71qU/x8ssvY7fb+frXvw7A3XffzQknnEBxcTEXXHABra2tSef0wx/+kGXLlrFs2bKU5z3aWF966SVKS0tpbm4GIjMvPB4Pe/bsASKzC2L7VVdXc//99ye9bj6fj/e97320trZit9ux2+20trby6quvcsYZZ+B2u6msrORzn/scgUCku+073/lOANasWYPdbufhhx+e8Dl+9rOf5brrrks6xwsuuIDvfe97o77vd911F8uWLcPtdvPZz34WrXX8+z/72c9YsWIFHo+H8847j6amJgAOHjyIUiopwG/YsIF77rkHgPvvv593vOMdXHvttZSUlHDTTTfR09PDxz/+ccrKyqipqeGWW26JX+i5//77Oeuss/jSl76Ex+Nh8eLFPPXUU/HnTuczIoQQQoj0WXCzjGfJYzEmilAUkc+JnMifMDPxoooQxwWt9Vh/xBwE6P379yc99vWvf11ffvnlWmut//jHP+qqqir94osv6traWq211k888YR+73vfq++++259zjnnxPfz+Xza4XDoJ554Qv/617/WJSUlemhoKP79c845R999991aa62PHTumzz33XH3FFVekHNf555+vL7nkEt3V1aUDgYB+7rnnksYz2vg3b96sb7zxRq211lu2bNGf/vSndSAQ0IFAQD///PPaMAyttdY1NTX66aefju/X0tKii4uL9RNPPKHD4bD+/e9/r4uLi3V7e3t87NXV1bqurk4Hg0Ht9Xq1w+HQe/bs0Vpr3draquvq6lKey3333aff8Y53xP/+7LPP6pKSEv3GG2/owcFB/bnPfU6fffbZSee0ceNG3dnZqf1+/4jnG2+sN9xwgz733HO13+/Xq1at0t///ve11lofPHhQ2+12/dBDD+lAIKA7Ojr0m2++OeJ1G/4aa63166+/rl9++WUdDAZ1Y2OjXr58uf7e97436vsw0XN85ZVXdGVlpQ6Hw1rryOejsLBQHzlyJOVrCuj3v//9uru7Wzc1NenS0lL91FNPaa21/u1vf6uXLl2qd+3apYPBoL755pv1GWecobXWurGxUQM6GAzGnyvxc3nfffdps9ms77zzTh0MBrXf79ebNm3SF1xwge7t7dWNjY162bJl+p577olvb7FY9E9/+lMdCoX0j370I11ZWakNw9D9/f1pf0bEnDDev6HyJ0N/TjvttHTfEyHEHGZoQw/ofXpQH9CGNnI9HCFmBOB1neLfTqnoi1GdeeaZdHV1sXfvXn7+85/z8Y9/fMQ2v/nNb8jPz+e9730v73//+wkGgzzxxBNJ23zhC1+IV6ErKyu5/fbbRzxPW1sbTz31FHfddRcejwer1co555wz4TFbrVba2tpoamrCarVy9tlno1TqJVe2bdvG+eefz/nnn4/JZOI973kP69at48knn4xvc+WVV7Jy5UosFgsWiwWTyURdXR0DAwNUVlaycuXKtMb14IMP8olPfIJTTz2V/Px8br31Vl5++WUOHjwY3+b666+nuLiYwsLCCY81VoWura2lqqqKz372swA89NBDbNy4kcsuuwyr1UpJSQlr165Na8ynnXYaf//3f4/FYmHRokV8+tOf5k9/+lPGzrG2thaXy8Wzzz4LwC9/+Us2bNhAeXn5qMfYsmULbrebhQsXcu6557J9+3Yg0m/g+uuvZ8WKFVgsFm644Qa2b98er+qPZ/78+Xz+85/HYrGQl5fHL3/5S2699VYcDgeLFi3iuuuu44EHHohvX1NTw9VXX43ZbGbz5s20tbVx9OhRgEl/RoQQQggxNoWigGXks1SW1BNiHBL0j0Nms5lgMJj0WDAYxGq1jth206ZN/OAHP+CPf/zjiOZ8EJm2f8kll2CxWCgoKODiiy8eMX3/zjvvxOv1cvjwYR588EHKyspGPE9zczPFxcV4PJ4pnduXv/xlTjjhBN773veyZMkSbrvttlG3bWpq4le/+hVutzv+54UXXkhqFlhdXR3/2maz8fDDD3PXXXdRWVnJ+9///vj0+PG0trZSU1MT/7vdbqekpITDhw+nPNZEx2q1Wrnyyiupq6vjuuuui1/caG5uZunSpWmNcbh9+/bxgQ98gIqKCpxOJzfccAMdHR0ZPcfNmzezbds2IHIxY9OmTWOOqaKiIv51UVER/f2RDrxNTU188YtfjL82xcXFaK2Tjj2WxHF1dHQQDAaTzqWmpibpuYaPA6C/v39KnxEhhBBCCCEyRYL+cWjhwoVJVVaAxsbGpGATs2nTJn70ox9x/vnnxwNNTEtLC3/4wx/Ytm0bFRUVVFRU8Otf/5onn3xyzECYSnV1NV1dXXi93nG3LSoqwu/3x/9+5MiR+NcOh4Pvfve7NDQ08Nhjj3H77bfHK8bDK/vV1dVs2rQJr9cb/+Pz+diyZUt8m+H7nHfeeTz99NO0tbWxfPlyrr766rTOb/78+UnVZZ/PR2dnJ1VVVaMeayJjPXz4MP/+7//OVVddxXXXXcfQ0FB8v/r6+nHHl+rY//RP/8Ty5cvZv38/vb29fPOb30y6Jz4T53jFFVfw6KOP8tZbb7F79+5R+zKMp7q6mp/85CdJr8/AwABnnnlmvCHiaJ+Z4eMqLS3FarUmncuhQ4eSzmMsk/2MCCGEEEIIkSkS9I9Dl156KbfccgstLS0YhsEzzzzD448/zkc+8pER2y5evJg//elPfOMb3xjxvQceeIATTzyRvXv3sn37drZv386+fftYsGABv/jFLyY0psrKSt73vvfxmc98hu7uboLBIM8//3zKbdeuXctDDz1EOBzmd7/7XdJ08v/93//lwIEDaK1xuVyYzWZMpsjHvLy8nIaGhvi2V1xxBY8//jj/93//RzgcZmBggD/84Q+0tLSkPO7Ro0d59NFH8fl85OfnY7fb4889nssuu4z77ruP7du3MzQ0xA033MDpp5/OokWL0tp/+FgHBwd57rnnaGlpQWvNlVdeySc/+UnuvfdeKisr48vmXX755TzzzDM88sgjhEIhOjs749PdE5WXl9PZ2UlPT0/8sb6+PpxOJ3a7nT179vDjH/94xD6Jr+dkznHBggWsX7+eTZs2cfHFF6e8bSEd11xzDbfeeis7d+4EoKenh1/96ldAZAWJqqoqtm3bRjgc5mc/+9mYFz/MZjOXXHIJN954I319fTQ1NXH77bfHl58cy1Q+I0IIIcRM18cf2M3f8SZ57GAhx/gpmtGLAEKI3JHfQI9D//Zv/8aZZ57JWWedhcfj4Stf+QoPPvggq1atSrn9WWedxfz580c8vnXrVj7zmc/Eq/mxP9dcc82Y3fdH88ADD2C1Wlm+fDnz5s3jv/7rv1Jud8cdd/D444/jdrt58MEHk6rA+/fvZ+PGjdjtds444ww+85nPcO655wKR+8NvueUW3G433/nOd6iurubRRx/lm9/8JmVlZSxcuJDbbruNnp4e/H5/fEm9WBXbMAxuv/125s+fT3FxMX/6059GhN/RbNy4kZtvvpmLL76YyspK6uvr4ysapGP4WKurq/n2t7+NYRjceeedtLe3c/PNN6OU4r777uO+++7jz3/+MwsXLuTJJ5/ku9/9LsXFxaxdu5a33nprxPMvX76cyy67jCVLluB2u2ltbeU73/kODz30EA6Hg6uvvppLL700aZ+bbrqJzZs343a7eeSRRyZ9jps3b2bHjh3jTtsfy4c+9CH+9V//lY9+9KM4nU5WrVqV1An/7rvv5tvf/jYlJSXs3LmTM888c8zn+/73v4/NZmPJkiWcddZZfOxjH+MTn/jEuOOYymdECCGEmMn6+TMH+CADbEcTJEgzh7mWo3wn10MTQqSgxpqKC3KJTsxtWmvC4TDBYBCtNYFAAKVU0hR1pRRWqxWLxRKfITDWNHsxMc8//zxXXHEFTU1N8rqK2UY+sFmybt06/frrr+d6GEIc1/ZxDv2MnG1pwskaOlCM7PUkhJh+Sqk3tNbrhj9uycVghJgJtNYEg0HC4TBKKZRSI0J8LPAHAoH4GvJKqXgX/lgnfgmokxMMBrnjjjv41Kc+Ja+hEEIIMYMNsDPl45ogITqwUpnlEQkhxiJT98VxyTAMhoaGkkJ+KrHvmc3m+B+lFKFQiIGBAfr6+ujp6aG/v5/BwUFCodCYDevE23bv3o3b7aatrY1//ud/zvVwhBBCCDGGAk5M+bjCjJmSLI9m+oXx4edNghzN9VCEmBSp6IvjitaaUChEKBSKV/AnaviFgcTp/7HHzWZz0nR/qVaPtGLFCnw+X66HIYQQQog0VPIf1HMhmrdXsTFRRDlfwkReDkeWeUe4jTZuRmFBE8DJP7CIbZix5XpoQqRNKvriuGEYBoFAIB7yMxW+YxcMEu/hNwyDwcFB+vv76enpoa+vj8HBQYLBIIZhZOS4QgghhBDZ4mQji3mIPJYQqeN7qODfqODfcj20jOrmEY5wCxo/Br1oBunldxzik7kemhATIhV9Medlooo/Eakq/rHgDzAwMEAoFKKkpASr1Rqv+EvVXwghhBAzmZsLcXMhmhBqjsaII9yGQfKMQ80gXn5LmF7MOHM0MiEmZm7+hAoRZRhGvIqeqzA9/LgDAwP09vbicDiSGvxJZ38hhBBCzAZzNeQDhEa5J19hJkSXBH0xa8jUfTEnxar4Q0NDaYf8cDhMV1cXwWBwWsc2vMFfLNQHAgH8fj99fX309vbi8/niDQOlwZ8QQgghxPSzswEwj3hcUUQe1VkfjxCTNXcvx4njltaaQCAwoSp+b28vdXV12O12mpqaCIfDuFwu3G43LpeL/Pz8aRtvYgO/xHMIBoNJFx0Sl/STBn9CCCGEEJk3n/+glycI4wNCQCTkV3MHKsUFACFmKgn6Ys6Idb/v7+9n165dnHrqqeOGYa01jY2NtLe3s3r1avLy8lBKEQ6H6e3txev1cvjwYYLBIA6HA7fbjdvtpqCgYEpBe7wKfaziP/zcpLO/EGIuUEq5gXuAVYAGPqG1fjm3oxJCzBUaTZhuTNgnvCJAPktZznaOcCv9/Jl8FlHOFhy8c5pGK8T0kKAv5oThDfe01uMG34GBAXbs2IHH46G2tjY+fR4iIdrj8eDxeIDIvf59fX14vV727dvH0NAQNpstHvyLiorSDtqTCeSxmQmxRoLDG/zFxhxr7he7JUAIIWaoO4Dfaa0/opTKA4pyPSAhxNzQzW9p4fOEaAfMlHAlC/geJtKfnZnPImr4yfQNUogskKAvZr3YsnmxcG8ymcasmGutaW1tpampiRUrVsTD/Fj7mEwmXC4XLpeLmpoatNb09/fj9XppaGjA7/dTVFQUD/52u31aK+zjdfbv7OzE4XBgt9uls78QYkZRSrmAdwJXAmitA0Agl2MSQswN/bzIQS5H448/1sn9GPhYxNYcjkyI7JOgL2at0ZbNi1X0UwkEAuzatQuz2UxtbS0Wy+R+BJRSOBwOHA4H1dXVaK0ZGBjA6/XS3NxMf38/+fn58eDvcDimtcI+PMR3d3djtVrJy8uTzv5CiJlmMXAMuE8ptQZ4A/ii1to39m5CCDG2I9ySFPIBNAN08zAL+B4WinM0MiGyT4K+mJXGWjZvtKDf0dHB3r17OeGEEygvL8/oeJRSFBUVUVRUxPz584HIrQE9PT20tbWxd+9erFYrbrcbk8lEOBzO6PFTMZlM8fv8Y69HIBBgaGgo/polNviT4C+EyBILcCrwea31K0qpO4AtwNcSN1JK/SPwjwALFy7M+iCFELPPEAdSPq7II0irBH1xXJGgL2aVxCo+kLJKPjzoh8Nh9u3bh9/v57TTTqOgoCArYy0sLKSwsJCKigogErK9Xi9Hjhyht7eXvr6+eGd/t9s96dkF6ZDO/kKIGaQFaNFavxL9+6+JBP0kWuufAj8FWLdunawxKoQYVxG1DNEAGEmPa0LksTg3gxIiRyToi1kj3WXzEoN+bNm8BQsWsHz58pwG17y8PObNm4fFYqGrq4uamhp6enrwer00NTWhtcbpdMaDf17exLrETpR09hdC5ILW+ohSqlkpdZLWei/wbmBXrsclhJj9KvkaPTyGQX/8MRNFzOPLmLHlcGRCZJ8EfTHjJQZQGHk/+nBKKQzDoKGhgfb2dk455RTsdnu2hpsWrTVWq5XS0lJKS0uByMyDWPBvaWkhHA6PWNJvOklnfyFEFn0eeDDacaMZa/cAACAASURBVL8BuCrH4xFCzAEFLOckXuIw/4qPl7Awj3K2UCL/ixHHIQn6YkaLTS8Ph8Npd40fGBjA7/cTDoepra2dcWF0tHMwm80UFxdTXBy5f8wwDHp7e/F6vezdu5ehoSHsdns8+BcWFua0s39HRwdOp1M6+wshJkxrvR1Yl+txCCHmnkJWcwJP5noYQuScBH0xYwUCAQKBQNoBMnHZvPz8fJYtWzbhY86kkGoymeKhHiLB3+fz4fV6qa+vx+/3Y7PZ4tvYbLasBv/u7m7y8/Ols78QQgghMsbATwf30cNjWKmgjM9hY32uhyXErCNBX8w4sYZ7ra2t+P1+li5dOu4+sWXzLBYLtbW1vPrqq1kYaXaZTKYRS/r5/f74Pf79/f0UFhbidrsJBAKjLjGYKVrr+BT+2N9BOvsLIYQQYnLC+NhLLQEOYuAHTHTza6q5k1I+mevhCTGrSNAXM4phGPGQajKZ0gqr07ls3nQYbfm/yTyPzWbDZrNRVVWF1prBwUG8Xi8+n4/du3dTUFAQr/g7nc6k5nuZJp39hRBCCDEVHfyEIRrRDEQfMdD4aeGLFHMZJopyOj4hZhMJ+mJGSFw2L9YQbrygHw6H2bt3LwMDA6xbt478/PwsjnjmUUrFl/Tr7e2loqKCgoICvF4v7e3tHDhwALPZHF/Sz+VyTWlJP631uCFdOvsLIYQQIl1efpMQ8hOZ8fE6Dt6Z9TEJMVtJ0Bc5ZxgGwWBwxLJ5se75qfT09LBz504WLFjAihUrJBymoJQiPz+f8vLy+EyHYDCI1+ulq6uLxsZGgHjwd7vdWK3WaR9Tqs7+AwMDI4K/dPYXQgghji8WSkb5ThgzrqyORYjZToK+yJnxls1LNcVda01DQwPHjh2blmXz0qlST1Wmpu5PhtVqpaysjLKyMgBCoVB8Sb/m5mbC4TBOpzMe/MeaJZGp2w/GWtLP5/MB4PF4pLO/EEIIMceV8Tn6eCZ6f36MCSsLKOSUnI1LiNlIgr7ICa01gUBgRBU/0fBA7Pf7qaurw+PxzMhl82aSdEO4xWKhpKSEkpLIFfRwOBxf0q+1tZVgMIjD4YgH/4KCghEXYzJp+Gehv7+fUCiEzWaTzv5CCCHEHOfkPVTwVdr4DxR5gIGFeZzAkyjk33ohJkKCvsiq8ar4iWL36Ccum7dixQo8Hk82h3xcMZvNeDye+GtsGAb9/f14vV7279/PwMAAdrsdt9tNKBTKyswEk8kknf2FEEKI40QF11PKp/HxMhZKKaJWQr4QkyBBX2RNrAN7OBxOa/q1UopgMMj27duxWq3U1tZOqXncRMY53evR52rq/kSZTCacTidOp5OFCxeitcbn8+H1eunv76eurg6bzRav+Nvt9oy+dsPfi3Q6+8caACbe5y/BXwghhJg9LBTj4v25HoYQs5oEfZEVicvmpXuPtdfrpaOjg1WrVmVl2bzYuGZLCM8FpRR2ux273Y7X62Xx4sUopeL3+Pf395Ofn4/L5cLj8eBwOKZ0i4V09hdCCCGEEGLiJOiLaaW1ZmAgskxKbMm88cSWzevr66O4uDgrIV9MnNYak8lEYWEhRUVFzJ8/H4DBwUG8Xi9tbW3s27cPs9kcr/i7XK6kUJ6OiYZy6ewvhBBCCCGOdxL0xbSJLZv3yiuvcPrpp6cV2BKXzauurqa+vj4LI82+uTxroKCggIqKCioqKoDI/fSx2RkNDQ0opeJL+rlcrjGX9MvEbRTjdfaHyOyRefPmSWd/IYQQQggxJ0jQFxmntSYUChEKhZIC1nj7xJbNW7NmDTabDb/fPycD8VwJkOmG8Ly8PObNm8e8efMACAaD8SX9mpqaMAwjHvzdbjd5eXkTPsZEDA/xWmvq6+txu93xzv4mkyne3E86+wshhBBCiNlGgr7IqFgVP3HZPJPJhGEYo07Z9vv97Nixg+Li4qRl86Z6v/x0N9UTk2O1WiktLaW0tBSI3KoRC/6HDx8mFArFl/QLBALk5+dP63hin1Pp7C+EEEIIIeYKCfoiI8ZaNm+0wK615vDhwxw6dIiTTz4Zt9ud9P2pBP3YvjM1jM2FmQqZen3NZjPFxcUUFxcDkYtFfX19eL1ejh07Rnt7O52dnfGKf2Fh4bSvihAbV4x09hdCCCGEELOJBH0xZVprAoFAUhU/UayinygQCLBz584xl81LtV+6ZnL3/GwEwpl67ukwmUy4XC5cLheGYWCz2SgsLMTr9VJfX4/f709a0s9ms037azpaZ/9QKBR/TDr7CyGEEEKImUKCvpi0xLAz1rJ5w0P3sWPH2LdvH8uWLYvft51KJir6x7PpDprZmDER6+zvcDhwOBxUV1ejtcbv98fv8ff5fOTn58eD/1SX9EtHqvv8pbO/EEIIIYSYKSToi0mZSMO9WGU+tmzewMAA69atG/feawn6ItV7qJTCZrNhs9moqqpCax1f0q+1tZW+vj6sVms8+DudznGX9JvqZyWdzv4QuS3B4XBIZ38hhBBCCDGtJOiLCTMMg0AgMGYVP5FSir6+Pt566y0WLFjAihUr0go4JpNpTgb9mTy2ichWD4R0Pl+FhYUUFhZSWVkJwNDQEF6vl/b2dg4cOIDJZIoHf5fLlfJWkUyPeXjF3+/3U19fz8qVKwHp7C+EEEIIIaaPBH2Rtskum+fz+aivr2ft2rXYbLa0j5ftiv7g4CC7d+8mLy8Pj8eD2+2e9kAoxjbZiwn5+fmUl5dTXl4OvL2kX3d3N42NjQDxJf2cTmdW7vGP/cyYzWbp7C+EEEIIIaaVpBiRllTL5o0ntmye1pqTTz55QiE/JltB/8iRI9TX17NkyRK01vH7v7XWuFwuPB4PLpcLq9U6qfHMRdm6Rz8Txxi+pF8oFKK3txev18uhQ4fw+Xzs3bs3XvWfjiX9DMNIWjoSpLO/EEIIIYSYHhL0xZhiVfyGhgZsNhtlZWVp7ZO4bF5ra+ukjj2VUJNu0A+FQuzZs4dgMMj69etRSmEYRlIgjK3xnhj8Y4FwssF/Lkzdz4bpuphgsVjiS/qFQiHeeustysvL8Xq9tLW1EQgEcDgc8fc6E0v6JQb9VKSzvxBCCCGEyBQJ+mJUicvmhcNhwuHwuPukWjavra0t68E2naDf09PDzp07WbhwIVVVVSil4tXUGIvFQklJCSUlJQCEw+H4FPBDhw5hGEa84p9u8J8r4Swb72k2O/vHLt5AJJT39/fj9Xo5cOAAAwMDU17Sb6LnIp39hRBCCCHEZEnQFyPEKomJU4jTWdN+tGXz0tk308YK+lprDh48yNGjRznllFOw2+1pP6/ZbI5XguHt4O/1emlubiYcDidV/PPy8jJyPjPVbJm6P9FjmEwmnE4nTqeThQsXxntNeL1eDh48iM/no7CwMP4+2+32cUP2eBX98aTT2T/W4C8W/qWzvxBCiPF0so02vk6Qw+RzEgv4Fk7Oy/WwhBBTJEFfJIndJxwOh5NCwlhhPbZs3uDgYMpl83LRZX60Yw4ODlJXV4fD4aC2tnbKFdBUwb+3t5fu7m5aWloIh8M4nc54xX+uB/9My0bQTyeAK6Ww2+3Y7XYWLFiA1pqBgQG8Xi8tLS309/eTl5eXtKTf8OecatBPNabhFX+tNf39/TQ0NLB8+XLp7C+EEGJMHfyUFq7FwA/AIH+jng+xlEdx8p4cj04IMRUS9EVcrIqfatm80YJzbPp7dXX1qMvmzZSKfnt7O/v37+ekk06K34OfaWazGY/Hg8fjAd4O/l6vl8OHDxMKhSgqKmJgYIChoaFpafqWLdmqtk+3yZyHUoqioiKKioqYP38+ELmI5PV6OXr0KPv378dsNict6Tfdr1fiz2ziRQXp7C+EECIVjeYwN8ZD/tuPD3CYLRL0hZjlJOiLtJbNM5lMSffoG4ZBY2MjHR0drFmzZsyO+rmu6CfOOFi/fn1Wq+rDg79hGBw7dozGxkZ27dpFMBjE6XTidrvxeDyzOvhPl9lye0BBQQEVFRVUVFQAkYDd09NDZ2cnDQ0NhEIhrFYrHR0d07qCQyzkS2d/IYQQYzHoJ4w35feG2Jvl0QghMk2C/nHOMAwCgUDKKn4ik8kUDwexZfNKSkpYv379uNORc1nR7+vro66ujqqqqlFnHGRT7N5vm83G6tWrMQwjXvHfvXt3vNt7bKp/QUFBTsc7ltm0vN5YYktGZlpeXh5lZWXxlSoOHz5Mb28vPT09NDU1xRs5xir+mbrIM9YtAtLZXwghRIwJG2bsKcN+HouyPyAhREZJ0D9OpVPFTxSr6Le0tMSXzYt1KB9PLir6AK2trXi9XlavXj2hhnvZEHs9UnV77+vro7u7mz179sSDf2ybwsLCCT3/bDdXLibA2/f5V1dXAyNv6wgGg5N6r4ebSC8A6ewvhBDHL4WJcm7kCDdh4Et4vIj5fCOHIxNCZIIE/eOQYRgEg8F4JTOdkBMOh2lra6O4uDi+bF66sl3RHxoaoqOjA7fbTW1tbVIFcyYY6/U2mUy4XC5cLhfw9jJv3d3d7Nu3j6GhIex2e7ziP1YYzFZ4nU7ZXF5vug0/TqrbOvr6+vB6vfH3OnFJv6KiorRei6k0/ZPO/kIIcXwp5zoUZo7wDcJ0YaWKKv4TNxfmemhCiCmSoH8cSbVsXjq/oB87dowDBw7gdDpZuXLlhI+bzYp+bIm/2LJoMy3kT1TiMm81NTVJ67snBv/EKvBcCl1zqaJvGMaYF8gSL/LU1NTEO+h7vV4aGhrw+/0UFRUlLemXatyZ7O4/Wmf/QCBAIBDg0KFD1NTUJE31lwZ/QggxeygU5VzLPP4ZTRATsjqQEHOFBP3jhNaa9vZ2TCYTDocj7Sr+nj17GBoa4qSTTsLrTd2wZTyJ9/dPRjpBLBwOs2/fPvx+P+vWraOxsXHGTl+fyoWPVOu7xyr+Bw4cYHBwEJvNxsDAQPzr2Ry6ZvM9+sNN9FyUUjgcDhwOB9XV1Wit8fv9eL1eDh06hM/nIz8/Px78HQ5HfPbMdM1QGB78Ozo6WLRokXT2F0KIWU6hUBLyhZhTJOjPcYlV/O7ubvLy8nA6nePul7hs3oIFC/B6vZOefj+VYBvbd6yg0N/fz44dO5g/fz7Lly+Ph43JHHPaA0k4jOr3o4Kh8bdNQ2IYTAz+e/bsobm5mfr6+qSKf7rTv48n2Zq6P9UArpTCZrNhs9moqqoCYGBgAK/XS2trK319ffHKutVqJRwOZ2VGS6oGf9LZXwghxEyiMQjTixkHitk921OIdEnQn8Niv3CHw+H4L9vjhXXDMGhoaKCzszNp2TyTyTSlKvR0XCTQWtPc3ExLSwurV6/G4XCktd94x5s2Pf1YfvcCqqub8iNHUa5S9LKajB4iFvxtNhsLFy7EZrPh8/no7u6OT/+O3fft8XhmfPDXWmPZN4RlRx8UmQid4wRPZv+3lc2p+5m+oFBYWEhhYSGVlZVApD9FY2Mjfr+fv/71r0nNHl0u14R6a0yWdPYXQggxkxzjx7TyNQz6MFFIOddTzldQyL89Ym6ToD9HpVo2L9Y5fzRjLZs3XWF9svsGAgHq6uooKCjg9NNPH1G5nOwxp3O6v+UPf4HBIYyKMoIDPix/eIVgqQc848+wmKxYp/dYt3etNT6fD6/XS2NjIz6fL6nh20yb6m/fGabw8aOYrCYIg/kPfQzdvABcmbsan82gP93Hyc/Px26343Q6mT9/PsFgkJ6eHrq7uzl48CBa66Ql/fLypn+aZjqd/Z9++mmWLl3KqaeeOu3jEUIIcfzoZCstfAmNH4AwAY7wHyislPMvWRnDEI0c5sv08jQmbJRxDRVcj8KaleOL45cE/TlmrGXzRrtXXmtNS0sLzc3Noy6bp5SadNCfykWCVPt2dnayZ88eli1bxrx581Lul6sl/UZlGKj2LnRVOYSCaKsFjUL1+dDTGPSHSwz+CxYsiN/3HQuCPp8v3vDN4/HkPPiXPQ24zGh35B9D1TSE+fV+wu92ZewY2bxHP1u3CMQq91arldLSUkpLSwEIhULxJf2am5sJh8M4nc54+C8oKEj7OFO5eDe8s//LL79MYWGhBH0hhBAZ1cZN8ZAfY+DnCN9kHtdOe1U/yDH2sJ4w3YCBQS9HuI0B6ljCI9N6bCEk6M8h4y2blyo0xyrj+fn5Yy6bNxMq+oZhsH//fvr6+jjttNPGDCUzLuibTJFA39MHtgIIh8Ew0LainA4r8b7vxODv9Xppamqiv7+fwsLC+HJ+o3V6n7bxBQF7wvEUEMrs+zpb7tHPxHEsFgvFxcUUFxfHt40F/z179hAIBNJexSFTMyGUUvEVBYQQQohMCtKa8vEwXUAIprmq3sFdGPiAt3+H1gzQw+MMUU8+S6f1+OL4JkF/Dhirip9oeFhvb29n//79Y1bGE/fN5T36Pp+PHTt2UF5ezmmnnTZuwJhK0J+uqdyhd5+B5cnnMbV1YO3swbjkbCjJXGU6E4Y3fNNaxxu+xTq9FxQU4Ha7CYfD0z7tvXO9puylEJQo1JABeSaM1ZkNhHNp6n7sOOleUEi8hz+2b2xJvwMHDjAwMDDqrR2GYWSs2V/sOEIIIWYXP2/g41WsLMDFP8y46ej5nMQgO0Y8bmVBVsbq42U0gyMeV+QxQJ0EfTGtJOjPcuNV8RPFAncoFGLv3r0MDQ2xbt068vPzxz1Orir6AK2trRw5coRVq1altWJAJo45LUpchC79B0JdXo7WH6Bi9Ym5HhEMGWBVYEr9uVFKUVRURFFREfPnz08K/oFAgNdee438/Pykiv+oIVNrTH/xYX7Lh7abCb/bia4c+x7xjjM0wRVlWF7sR9vMBD/iQc/P7H3l2Qr6s2HmQKrlG2M9HZqampIu9GSykWOsV4QQQojZQROknovo4zlAo7BgxsmJ/Jl8Fud6eHEL+Db1fDhp+r6iiCq+nZXjF7CSXp4Bht86GyKfJVkZgzh+SdCfpRKXzYORDa9SMZlMDAwM8Oqrr8aXzUv3F/Vc3KMfDAbp7e3FbDaPeVtBKjMy6APkWdElboy29O+FnhbdISy/6UYdDYDNTOgiD7pm/As+icH/8OHDrFu3jsHBQbxeLy0tLfT398fXdvd4PEnB3/xCH5ZHvRglFkxtQUz7Bwl8oQJKxnhfTRB+rwvjvJF9IzJlJlbaZ8pxUvV0iL3fbW1t9Pb2sn379njF3+FwTKrK7/f7sdvtGRmzEEKI6dfOHfTxXDxAa8DARyOXspxXczu4BE7OYymP0sr1DLKHfJZQyS24+WBWjl/G56LT998O+oo8CjmVQlZnZQzi+CVBfxbSWhMIBNKq4scYhkFrayvd3d3U1tZOuHqW7Yp+V1cXu3fvprCwkBNOOGHCy4LN2KA/E2gdCfneELo6H/rDWB7uJPhP5eCYWEhTSo1Y4i1W8T98+DB9fX3k5eXhdrtZ+KxGleejisxopxl1KICpYRCjZOyAN90hPFuV9tm8jF9M4vsdC/VLly7F6/Vy9OhR9u/fj9lsjgd/p9OZ1s9ubNlHIYQQs0MHd49ocgcGA/yNIEewUpGTcaXiZCNONubk2PnUsIxnOcTVDLALhQk3H2EhP87JeMTxRYL+LJK4HnXisnnjiS2bZ7fbKSkpmdQv1Nm6R98wDA4cOEBPTw+nnXYa+/btm9RxZ3LQz8bYxnz+QY06GkRXR6fA280obxjVFUJPMOinMjz4xyrAvYNHCXQGwGGOBMUBC2r68/W45kIAz9VxzGYz+fn5lJeXU15eDkQafPb09NDZ2UlDQwNAPPi7XC6s1pH3RErQF0KI2UUTGOU7JvSIaerHNxu1rOAtwvhQWDEx/UvbCgES9GeNWMO9v/3tbyxZsiStDtWJy+atXLkSs9lMfX39pI6fqc75Y4ldkCgrK2PdunXxCxlzLehny6jhNV+hChTaH4YiM4R1pBmsbXrCYUFBARUVFZiucGL5eQdhbTB0dJCeogH29+/C/KY1PtXf6XRmJaQmkqA/OeFwOOVx8vLyKCsro6ysDIgs6dfT0xO/z98wDJxOJ263O36bx9DQUFq9Qobr6uri0ksv5eDBgyxatIhHHnkEj8czYjuz2czq1ZEpkgsXLuSxxx6b8LGEEEK8zcNHaed7aIaSHs9jAVYW5GhUuRXGRw+/JUg7Ds6hiOQlY83IBW2RXRL0ZwHDMAgEAvGKfjrV8aGhIXbu3Jm0bJ7f75/09PupGK+ir7WmtbWVpqYmVq5cicvlStp3skE/F+c6K5gUwQs9WH7dheoKg6EJnetEl05v91ljVRHBa8ox7R0gv9CE5TQb6xxmhoaGkqZ+WyyWeAU4GxdrshnAs3VBIVPd8DNxHIvFQklJCSUlJUDkAkFsSb8bbriBv/71ryil2LZtG+eccw41NTVpv0633XYb7373u9myZQu33XYbt912G//5n/85YrvCwkK2b98+sRMUQggxqgqup4fHCHAIg34UhSgsLOLBaV+bfiby8wb72YgmFJ3RYMbFB1jML1DMgOmL4rgkQX8GS7VsntlsHjfAxpbNO/HEE+NVNZjaffZTMVZ1PRgMsmvXLkwmU8qGe5MN7FOZCZANuZ5toJcWELymHNUVArsJXZad5XD0knzCS96u3KojQYpe9GELWag4fRF6fT6BQIDu7m7a29vx+/389a9/Tar4ZzrEzrWu+6NV2mfKccxmMx6PB4/Hw7333svg4CDnnnsuR48e5fOf/zyHDh1i06ZNfOlLXxr3uR599FGee+45ADZv3syGDRtSBn0hhBCZZcbJct7Ey2/o5wXyWUwxH8dK2fg7zzEaTT0fIow36fFenqCLbZTw8RyNTBzvJOjPUKMtm2cymQiHwyn3SVw2b/369eTlJd8DlKugP9pxu7u72bVrF0uWLInfzz3cXJy6n62LCeNymdGu6a/8jkYdCZJ3aysENSgwP9dL4F8qyVtWEL/nu7e3l1WrVuH1ejl27BgHDhxIavbmcrmmHPyzGfTn0i0C4XA4Ixdd8vPzMZvNfOUrX+ErX/kK4XCY7u7utPY9evRo/P8dFRUVHD16NOV2g4ODrFu3DovFwpYtW7joooumPG4hhDjemcijmI9SzEdzPZScGuAtwoz8d8vARwd3S9AXOSNBf4ZJrOIDI35hHy00e71edu3axcKFC6mqqkoZKGZKRd8wDBoaGujq6uLUU0+lsLAw7X0ne0wx85he7IOgRldFLkipY0EsT/cQXJa89GBeXh7z5s1j3rx5wNvN3jo6Oqivr8dkMsUr/pMJ/tmaUg/Zucgz25YLHP5zajabKS0tjf9948aNHDlyZMR+3/jGN5L+PlZz0qamJqqqqmhoaOBd73oXq1evZunSpVMeuxBCCAFhGOV2BWlMKHJJgv4Mks6yecPDeiw0d3Z2snbt2jGb9M2Ein6s4V5JSQnr1q0bNyhke+p+tszksWWLCuvkfxfNCkLj7ze82VswGMTr9ca7vCulkir+4y3vlq0p9dmUrZ4DE132MpVAIDBmI75nnnlm1O+Vl5fT1tZGZWUlbW1t8YtBw1VVVQGwZMkSNmzYwJtvvilBXwghREYUshYThRj0JT1uoogSrszNoIQA6Q4xE8Sq+IODg2OGfIhUu2JT930+H6+99hpKKdavXz9uJ/5chd/Ycdva2njzzTc58cQTOeGEE9IKI1NpxjeZ/drb22lpaaG/v3/aXqsZM3U/x8K1djA06lgw0iugN0z4nfYJP4/VaqWsrIxly5axbt06TjnlFFwuF11dXWzfvp033niDAwcO0NnZGZ8pkyhbU+rnmkxN3ff5fGmtIpLKBRdcwNatWwHYunUrF1544Yhturu7GRqKdIXu6OjgxRdf5OSTT578gIUQQogECjOLeRgTNhSRWYkm7BRxOiV8IsejE8czqejnmNaaYDBIOBweM+DHxO7Rb25uji+bl9ilfiy5CjPhcBi/3097ezu1tbUp19EeTbam7ofDYXbv3k0wGMTlcnHw4EH8fj9FRUXxaeFFRUW5D4SGxrR3ENUcQDvMGGuKoGh2Xq/Ti/IJXFeJ5fc9EILwOXaMNZNfesa004/5971YNeRvcFB6amT6dygUwuv10t3dTWNjI/D2uu6xzv7Zukd/LslUd3+fz4fNNrn3fcuWLVxyySXce++91NTU8MgjjwDw+uuvc9ddd3HPPfewe/duPv3pT8dnFm3ZskWCvhBCiIxysIGVNNDFg4Q4goN34eA90nFf5JQE/RwKh8MEg8F40EgnbBiGwcGDB3G73Sm71E+3iYaiWO8As9nMmjVrJny8bAT9vr4+duzYQXV1NZWVlYRCIRYsWIDWGr/fT3d3Nw0NDfj9fmw2W7xjeGFhYdaDv+l1H+ZXfGiXCVOjxtQ0ROjDHshL+Idk0KDwQBiLdwC1LA89Lzsd9SdDn1BA8ISC8Tcch9o/iPXH7ZHmggqs9xwjeA0Yp9iwWCyUlpbG7/uOreve3d1NU1MTfr+fUChEIBDA7XZP6ELU8SxT3f1jP1eTUVJSwrPPPjvi8XXr1nHPPfcAcOaZZ7Jjx44pjVEIIYQYj5V5lHNtrochRJwE/RxItWxeOtrb22lubqasrIyVK1dO8yhHilXE0qniaa1paGigo6ODtWvXTnoN68n2FUgn6GutaW5u5vDhw6xevRqHw5G0ooFSCpvNhs1miwd/n89Hd3c3Bw4cYHBwcETwz9TYUjI05u1+9AIrmBXaDaolgGoPoRdEV1gYMrA84cWxU2OtGMS8yyB8ngu9MB+0Bm/0/NxmyPXshAwyv+aDAhO4o/9LC4H5Lz6MU0YGyOHrutfV1eF2u+nt7eXQoUNorXG5XPGKvwT/1DJV0Z9K0BdCCCGEEKlJ0M+yUCiEz+cjLy8v7Sp+KBRiz549BINBlixZMuryetMt3fvlBwYG2LFjBx6Ph/Xr10+p6jddFf1gMEhdXR15eXnU1tamFViUUtjtdux2O9XV1Wit6e/vp7u7m3379jE0NITdbo8H/4KCQICz+QAAIABJREFUqVeqkwcQ/e8YL4c6HISuEIF5CqPMgg6bMb/uIzQ/D/Oj3Zjr/IAifFIB4eEzAWYxna8glPDCBDW6IL1zizXvi4XNcDgcr/g3NzcTDoeTgv/wZStnmmzdIpCpir7P50v7IpkQQgghhEiPBP0siVXxe3p6qK+vZ+3atWntN3zZvKNHj+Lz+aZ5tKmlU10/cuQI9fX1rFixguLi4ikfczqCvtfrZefOnSxdupSKioopjc3hcOBwOFi4cCGGYcSD/549ewgEAjgcjnjwH6uzeJoHJHxaEeaXfWi7CTVooOdZ0eUJFWdDJ1fqzQoCGtMbPsx/86NrIiHVvGcQ/Uo/xtnOqY1phjDOdqBf9aEODUUuiFhNhN+V3rkNvx3FbDZTXFwc//zGgr/X66WlpYVwOIzT6cTj8aQd/LPVByCbSwVKRV8IIYQQYuaSoJ8FhmEQDAbjvxinMxXdMAzq6+vp6upKWjYvV0vkwdhL3cVmHYRCoQk33BvvmJkK+lprGhsbOXbsGH/3d3836U7fozGZTDidTpxOJzU1NRiGQV9fH93d3ezatSve6M/tdk/6PTROtaGdZlRLEOwmjNVFYH072OlyK1gVlk4DZTcw+UKEz7Sj6ofA8fZ0fe00YWoNkptPUnom8r7reVaCX67A9KYfDI2xxoauSO8zOF44ThX8e3t7RwT/WNPGVME/U2vOjyebSwVm8h59u33iqy0IIYQQQojRSdCfRlrreMM9iIRPi8Uy7tR7n89HXV0dpaWlI6a+p3uhYLxxTabqN9pFhp6eHnbu3BmfdZDJimKm7tEfGhpix44dOByOMW8nyPTYXS4XLpeLRYsWYRgGvb29dHd3Mzg4yGuvvRYP/h6PJ72LI0qhlxWil40y1dlhJvRBN4H/OQyFZkKnOdDLCzD5DHjTB8WRCqzqNTBOm1v3nutSK+H3pLcCRdJ+EwzHZrM5Pktj8eLFScG/tbWVYDCYFPzz8/OzWtHPZtDPVEU/0xfdhBBCCCGOdxL0p4nWmkAgEK8Wxn7JHyu4aq1paWkZc9m82PJ6kzWRhnqj7Zs43oMHD3L06FHWrFkzLdNvx5pFMN5+/5+9Nw+P7LzuM997a0UtqMKOxtJ7s3d1sxsAZdqPJCeUZFORNdTjxLQ8M85oaMmxpGhsjRJnnNh0MoxjOZESWxMvmVE8iS0plkLGlk1RlhzJGsURWxRNYulu7Ghsjb0KqH2595s/wHtZAApALbeqsHzv8/CRGsBX37l1C933951zfscQ+isrKwwPD/PII4/Q0tJidYgFo6qq2ee9srLCrVu3TOFvZIZzx76VXBXRaGf9MRtNZ/3YPJsHAvptL9p0Ctu9JCigX3Sj/cDBzqJWc+xdOfvkCn/APNAx2m4ymQxer5dMJkMymbTeuyEHq8rpC93Lqh59WbovkUgkEolEYi1S6FtMviz+9v7ffEI9lUoxODhIXV0djz322K4P6+WW7pcr9A3xnEwmGRgYoL6+nr6+voplEcsp3dd1nZGREdbX1+np6Sm/R95itgvEXBM4w/09V/iXNUrRoaD97Ua0tTc+ew02UEsXt9UwfDusfe25BzpGJcfKygqRSGSLd4OR8bdS+FtVTl8IVrUJxONxc/ShRCKRSCQSicQapNC3ECEEmUwGTdN2ddTP97WlpSVGR0cLyjhbJfRLwRDPRryXLl0yR5QVQinCrVShn0qlWFlZ4dSpU/T09FR93n0pbO8Fz533PjU1ZbrDG/8VfVijKNB0eH7lq5nRr6Q4VlUVn8+H1+vl+vXrpndDOBxmeHiYVCplCv9gMFiWA301S/etIpFIyNJ9iUQikUgkEos5PE/9Bxxd10mn06Y4KXZsXm9vb0Hu3btVBBRKuQcF4+PjKIpScLwGhmAvVrgVOtIvl4WFBUZHR/H7/Zw7d66otQeJ7fPes9ks4XCYtbU1JicnURTFdH4PBAJVK9mG6ojww1K6X+gehgDP9W4wTBvzjWnMzfgXGt9hFPqxWEya8UkkEolEIpFYjBT6ZWKMzctmsyiKUvBD9vaxeYU+yNcqox+JRFhaWuLEiRNcunSpapn5Ynr0NU0zS6OvX7/O1NRU0fsdZOx2O83NzWaZcyaTIRwOs7Kywvj4uNkKEAwGqzZLvZJU6xqqIfT3EuDbpzUIIcyM/+joKMlkEq/Xa97burq6XeM9jEJfjtc7niiKMgVEAA3ICiF6ahuRRCKRSCRHCyn0yyB3bF6hWXxd10kmkwwPD28Zm1co1Rb6QggePHjAw4cPaW1tpbm5uWTHfqvG5OUjGo0yMDBAZ2cn3d3dJBKJIyF298LhcNDS0mK2e6TTacLhMEtLS4RCIe7du0dTUxMNDQ34/X5LBeAWcbyhYf9qGHU6jd7mIPueoGUtAtUSrdXM6BcSiyH8T548iRCCaDRKOBxmbGzMFP5Gxj9X+EuhLzlk/LAQYqXWQUgkEolEchSRQr8ESs3ix2IxBgYGUBSFvr6+ksRFueP1ihH6hkGgx+PhscceY3x8vGTxbIV7fj6EEMzNzTE9Pc3169fx+/0FrTuKOJ1OWltbaW1tJZPJ0NXVRTKZ5OHDhwwPD+N0Ok3zP5/PZ40g1AWOP1pFWc4imu2oC2kcf7hC5mdbwVne6x+l+1eO4Z+iKPj9fvx+P93d3VuE//j4uCmUGxoaqtruYBVyvJ5EIpFIJBKJ9UihXyS6rhMKhfB4PAVn8YUQzMzMMDs7y9WrVxkaGip5f6vG6+3H8vIyIyMjWwwCy6kmKHXtXuuy2SxDQ0OoqkpfX98WV/rjKPS343Q6CQaDtLe3A5uTEsLhMHNzc0QiEVwu1xbhX4xANAVlREd5mEF0b/o1iFYHymwGZU1DtJcv9A+DiWIhWJlpzyf8Y7EY4XCYhYUFkskkiUTCzPgbf1dZiZXXE4/HZY/+8UQAf64oigB+Vwjxe9t/QFGUDwEfAjh58mSVw5NIJIcJnRRZVnHQgkKJ44klkiOGFPoFkjs27/XXX+fxxx8v6OE539g8w1CvlHFp5T6w7ye4NU1jZGSEeDy+YyRduY79Vpbur6+vMzg4yJkzZ+jo6LBsv6OM2+2mvb3dFP6JRIJwOMzMzAzRaBS3220Kf6/XW9hnzalsuvlnBDgU0AQIgXCWLywLFvpCoA4mUCZS0GRDe8y/GcsBwuoRfrkoioLP58Pn82G320mlUjQ3NxMKhZicnCQWi+HxeMwe/4Lv7R5ommaZ+aMU+seWHxJCzCmK0gp8XVGU+0KIb+f+wBvi//cAenp65F/oEkmJxHiZRT5NmmnqeSet/H3sHI2xpgKdhzzLEp9GoKNgp51/TBufROFgPQtIJNVGCv0CEEKQTqe39OIX8qC8uLjI2NjYjrF55fbZl8Nee0ciEQYHB+no6MhruFeLjP52wS6EYGpqisXFRW7evLlrb285Qv+oZJH3o66ujrq6Ok6cOIEQwhT+Dx48IBaLUVdXt6s4NEV4nUr2CT/2r20gFFB0gfZDfmgs/6+WQoW+7aV1HJ9fRTgUlIxAvRMn8/E2sB2c+1jpEX4Guq5js9nwer14vV66uroQQhCPxwmHw0xNTZnC3xjnV2w1R+4+ViB79I8nQoi5N/53SVGUF4A+4Nt7r5JIJMWyxhd4wDMIEoAgwV+zwr/jMq/hoK3W4ZXNIr/OIv8KQRzYLBVa4Fex00AzP1Pb4CSSGiOF/h4YWfxsNmvp2LxyR+SVQz7BbbQWzM3Nce3aNbPPfTvliudyM/rpdJqBgQE8Hg99fX17CieZ0S8ORVHweDx4PB46OjryisNc53eH482yOP2tfjJdLpS1LMJvQ5xx7bFT4RQk9LMCx5fW0Luc4Ni857bX42QnUogLbkvisIJqmeTl20dRFFP4d3Z2moc6oVCI6elpotHolkOdQoS/pmmWXU82m93yeZIcfRRF8QKqECLyxv9/F/BPaxyWRHLkEGSY4edMEbz5tc0S9wX+Bd18pobRlY9AsMhvbLk+AJ04D/lnUuhLjj1S6O/CXoZ7hrFcvgddw+18r7F55fbZl8N2oZ9OpxkcHMTtdtPX17dnlq6cuEt13TfiXV1d5f79+1y4cIHW1tZ91x13oV/utecTh0Yf+MTEBLFYjGw2y/z8/ObIt846lC7n/i9cBIUKfXTe/JtMUcAGSlpwkO5+JUv3t++zX0tQ7qFOrvAPh8NMT08Ti8Vwu91mxt/v9++I/TC6+0sOFG3AC298ruzA54UQL9U2JInk6JFkBEG+57YMG/wZHHKhD1k0wrt8Z7HKsUgkBw8p9POg6zrpdHrXLL6Rkc990NV1nfHxcdbW1vYdm1euc3455Ar9lZUVhoeHCxbPqqqSyWRK2rdU132AjY0Nkskkt2/fxu0uLEtb6sHCUcJKYZnbB97V1UUqlWJgYABN08yRbz6fb8us93Ip6P65VbRbHmzfj6G3OFAiGiJgQz9V+KFDNT4n1SrdLyXTnq+aI5lMEgqFmJ2dJRqN4nK5THM/n89nWY/+cf8dPa4IISaAG7WOQyI56thoQJD/uc1OS96vHyYUHDg5TZrJHd9zc60GEUkkBwsp9HModGyeIfSNclNjbF5LS0tBY/NqXbpvtBZEo9Edhnv7ra1mj34ymWRwcBCA3t7eooWrFBGVQ1EUHA4H3d3dpvN7JBIhHA4zMjJCKpXC7/eb5n6Ffsby7bMfmZ9pRQRXsd1Nol+qI/uBJvBZ0z9uFbUs3S8WRVFM/wbD6NLI+BvCX1EUbDYb6+vr+P3+svYstCVKIpFIJMXhpAMvP0CU70CO4Ffx0sonaheYhXTyaab4wBseBJsoeOjiX9UwKonkYCCF/hvouk4mk9liuLcbRkZ++9i8QCBQ0F7lmvHt1TqwH5lMhtnZWU6dOsXFixeLesAuJ0tebCn90tISo6OjnDlzhsXFxaKFwHEv3a80299bRVGor6+nvr6ekydPous6kUjEbGVJp9PU19ebwn+7b8VuexR03+tUsv9zC9kSr6VaJfVWmdfVYp9c40aAubk5VldXmZ+fJxKJmKMcGxoaihL+1WppkEgkkuPKWf6Icd5LnNdRcCJI0cYnaeD9tQ7NEhr4H7DxJzzkl0kySh1X6eA5fPxgrUOTSGrOsRf6uWPzgIIeUFVVJZFIcO/evS1j8wql3Iy+cVBQjNAXQjA7O8vs7Cytra2cPn266H3LKb8v9HBD13WGh4dJJBL09vai6zoLCwtF71eqeJCio3D2eq9UVSUQCJiHX7qus7GxQSgUYn5+nmw2awr/YDCYV/gXLPTLoFqHQdV03a/GPna7nWAwaM42TyaThMNhHj58yPDwMA6Hw7y39fX1u8aUSCQsafOQSCQSSX7sNHOR/06SYTI8pI6b2AnWOixLqecJ6nmi1mFIJAeOYy30843NK4R0Os3Q0BCXL1/eMjavUMrN6Be73ojX6XRy4cIFEonE/oss2DeXQjLssViM/v5+Tpw4YY73S6VSNfMzkOxOsQJZVVXT3A02e8kN4T87O4umaQQCgS2u/tUS+tXK6B8lob/dC8DtdtPe3k57ezsAqVSKUCjEwsICIyMjOBwO8/4HAgFzrTHGUSKRSCSVxc1F3FysdRgSiaSKHEuhvz2LX+zYvHg8zsWLF0sS+VB+Rr8YMz/Drf78+fO0tbWxtLRU1T773LV7icO5uTkePHiwowVCluAfTMoVyDabzSzjh03huL6+bo58E0LgcrlM34z9nORLpZpu+MfpQMHlcu0Q/uFwmKWlJcbGxlBVleeff55Lly7taVy6G1/60pd49tlnuXfvHnfu3KGnpyfvz7300kt8/OMfR9M0nnnmGX7xF3+x6L0kEolEIpFIDiPHTugb88FVVS0qi2/0Gp86dQqn01nWQ3u54/UKWa/rOmNjY6yvr29xq6+kWN+L3cr+s9ksd+/eBaCvr2+HoJPu+ccDm81GY2MjjY2NwObnYnZ2lpWVFV5//XWEEGYPeCAQsEz4V6uk/qiV7ueakRaCy+Wira2NtrY2AOLxOI888ggvvfQS3/3ud3nHO97B2972Nt7xjnfwAz/wA/tm+a9du8bzzz/Phz/84T1j/MhHPsLXv/51urq66O3t5cd+7Me4cuVKwXFLJBKJRCKRHFaOldA3svgvv/wyjz/+eEFi3RibFwqFzLF5ExMTZWfkSx1TB/uLdWMKQGtrKz09PVuus9zyeyurATY2NhgcHOTUqVN0dnbuuqcU+hUiqqEOJyGlI866Ee2FC7dKY7fb8fv9ZLNZzp8/TzabJRwOs7a2xuTkJIqibBH+pRrQydL92uzj8Xj46Z/+aa5cuUJLSwu//uu/zre//W3+y3/5LzidTn7oh35oz/WXL1/ed487d+5w/vx5zp49C8DTTz/NH//xH0uhL5FIJBKJ5FhwLIT+9rF5hWbyo9Eog4ODtLa2bhnvVm5G3mazkUwmS16/m1gXQjA/P5+3BH6/teXsWwi5gl0IwfT0NPPz87zlLW/B5/PtuU726FeAmIb9S2soEX3zb4E7MbJPBRGn3AUtr5ZANvaw2+00NzfT3NwMbE6PCIfDrKysMD4+js1mM4V/fX19wcK/WtdxFDP6Vrj7x2IxvF4vzc3NvP/97+f977fOBXpubo7u7m7zz11dXbz88suWvb5EIpFIJBLJQeZYCH1DLBYq8HPH5l27do36+vot3y+mRz4f5Zrx5evxz2QyDA0NYbPZ8pbAW7G3FWvT6TSDg4O43W76+vr2FQvVzugvLi4yMzOzZVTYUXTiV6dSKOsaovsNt/sNDdvLMbIFCv1qsJcIdzgctLS0mD4Z6XR6Sw+44QpvCP/dxG81M+BHrXLAKqG/W4/+E088kXfixnPPPcf73ve+sveWSCQSiUQiOcocC6EPO0XqbiIilUoxODiIx+PZdWyezWYjlUqVHItV4/UMQqEQd+/e5ezZs+ac60LXFrtvOT36sViM733ve6YxYKHrqkHuWL9z584RiUSYnZ0lGo3idrtpbGykoaEBj8dzNIS/JiD3MuxQzCD6fTPhGYHaH0cJZdFPuRAXij9AKCbb7nQ6aW1tpbW1FdgU/qFQiMXFRUZHR7Hb7ab5X+6cd1m6XxrbXfdLJZFI4PV6837vG9/4Rlmv3dnZyczMjPnn2dnZXVuEJBKJRFI+KSaI8ypOTuGhB4Uj8LwkkRxijo3Qz8UQu9tF/OLiImNjY1y8eNEsEc6H1UK91PW5/gG3bt0qaExVLXr0hRCsrKywsbFBX1/fgRunFY/H6e/vp729nYsXL5LJZPD5fJw4cQIhBIlEglAoxOTkJLFYDJ/PZ4rGg3YtBvsdyOjdLmz2KMpqFuFQUEJZtHfvbPUoCU3g+H+XUQcTCIeCPSPI/ngj2g/5i3qZckS40+ncYv5mjHubn58nEongcrkIBoOmSWWlqVZGH6pzOGbVgUI8Ht9V6JdLb28vo6OjTE5O0tnZyRe/+EU+//nPV2QviUQiOc4INKb4u4T5MgpOQMPFBS7wdezs/jwtkUgqy7ER+rkPv4ZQN4R+Npvl3r17ZLNZent7cTqde76WFePxyl2fSCT43ve+R3Nz8xb/gP2odul+MplkYGAAm81Gd3f3gRPGxuHO1atXCQaDOwSyoih4PB48Hg+dnZ0IIYhGo4RCIUZGRkilUvj9fhoaGmhsbNz3s3NgaLCT/TuNqHdiKCkd7a0+9EuFi969RLjyII06lEA/49r82bSO7U9CaI/7QC1chFqZbd8+7i2ZTJoZ/3A4TH9/v1nq7/P5LBfL1erRrxZW9ujn8xLZjxdeeIGPfexjLC8v8573vIebN2/yta99jfn5eZ555hlefPFF7HY7n/3sZ3n3u9+Npml88IMf5OrVq2XHLJFIJJKtLPFbhHkeQRLBpgdVgiGm+J84z1drHJ1Ecnw5NkI/F7vdTjabxel0mmXvp0+fpqOjo6AH/Fpn9KPRKGtra9y8eZNgMFi1vYtdu7y8zMjICJcuXSKTyRCLxUratxLklur39fUVPCpMURT8fj9+v5+TJ0+i6zqRSIS1tTUGBwfRNI1AIEBDQwPBYLCoEWRWs99nWbQ40N5T3OenoH2zAmHL2duuoOiABhShdStZVu92uzlx4gRer5e5uTlOnz5NKBRienqaWCxGXV2dKfy9Xm/ZcVSrpL5aWJnRL6Wc/qmnnuKpp57a8fWOjg5efPFF889PPvkkTz75ZFkxSiQSiWRvVvi/EMS3fTVDhP+Kxjo2LKoYlEgkRXEshb4x3m5kZIRwOMyjjz66qyHUbuutNtMrBGPmfCwW4/Tp00WLfKhOj76u64yMjBCLxejp6cHlcrG4uHhgxuTF43EGBgZoa2vj0qVLZYk4VVUJBAIEAgHOnDmDpmmsr6+bolEIYZb5lzMG7qCxlwjXOx3gV1EWMoh6FXUpi3bbC47i3udq9M8bmfa6ujrq6uro6OjY0q4xNTVFPB7H4/GYwr8Un4ajJvStyugb761EIpFIDi8a0V2+o6ITl0JfIqkRx1Lo67rOwMAAHR0dRZW9G5Q7Xq8UsR0Oh83Kg8bGxpL3t2pE3m4Y/e5tbW1cvHhxy0jCgzAmb3upvtXYbDYaGxtpbGwEMOe/546Ba2hoIJvNHjnxZ+K1kfm5Nux/EkZZzZJ9ez3ajxb/j/yOz5oQqN+PYXstjvDa0P5mPaK1vIqJfIcJ+do14vE4oVCIiYkJs68816dh3+qJKpn+VQurPrt7mfFJJBKJ5HAQ4MdY5XNsd/V10Imd9toEJZFIjo/QN0Tq9PQ0a2trnD9/npMnT5b0WtXs0RdCMDExwcrKCjdv3sTj8fDw4UPS6XRJe5fjnL8fDx8+ZGJiIq+IrvaYPANDYOWW6hfiw2AV2+e/G27w2WyWV155BZfLZQrGSvSGV4r9hKtocZD5X1vK3meLt8Z/i2L/ozVEgw01qaMOxUl/4gQ0lP7XWCEmeYqi4PV68Xq9dHV1IYQgFosRCoUYGxsjmUzuEP759qn0oU61f7+s+KzGYjEp9CUSieSQ08Gvss5X0AgjSAAOVJyc4nPSeV8iqSHHRugnk0lef/11M0tXjtu2FT36haxPJBIMDAzQ0NBAb2+vKRQOSnbcQNM008xwt373WsacSCTo7++ntbW17FL9cjHc4Kenp+nt7TVLxKenp4lGo3g8HlMwHplRfiWy/TDB9s0NRLsDPCoCUKZSqKNJ9D5fWXsUK8AVRcHn8+Hz+eju7s5r0Jg7mcHtdletDeGwfV4q6bovkUgkkurgoJ0r3GWV/4cIf4mbi7Twc7g4U+vQJJJjzbER+vF4nFOnTtHc3MzExERNXfMLERYLCwuMj49z+fJlsww8d/1BEfqRSISBgQG6u7vp6ura3Ym9Bhl9RVFYXFxkfHycK1eu0NDQUNX9C2F7b/j2EnHD0d8QjFVF10HTwZH/r4lq9c+bqEDuZ0gAZcZghTjOZ9BoCP/79++TTqdJJpMsLCzQ0NCAy+Uqa7/dOIytIPF4HJ+v9IMaiUQikRwM7ARp4xO08YlahyKRSN7g2Aj9pqYmMpkMUHvX/L3IZrPcv39/z+x4ufFbgRCCmZkZ5ubmuH79On7/3jPSq304oes6yWSS2dnZqpbql0O+EnFjwoIhGOvr62lsbCQYDFb0mmzffgXHf/wKpDNoj14m8zM/Dt43S9KrcWizXYRn3xXA8Qer4NURaR3RZC9qJGAhe1iBqqrU19dTX1/PqVOn0HWdl19+mWQyyd27d8lkMgQCAdPcz6r7eFiFvjTjk0gkEslBJ8sqcV7DQQd1XK51OBJJQRwboZ+LzWYjm83u/4O7UKlM5vr6OkNDQ5w8eZLOzs5d96l1Rj+TyTA4OIjT6aSvr68g9+1qZvSNUn1VVblx40ZNR9yVQ26m2BCMGxsbhEIhZmdn0TTNFIvBYBC73ZpfZ2V0Gsf//TyivQmcDmyv3kX84Z+R/dCP74ivkmwX4Xqvj4xXRRlIgM+G9rgP/OU5vxfSo18uqqpis9k4ffo0p0+f3nIf5+bmzJGMxr0s9fNaLaFv5e+xzOhLJBKJ5CAjEMzzSyzxaRTcCDLUcZ3z/Cl2mmsdnkSyJ8dW6KdSqVqHYSKEYGpqisXFRW7cuLFvz2othX42m+XOnTucO3eO9vbCnVTLjbnQzOvS0hKjo6NcuXKFsbGxkvc7iKiqSjAYJBgMmqP8wuGwOQZOURSCwSCNjY1liTF1eh4B4NrMNIv2ZmwDI2izizj+6CXYiOG8dREudllzYbuQ7xr0Kx64Yl0GuJQe/XLJdx/zHeAY/xUq/Ksp9K06HEkkEjKjL5FIJJIDS4j/xDK/iSCFYFM7xHmVCZ7mEb5R4+gkkr05NkJ/i6nXASh9N0gmkwwMDFBfX09fX19BD+q1EPpCCCYnJ0mlUvzgD/5g0Q/n5WT0jbV7iQtd1xkdHSUajW4p1a+F03+1sNlsNDU10dTUBGxWWoTDYZaXl83qkKamJhoaGvD7/QWLQFHvQxH65nunKCiRGHrQj+sTn0KJJxFOB/Xf+T7BH/+bcPFixa6vGiL8IBjYGSMXDQ8JTdNYX183TRqFEFuE/26VG9US+pqmFVTFUwhCCMteSyKRSCQSq1ni0+jEtn01Q4zvkGERB201iUsiKYRjI/RzsdvtB0LoGzPdL126ZIq1QrDZbGUJfWPcXKGiIJVKMTAwgN/vx+Px5B0fth/ljPXbb61Rqt/S0sKtW7dM4VbJUYIHEYfDQUtLCy0tLSSTSc6cOUMsFmN+fp5IJILL5aKxsZGGhga8Xu+uAld/9BJaz1Vs37+HsKsItwv94hlsrw0jujb/QdNtKg1f/y787Acqdj218AGoFMXsYbPZaGxsNE04s9msKfxzKzeM/wyhXC2hb9U+Qohj9fspkUgkksNHltW8X1ewoxGWQl9yoDmWQt+qjH6pIkHTNJLJJHNzcyUZxRU6nm+v9YU+rK+srDA8PMzFixdpbm4mFAqh63rRWTjjcKEUjLWbQ4QBAAAgAElEQVT59lxeXmZkZGRXV/3jKiSEEDidTvx+v9liYYzye/DgAdFodMfsd/OzbLeT+egH0MamIZlGP9WB7b+9yqbNvblBxWLXWAcli6DyIrwaPfrlfgbtdvuWyo1sNks4HGZtbY3JyUkURTF7+6txaGFlRh8q7/UgkUgkEkmpBHgPy/wOkNnydQU3Ls7VJiiJpECk0C/zNYo1QNvY2GBwcBCHw8HVq1dLctwut3S/kPVGKfzGxgY9PT3mSLBSs+TlxJyv7N+ILxKJ7HpYctwy+vuxfZRfLBYjFAoxNjZGMpnE5/OZGX+XazOLb6C/9QbiD/4U5eEKOO2oGxFCP/kjtFoYn0BjxfVLROzPAwrayWsQ/oyFO+TZswql41ZXDdjtdpqbm2lu3jQBMlo2Hj58yMbGBrFYzDRoDAQClmf5D6O7v0QikUgkpdDOLxHiS2iEESQBFQU3J/ldlOMpoySHiGPzCbW6R7/Y8nkhBA8ePODhw4e85S1vYXh4uGQRWunxgLml8D09PVveu1IFuxU9+gbJZJL+/n6am5u5ffv2riKq1D2PQ4ZRURR8Ph8+n4/u7m5z9vva2hp3794lm81SX19vZvwdTUFSn/4H2P/z11E2ooRvXGDjkS5Lhf6G/QtE7C+g4AEU8Pw1Sf4t8C8s3GUr1Sjdr3TVgNGyYdzTrq4uwuEwS0tLjI2NYbfbzftYjFfDbliV0be6MkAikUgkkkJJM0OWEHVcRmF301sHbVxhkCU+S4Rv4OQ0bfw8Hm5VMVqJpDSOjdDPxcqMfiEYPe4+n4/HHnvMHLdVagzljqrbS6wvLCwwPj6+ayl8qSX45WT0czPzRqn+5cuXzR7mvajlGMLDRO7sd2MEnNEXPjMzYxrCNfzkuwkEAiTX11HCYUtjSNpeAQQKbwhRYSfreg1Kn4S5L9Uq3a9m77zT6aS1tZXW1s1jmFQqZWb8h4eHcTqdpvD3+XxFx2ZVRj8Wi+07YUQikUgkEivJsMgE7yfOqyg4ULDRzb+lkZ/cdY2dJjr4FeBXqheoRGIBx0roGwLZCqFfaJ+8IUyNHvfc9eWUspdDPrGuaRr3798nnU7T19e360ivWmX0NU1jZGRkRyvBXsjy4tJRVXWLE7xhCGf0hRttK6FQyLLycIe+2SogECgooGSxaafKft29qIYIr7Ubvsvloq2tjba2TcOgZDJJOBxmbm7ONGnMFf77/f1iVSY+FovJ0XoSiUQiqSpj/CgJBoDsG6X48IBncHEBLz21DU4isZhjJfQNrBhPt99hgaZpDA8Pk0gk8vaQ13LE3/a2g2g0ysDAAJ2dnXR3d+/5oF8Loa/rOv39/bS2tu5Zqp+Pg9yjfxBGuxXKdkO4hYUFlpeXWVpaYnR0dEuW2O/3l3RdwcwzxO3fJKOOIFAQmRa84Z+HgNVX8ybVKt0/SG74breb9vb2LSaN4XCYmZkZotEobrfbvJf5pjNYdT3xeFwKfYlEIpFUjQSDpBhme6mgIMkS/5oz/EFtApNIKsSxFPpWPNjvJdQjkQiDg4N0dHRw+fLlvPvVUugbYl0IwdzcHNPT01y/fh2/31/Q2mr2vS8vLxMKhbh8+TKdnZ1FrS011sMkwGuF3W7H6/Vy9uxZYDNLHAqFmJ2d3SIWGxsb8Xg8Bb2fKl46E18iqf41KBozIx7sLScqeh3VuNfV+jzpul60OSi8adJ44sQJhBCm8H/w4AGxWIy6ujrT3M/r9VqW0ZdCXyKRSCTVJMMC5O3H10kzXe1wJJKKc6yEfrm97bnkE+pCCGZmZpibm+PatWt7CmcrqgpKRVVVMpkM/f39qKpKX19fwQKhnDF5xaDrOmNjY2xsbNDc3Ex9fX1Jr3OQM/qHme3i1e12c+LEiS1iMRQKMTk5SSwWw+fzbRnltxsKDur0vs09tOGqX0clOGgZ/b1QFAWPx4PH4zGnM8TjccLhMFNTU8RiMVRVxePxmKX3pb5/8Xhc9uhLJBKJpGp4uIUgtePrCm7qeXcNIpJIKsuxEvpWsr38PZ1OMzg4SF1dHX19fftmvGqZ0c9kMty7d4/z58/T0dFR1NpqHFAYrvpNTU3cvn2boaGhkg0AD7LQP6qVA7lisbOzEyEE0WiUUCjEyMgIqVQKv99vCv/d/BaOgiN+tfYw9rH6QEFRFLxeL16v17yXY2NjZDIZJiYmSCQSeL1eM+NfV1dX8LVKMz6JRCKRVBM7jbTxD1niX6ITA0DBiZ1mWvi5GkcnkVjPsRb65QiJXDO+lZUVhoeHeeSRR2hpaSl4fbmCudj4hRBMTU2xtrbG2bNnixb5UHmhb7yXly5dMvvByxmTd1CF/mEX+MV89hRFwe/34/f7OXnyJLquE4lECIVC5ii/QCBgikXDCHK3PTTWSavDqLhx6ldRKL2MvBpmfNV23a8kiqLgcDgIBAK0traahzjhcJixsTGSyaRZvWEI/92QGX2JRCKRVJsOnsXDDRb5DFlWCPJe2vgkdnZOmpJIDjvHVugbgrXUXlObzUYmk+H+/ftEo9GCneBz15eT0S82/nQ6zcDAAF6vl66urh3mgMXuazVGpjAcDu94L8s18isGQ1ge1Wz7QUBVVQKBAIFAgNOnT6NpmjnKb3p6GiEEDQ0NJJPJHfcvo0yx6P4ouhIFNNxaHy2pf7HnDNy9kKX7xZPbo597iNPd3Y0QgkgkQjgc3rd6Q7ruSyQSiaQWBHmKIE/VOgyJpOIcK6Gf+0BvCO1ShX4mk2FmZobTp09z8eLFosWCqqpks6UPCC9G6K+urnL//n0uXLhAa2srk5OTZY32szpLnkwmGRgYoKGhgZ6enh3vZam+AKWKnmpVAlR6j1IErDI+g/P3voSytIZ++Szpn/lxaCjNH6FQbDYbjY2NNDY2Apuj/MLhMMvLy9y/fx+Hw2EKxWTbb6ArUWyiCYEgYfsuMdvX8WlPlrR3tUr3j5LQ32sfRVGor6+nvr5+R/XGvXv3SKfTJJNJRkdHS87of+lLX+LZZ5/l3r173Llzh56e/OOQTp8+jd/vx2azYbfbeeWVV4reSyKRSCQSieSwcqyEfi6lZtSFEMzOzjI7O0trayunT58uef90Ol3SWigss67rOuPj44TDYW7fvo3b7S54bTn7FoNxCJFbqp9vz2pl9KvFgawWCEdwfepzCJuKaGtCuTuO87f+kPQ/+VnYFm8lM+F2u53m5mYWFhY4e/YsdrudUCjEwsICuuceqgoOexK7wwF20NQFKLE4plql+4e1Rz8fxRyQ5lZvwGaMIyMjfPWrX+Vb3/oWkUiEkZERfviHf5h3vOMdNDc37/ua165d4/nnn+fDH/7wvj/7zW9+s6DXlEgkEolEIjlqSKFfBOl0mqGhIZxOJ5cuXWJ9fb2q+xez3jC0a2xs3JElPwhCf69S/e2UmmEvRfQIIVhZWaGurq4sR/FaU9L7NbsAqTR0tm5+oaMFdWwaEinwuHe8frXeG6fTSVtbG21tbaw4305U/Rpa2ksyEUG3xYk8cBCxz9LQ0FD0PZOl+9XdR1VVLl26xK/92q/xqU99igsXLnDq1Cm++c1v8tu//dv82Z/9mXkguRuXL18uaW+JRCKRSCSS48SxEvr5SvcLxcg8nz9/nra2NlZXVy3psa/E+qWlJUZHR7l8+bJZDr19bSaTsXzfQhBCkE6n6e/vJxgM5i3V3045pfTFrMtkMgwMDJhtFclk0uwvbmxsLNnX4LAgPHWg65v/qeqm6HfYwVVa/3vZ8eQR4Q3pn0dzrZB0v4bDDfXpv4+95SnCoTATExPE4/EtPeH7iUYp9IunnJanXOLxOA0NDbz97W/n7W9/uwWRbUVRFN71rnehKAof/vCH+dCHPmT5HhKJRCKRSCQHlWMl9HOx2WwF9cjrus7o6CgbGxtbyt/tdntZgtcqM77tsQ4PD5NIJOjt7d1VmJYj1ssR3YqisLKywsjICBcvXiy4pLbU0v1irnNjY4PBwUHOnj1LY2MjQgjTUXxtbY2hoSGy2SzBYJDGxkYCgQB2e+m/PgdxIoA400n27b3Yv3nHLNXP/OzfgTyirhoCOd8eNuppTf0mOhEUnKi4wQs+r4+urq4to/zu379POp2mvr7eFP7bfyeqIY6rWbpvhQAvZB8r3rN4PL6rGd8TTzzBwsLCjq8/99xzvO997yvo9b/zne/Q2dnJ0tIS73znO7l06RJve9vbyopZIpFIJBKJ5LBwbIW+3W7fV2jHYjEGBgZoa2vLW/5ey4y+zWbbsj4Wi9Hf38+JEye4dOnSnsKi3NL9Ur0NUqkUk5OTWw5MCqFUM75CxdXs7CwzMzPcuHEDr9dreieoqmoaixnu8OFwmFAoxOTkJKqqmtl+v99flWxqRVEUsh98Cv2x6ygbUfTONsSp3UcwqpEYrl/4FLY7A4imIKlf/nvot69YFs5uAllBwUZ+g8B8o/w2NjYIhULMzc2haRrBYNAc/3aUMvqaph2qjH4ikdjVjO8b3/hG2a/f2dkJQGtrK0899RR37tyRQl8ikUgkEsmx4dgK/b0y6kII5ufnefDgAVevXjWNpApdX+7+hZAruOfm5vaMNd/acoR+sWX/qVSK/v5+AB599FFzTnqhlJr93u+AQNM07t69ixCCvr6+fcWLzWajqanJNA1Mp9OEQiEePnzI8PAwbrfbFP6Htr9fUdCvXSjoR9t+4z9g6x9DNNTDahj3x54j8UefRnS1WRKKFSJcVVWCwSDBYJAzZ85sOayZmpoiFovx4MEDmpqaCAQCFcmIH7ZMe7X2icViJbnuF/rauq7j9/uJxWL8+Z//Ob/8y79ckb0kEolEIpFIDiLHSugX0qOfyWQYGhrCbrfT19e3a3l2JUrvi12fyWRMAb1XrFbuXexaw9vg4sWLTE5OlizYS123G0YFRFdXF11dXSUJylyTONjMUK6trTE5OUksFtvS35/PbPCgle4Xg8hm8bx6H9HevFnm7/NAaAN1YATtAAn97Ww/rHnllVfw+/2srKwwPj6O3W43y/ytqtKohrO/sU81Dpesup54PI7P5yt63QsvvMDHPvYxlpeXec973sPNmzf52te+xvz8PM888wwvvvgii4uLPPXU5ozkbDbLBz7wAX7kR36k7JglEolEIpFIDgvHSujnkk+oh0Ih7t69y7lz52hvby96fbn7F0Mmk2F4eJjz58+bJaqFUq7QL0SgCiEYHx9nbW3NLNV/8OBBSftaPV5vcXGRsbExrl27VlAFRKHU1dXR2dlJZ2fnlv7+u3fvks1mCQQCpog8lNn+XFQVvc6FLZUBtxOEAKGD37oMbbWEa0tLi/n7nkqlCIVCzM/PE4lEcLlcNDY20tDQgNfrLSmeamXa4YCObdyFeDxeUkb/qaeeMkV8Lh0dHbz44osAnD17ltdff73sGCUSiURSA4TYMdZXIpEUz7EW+kYvtjFvPhQKcevWLerq6vZdb0WPfam97tPT0ywvL3Py5MmiRT6Ub8a339pUKsXAwAD19fX09PSYIqdSJfi7sV1cGTO8Y7EYfX19RbcQFENur/ipU6fQNI319XVCoRAPHjwgFosxNTVFc3MzgUDg0PX3C2Dloz9Bx29+EaIxQEHruYr21rdYt0cVKh62Z6ddLhft7e2m8E8kEuY9i0ajeL1e87Cmrq6uIGFdTaF/mIjFYiVl9CUSiURyRBl7AH/vn8I3XwanAz7wt+DT/xDq5b8VEkkpHCuhn690Px6PMzAwQHNzM729vQVnxMrJMhvrixWv6XSawcFB3G43p06dKlmoVrJ0f21tjXv37vHII4/Q0tJiyb7lmPEZ65LJJP39/TQ1NXHr1q2qZz5tNhuNjY3muMPvf//7+Hw+cxSiy+Uyy/xLzRxXm9gP95J89Brq4Bgi6Ef7G49BGZMI8lGN92GvPerq6qirq6OjowMhBLFYjFAoxNjYGMlkEp/Pt2d7BlSvMuGwkUqldn3PJBKJRHLMWAvDY09DaGMzo59IwR/8CQyOwn//gszwSyQlcKyEfi42m4319XWWl5e5cuUKwWCwqvsXe1BgtBWcP3+etrY2ZmZmqtZnX8haIQQTExOsrq7u6qpfTka/nEoAwyfg0qVLZm92JfYrBqNX/MSJE8DOzHGugCxmQkG1MDLh+tXz6FfPV2yPgySQFUXB5/Ph8/no7u5GCEEkEjF/N7PZ7JZRfsZBnMzo50dRFPm+SCQSiWSTzz2/Ke5zn79SmU2hf6cfHrtRu9gkkkPKsRT62WyWyclJ4vE4jz/+eFnz0CtNroDObSvIbT0oFqt79NPpNP39/TtK9QtZW+qehbKyssLi4mLRI/2qTb7M8draGsPDw6RSqS39/YVUchxmoz+DvYR+3PYt1pz/EkGa+sxPU5/9AJAlpfYjyOLSr6FSGUd3A0VRzPGLp06dQtd1sz1jZmYGIQTBYJB4PH4k7gdY97k6Ku+HRCKRSCzitfuQSOb5hgL3J6XQl0hK4OAq3AoRDoe5e/cu7e3tOByOAy3yk8kkAwMDBIPBHQK61Hn2xlqrevT3KtXfb22pexZCJpNhenoam81Gb2/vococ5maOjVnw2wWkIfr3Ggm3XzZckGbD8QUyygPc+m282SdRKCyDXq1se749EurLPHT/NIIMoLDi+j8QpIjb/4K0ehdQsIkmTiT/A3ZxouIxGqiqat4X2DxQXF9fZ3V1ldHR0S2O/lb7MlRLOGuaZumowINUsSGRSCSSGnLrCrzwDYhvF/sCLp+tSUgSyWHn4KrcCqBpGhMTE9y8eRNFUQiHw2W/ZqUEz/LyMiMjI7uWm1dzRF6+tUalwcrKSsHZ8nJ69IsRMhsbGwwODtLQ0IDb7T6QIr+Ya8onIEOh0JaRcEb/v8/nK+jzKMgyX/c0SfX7CDIoOAmqz9CU/sdlXVelEAhS6itoSpgN+5cRpFBwv/G9DCHXZwBQRQMKCll1kVXnp2hLfaZmMdvtdpqamlhcXOTkyZO4XC5CoRBLS0uMjY2Z980Y5VfO3yPVOnixqg1BCCGz+hKJRCJ5k//lKfjnvwfJNBjPii4n3LgIvddrG5tEckg5VkLfbrdz+/ZthBCk0+myxtvBm2LNygfsXGf4np6eXc2qain0s9ksr776Kj6fr6hseaV79IUQzM7OMjs7y40bN4hEIsRisaL3O+jY7XZaWlrMCopUKsXa2hozMzNEIhE8Hg+pVIpEIoHdbs/7+UzavkdKfQ2woWJHoBN2/i4N6V9AxbNvDNXsnxfozLt/koT92yjY0EmAsOXUHgiEyKDgMisSFOEmqzyoSnz7YYhjh8NBa2srra2twJv3bXZ2lmg0itvtNg90ijVkrJYPgFUZ/XQ6jdPptCAiiUQikRwJGgLw8hfhY8/B1/87uBzwU++Ff/VJacQnkZTIsRL6uZQ7xz73Ncp5wM4VTPF4nP7+ftra2rh48eKeD/rlxF+O0N/Y2CAUCnHjxo19S/Wt2reQdZqmcffuXQD6+vqw2WxEo9Gi9zqMuFwuTpw4wYkTJxBCmJ+jiYkJ0uk0fr/fzBwb4konDqgoGAcoCggVQQIKEPrVJGr/zyTs30YoMd487tEQQgUUFMWJP/MTRB3PI4QOKAglgUt/tGYx57Lbocj2+2YYMk5NTZmj53JH+e2FruuWltTvtY8VBwrxeByP52B9ziQSiURSY86dhBd/t9ZRSCRHhmMr9MsRuwaG2C53zJ3NZuPhw4dMTExw7do1AoFAwWtLoZRMrBCCyclJFhcX8fv9RYt8Y99KZPRjsRj9/f10dXXR1dVlXl+pngDVoFLO/oqi4PV6cbvdXL16FZvNRiQSYW1tjbm5OXRdJxgMEmg6DV1OdDZQsCMUDZd2DYUAq47fIOp4HgUHwfRHqc/+HcvjLIaM+uCNA4g3UXBQn/1JBGn82Z+kTnscBRsRx5cBhbrsW2lM/0JtAt5GIeJYURQ8Hg8ej4fOzs4thowjIyOkUin8fr8p/LdX+pR74FgoVmX0Y7EYXm9lzRIlEolEIpFIjjPHVuhbUXZcblWAqqqk02nGx8fJZrP09fUVfGhgxUFFoaTTaQYGBvD5fNy6dYvXX3+9pNcp1T1/L1G8sLDA+Ph43gOSaozJO+ioqkogECAQCHDmzBnTIG5tZY2N+X+G5+K/we5ZwaXdoj37b1h3/js2HP8BFT+CNKuu/xObaMWrvWPL61azdN+l3UDBjSD+xuYqTv0qrdv675vTv0xj+ucRZFBpKNhYsNKUkgXPZ8i4fZSfMYkhGAxWrXTfyoy+FPoSiUQikUgklePYCX0rxV+5Ql8IwauvvsqpU6fo7OwsSjhVS+gbwuLChQu0traiaZpljv3FrNt+zwwvg3g8vusBiRT6OzEM4jYNHi+QTr+Xtek1QqEQfx0Zp/7W89iw47CpqKodRJyE7Vs1Ffpe7Z0E0x8h5PzXKNixiVZOJP5j3p9V8VclpmKw4r3KPbA5ffo0mqaZkximp6fJZrPA5hSMvSYxlIvM6EskEolEIpEcDo6d0LeSUoW+EIKZmRmi0SjXr183zbmqsXehGKX6y8vL3Lp1y+wRLmemvVU9+slkkv7+fpqbm/f0MjjoQv8gxOZ0Omlvb6e9vR0hBHOODhLKQ1Kp1Gb21pkkFrHjV1K7GkNWg+b0P6Eh/ffRlQh2cQKF8sVmtd7/SmTbbTabOWkBNgX+9PQ0q6urjI+PY7PZzDL/+vp6y/a36lpisdi+vgMSiUQikUgkktI59kK/nGybzWYrab774OAgTqeT5ubmkh92K5nRN0r1vV7vDlf9csSzFT36q6ur3L9/f9exg1bsVw0O4vxwRVFo0f8hC86/i25PgAJKpgN9+T3cXdksFw8GgzQ0NKBpWtWvwUYAm9jfv6JQqlWVUI19DG+GCxcuAJu/w6FQiIWFBUZGRnC5XKbwL3QEYz6syugnEgmZ0ZdIJBKJRCKpIMdO6Oc+4Oaa4ZWCqqpFZdXD4TBDQ0OcO3eO9vZ2hoaGauKcvxfbS/WtpNSYjZL/iYkJVlZWuH37Nm63u6B1B1XoH1Rc+hU6Ev+ZhO3/Q8GBJ/sEtu4G6MYsF19bW2NxcZGVlRWi0ajlWeNqcZSE/vZMu9PppK2tjba2NmCzCiYUCm0ZwWgIf4/HU3B8skdfIpFIJBKJ5HBw7IR+Lkb5e6lCv9Dy+dwy+EcffdQcK1XuPPtyhX6uABFCMDU1xdLS0pZSfSspVXhrmsba2hput5uenp6ChUY5FQTV4KAeQjhEN47sB3Z8Pbdc3Gaz4Xa7sdlsW7LGxhi/YufA1wJd1w98jIWynwB3u907RjCGQiEmJiaIx+P4fD7z3u11iKZpGnZ7+f9syB59iUQikUgkksoihX4Zfe6FrE+lUgwMDOD3+3eUwZezfzm98vCmCFYUZc9SfStRVZVMJlPUmvX1dQYHB6mrq+PixYtFrT3IGf3DLjCFEDgcDpqbm83Kj3xz4AsRj7VCCFGVKoRq3OtiMu1Gmb/X66WrqwshBNFolFAoxPDwMKlUivr6ejPj73Q6S9pnL2RGXyKRSCQSiaSySKFfQaG/srLC8PAwFy9epLm5ecf3qzkib7e9NzY2GBoa4vz582aZb6UoRngLIZidnWV2dpYrV64wNTVV0f2OGqVct0BHU5ZRhBMbDUWvr6uro66ujo6Oji3i8f79+6TTaQKBAI2NjQSDwYLHSFaSak4OqDTlCHBFUfD7/fj9fnOU38bGBqFQiLm5OTRNM70ZMpmMJQI9Ho+bRoKS44uiKDbgFWBOCPG3ah2PRCKRVBqdNGFeIMo3cXCSJv4uTjpqHZbkiHLshH7ug70VQj+dTu/4uq7rjI6OsrGxQU9Pz65u5ZV2zt8LRVGYmppiZWVlSztBJSn0YEPTNIaGhlAUhb6+PjKZTNkmfseRYkSsRpiFug+RVgcBgT/zt2lKP4tCfvG4n0jOJx6N/v7p6WkAgsEgjY2NBAKBmvT3S6GfH1VVCQaDBINBzpw5s8WbYWFhgdXVVdbX12loaCh5lJ8045O8wceBe0B9rQORSCSSSqMRY4QfJMU4OlEU3CzyzznHi/h5W63DkxxBjp3Qz6USGf14PM7AwAAtLS309PTsKSRqldHPZDJEo1E8Hg99fX1VE1mFCO9YLEZ/fz/d3d10dXUBm8L/qAn9gxbbquufkVL7UYUfEGw4voRLfxR/9ilLXl9VVbMUHDY/g+FwmKWlJUZHR3E6nWaZfzmu8MVQibF3tULXdUt65/OR682g6zpNTU3ous7Kygrj4+PY7Xbz3vr9/oLeU9mjL1EUpQt4D/Ac8As1DkcikUgqzhKfIckwgiQAgiQCmOIDXGMGhaORfJAcHKTQL0PobxfqCwsLjI+Pc+XKFVPQVHL/UjCc/+vq6jh79mxVhc5+BxsLCwtMTExw7do16uvfTPBYMZavWI5StrcQkuprqML9xj8yCqCTUl/HT36hX+7743A4aGlpoaWlZXP/N1zhp6eniUajeL1e0uk0iUSiYvPWj9I9Lmd6SDFomobT6cTv95v3LpVKEQqFmJ+fJxKJmKP8GhsbdzVllD36EuBfA/8A8Nc6EIlEIqkGa3zeFPm5aIRJMYybSzWISnKUOXZC3+rSfU3T0DTN7EPu6+sruP+4FHO6UhFC8ODBAxYWFnj00UcZHR0tq5qgFJG0m/DWdZ2RkRHi8Ti9vb073j9jvF6xHLSs+UHGKc4QV+exCScCASg49LNV23+7K3wkEmFgYICRkRHTHM7I+FvV318NoV+tz5+maVU5tMs3pcTlctHe3k57ezvwpinjgwcPzEMbI+NfV1eHoiglC/1PfvKTfOUrX8HpdHLu3Dn+/b//9wSDwR0/99JLL/Hxj38cTdN45pln+MVf/MXSLlhSERRF+VvAkhDi+4qivGOPn/sQ8CGAkydPVik6iUQiqQwq+Vt5BToKB8+0WHL4ORp1qyVis9nIZrNlrU8mk9y5cwe/38/NmzeLEiHlHlJKO5UAACAASURBVDQUKoAzmQyvvfYaiUSCvr4+PB5PTUb75VuXTCZ55ZVXcDqdPProo3nfv2pn9Dc2NtjY2Ki4SDtIhxBNqWexixZ0JYlQEtRpfdRnn9715yspkg1XeLfbzY0bN+jp6aG9vZ1oNEp/fz+vvPIKY2NjrK2tlfX7U4v59sdhH8OQ8erVq/T19XHmzBl0XWdsbIxnn32Wp59+mqWlJSKRSNH7v/Od72RwcJD+/n4eeeQRfu3Xfm3Hz2iaxkc+8hG++tWvcvfuXb7whS9w9+7doveSVJQfBH5MUZQp4IvA31AU5Q+2/5AQ4veEED1CiB6jgkQikUgOK838LCrbPbEUXJzDxelahCQ54hy7jH4udru9ZKEghGB5eZm1tTUee+wx/P7iqw+tah3Y68HbGE937tw5M+OWu7bUfa0Q3qurq9y/f5/Lly/v6cBdLaGfW/XgdrsZHh7G4/GY/clWlpAftJJxh+iiK/4iKXUIBTcu/RoKlS8F343c+5ZrDgeQzWYJh8M7esQbGxvx+/0Fv7fVEMfVag+oltDPl9Hfi9xRft3d3Vy5coW/+qu/4ld/9Vf5lV/5FT7xiU/w1re+lfe+97386I/+6L6v9653vcv8/29961v58pe/vONn7ty5w/nz5zl7drMi5emnn+aP//iPuXLlSsFxSyqLEOIfAf8I4I2M/v8uhPgfaxqURCKRVJhmniHKfyXMVwAFBRsqXs7yfK1DkxxRjrXQ3801fz+y2SxDQ0MIIQgGgyWJfCjfjG+v9dtL9be76tcyoy+EYGJigtXVVW7fvr3vjPVqCP1sNsvg4CAOh4Nbt26h67pZYry2tmaWkB+0EXFWouKjTn+soJ+thoDd7fXtdjvNzc3myEqjR3x2dpZIJILH4zGFv1Eqng+Z0a/+Pna7nbe97W243W5eeOEFfD4f3/3ud1lcXCz6tT73uc/xEz/xEzu+Pjc3R3d3t/nnrq4uXn755ZJjlkgkEonEChRsnOE/kWCAKH+Fgw4C/AgKR+t5UnJwOHZCv9we/fX1dYaGhjh9+jQtLS289tprJcditRmgQSaTYXBwEJfLtaurfjlCv5yeeU3TePXVV/H5fPT09BQkGkoVY4UKfcPp/+TJk3R2dpLNZk2hn5uNNEbEGaZxgCko6+vrj4yD+0FguwgX6GSVBwiyOMQZlJy/unJ7xIUQxONxQqEQY2NjJJNJ/H6/eZ+cTueue1SCwyLAq71PPB7H5/Phcrl4+9vfvuV7TzzxBAsLCzvWPPfcc7zvfe8z/7/dbuenfuqnyo5FUluEEN8CvlXjMCQSiaRq1HGdOq7XOgzJMeDYCf1cihHaQgimpqZYXFzk5s2beDwedF2viFAvlHzx71aqb+Xepa6Nx+MsLy9z/fp12traStq7GAoR+sZ4t+vXr29x+s9HvhFxoVCIhYUFRkZGcLvdW8r895szf5B69EuhkiI5V4QL0iy6Pk7S9l1AxaGfpz35e9gI5I3JOJzp6upC13UikQihUIjBwUE0TSMYDNLY2IimaVUx4ztKpftgzX3PZDJbDl1y+cY3vrHn2t///d/nT//0T/mLv/iLvLF0dnYyMzNj/nl2dpbOzs7yApZIJBKJRCI5ZEihX4BQT6fTDAwM4PV6t2TIS81sF7v/buQKbiEE09PTzM/Pc/PmzX0drasp9IUQzM7OMj09TTAYrIrIh73FtBCCsbEx1tfX6e3t3SI6ChUyDoeD1tZWWltbEUKQSCRYW1vbkkk2hP9RK/OvhlGhcR827H9Iwv7fUMXmAUtavU/I+Rma08/u+zqqqhIIBPAGEzSeHwXdTXqlk9BaiOXlZXMsndHfb7VYPmyZ9oPOSy+9xKc+9Sn+8i//ckc7kkFvby+jo6NMTk7S2dnJF7/4RT7/+c9XOVKJRCKRSCSS2nLshH6xpfuGYdyFCxdobW3d9bVKwaoe/e2l+oWYZVXLjE/TNIaGhlAUhRs3bjA6OlrSnqWwm9BPp9P09/cTCAS4ffu2JRlKRVHweDx4PJ4tmeS1tTVmZ2cRQpjl44HAzkx0NUgrY6TVUeyiFZd+C4XyrrtaGf2U7R4Imxmvgou0er/g10qpg8x63o1ABxTsXR2cbfwWgUCA9fV1PB6POQPe7Xab98nj8ZR9jVLo76ScQ6KPfvSjpFIp3vnOdwKbhny/8zu/w/z8PM888wwvvvgidrudz372s7z73e9G0zQ++MEPcvXqVavCl0gkEolEIjkUHDuhn8teQl/XdcbHxwmHwwUZxlm9fyGoqkokEmFoaIgzZ85w4sSJotZWukff6H3v7u6mq6uLZDJZ1sFGseQT+hsbGwwMDOQ9uLESI5McCAQ4c+YM2WyWUChktgpkMhnsdjuqqloiKLez/bqjtq+w4v6VN/6k48/8OI3pXypZ7Fczo+/SLhO3v2TuKUjh1C8X/FqL7o+hE8G41Kz6gJDztxHip7Hb7bS1tdHW1mZWZYRCISYmJojH41v6+12uN+ff6qSI2/8cnQ3c2ltxinP7XkclOUxCHzZ/N0t5X8bGxvJ+vaOjgxdffNH885NPPsmTTz5ZcnwSiUQikUgkh51jKfQNAWi328lmszu+n0gkGBgYoLGxkZ6enoo9qJcj9IUQxGIxVldXuXXr1r6l+tupdOn+wsICExMTXLt2zex9L7eCoVi2C/25uTmmp6cLam2wGrvdTktLC8Ys6MHBQVRVZXJyklgstqXMf7fe5WLJ7XFfcT2LIlwoOBHoROxfxpd9Py69tJFjlRawua9fn/0pErZXSNr+ClBw6VdoSP9vBb+Wpjwk9zxDKCmyyjTiDcNFg9yqjM7OToQQZn//3bt3yWazBAIBGpq8JDufIWMbBQRg40Ty96nTHt+x91HK6Ft1uHPYvSkkEolEIpFIDgPHUugb5BPaRsZ1v9nuVlCqIZsxCi6TyXDhwoWSRKuqqmQymaLXGWt3E+y6rjM8PEwikaC3t3dLb3q1DegMEafrOvfv3yedTtPb24vdXvuPvSH86+vrTUG5trbG4OAguq6bhnGBQKCoueX52Mxmayhi8wBBQUVgQ1NWrbiUiqPgpC31W2SVmTdc90+jUMR7ItybetzQ9ELFrf0AcSH2FMeKolBfX099fT2nTp1C0zTW19dZ4z+iiUFIO1BVG4otzZLzH3Aq8Z0dr1EtoX+YJggkEgnq6uosiEgikUgkEolEshu1Vzw1JFewbheoxWRVS30ALuXBfGNjg8HBQc6cOUM8Hi96vUElMvrJZJLXX3+d1tZWLl26tOP6qp3Rh817873vfY+2tjYuX75clTLqQsg99MgVlKdPnyabzRIOh1lZWWF8fByHw0FjYyMNDQ34fL6ir0GlAZveQVaZR6UeQRJQceqPVODKCkMnSlq9hyoacIrzO76/XbgqqDjEqRL2iaApYcAFIvXGV93YRWfR4tgw7VMcdtbsCopwogsdXVNJaAu8/vrrZpm/1+s173G1PnOHRejHYjEp9CUSiUQikUgqzLEW+saDsdFL3tHRkVeg7oXNZqta2ezMzAxzc3PcuHEDr9fL1NRU1UfkQf7MvGFauFclRLUz+mtra8TjcXp6eipenWEldrud5uZmmpubgc0DlLW1Naanp4lGo/h8PrPMP7dvfDeU/5+9N4+OJC3vdJ/ITVJKqVQqta+1dO2lWrSZxTZtphfM4oYxYx9gDp6LGRiusX3tcxt6LgYM7XIbY44ZaNtt0xi6BxvbwzKYxdAGgxmMu7uq6dJSKu2lfatctGSmcouI+4c6gsyslJRLZEoqfc85OqDM/OL7IiJVHb/vfd/fi4mG8F+wXPpbxEyTmNRK6iKfwKLm3v0gHwEbMd1kvux1qFIMlRgVsV+mPvJ4kl+AUQJZRUVCwqTWJLyqIOUxR5nci4QVpDgmyYJkUqiU7sN58iR+v5+pqSmCwSAVFRW6D8PdgNahIF9CoVDRS2cEAoFAIBAIDhuHUugnCs5oNEpfX19SLXk2aOn/hUwH11L1LRZLkqu+yWTKucbfqIi+qqpMTk7i9Xrp7u7eUXgWS+irqsr09DTLy8vY7fYDJfLTUVpaSlNTE01NTaiqSiAQwOfz6XXjWpp/VVXVtkLMqrbTsvk1FMJIlOTtuJ8PS6W/hiz52cqlVwlYv0qF/ItUxF+vf8YooW+mknL5PkLm77H1zVOwqC2Uyt0oii8n4Vqq9FAT+SO8JR9GIYhdvpe68CcwlZVRVlaWdJ9mZmZYX1/H7/dv1fe7XLhcrgPZblGWZUM2LYTQFwgEAoFAICg8h1Low5Z4HhoaQpZlent7cxbq+Trn74aWqn/kyBGampqS3stX6Oe7SRCNRhkYGKCiooLu7u5dRUC+wi0T8adtithsNnp6enj22WfzmrNQ5HotJEnC4XDgcDj0uvHV1VV8Ph+Tk5NYLBZcLheyLKfdVDFhTPeIfIR4zDSd8JuESoSoKbntopEp7/XhP8Nn/SRhy3NYlaNURx/BRDmq6s1ZuFbG/xOV8f+kZwykot0nt9uNw+GgpaWFtbU1/H4/s7OzertFl8tliA9DMTAqcykUCmG32w1YkUAgEAgEAoFgOw6l0F9fX6e/v5/29nYCgUBeD9lGCP10oiYxVf/ChQtUVFTcMS5fQ71co+smk4lgMMitW7cK3qZOIxPRp5VgtLW10dzcXPA15YsR2Q1msxm3243b7QYgEong8/mIRCK88MILlJeX62n+hWgRmQtW5ThR082XflORKMUmn076jJFCX8KGO/Y+SPlTMWKO3TIjNHFsMpl0YQ/o7RY1HwaLxaLfp1x8GIqBLMuGbEgEg0ER0RcIBAKBQCAoMIdS6K+ururieWZmJq8Hfq1GP1fSGXbF43Fu3LiB2WxOStVPN3exU/dVVcXv97O2tkZPT0/RInOSJO1YI6x1S+jo6MipBONuoaSkhMbGRhYWFrh48aJe3691HUhM88+n3CSfv5nGzaeYs78OVQqhEqMy9lbK5dfc8blimMvtlYFdartFbYNmdnaWjY0NysvLdWO/3YzriuV7YaTQFxF9gUAgEAgEgsJyKIW+lvIMWw/c8Xg8597l+aTAw0/FuiYGNjY2GBgYSJuqn27uYprxaeUOkUiEtra2oj6sb1ffr6oq4+Pj+saDET3oixVNLbRAkySJiooKKioqaGtr+2l7OJ+PqakpPcpcXV1NZWVl0c7bpp7gSLCPmGkCk+rEqrbe8ZlidGdQd2mvZ9QcmVxXbYOmsbERVVUJhUL4fD7GxsYIh8NUVlbqGQGp3/FitfAzsr1eugwlgUAgEAgEAoFxHEqhn0i+qfdGjbdYLMzNzTE3N7dtqn4qxRT6gUCAgYEBWltbMZlMRCKR3QcZSDqhH41G6e/vx+l00tXVtS/TnbdjL9aqtYfTzAmj0Sh+v5+FhQWGh4d148JMo8j5nIOJMkqU8zt+ptDXaL/2npckifLycsrLy2ltbUVRFDY2NvD5fMzPz6MoClVVVbhcLqqqqg6c0Bc1+gKBQCAQCASFRwj9PRb6Wp39yMjIrqn66eYuhtBfWlpicnJS70ywtLRUlIhrIqmeAuvr6wwMDBTNI+BuxGazUV9fT319fVIUeXR0lEgkgtPp1NP8i+0SXwwRvl+Ffiomkwmn04nT6eTo0aPE4/EkA0aTyUQ0GmV9fR2Hw1GwczIydd/hcBiwIoFAIBAIBALBdhxKoZ/4ILzXQl9RFK5fv86xY8eyNpDLp2wgk3UrisLIyAjhcJienh5d7OVj5JcrWo0+wPz8PDMzM1y6dEmYehlEuiiyluY/MzMDkJTmX2iRfLcI/ULMYbFYqKmpoaamBoC1tTVGR0eZn59nY2ODsrKypPp+o+Y3MqLf2NhowIoEAoFAIBAIBNtxKIV+Insl9FVVZX5+ntXVVc6ePZvTg28hU/fD4TB9fX3U1dVx+vTpJLGQKLqLhSRJyLLMjRs3iMfj9PT05GUmt9ds5zlgFPkeO9UlPhaL4ff7WVpaYnR0lGg0yuLiIvX19YaKSY2DEm3fD3NYLBbsdjtnzpxBVVU2Nzfx+XxMTEzo9fDV1dW4XC5KSkpynkeWZUM8MEKh0K6lIQKBQCAQCASC/Di4SskgjBD60Wg0qzGaqZ0kSTQ0NOT88F0ooe/xeBgZGeHMmTN6PbdR80JuIk5RFPr6+mhqaqK9vf1A1ePvFUZeI6vVSl1dHXV1daiqyvXr15EkifHxccLhsN4z3uVyGZLmf1Cj7akUezNBkiTsdjt2u52WlhZUVdXr+2/cuIEsy0n1/dlslhmVuh8KhYQZn0AgMAxVhcVlKLGB+85HFoFAIDi0HEqhb2Tqfrbp85qrfnt7O83NzYyMjOQsmvNZe7qIsqqqTExM4Pf76e7u3nYDIh+hn66d4G74fD5WV1c5c+ZM1uUNAuORJAmTyURjYyNHjhxJMoubnZ1FVVU9ddzpdOYkdO8Wob/XmwmSJFFZWUllZSVHjhxBlmVWV1fx+/1JnRdcLheVlZU73isjU/dFyY1AIDCCZ1+At/8mzC6AosLLOuFv/xyaRXWQQCAQHE6hn0gxU/fn5uaYmZmho6NDN6PKZ/58BXci0WiUgYEBHA4HXV1dOz7Q51Ojn03KuqqqTE1NsbKygtvtprKyMqc5iyG2cqHYPgdGo13TdGZxfr+flZUVxsbGKCkp0d387XZ7XvcibOojbH4Ws1pDRfyXkMg9e0BRlKzXoqIiS15MagkmdjeU22/lAWazGbfbjdvtBrb+7ldXV/WSDO1euVwuysvLk66PEPoCgWA/sbAE9/8qBII/fe3frsLPvwnGfgxFaEYiEAgE+5pDL/QtFgvxeDzn8ZkIdS1VH6C3tzcpXXavhH4ia2trDA4OZuxgn0+NfqabBPF4nMHBQWw2Gz09Pdy4cSMnYZxLBkEx2G/ryZad7oXFYqG2tpba2loAvWZ8cnKSUCiEw+HQhf92Nd/pRPiG5Sssl74XUJAwsyp/hpbNr+cs9lVVzUq4yniZt7+ZqOkmoOCM/jo10T9EYvt7ud+Efio2m00vyYCf3qupqSmCwWBSfb9I3RcIBPuJz/4txGLJr8ky3PbAD34Mr/7ZvVmXQCAQ7BcOvdDPpcY+dfxOQj0QCNDf309bWxstLS13vF9IQ73dUFWVmZkZFhYWuHz5csa9rfPNJNhtbDAYpK+vTy9v0MblI/QFxpLN5klZWRnNzc00Nzcn1YwPDg7qPeG1NP9EIZl6/JWS30ElgoQJhThR8wAByzdxxN9Y8HMAWC79LaKmG6hsfZ/WbE9TqnTjiP+yYXPkgpGbCan3KhAI4PP5GB4eZn19nXg8Tn19PS6XK2czzGAwmPG/NQKBQLAd41MQSfP4pqgwM1/05QgEAsG+41AK/WK115ufn2d6ejopVT+b8buRj4CIx+OEw2HW19fp6enJKlJXyM2J5eVlxsfH6ejoSErVz7VcIJ8NgkJzGDcgUmvGtZ7wHo+HiYkJrFYr1dXVd0SPVRQUKQAvRc8lpJde8+W8lmxFeMT8AioKEqaX1rRJ2Pz8jkK/GBF9oyLtqUiShMPhwOFw0N7eTn9/PzU1NayvrzM9PY0kSXp9fzZeDFonAIFAIMiHn38ZfPmbEAwlv66o0HNpb9YkEAgE+4lDKfQTMULopwpXWZYZGhpCVdU7UvVTMZlMxFJzzwpMIBBgYGAAs9nM+fPnsx5fiBp9VVUZGxvTNx5SU7pzLRfYrxH9g566bxSpPeHD4TA+n4/l5WUikQgbGxt6mn9pWS9h87WXIuoqEhKl8stynjvb1H2L0kbc7Nkai4pECVbl2I5j9nvqfjaoqkp1dTUNDQ3AVsvF1dVV3YvBZrPpaf4VFRXbfsc3NzdFRF8gEOTNW94IV/4HzC9C9KXHKHsZPPAqOHdqb9cmEAgE+wEh9A2O6GsiurW1lebm5l0FXb7zZ8vi4iK3bt2io6ODgYGBnI6Rb41+6thoNEp/fz9Op5Ourq6016yYqfuLi4ssLy//VGCWlmY9791OoVLSS0tLaWpqQlVVVFXF6XTi8/kYGhpCln4X56U/hIoBTKqT+sinKVHO5jxXtmZ89ZFPM1f2WlRiSJKCTT5PZey/GDpHLhRL6MuynDSP1WpN8mLQNmlmZmYIBAKUl5frwr+srCxpvdmm/T/88MN8/etfx2azcfz4cT73uc9RVVV1x+eOHDmCw+HAbDZjsVi4du1ajmcrEAj2O3Y7XPs2fPRP4cvfgNIS+G+/Br/9zr1emUAgEOwPDqXQL1R7vUxS9dONN8JQbzcURWFkZIRwOExPT4/e6zwXwWZEez2NTI0AiyH0E69RW1sbq6urDA8PE4vF9DryqqqqgqRJC5LRou2JqeOyLLPq7cQ35sW3usa6xYLLNUV1dTUOhyN7B/0sv/s25RTtwauEzVeRKKdMfhnSLv+EZps1kAu5COdc59npXLRNGm2jJhgM4vP5GB0dJRKJ8MMf/pDa2tqc/n7uv/9+HnvsMSwWC+9///t57LHH+NjHPpb2s9///vf1DBGBQHB3U+2CT35060cgEAgEyRxKoZ+IERH9eDzOwMAAiqLsmqpv9PyZsLm5SX9/P3V1dZw+fVoXN7k60udbo68J77m5OWZnZ7l06dKu7bYKXaMfjUbp6+ujurqakydPEovFqKyspK2tTe89rjnHWywW3G431dXVd7QgM3pd+5VCm8yluzapreEikQg+n4+5uTk2Njb0CHKmWRi5nIOZasrlBzP+/N2Wup/pPJIkUVFRQUVFBW1tbSiKQigU4pvf/Cazs7O8/OUv59577+W+++7jla98ZVLEPx0PPPCA/v9f9rKX8aUvfSmvcxEIBAKBQCC42zm0Ql8TWvkK7WAwSDAY1F31iymaNXYSLB6Ph5GREc6ePYvL5Up6Tzv3bEVCvjX68XicGzduEI/H6enpyWhjpJA1+uvr6wwMDHDy5Elqa2vvSLdOJzC9Xq/egszhcOB2u3G5XNu2ixNkz25/SyUlJTQ2NtLY2JgUQR4eHiYajSZlYaT7jhUjrf6gue4XCpPJxL333surXvUq/v3f/51nnnmGH/7wh3zjG99gYWGBt7/97Rkf66//+q/51V/91bTvSZLEAw88gCRJvPvd7+Zd73qXUacgEAgEAoFAcKA4tEJfIx+hv7CwwNTUFGVlZbS2thZ9ftg+Kq+qKhMTE/j9frq7uykpKbljbK6bDPlEoxVFYWhoiObmZtrb2zMWQYVK3dfuYWJWQSYCMzFFeWNjA6/Xy9zcHKqq4nK5cLvdVFZW7nsBlivFiOhnc/zUCLIsy6ytrek94U0mEy6Xi+rqaiorK5OyWgpJMUwXD4LQ19A6BFRWVvL617+e17/+9fp79913H0tLS3eMuXLlCg899JD+/y0WC29729vSHv9HP/oRzc3NrKyscP/993P69Gl+/ud/vjAnIxAIBAKBQLCPEUI/B6EtyzI3b95ElmV6e3t5/vnnc54/34h+uqi8Zm5XWVlJd3f3tmKjWP4AGl6vl9u3b3PPPffQ3t6e1VijU/cVRWF0dJTNzc2syy1Sj6+1izt69CixWAy/38/S0hKjo6OUlpbqaf6p6cmFTN0/yGUBkP9Ggtls1tP4Yetvwu/3s7CwwPDwMHa7nWg0Sjgc3jVtfL9zkIR+KBTa1nH/u9/97o5jP//5z/ONb3yD733ve9t+N5qbmwGoq6vjTW96E88//7wQ+gKBQCAQCA4lh1bo51qfrrnqt7S05JSqn4oRZoCJYn11dZUbN27sam6XbmyhUFWVqakpVlZWaGho2LUePx1GRvQT6/FPnTplaNTVarVSV1dHXV0dqqqyubmJ1+vVDcmcTidut7soQnw/Rdz3+vg2m436+nrq6+tRVZVQKMT169eT7ouW5q8ZVR4UDpLQDwaDObXW+/a3v80f//Ef86//+q/bjg8GgyiKgsPhIBgM8swzz/ChD30o3yULBAKBQCAQHEgOrdDPBS3N+/z581RWVia9l6swMSKirygKqqoyMzPD4uIily9fzuhhuhhCPx6PMzg4iM1mo6enh/Hx8ZwFuxFlBlo9fiYbIfkiSRJ2ux273U5rayuKouimfsvLy1gsFkKhEG63e8e+44eRQm4kSJJEeXk5NpuNixcvoiiKnuY/PT2NJElJaf77XUQXy/DPCHaK6O/Ee9/7XiKRCPfffz+wZcj3xBNPsLCwwDvf+U6+9a1vsby8zJve9CZg69+dt771rbzmNa8xZN0CgUAgEAgEBw0h9DNAS9WPx+Np07w1wZxL2ygjIvrRaJTR0VEsFgs9PT0Zr6PQQj8QCNDf3097e7ueUlto9/ydxi0uLnLr1i0uXrxIRUVF1sfKF5PJpKeTWywWrFYrJpNJ7zteUVFBdXU1brf7QJj6HaSI/k5o9fuaWWW68gvtvpWVle27DZliCX0j2krmKvTHx8fTvt7U1MS3vvUtAI4dO0ZfX19e6xMIBAKBQCC4WxBCfxeCwSD9/f00NzfT2tqa9iFfE+u5PAjnK7ZlWaa/v59jx47pYrpYc+/E8vIy4+PjdHR0JGU/FNI9f7txiqIwMjJCMBjMqx7fSCRJwmKxUF9fr7vGBwIBvF4vg4ODKIpCVVUVbrcbp9O576PKRlNMoZ9KuvILn8/H+Pg44XA4qcvCfkjzN0qEF2OOUCiUU+mOQCAQCAR7xooXJufgeCvUVu/1agSCjNl7xbNHJIoITQymiiktApwuVT+RfKLy+YiZxcVF/H4/Z86coampKevxhRD6qqoyNjbGxsYGPT09d0Smc53TZDIRi8WyHqcoCjdv3qS2tpbLly/vu2ishiRJOBwOHA4HR44cIR6Ps7q6ysrKCmNjY5SUlOjR/v0YVb5bSSy/aGlpQVEUvcvC7Oys3mWhurr6jg2ZYhki5tIic6/mCAaDQugLBAKB4GAQj8O7Pgxf/CaU2CAShbe+Hv7y92EfBI0Egt0Q31LudK6XZZnh4WFisVhGEWCTyZRXWbnTsQAAIABJREFU+n22KIrC8PAwkUiEhoYGSktLczqO0UJfc/t3Op10dnamFaOFapOXjo2NDW7fvs3Ro0c5duxY1nMWmp3Ox2KxUFNTQ01NDYBu6qdFlSsrK/Wo8n7IUDCavYzo74TJZMLpdOJ0OoGtWnC/33/Hhkx1dTWlpaV3TXs9o+YQQl8gEAgEB4aP/Bn83T9BOLr1A/B334Lmevjob+7t2gSCDLj7FEIOaELfarVmlKqfisViKVqbus3NTfr7+6mvr+fMmTOMjY3lvMmQr9BPFGNra2sMDg7uanKX65zZCv2lpSUmJyepra2lqqoq6/kKTbYCsKysTO/0oCgK6+vreL1epqen9Rpzt9uNw+HYlwI5W/ar0E/FYrFQW1tLbW0tgJ7mPzk5SSgUIhqNsrS0RHV1dcF8FxRFKfi1yrU0KZVca/QFAoFAICg6j/8tbIaTXwuF4dN/I4S+4EBwaIV+4oOx2WwmHo/rqfrnzp3TI3aZUKyI/u3btxkdHeXs2bO6cVg+Yt2IsWazmbm5OWZnZ7l06dKu0bpCR/RVVWV0dJRAIEBPTw8TExMHvqd8KiaTiaqqKn0DIxqN4vP5mJubY2Njg/LycmKxGJFIJOdsj73moAj9VMrKymhubqa5uVlv4xgOh5N8F7Q0fyPr6g9KRH9zc1NE9AUCgUBwMFgPZPe6QLDPOLRCPxGz2czY2BgAPT09WRts5eucvxuqqjI+Ps7q6ird3d2UlJTo7+2l0NdKHOLxOD09PRmlkOdaa5/JWmOxGP39/VRWVuqlA7luLBwkbDYbDQ0NNDQ0oKoqwWCQvr4+vVNEoqlfoU3bjKLQQr8Y3wlVVbHZbBw5ciTJd8Hj8TAxMYHVatXT/MvLy/f1xoZREf1gMKiXowgEAoFAsK/pOgdXB9O8frb4axEIcuDQC/1QKITH46GhoYHTp0/n9LCdr9DfzgwQkuveu7u771hfPtkE+Qh9VVV54YUXaGxspL29PePrVqiI/sbGBgMDAxw/fpz6+vq850tlPQBf/44F/5pEb6dM76X8SjUKtQEhSRIVFRXYbDYuXbqEoihpxaXb7cZut+9bcVkMoV/oc09NqU/1XQiHw/h8PqampggGg3p7xerq6qTNvP2AURF94bovEAgEggPDpz8A/+EdEI6ArIDZDKU2ePz39nplAkFGHGqhv7S0xMTEhP7wneuDf75CXxPcqQ/Sq6ur3Lhxg5MnT+o1wOnmzjcqny1er5f19XU6OjpoaGjIek6ja/S1evwLFy5QUVGR8bhMCQTh13+3lOk5EyYJ/ueXrfzeb0d4wwPFM2DMBUmSMJvNuN1u3G438FNxOTk5yebm5r5rFadxNwh9VVV3FMelpaU0NTXR1NSkt1f0+XwMDQ3pmRjV1dVUVVXteSaGkTX6QugLBAKB4EDwMxfh6j/AHz0JfcNw8TQ88k44c3yvVyYQZMShFfoej4fFxUV6e3uZmZnJS6jnI7a18bIs66nvqqoyMzPD4uIily9f3tG8KtdU+FzGqqrK1NQUKysruFyuHVsOboeREf3UVn7phKo2LhaD7/zAhNcPHWdUOjsyX8P/ed7MzLyJ+pqtMZthePxzNt7wwGbW57HXJIrL1FZxQJKpX6HrvnfibhD62UTBE9srtre3I8syq6ur+qaMxWLR701FRUXRMzFERF8gEAgEh5Izx+Gpx/Z6FQJBThxaoV9TU4PT6dSjnvlG5PPdKNDGx+NxBgcHsVqt9Pb27vpwbTabiUQiOc2bTXRdW5fNZqOnp4eBgYGcNjdyjeibTKYkoZ+uHj8dkiQRi6n8t/dbeP66hPpS5tUHf0fmza/PbB2RiETi4a0WCIXzE1r7wTsgtVVcLBbD7/ezsLDAxsYGZWVlepr/QTX1245iONXns5mQmokRiUTw+XzMzMwQCAQoLy/X0/yLQeJGZD4I132BQCAQCASC4nBohb5m1Ab5p96bzWai0WjO4zXxGwgE6O/v58iRIzQ1NWU8ttA1+unWlSq8MyWfiL62Vm09x44d27V0QJIkXhiwca1Pwu0CSYJoFB77tJn/+FqFTIKU3RdlSkpgdU2ipERlbUPiza/PLYtiP2O1Wqmrq6Ourg5VVQmFQvh8PoaHh4nFYjidTqqrq/WOD4WkGBH9g+JUD1BSUkJjYyONjY264aJ2b4LBIKOjo3qavxGCPBUR0RcIBAKBQCA4WBxqoa+RT1RcG5/vRsHy8jLLy8t0dHTgcDgyHlto1/3l5WXGx8fp6OhIStVPFN5Gz5kObYNgeXmZiYmJjK+TJEkEQxImE3pU3mrdMteLxyGT1uYtjSp/8ViYT37GhndV4qHXxHj3f777hH4ikiRRXl5OeXk5ra2tyLLM2toaPp+PW7duEQqFmJ6exu12F8Qx/rCl7meDZrhYUVFBc3MzL774IjU1Nbqxn8lkwuVyUV1dTWVlpSHnaWSNfqqPhkAgEAgEAoHAeA6t0E/EYrEQDAZzHp+P0Ndc0UOhEL29vVlH4wol9BPr33t7e++ofy+Eqd5u49bW1ohEIlm1QJQkiTP3RLbE/QaUlcHaOrysU8lI5GucPanwVx8PZ73undjr1P1sMJvNSanizz33HDabTXeMdzgc+vu2bC7sNtwNQr9Ymwmp9yYajeLz+VhYWGB4eBi73a6/X1ZWlvM8IqIvEAgEAoFAcHAQQh9jIvK5jN/c3KSvrw+bzcbRo0dzSrnNZ+3bifXEln7b1b/nU2uf7bhYLMbw8DCqqu5Yj58OSZKodcf5zMfjfPhPzCzflnj1KxU++vDeOubv17Z2mWIymZJSyTVTv8HBQRRF0SPKTqczJ4FYaJFcjBr9QkX0U+dIjbTbbDYaGhpoaGhIKsEYHR0lEonoJRhVVVUZb5gZFdHX2ggKBALBfkBV4dOfhT/5C/D64WVd8IkPw6Xze70ygUAgyB8h9NkboX/79m1GR0c5e/YsHo8n5+iu0RH9tbU1BgcHOXHiBHV1dYbPm21EX6vHb2pqYnV1NWtxps138ZzK//5cPONxsixjMpn21Hn+oCBJEpWVlVRWVnL06FHi8Th+v5+VlRXGxsYoLS3VI8qZGrGJGv3M0L6n25FagqEoil6CMT09jSRJSWn+2x3LqHMJh8N3nbGjQCA4uLzvUfjzz0PopSY6//Ij+LmH4Np34NQ9e7o0gUAgyJtDK/RTa/Tzdd3PVPSqqsr4+Dirq6v09PRgs9nw+/0FN9TLZOzc3Byzs7O7tvSD3FPws1nvysqK7g9gNpvx+/1Zz5ftOlVVRZZlJElClmX9vphMJiRJEsI/AywWC7W1tdTW1qKqKpubm/h8PsbHxwmHw0mmfjtlsRz01P39mDWg1e9rhopap4WlpSVGR0eTNmXKysr09RsV0dfWIBAIBHvN2jo8/jkIp1TlbUbgyv+Apz+9N+sSFBBVhe/9O3z2KxCLwdveAA+9moycmQWCA8ihFfqJFCuin5gS393drT9E5+Ocbzab8xb6iqIwNDSELMv09vZm9EBfyIi+qqpMTEywurpKd3c3NpuNcDict1v/bmgiX1VVrFYrqqqiqiqKoiS1P9RaMkLuoiVXM8ODhiRJ2O127HY7LS0td0SUTSaT3sIvsT98oa9NsWr093vWQGqnhdRNGYfDgdvtJh6P530uxbjmAoFAkCkTU2Cz3in0ZRmu9e3JkgSF5v/9OPzl30PwpRSOb/8IHvxZ+NInf+rYLBDcRRxqoa+JzmIIfb/fz9DQECdPnqS2tvaO8fmI9XyyAWKxGFevXqWhoYG2traMH8TzqdHfSbDH43H6+/spLy+nq6tLX08+Jn6ZjJNlWY/AaoImsf2i1WrVN0W0SL8mXES0P3NSI8qacZzWH76iooLq6uqCR8OLVT9/kOZItymzvr6Oz+djfX2dgYEBPdqfq/eCNo9AILg7URS4MQIWM5w+sb+1U1vzVrvdVCQJTou0/buP0Sn4iy9upWxoBDfhOz+CHzwPv/Aze7Y0gaBQHGqhr1FIoa+qKtPT0ywtLdHZ2ZnW9Tqf9n75pO6vra2xtrZGd3d31r3R84nobzdOq8c/evQojY2NGY/bbb6dhL4WsdeE5U4iRKvZt1gsKIqSNDYx6r8fhP9BcfRPNY4LBAL4fD6CwSDXr1/X68erqqoMvZ4ior87JpOJqqoqqqqq8Pv9nD9/nvX1dd17oaSkJMl7IZPreVC+lwKBIHt+9Bz8yrthYwMUFRpq4aufgwtn93pl6alxw6/8Evyvr8NmQlS/rBQ+8Nt7ty5BgfjnH6d/PRSGb/1QCH3BXYkQ+hhTo5/uATYejzM4OIjNZqO3t3fbB/JCtcjbDlVVmZqaYnl5mYqKiqxFPhhfo6+Jh46ODiorKw2bb6dx2Yj8VLR7qaXwJwp+7fy0dOftTP2E6ElGkiQcDgcOhwOPx0NHRwfr6+t4PB7Gx8dzEpbbUawa/Vw6aWQ7RzE2lBRFwWaz6d4LgJ7mPzk5SSgU2rXFYjQazdjlXyAQHCxue+AX3wqB0E9fm5yBe38Z5n+y1dp2P/KZPwGXEz7zNxCJwtE2+LM/hK6Le70ygeE4yiFdaarVAk5H8dcjEBSBQ51rnJgWbjQbGxs8//zz1NXVcfbs2R0fxvNtkZeNYIzH4/T19bG5uUl3d3dOc2rzGhFh1+rxp6en6enpSSvy043Ldb7EeRPT9fP9DmiRfpvNRmlpKTabTRd5siwTi8WIxWJJcxaSg54eraoqFouFmpoaTp48SW9vLydPnsRkMjE5OcnVq1cZHh5mZWWFeDzzbgqJx99vRnn7dQ6N1OtVVlZGc3MzHR0d9Pb20tLSwubmJoODg1y7do3x8XF8Pp/+b1soFMq460IiH/zgB7lw4QKXLl3igQceYGFhIe3nnnrqKU6cOMGJEyd46qmnsj9BgUCQM3/zVYineSSIxeB/f7v468kUmw0++Sisj8H6KIz9GB64d69XJSgIb/wP6V83m+E/v6G4axEIioSI6BeAhYUFpqam6OjowOHYfZcwn4h+Nmip8UeOHKGpqUk3m8sFrb4/l3GJEe+BgQHKysro6uraUbAYaf6nqqouDguZ9qwdW0vz10Q+bJ27Fv0Xtf13kk6Ia8Kyubk5qX58ZmYGSZL0aHJlZeWuIr5YIvxu2EzIhHQtFldXV/VsjD/6oz/i1KlTusllNtfl4Ycf5tFHHwXgU5/6FB/96Ed54oknkj7j8/n4yEc+wrVr15Akia6uLn7pl34pp2wlgUCQPQtLd5raAURjsLRS/PVki9kMOexDCg4SlRXw9T+HN74XtMfCuAyfuwJHmvd0aQJBoRBC30AUReHmzZvEYjF6e3szTtvNt3QgE5aXl5mYmOD8+fN61DwfEZKv8A4Gg/T19embDpmOy3U+yC9VPx9S0/y9Xi8LCwucOXMGWZb1TQez2bzntf0HhcT68WPHjhGNRvH7/SwsLDA8PEx5ebku/NP1bRc1+oVFy8aoqakB4OMf/zhf/OIXmZyc5OLFi1y8eJEHHniAN7zhDVRVVe14rMQsn2AwmPa+fec73+H++++nuroagPvvv59vf/vbvOUtbzHwrAQCwXbc+wr4i6cgEEx+3WKGnxOlz4L9wr29sPyS+V4sDr/QC+Vih0dw93Kohb6RD/qKovD888/T2NiYlXs95Oe6vxuqqjI6OkogEKCnp8ewGtlsSwY0JEkiGo1y/fr1bevxtxuXC5rQ3yuRn8r8/DwLCwt0dnZSWlqaNtovy7Iu+PejiCsW2dwjm81GfX099fX1+kaSz+djeHiYWCxGVVWVbupnNptF6n6ROX78OK973etYWlric5/7HNevX+eZZ57B4/HsKvQBPvCBD/D000/jdDr5/ve/f8f78/PztLa26r+3tLQwPz9v6DkIBILtec0vwKVz8JMBCL3UucxuhwdeBd2X9nZtAkESJbatlnoCwSHgUAv9RDRX91wemm/fvk0oFKK7u1uPKGVDPi3ydiIajdLf309VVRWdnZ2GCptcXPBVVWVycpJoNMrLX/7ytIZdRqOtM7Ed3l6IfG3DJRKJ0NnZqUf305n6aWvV2vhpnxPR/syQJImKigoqKipoa2tDlmVWV1d14zir1YrFYqGkpKSggv9uMfwzyjQyGAxSVlaGyWSis7OTzs5O/b377ruPpaWlO8ZcuXKFhx56iCtXrnDlyhUee+wxHn/8cT7ykY8YsiaBQGAMJhN89x/giafhqX/Y8jd759vgHSKpRiAQCPYMIfRfQkufz0ZIqarK+Pg4a2tren1qPnMbydraGoODg5w8eVJ3yTaSbFP3tXr80tJS7HZ7UUQ+bIm+jY0NAoEA5eXleyLyY7EYg4ODOJ1OTp48mVELP/hpbX9i6z4R7c8es9mM2+3G7XYDEA6HmZycxO/3c/Xq1SS3eCNd4YsV0TencxE2eA4jzmNzc5Py8vK07333u9/N6Bhve9vbeO1rX3uH0G9ubuYHP/iB/vvc3Bz33ntvrksVCAQ5UFICv/1ft34EAoFAsPcIpfAS2YrtaDTKtWvXAOjq6sJqtebk/g3GmPElRt3m5uYYGhri8uXLBRH5kN2aQ6EQV69epb6+njNnzhRFbGsR8YqKCurq6picnOS5557j5s2b3L59u+CeCBqhUIif/OQnNDU1cezYsaxb+JnNZqxWq+7kr4m6dE7+gswoLS3F6XTS3NxMT08PTU1NhEIh+vv7eeGFF5icnGR1dTXva3q3pO4bNUcoFNpW6O/E2NiY/v+/9rWvcfr06Ts+8+CDD/LMM8/g9/vx+/0888wzPPjgg3mtVyAQCAQCQxDtlAV7xKGO6CeKrmyEvt/vZ2hoKClano9Yzzeir9X4S5LE0NAQiqLQ29tb0EhfpjX6t2/fZnR0lPPnz+N0Ogu2nkQS6/HNZjMtLS20tLSgKAqrq6t4vV4mJyd1wzC3271ttN/jg7/8goWZOYnzp1V+/S1x7Bn2A/b5fIyMjHDu3Lmcsz0S2S7ar/0kpvkblW6djkIeu1hoRnmSJOF0OnE6nRw9epRYLIbf72dpaYnR0VHKysr0aH9Zlo2gi+G6n20WUq5zGPFvSTAYzEnoP/LII4yMjGAymWhvb9cd969du8YTTzzBk08+SXV1NR/84Afp6ekB4EMf+lBOZVSC4iFJUinwQ6CErWeRL6mq+uG9XZVAIBAYyE+G4Dcehef7wV4G//XN8NjvbvkECARF4FAL/UQyEduqqjI9Pc3S0hKdnZ1JD/75iPV8I/omk4lgMMjQ0FBOZoC51BLvVqOvqiq3bt3C6/XS09NTtFT9nUz3TCaTLtpgK4Xb6/UyMTFBKBTC6XRSU1NDdXU1FouFcAR+9/dtLC5LVJSrfPWfTMwvSjz2/8XY7XLNzc2xuLhIZ2cnJSUlhp9nutp+7SccDhOPx4nFYqK2fxu2S3m3Wq3U1dVRV1eHqqqEQiF8Pp/ur6CZ+rlcrl3F793iur/XEf0vf/nLaV/v7u7mySef1H9/xzvewTve8Y6c1ycoOhHg1aqqBiRJsgI/kiTpn1RVfXavFyYQCAR5c2sOXvV2CIS2fg+E4Im/h6kF+Mqn9nZtgkODEPovsZtQj8fjDA4OYrPZ6O3tvePBNx+hn2/ULx6P09fXx/nz57PuG20ymQgqUR639fNjaZE67LxP7uKkuvNxdtqc0K5VSUkJXV1dRROZWrp+pqZ7paWlSX3Z19bW8Hg8TE1NYTab8aw2Mb/YSmO9CUmCcrvKtT4T/jWo3sYoXFEUxsbGiEajSaZ7hUaL9gcCAW7cuMGZM2f0TI/UaH++wr8YJnOFJhMRLkkS5eXllJeX09raqmeE+Hw+bt26hcVi0TeOKioq7rgmB0mE74SREf3mZtGrWLCFupUaFHjpV+tLPwc/XUggEAgA/vRpiESTX9uMwD/9H5iahyPiv4eCwnOohX5q6v52NfYbGxsMDAzs2PO9EIZ6u6GqKlNTU4RCITo7O7MW+bAl/D5qeY7vmeZwUsJtvLzL8j3+IfZa6ti+t+h2Qj8UCtHX10dbW1tRH+oT69RzET4mkwmXy6Vfw0gkwrPX1ghthvF4NimxWTFbS1DUEizbaJ5YLMbAwABVVVW7mu4VAo/Hw/j4OB0dHVRUVOivp2vhF4/H9c2Bwxjtz2WzIjUjJBKJ4PP5mJmZIRAIJJn62Wy2oqTuH6TNhHA4nFNEX3D3IkmSGXgBuAf4M1VVn0t5/13AuwDa2tqKv0CBQCDIles3IZZGV5TYYHRKCH1BUTjUQj8Ri8WSVqgvLCwwNTXFhQsXksRTKsUW+oku9jU1NTm32FJMEv9inqMOOyYkyrDgYZM+6Tb3q+3bjktXB+7xeBgZGSl4Pf5mGL71PRMeH3RdULh8Xk6bqp8PJSUl/OzL6vjZn7Fy9Xo5MTlGyCvzyu4pRkcW9Np+LZIbCoX0zaD6+npD1pANs7OzLC8v09nZeUeZxHYt/LT/1bIgzGZzRtH+uyWin+85lJSU0NjYSGNjI6qqsrGxgc/nY3BwEEVRiEQirK+vU11dXTAxftAi+kLoCxJRVVUGLkmSVAV8VZKk86qqDia8/1fAXwF0d3eLaL9AIDg4dJ6FZ/vuFPuRKJw+ujdrEhw6hNB/iVShLssyw8PDxGIxent7dxXSWpp0MQgEAvT39+sZBpqwyAWryYRFNRGXFGyYUVFRUCnZ5auRWKOvZRbcvn2b7u7ujGrScxVakQj8X/+PhaFRCVXduu6/99sKb/xF40S+htkMf/C+GN/4rpnZBRNnTsD9P99ELObG6/Vy69YtgsEgJSUlBINBzp07V3QDMEVRGB0dJR6P09nZmZHoS2fqlxjtPwwt/IyOtkuSpLfYPHLkCPF4nKtXr+LxeJicnKS0tFSP9tvt22fKZMtBiujnWqMvuPtRVXVVkqTvA68BBnf7vEAgEOx7fuft8NdfSRb6ZSXwunuhLX12sEBgNELov0Si0NdabWVjbGcymYoS0V9eXmZiYoKOjg4cDoc+d86O/yYz7wmf5dP2GwCoqJxT3fyM2rDjOG3ORO+C7u7ujASBJEk5C/0fPicxPC5RUw1IKpEwfOIvbbzptZGsj5UJJSXwy6+TU14roampiaamJmZnZ5mbm6Ouro7x8XFMJhNut5uampq0ddtGomV1OJ1OTp06ldNc20X7NfGfrrb/bonoF1IgWywWLBaLfl80U7/x8XHC4TBOp1M39cs1GwcOVkRfCH1BIpIk1QKxl0R+GXA/8LE9XpZAIBAYQ3sz/OgL8FtX4N9ehAo7vOtX4NHf3OuVCQ4Rh1rop9boRyIRVlZWGBsb49y5c1RVbeO4loZ8U/d3E7+qqjI6OkogEKCnpwer1Zo0d65C32Qy8R/DRzlhq+VFaYVayniDcowSdn6wN5lMxGIxrl69SmtrKy0tLRnPqZ1rLoQ2ecnxXgUVbDbwr29F94upPbVIupbxoQmhaDSqG/oFAgEqKyt1J//Ee5Yvm5ub9Pf3097eTkPDzpsy2ZBJtL/YXhSFoFibFdocdrsdu92ut3lcW1vD5/MxPT2dVPvvcDiyWtdBiugHg0FDsxkEB55G4KmX6vRNwD+oqvqNPV6TQCAQGMeFU/CDp/d6FYJDzKEW+omYTCZWVlYwm805tYPTNgrymV+W5bTRvWg0Sl9fHy6Xi87OzjuEQD7ZBFpk/hVqI69QGzMe5/f7CQaD9Pb2ZrUhos2Zi9CXJImLZ2JYLWbWA1BaAmvrEg/eKxdV5Gumey6X645Ius1m06P9qqqytraG1+tlenoaSZL02v5sBV0ia2trDA0NcebMmayvfTaki/bH43Hm5uYoLS0lFovpnztoLfz2Mish1fgxGo3i8/mYm5tjY2ODiooKXfjvVgZzkCL6m5ubO/qcCA4Xqqr2A5f3eh0CgUAgENytCKHPlnv25OQkJpOJrq6unARAvhF9bXyq0F9bW2NwcJCTJ09SW1ubdmw+qfvZjk2sx7fb7TkJzcT6/mzmlSSJEutt/vyxWj725yV4fBJvfE2c9/1G+m4JhSAYDDIwMMCxY8eoq6vb8bOSJFFVVUVVVRXHjx8nGo3qol9zadeEf6bR/uXlZaamprh06RJlZWVGnFJWTExMoKoqZ86c0e+j9hOPx5EkSReF+1n4F0MgZ4rNZqOhoYGGhgZUVSUQCODz+RgaGiIej+NyuaiursbpdKYV3MVw9s+nvEBDpO4LBAKBQCAoGqoKn/8qfOyz4PHDz3XBY78Dp4/t9cqKxqEW+pIk4ff7GRoaoqmpic3NzZwfmvMV+ukE99zcHLOzs1y+fHnHlNdiCX1ZlhkcHMRqtdLd3c2zzz5b8DlhS+QrisKJEydYWloiEpzkkXeX6rXwxRK8Pp+P0dFRzp07p/sjZIPNZktyaV9fX8fj8TA7OwuA2+3G7XZTWVl5x/dQVVVu3brF2toaXV1dhgivbND8AKqqqjhy5Ii+vsRov/aj1fhrUf5so/25lnVkw371GZAkCYfDgcPhoL29HVmW8fv9eDweJiYmsNlsBTH12wkja/RFRF8gEAgEAkFR+PCn4RNPbdX9AnztX+B7z8KLX4bjh6Nl66EW+rIsc+vWLTo7O4nH49y6dSvnY+Xrup+4UaAoCkNDQyiKklT/ncnYbMlUdG9ubnL9+vWs6/HTkU2NvlYjrqoqTqdTzyAIBoN4vV5u3rxJNBqlurqampoaqqqqChKpnZ2dZWlpicuXL2fUVWA3JEnC6XTidDqTov2zs7NsbGzgcDh04W+xWBgaGsJisXDx4sWiR6LD4TD9/f20tbVt6weQrrY/Vfxrn9sPaf77VeinYjabqampoaamBtj6O/T5fExOTrK5uan7irhcLkM9IBIxKvshGo1mXRIlEAgEAoFAkDUbQfiTz2/15NZQVQiF4bHPwJOP7tnSismhFvpms5muri5UVSUUCuUdkTcior+5uUlfX1/RHP8zEfper5fh4eGsDQrzmRNIMoFLFRrl5eWUl5ez3tjHAAAgAElEQVTT1taGLMv4fD5WVlYYGRnBbrfr0f7S0tK81pravs6IyGY60kX7vV4vL774IsFgkKqqKlpbW4suTjc2NhgcHOT06dN6TflupKvtT/yBrQwBbXMg9d4WQ4Qb3V6vWJSVldHc3ExzczOKovDcc88RCAT0rBAt2p8uKyRXjIroQ+HLDAQCgUAgEAgYmwarBTZTXpdl+PH1PVnSXnCohX4iRtXY5zPe5/MxPz/P2bNndxRVKirfl+bolzzUY6fHXIY5ZnzqvqqqTE9Ps7y8TFdXV96iWWO3iH5iNFiSpF3Fgdlspra2ltraWn3TxuPxcOPGDeLxuB7tdzqdWUUmdzLdKyRatN9isbCysqLXw2vR/oqKCr22v5ARUo/Hw/j4OBcuXMirtjpVzGsRfu1/tYwNs9mcV+vFbCh0e71iYDKZsFgsHDu2VWsWi8Xw+XwsLCzoG16a8M/nb9eIiH4xyjEEAoFAIBAIAGiph2j0ztclCU4cjrR9EEJfZy+FvqqqbGxs4Pf76e7u3jU1/GnTTf6naZhSzEQkmX92l/Dw3Imc5t5O6MuyzI0bN/QuBEaKop1c9zWRL8uynuadDZIk6dH+9vZ24vE4Pp+PxcVFhoeHKS8v16P9O13nbEz3CkE6PwDNrG1jYwOPx0NfXx+qquop/k6n0zCBPDc3x9LSEp2dnYZvJuzWwi8ej+vvFUqMF3ozYS+ErdVqpb6+nvr6elRVJRgM4vP5GB4eJhaLUVVVRXV1NVVVVVlF6I2K6GeyaScQCAQCgUCQN3VueMMvwNd/AOGErmhlJfDf37Vnyyo2Qui/xF4Jfc3kTFEU7rnnnl1FfhyFL5pHaVLLsbAlmKesq4xY1jmTw7rTpf1r5QPNzc20trbmcNSd2c51P7EePxeRnw6LxUJdXR11dXW6+PF4PAwODiLLclK0X5vP6/UyNjaWs+levszNzbG4uJjWD0CSJCorK6msrOTYsWN6FHd+fp6bN29SUVGhb2TkItBVVWV8fJzNzU0uX75csFIFjdQ0/1gsxs2bN3G73ciyrIt+LdpvlPAvtNAvRmnATnNIkkRFRQUVFRV6ecvq6qpe32+1WvVof3l5+Y5rFRF9gUAgEAgEB46nHoP3/gH8zTe2fq9xweO/By+7uLfrKiKHXugblSqci9APBAL09/dz5MgRNjc3M3oYVlBRUTGxtV4JCRMQI/cafU1MwVYk+ebNm7uWD+RDuiwCVVX1dRQqipsofo4cOUI8Hsfr9bKwsMDNmzcpLy/HZDIRDAYNM93LBlVVGRsbIxwOZ+wHkBrFDQQCeDwe+vv7URQl7UbGdmhZHGVlZXR0dBQ9+hqNRunr66OpqUmvQU+N9suyrAv+fL4nhRbixSgNyEaAm81mPfMDtgwWfT4fU1NTBINB3fyxurr6DlM/IyL64XDYsNIfgUAgEAgEgl0pK4XP/sGWuN8IQm31Vur+IeLQC32jyLZl3NLSEpOTk3R0dOBwOJiamspoo8CGmfvlNr5jmsZJCSFiVKulHA3l1mJOS6NXVZWZmRmWlpayqsfPZZMksUY/23p8I7FYLLpI1loHBgIBzGYzfX19emTcSGOz7ZBlmYGBASoqKnIW2Ymt2Y4ePXpHtL+8vFyv7U/dxNBEdmNjY95dFXIhFArR39/PPffcozvMpzP10zI+tNp+7XO5tPArpBAvZNmBEXOUlpbS1NREU1OTXg7i9XqZn5/XN4g0Uz8jziUYDBatDaZAIBAIBAKBTlnp1s8hRAh9g8hUmCmKwtjYGIFAgJ6eHqxWKwoq0yUhYpJCNfWU7XJbfku5RC1l/ES6TSN23hxsIxy/ndO6tYj+wMAAJpMpq3p8bXMj22ifNm4vRX4imuledXU1Fy5cQJIkXSTPzc2xvr6e1O7O6Jp1rX1dS0sLTU1Nhh13u2i/VipSXV2N2+3GarUyODiYJLKLydraGkNDQ5w7d47KysptP7ddCz9N8CdG+7XPb0cxavSLkbpvxGZCYjmItkHk9/tZWlpidHSUcDjM4uIiNTU1OYv1UCiUl6GjQCAQCAQCgSA7Dr3QL6a41KKmLpeLzs7OLUGJzO+bn+Vf62dAVWmzzPKn8VdRj33b49gw82vKWX7tpd8DUoAJeSmnNcXjcebn5zl27Bhtbdm5UO5kqrcTWo2+Fp3dS5G/neleqkhONMAD9Gi/w+HIa+3r6+vcuHEjq/Z1uZAa7ddMCqempvD5fFRVVRGJRIhEIkUtWbh9+zaTk5NcunQpKxGZGO23Wq36hlFipD/R0LHYLfz2e0R/J6xWa5KvxfPPPw/A6OgokUgkydTPYsnsPyFC6AsEAoFAIBAUl0Mv9BPRBGghHp7X1tYYHBzk5MmT1NbW6q//s2mGH5kWqVNKUeIyKyUhHjf38aj88oyPnW3ZgIbP52NiYgKXy5W1yM9nXkmSCIfDey7yMzXdSzXAi0ajeL1eZmZm2NjYwOFw6CnxqfXNO7GyssLk5CQXL17Ebt9+Y6cQWCwWZFkmFovxile8AlmWdZPCfFoSZkOis3821y0dWrTfYrHckS2SGPXXhL8Q+pmhbZK0trbS2tqKoii6qd/U1BRmsxmXy4Xb7aaiomLbaxoKhYr+HRcIBAKBQCA4zAihn4BmqGf0w/Ps7Cxzc3Ncvnz5jofdOQJYVAmTZEJGphIbU9J6VsfPVnCrqsrs7CyLi4ucOnUKn8+X1Xwa27nn74Qsy7jdbm7dusXs7Cwul4uamhpcLldR+5rPzs6yvLycU/s4m81GY2MjjY2NqKrK+vo6Ho+HmZkZJEmipqaGmpqabYWPqqpMT0/j9Xrp6urKW+Rmi6qq3Lp1i7W1NTo7O/WobKJJYWJLQrvdrm9kGGGopqoqExMThEKhgjj7p6vtT/xJrPHP19RvO4rlul/MvxnYurZa/T5AJBLB5/MxMzNDIBDQuz5UV1cn/V0Fg0ER0RcIBAKBQCAoIkLoJ6AJ/XyEV2KkUJZlbt68iaIo9Pb2phU0J1QXcUlFkVQUVWFdivIzSmNO684EWZYZGhoCoLu7m0AgkFNUHrLbYEiMsFZWVnLp0iUURcHv9+PxeBgbG6O0tFQXyYVy6FYUhZGREWRZprOzM2+hJEkSTqcTp9PJ8ePH9Wj/1NQUgUCAyspKampqdDdzRVEYHh4G4PLly0UXaoqicPPmTcxmMxcvXkw7/3YtCW/cuKFH+91uN1VVVVmvX1EUhoaGsFqtRXP2TxTz8Xicmzdv6l4E+Zr6bcd+c90vFCUlJUmbXoFAAK/Xy+DgIIqi4HA4GB8fR5blnCL6H/zgB/na176GyWSirq6Oz3/+82l9LMxmMx0dHQC0tbXxj//4j3mfm0CwH5mZg+dehKZ6eEXPoTOQFggEAkEWHHqhnyg0cmmRl4jZbNbN6bRe9I2NjbS1tW0raO5Vm/kV+QR/bxomZo7So7TyHvlCVvNmKrjD4TB9fX00NDToa8o1/T6bebcz3TOZTEktv4LBIF6vl6GhIWKxmOHp47FYjP7+ftxuN+3t7QURmanR/rW1NTweD9PT00iSRCQSoba2lhMnThRdpGnnX1NTs+N3MpF0LQn9fj/Ly8uMjIxgt9t1v4LdNmfi8XjS9S822vza+QNJEX7tuxyPx/XNgVzv0d2Sup8NiT4Q2ndlZmaGr3zlK1y9ehWbzUZraysPPvggJ06cyOj79/DDD/Poo48C8KlPfYqPfvSjPPHEE3d8rqysjOvXrxt+TgLBfkFV4Tf+O3zu78Bq3fq9sQ7+5UvQYpyHq0AgEAjuIg690E8kX6FvMpmQZZnV1VWGh4cz6kUvIfEbykUe2mhmcm6anzvTiUR2AjQTwe33+xkaGuLMmTN62i3kln6fOHY3Mz5NRGVSj19eXk55eTltbW13pI9rreFqampycr3XTPeOHz+e5JFQSCRJoqqqiqqqKkKhENevX6empoZIJMJzzz2H0+nUo/2ZmprlyubmJv39/Rw9ejTJdDBbLBYLtbW11NbWoqoqoVAIj8ejb85opRip0X6ts0B7ezv19fVGnFJWRCIR+vr6aGtro6GhQX99uxZ+icZ+qqpiNpuzivbfLan7+ZyHxWLh2LFjfPazn+ULX/gCMzMzWCwW3v/+9zM9Pc2Pf/zjXTeHErswBIPBPfPzEAj2mi98CZ7+XxCObP0ATM7AL78TnvvW3q5NIBAIBPsTIfQTMELoa7XP3d3dWbmXV0mlVEbMWYt82L1zwMzMDAsLC3R2dt7hbJ6rc742dqdNAlVVicfj+mezITV9XGsN19/fj6qqVFdXU1tbm5Hrfaame4XC7/czPDzM+fPndeGiKIoe7b916xYWi0WPjJeXlxsqaLT2dWfPnsXpdBp2XEmS9M2Z9vZ2ZFnG5/OxsrLCyMgIZWVleku2sbExTp06VdDOAtsRCoXo7+/n5MmTSZtc6UjXwi8x2p/Ywm+39n13Q0TfqDmCwSBtbW285z3v4T3veQ+yLGfszfCBD3yAp59+GqfTyfe///20nwmHw3R3d2OxWHjkkUd44xvfmPeaBYL9xKc+C8FQ8muyDP03YXYeWpv3Zl0CgUAg2L8ceqFvVOp+PB5nY2MDq9VKd3d31g/HWtq/kWj10Iqi0NPTk/bBuhCp+9ul6udKamu4WCyW5HqfWgefuI7Z2VlWVlZyMt0zgoWFBd2IMTF6aTKZcLlcuvANh8N4vV7dpK6qqko/p3zM6jRn/2zb1+WC2Wy+I9o/MzPD6OgopaWl3L59G0VRimq8qLUvPH/+fNabPNtF+xPN/LTPpUb7iyHCsxHLez1HKBRKyuRIPOZ9993H0tKd7UGvXLnCQw89xJUrV7hy5QqPPfYYjz/+OB/5yEfu+Oz09DTNzc1MTk7y6le/mo6ODo4fP573ugWC/cL6RvrXLWYIBIu7FoFAIBAcDA690E9EazmWLYFAgP7+fux2O+3t7Tk94OebTZBKunr8dBgt9I0W+emwWq00NDTQ0NCQ5Ho/PT2NyWTSBfLs7CyAIaZ72aI5ywcCAbq6unYVS6WlpTQ3N9Pc3Ky3MPN4PExOTmK1WnXX+2ycy2dmZrh9+/aeOPtLksT6+jobGxu88pWvxGKx4Pf7uX37NmNjY5SUlOilGIXagNAyOYza5Mgm2l/o9n3aGg5KRD8UCm17D7773e9mdIy3ve1tvPa1r00r9Jubt8KZx44d49577+XFF18UQl9wV/Hm18MnnoBINPl1exmcFF91gUAgEKRBCP0EchHbS0tLTE5O0tHRwdzcXM5iPR/BnYpWj3/69Gnd6G478tlgSK3vz6Ye3yhSXe8jkQjLy8v85Cc/QZIk6urq8Hq9eUfGs0GWZW7cuEFpaSkXL17M+jqktjDb3NzURevm5mZSS8J056SqKqOjo8RisT1x9tfaB/p8vqT2fZqwB/Ta/ps3bxKNRpNq+424T4uLi8zNzRUsk2O32v5QaCvHNlH8G42iKAX3djAyol9RUZH1uLGxMU6cOAHA1772NU6fPn3HZ/x+P3a7nZKSEjweD//2b//G+973vrzXLBDsJx7+v+HvvwaLKxDaBIsFbFb4/CehSP9pEwgEAsEBQwj9BMxms15TvhuKojA2NkYgEKCnpwer1aqb8eU6txER/dnZWebn59PW46cj34i+Vt+/FyI/HbFYjIWFBc6dO4fb7dYj4xMTE9hsNmpqaqitrS1YFDkSidDf309jYyMtLS2GHLOsrIyWlhZaWlqSWhKOj49TUlKi1/bb7XZkWWZgYACHw8HJkyeLfh9UVdXbF166dGlbgWu322lra6OtrQ1ZlpPaLGrRfrfbnVNLtunpabxeL5cvXy64ENZIjPYvLi7i8Xg4f/68nuGi/W1rotkI4X/QIvrZZKNoPPLII4yMjGAymWhvb9cd969du8YTTzzBk08+yc2bN3n3u9+t/1v2yCOPcPbs2bzXLBDsJ6qc0PcvW4Z8z/wAjrTBe94uovkCgUAg2J5DL/RTa/QjkciuY6LRKH19fbhcLjo7O/VjWCyWvBzs80FVVb139Xb1+EbPqz1Ya5HMQkUuM0UTv+fPn9ejh6mRcY/Hw/DwMJFIRG/fl0s/+HRsbGwwODjIqVOndjV9y5XUloTaOY2MjBAOh4lGozQ2NnL06NGii/zETYZTp05lPL/ZbL4j2u/1ehkZGSESieByuXC73dtmMGioqsrY2BjRaHTHTYZCMjc3x/LyMl1dXfomg1bKkljjr/2t5PM3c5B8AHIV+l/+8pfTvt7d3c2TTz4JwCte8QoGBgbyWp9AcBAot8N7fm3rRyAQCAQGEFHgT2bgs0sQU+EtdfCBdnDeHRL57jgLg8gkqr62tsbg4CAnT568o01bPhH9fAiHw4RCIZqamjhy5EjRBJ4kScTj8YLW42dCpqZ7ZWVltLa20traqkeRNYd4u92ui81suiVoaJsMFy5cyEnQ5Ip2Ti6Xi4GBAdra2ohEIjz//PNFqYPX0Da/mpqa9HrpXLHb7djtdv0+aVkZ4+PjelaGlsGgoSiKXi5x7ty5PclkmJqaYn19nUuXLiWJ43S1/aniX/tcti387vaIvkAgEAgEAkFBUFV4bT/8+zpsvhSo/dQcfNML17vBunfBS6MQQj+B3YT+7Oys7qCeLqXYaEO9TFhdXeXGjRu64CuWwFFVldLSUiYnJwmFQhm3ujMaRVEYHh5GVdWsTPcSo8iaQ/zt27cZHBxElmU92u90OjNqX7iXzv5a/X5HR0dSHXQwGMTr9ep18EZnMGho7evuuecePSpvFGazedsMhkgkQlVVFS6Xi7m5OWpra2lrazN0/kzQPBHi8TgdHR07Xtt0tf2ppn7xeFzfHNjpWMWK6BvVXk8IfYFAIBAIBPuGZ9fh/2fvzcMbu+t7/9fR6kXyIkv2eDzeZ5/xOpMQQhNo82uhMOltoWnKZSu595buKaUp/MrDhemFm4fQ8rv016eXtrc0BH6U0kJWSJsWSNOUQraxZY89Hu8e75K8SrbWc35/eM5BkmVby5E0k/m+nmeeJ5Glc75Hi6339/P5vN8/ihP5ACEFZkLwuBd+qbZ4a9OJW17opxOvF4vFGB4eRpZlbr/99j1bWY1GI5FIJG9rTWZ2dpZr167R09PD0NAQsVisIDPJqjBxuVxUVVWxsrLC9PQ0fr+fyspKzfU+32sJh8MMDAzgdDqpr29iaNSALMOxVoXSkoMfrxKfB9/S0kI0GsXn8zE3N8fw8DA2m02bGY8X8rIsawKvGM7+sBPfNzc3R09Pz65OBPWa1Dn4+Iz7srIybbY/PvYvU9bX1xkaGuLMmTNUVFTkejkHEt+VIcsyy8vLXLlyBaPRiNfrBdBm+wux6aRGWFqtVk6fPp2V8SLsbeqntvobjcaiRPjJsqxL6/729nZWZnwCgUAgEAgEeeHlTYgqu2/3x3Y2AYTQf32RSuhvb29rLckHVcyNRiPBYDDfy9Sq2JFIRNt40NO1fz/iK48GgwGr1Up9fT319fXIssz6+jper5fJyUktFi65zVoP/H4/g4ODHD16lLJyJ7//R2aujBuQgMOHFP7kk2EcVdkd22QyUVdXR11dHYqisLm5idfrpb+/H0Crik9MTOBwODKaR9cLNb4vEAjQ29t7oBhLlXHv9Xq5fPky0Wg0oYMhXfHo8XiYmJjQLb4uU4LBIFNTU3R0dOBwOFKmE9TU1OQtcSEWi+F2u6murqalpUWXY2YS4XcrzOgLBAKBQCAQ5IUmK1gMEEoq8pYaoCX7ItiNhBD67FR01apZvNBXW4RPnz5NdXX1gccxGo05i+2D8rdDoRD9/f24XC5OnTql3TffQj9+rniveXyDwUB1dbX2XCW3WevVOp5suvf/fcvI0FUDhw8pSBLMLUg8+ncmfu9D6SUo7IckSVRUVFBRUUFbWxvhcJiFhQX6+vowmUyUlpbi8XgK0sGgos6jWywWOjs7M95kiO9gaG5uJhqNsrKywsLCAleuXEnLr2B2dpbFxUV6e3sxm816XFZGbGxscPny5YROguR0AnW2f2JiArPZnJBOkOvGTCQS0TYADx8+rMcl7WKvar+iKESjUba3t7XbbvQIv0JEAQoEAoFAIBCkzTtqwG6EQAziJZRFgvfWFW1ZeiK+ecWhCn1FUZicnMTr9XL+/Pm0zdlyNeNTz7/XF2J1Hv/EiRO7ZqH12GTYi3REfiqSze9WVlZYWlpiZGSE8vJyTUymO9euKAozMzN4vd6Eefhr8xJW647IBygrU7g2n58K+9bWFvPz8/T29lJRUZHQwWAymRI6GPJR5VcFZl1dHY2Njboc02QyUVtbS21tLYqiEAgE8Hq9Kf0KAMbHx9na2qKnpycvlfKDUKv2+3USGAyGhMSFYDCobRBtbW1RVVWF0+mkuro6YwEaDAbp7++ntbWV2trCtXWp1X41XcBut1NeXp6y2q+X6I/FYlmZUyajxnAKBAKBQCAQ3BCYDfBiD/znIbjk37ntaCl89RQ4Cl/EygdC6MdhMpmIRCL09fVRUlLC+fPnM/rCnKsZ335V+fh5/FRt8LlsMkiStGcbsNo6rHYaZCtek1vH/X6/1g6vKIomkPcy9FPHFQB6enoS1tpxUubJfzSysAwGCSxm+E8/o78p4uLiItPT0wkCs6qqiqqqKo4ePaqJydHRUYLBYIKY1Kv92e12097evivxQS8kScJms2Gz2TS/gpWVFebn5xkeHiYajVJWVsbZs2eLIvIXFhaYnZ3N2PiwpKRkV7Xf5/MxMTGByWTSqv3l5eX7vsfV1+DEiRNpdfnojSzLDA4OUl5eTltbm7bW+Gq/OtsPmTv5pzpfrpsGQuQLBAKBQCC4IWkthf84B97wzrz+odyLGzcSQujz49b97e1tNjc3aW5upr6+PuPj5Cr0Uz1eFbjhcJjbbrttz+rjtknmNaMHpxTltOLARPpfzvea91VbhNX76IUkSdjtdux2O62trYTDYXw+356GfqrpnsvlSumTUF+nsOyTWN/Yub3CptBzVr/uBrXDY319PSEfPZlkMbm6uqpVkXONultbW2N4eLhgpncqarW/uroat9tNTU0NZrOZgYEBZFnWBHJFRUXefQqmp6fx+Xz09PTk1Aaeqtrv8/m0ToW9DCU3NzcZHBzk7Nmz2O32nK8nU1RPgJqaml3pAntF+Km/T6LRKJIkaZsz6X6e9ZrRBwruYyEQCAQCgUCQFs7Cp2YVAiH0r7O4uMjExAQlJSVZiXzQv6K/1zx+MnP4+WTTMNslYDSZ6JCdPBx7E1bS+4KefN5sW/WzxWKx7GnoJ0kSwWCQ9vb2PfPZv/pNE06HwtEWBUWB1XX45neMdJ/NfUZfdVU3mUx0dXWlLZAMBkNCLJxqfjc8PEwkEsnI/G5paYmpqSl6enpycsjPlmAwiNvtprm5mbq6nZml1tZWIpEIKysrzM7OsrGxsWc6Qa6orerhcJju7m7dZ9FLSkpoaGigoaFh1/tPrfZbLBamp6fp6urS3VgyHaLRKP39/dTX1x/oCRA/2282m7XPcryLv1rlP6jar0dFvxCmgQKBQCAQCASCRITQB0ZHR1lfX+e2227j5Zdfzvo4elb019fXGRwcTDmPn8z/NrrZNEWpj9mwKlb6DB7+SZnm5+S2tM4bL/QLLfJTrUU19PN4PIyOjnL48GGWl5e5du1aSkO/SAQMhp1/O8eASCT3dYfDYdxuty7z8GVlZTQ1NSVE3S0uLnLlyhXNr6CmpiZhHlpRFKanp1lZWdm3kyCf+P1+BgYGOHXqFFVViTEGZrN533QCdaMjl2q/ajxYUlLCmTNn8v5+TDaUDIVCTE5OMjk5idVqZWpqqmDxkSrhcJi+vr6EjZZMUKv9JpMpodofvwGg3i9Z+OtR0d/a2irK5ohAIBAIBALBrYwQ+sChQ4cS5l2zRa+K/tzcHDMzM3vO4yczJwWwySYwKEhImBQDSwQyPm+xRb5KvOne+fPntepwsqFfWVkZLpeLX/jZOn74Whnrm4ACiiLxzrfnNqMfCAQYGBjg6NGjB260ZEqyX4FqfhffDl9TU8P8/DxAXqrY6bCyssLVq1fp7Ow8MBotOZ0gEong8/m4du0am5ub2O12bTMjXZf+aDSK2+3G6XTualUvFD6fD7/fz0/8xE9gNBrZ2NjA6/UyNTWldW04nU5sNltePi+q8V97e7su78NUTv7x/2DneVc3B/SoxgcCASH0BQKBQCAQCAqMEPpARUVFTgJdJdeIO4PBoLWr7zePn0yP7OLvTB7KY2YiyEQlmVNKTUbnTW7rLZbIl2WZ4eFhJEnaZbqXSiB7PB7s1td44L5KXnipibLSEh74zxK392RvAKa6uqvxffkk2fwuEong8Xhwu90oikJNTQ3Ly8sZCWQ9WFhY0Mwfs3FdN5vNHDp0iEOHDqEoiiaQZ2ZmkCRJ8yvYSyCrYytNTU0cOnRIj0vKmKmpKVZXVxPSBVTzRXWNPp+PyclJAoEAFRUVWrVfj9dKNf47efLkrm4KvUh26Fcr/LIsE41GCQaDmsFftqZ+oqIvEAgEAoFAUHiE0E/BQVn2e6FGX2VDOBxmeXkZh8PB2bNnMzr/f5XPMB5c4rWSFUolhQ/GTvMmJX2fAUmS8Pv9WK3Wojipq6it8rW1tSlN9+KJF8itra10d0f4+Z/14vV68fv9XL5cgcvlyrjFenZ2loWFhawFbq7EYjFmZ2c5fvw4dXV1CQJZrSC7XK4D3eGzRR0XWF1dpbe3V5f2dEmSqKyspLKykvb2ds18cWpqCr/fv0sgqwL3+PHjmmFeIVEUhbGxMUKh0L6+DFarlcOHD3P48GFkWdZeq+np6Zyr/erIRKGN/+Ij/IaGhqisrMRqteYU4be1tXVgR4hAIBAIBAKBQF+E0E/ioCz7fKDO41dXV+NyuTIWBWWY+YO1s2xEg7Q3t2BJ04RPbdU/fPgw09PTjI+Pa2uorq4uaLu43+9ncHAw61Z5symSAe4AACAASURBVNmsGfopisL6+joej0czVHO5XFq+fSoUReHq1auEQiF6e3uLsuGhurrHz8PHC2S1gjwxMUEgEKCyslLbzNBjvYqicOXKFWRZzsh4MFPizRfV10oVyLIsEwqFihpfNzw8jMlkysgTwGAwJFT7w+Gw1uKfajNjP9bX1xkeHk5rZCIfqL4IZWVl+0b4qYkcRqNx32q/qOgLBAKBQCAQFB4h9EmMfTKZTESj0YIJ/fn5eS2b3ePxZD1CYDAYsMakjEV+LBajqqqK6upqLRLO4/Fw9epVbQbe6XQe6KL+gmGOr5lGiCDzs7Fm3hk7ioH0RJLH42F8fJyOjg5dhI0kSQmia3t7G6/Xy8jICKFQSNvMUA39otEog4OD2O12jh8/XpSxBTWGbz9xl1xBVgXyxMQEZrNZa4fPRlTFYjEGBgaoqKigtbW1YM9B/Gvl8/kYGRmhubmZ5eVlJicn94y6ywexWIzBwUEqKipoaWnJ6TmwWCzaaxU/ujA9PY0kSVq13263J5xH9UXo6urKKoYxV2RZZmBggMrKSlpaWhJ+lirCT23zh72r/YFAQFT0BQKBQCAQ3Lp4w/CZaXjcC3YT/HYD/Nd6MOT3+7YQ+knkaqiXLrIsMzIyQjAY1ObxfT5f1jP+RqMx7ceqX9AVRdGctiExEi7eJC5+Xtzlcu1qRe6TPPyJ+RIO2YoRA182XqFEMXFBbj1wHWo2+rlz5/I2g15aWkpjYyONjY27DP2sVitbW1s0Nzfn7KyfLbOzsywuLtLb25t2LF2yO3yqzQyn05lWZ0Y4HKa/v5+GhoYDo9vyxcLCArOzswnmi3tF3TmdTt1HF9T4urq6Oo4cOaLbcWHv0YXp6Wn8fr9mVKgoSk6+CLkSi8Vwu93U1NQcaH6YytQvvtof7+QvhL5AIBAIBIJbls0onH8VFsIQVoAQfHgMfrgBXzqZ11MLoZ+EHkL/oBl/VVg5HA5Onjyp3ddoNBKJRLI6p2qol87a1Jbb/QRgKpO4+Fbk+Lbxly1LWBQDNnYEmkMp4d+M8/sKfbVF2mAw7DLdyyfxhn7r6+sMDAzgcDhYWlpiYWFB28xIrrTmA3UWfHt7O8HwLRuSNzPUzozR0VFKSkq0an9JSUnC49R5+HykC6SLutnT09OTULVP3swIBoP4fD7Gx8fZ2tqiqqpKq/bn8tzlGl+XKcmjCxsbG0xNTeHz+bDZbMzNzeUcS5gp6kbHoUOHaGhoyPjxqar9qvh//vnnWV9f13vJAoFAIBAIBDc+jy6CJ3Jd5F9nS4a/XYZPNENr/jo4hdBPIlehL0nSvkJ/Y2ODgYEBjh8/jsvlSvhZLq79Bz021+i8+Bl4tdKqttyvNGyyeWQLl9GK0WgiKEWpkPeuTGdiupcvlpeXmZiYoLe3V2t1VyPh1EprRUV2hn7pEIvFuHz5MqWlpXR0dOj6HBiNRk3YK4rC1tYWXq+Xy5cvE41Gtao4wPDwMGfOnKGiokK386eLoiiMjo4SDofTihAsKSmhoaGBhoYGZFlmbW1N8yxQRxdqamooKytL+/nc3t6mv7+fY8eOUVOTflKFXkiSxMbGBrFYjLvvvhtZlnfFEqpdNul2e2RKJBKhr6+PI0eOUF+fvonnXqivo8Fg4Otf/zrDw8N8/etfz/m4AoFAIBAIBDcd31vdEfbJmCV4ZVMI/XwTLwpyFfrq41OJlvn5eaampuju7k7ZyprLufcT+rmK/FTniq+0Nm6v0h/9N4ZCi6Ao2E0lXAh1oJTv3vDY3Nzk8uXLRRNW6rjAysrKrnGB5Ei45LZxp9OJy+XK2VhM7eior6/XvU08GUmSKC8vp7y8nObmZqLRKCsrK4yPj7O2tkZNTQ2BQICSkpK8CclUyLLM0NAQVqs1I9M7FYPBgMPh0Fz5g8EgXq+X0dFRgsGgVu2vrq7es9qvOtufPn2aysrKnK8pGyYnJ9nY2EjY6Iiv9m9ubuL1eunv7wfA4XDgdDp1q/ar3QwtLS3U1tbmfDwVRVF49NFHefzxx3n22WdF675AIBAIBIJbk/ZSMAPJTdsKcCS/o5pC6Cehl9CPF5CyLHP16lW2t7e5/fbb96wO51LR32vd8fP4eoj8VDSUVvO/eSsvWZcIy1GavAYMS+v8cOOa5jZeU1PD6uqqrqZ7maKOC0iSdGAFOd4k7ujRo5qQzGYGPp5AIMDAwEDRNjpMJhOhUAhFUbjrrrsIhUIJQnIvkzg9iUajuN1unE7ngbPg6VJSUsKRI0c4cuSIZiqpGhxaLJZdRoVra2tFdbaPj/Dr6OhI+R6SJImKigoqKipoa2vTOk7Uar/NZtM+W9ls0oRCIfr6+mhvb9d1bENRFP7yL/+S5557jqeffroopoICgUAgEAgENwS/3gD/ex4icRrPBDRa4Y78dtQKoZ+EXkJfRW1Tr66u5sSJE/uKJ70r+oqi4I1tcU3axCaZaSN/VctKrPy0fF20OXf+qfPHHo+HkZERYrFYzm7m2RKJRBLEZaZriBeS8TPwajqBKiT3M1FbXV3lypUrBc9GV0nlCWCxWLDb7bS2tu4yiYvfpNFrdEGtIDc1NXHo0CFdjplMvKkk7DYqLCkpIRAI0NPTU5TYNzXGUJKkjLoZkjtONjc38fl89Pf3a2aZNTU1VFZWHnjMYDBIX18fx48f17oi9EBRFP7sz/6MF198kccff3yXJ4RAcKsTDsMPXtn57zvPQwEbqQQCgUBQDNpL4akO+MAwrEYhpsAbKuDrpyHPmkgIffRv3VcFtzqPf+zYsbTaYvWc0Y/FYlxRVnjE8hphKYaMwk/HmviV2CmkNGPvckWSJOx2O9euXcPlctHc3MzKyoomuBwOBy6Xi8rKyrya8amGc21tbbq0JyfPwKvpBAMDA8iyrFXF49urFxYWNEf1YogftVXeYrHs6QmwX7690WjM2fFefR0K3c0Qb1Q4NzfH9PQ01dXVuN1urFar9loWovKsZtSXlpbS3t6e9aZXfLW/tbWVSCTCysoKc3NzDA8PY7PZtNcrudqvvg4nT57UIij1QFEU/uRP/oT+/n6++c1vFnQURCDIhB++Cv/rr2B2Hn72p+A3fgWq9fso7Mn3XoR3/ReQr/sxGST4h/8D99yV/3MLBAKBoIjcUw3X3giTQbAZobYw35EkRVH2+/m+P3y9oCgK4XAY2JmjD4VCtLbuHw23F0NDQ9TX1xMMBpmcnKSzsxObzYaMwhJbAByiLKXY9vv9jI+P09XVlfF51c6Bc+fOafP4H7b+G0FiVGFFRmFW8vPfI7dzStGvgpfOmurq6nZF16kxd16vl7W1Na0N2el06hqzV+gqutpe7fV62dzcpKKiglgsRiQSoaurK+9Z8Hutye1243K5sm6VVx3vvV4vW1tbVFdXU1NTk7bj/cbGBpcvXy6a8R/AzMwMXq+Xzs5O7XVQjQq9Xi/hcFibga+qqtJ98ykWizEwMEBVVdWujHo9URQFv9+P1+vVIjvV6zKZTAwMDOj+OiiKwsMPP8zY2Bhf+cpX8haVeQNS+PakW5Tz588rr7zySs7Heewb8Osfg+0gKAqUWKHWCZf+GRzVOix0D3wr0HwbBLYSby8vg6mXwFn4SS6BQCAQvE6QJOlVRVHOJ98uKvpJ6OG6Pz09jSzL2jx+kCifM77KJckDwHmllt+PncNCokDKtaIfi8U0ka9IsCxt06jYdn6OhAFYl8IF2b45yHQvPuZOFSYej4dLly5hMBg00Z9LXvr8/Dyzs7MFraLHt1erueShUAhJkujv70+4rkIQDAbp7++npaUlp+i4VI73Xq+X8fHxA6viPp+P0dFRurq6itYqr0byJXszlJWV0dTURFNTk7b5tLy8zMjICKWlpXvGEmaKGl9XV1dXEANGu92ujWSo1X41wq+6uhq/34/Vat131CRdZFnm4sWLLC4u8tWvfrUom1kCQTqEQvBbH4et7R/fFgzBkgc+/xfw6Y/l79zfeHpnYyEZRdn52W/8Sv7OLRAIBIJbE/GNLIlchH44HGZ5eZmqqip6eno0gfqEYZzXpGUa2akovyQt8bRhgnfJx3Q7tyRJbG9v4/F4dqqsBiOnZQdXDWvUK2UEiQESDUr+BaYaXZeu6V68MGlrayMUCiXkpVdXV+NyudI2vlOFXSAQ4Ny5czllrGdLKk8A1dDv6tWrORn6pcvm5iaDg4OcOnVK1xbtZMf7ra0tfD4fw8PDu6riS0tLzM7O0tvbW5RWbnUeHjgwxjB58yk5ltDhcFBTU5NxtV9NWWhsbMybL8F+mM1mSkpK2N7e5g1veAOKouDz+bRRE/W6shmhkWWZj3/84/j9fh599NGifNYEgnQZvJL69lAYnnouv0J/dW3nPMkEQ7Cymr/zCgQCgSCPKAoshMEigfPGG1kUQh99ZvQ3NzcZGBjQRGn8McdYx4ZFa9e3YWaUtV3HyPbcanzemTNn8Hg8jI2NUVZWxi/UuvhaQ4Rpkx8LBn4r2kmjkr/2dUVRmJqaYnV1dVd03d6PgVfdBgZHJGqqFO65S6as1Mrhw4c5fPhwgoP66OhoQpU1VTUyPp++s7OzKMZ/29vbuN1uWltbEzwB9DD0S5eVlRWuXr1aEFf5srIyysrKaGxs1KriS0tLDAwMANDW1sYBI0J5QZZlBgcHKS8vp62tLaP3wl6xhEtLS4yMjKT9euXL2T4T1tbWuHLlCt3d3VrHhd1up6WlRbuuhYUFrly5Qnl5uWbqd1AXgyzLPPTQQwD81V/9VV59NgQCPXBUQzSa+meuPE+0/fSb4X/+6e7W/dIS+Jm35PfcAoFAIMgDL2/A+4ZhOrQjaM7Z4W9PQ9ONY0QshH4S2YjtxcVFJiYm6OzsxOfz7Xp8O5W8whLV7AgCPxHa2V1hzbR1XxX4siwjSZJWZY03iPv5H5kJGio57KilzmlDse3OttcD1ezNZDIdGF0Xz3e+a+Bvvm7CaoFwBF58SeGPHoqgaqd4B3W1yurxeLRqpCq27Ha75glw+PBhGhoadL/GdFhfX2doaOjAbPZsDP3SJd74T49Ng0xQr2t1dRWHw6EZMA4ODhKLxbRqfzrO8Lmgd4SfyWSitraW2trahNdrcHCQaDSa8Hqp7/3t7W36+/s5ceIE1dV5HP7dB3Vsoru7O6Vw3+u64rsY1Ncr/jMdi8X43d/9Xex2O5///OeFyBfcFLQ2QedpeLUfonF/psvL4MMfyu+5b+uGn3srPPVPPxb75WVw70/v/OxGQ0Hh35jju0xTQym/zElqKfzolUAgENyQLIfhnn7YjPtj8qMNuPsSjN8BxhvDwkeY8V0nHA5rX3TVL8YHoSgKo6OjbG5u0tnZidlsZnZ2llgsRnNzs3a/baJ81vgKbskLQI/i4g9i57Gyu831Bz/4AXfeeWda544X+fuJpnA4rBmOBQKBjFvhDyIUCuF2uzl06NAu0739rwHe/zsWqioUrNe7XWbmJD72W1F6Ow/e8IhEItp1bWxsEIlEaGpqorm5uSgtxOrIQldXV04O7qkM/dKJuVM7KtbW1ujo6CjKrLS64WO1Wjl69GjC+1KdFVdfL7vdrl2XnuZtaqv8kSNHqK+v1+24e6FWxb1eL+vr65SXl2O325mfn6ejo6No5oMej4fJyUm6u7uzGptIvi41ku/ee+/lc5/7HIcPH+bhhx++1UX+jfGX/BZALzO+xWV4+3tgZBzMpp12+k98GP7wQR0WeQCyDN/6Djz6dzt//37lfnjXO+BG+wjFkHkXT/EvzLBFBCsmDMCT/Dz/F80HPl4gEAhe9zwyA5+chGCSVLYb4Run4W2FdVgVZnxpkm5FX53BrqiooLe3VxM0RqORSCSScN9STPz32BuYJ4AE1FOOIYfvh4qiEIvFUBTlQJEPO7FpqVrh1ZZxl8uVMoYrHQ4y3dv/OiASBVOcJjcYEist+2E2m6mvr8dkMuH3+zlx4gR+v5+XX35ZM4hzuVwFMeKbmZnB4/GkPbKwH8l56WrM3dTUFCaTKaWhnzqLrigKXV1dRRFfahW9pqYmYaNLxWw2U1dXR11dnZYD7/V6uXbtGoB2XTabLetqv2o+WMhW+eSq+OLiIlevXqWkpIQrV65klG+vF0tLS8zMzNDT05P1+zH5umZnZ3n++ee5//77WV9f573vfS8vvPACb3rTm24ll33BTc6hWnjtn3fm9Zc8cK4TqvZuvtIVgwF+8cLOvxuZrzPCvzBDgJ3vMkF25h1+iadZ4tcxpyhSCAQCwS3F+PZukQ8QU2AmVPj17IEQ+kmYTKYDhb46j9/e3r7LyXyvjQIDEkew5by+TEX+rnUktcIHAgE8Hg/9/f0AmjhOx+0+U9O93WuBn747xrPfNVJTreDfkqiwwYmj6Y0vKIrCtWvXNIGtblQcO3ZMM1IbGhoiEolordV6iy1FUbh69SqRSISenh7dBbYkSVRVVVFVVcXRo0c1Q7/R0VGCwSDV1dU4HA5mZ2eprKyktbW1KL4E4XCYvr4+mpqa0jKci8+Bb2tr07pOJicnCQQCVFZW4nQ6cTgcaXcmBAIB3G637uaDmbC6usr09DS33347paWlKfPt1S6GfJkTLiwsMDc3R09Pj25dHZIkUVdXx8TEBO95z3t48MEHef755/nGN77BxYsX+d73vleU951AkC1nT+78E+zmy1zWRH48MRR+yAJ3kd/kEIFAILjh+YlK+NoS+FNoltvyH+edLkLoX0eSJBRFObCiHz+Pb7PtFu5qzF0+UOPzJEnSRVBKkoTNZsNms9Ha2qqJrYPc7rMx3duL9/9ijAobvDZg4Hi7zH/+hRiVaXw+ZFlmZGQEWZZTCuz42LRoNIrP59PElt1ux+VyHdgKfxBqLrrdbuf48eMFETrJhn4ej4ehoSHtPTE/P6+boV+6bG1t4Xa7s+rqUEnuOlG7GCYnJ/fsYohH9Ubo6OhI+bksBB6Ph4mJiQRvhL26GNSNNXUGPhsvhlTMzs6yvLxMT0+PruMrwWCQD3zgA9x99938/u//PpIkce+993Lvvffqdg6BQHBjsNdvImWfnwkEAsEtxX0u+B/TMB2E8PXKfqkB3lIFPULo37Cogj+Z+Hn82267bU9xm0tE3l5kMo+fC6la/ONd4VXRPz4+nrHp3l6YzXDfvTHuuzf95ywSiWgJBy0tLQc+HyaTKUFsbWxs4PF4mJ6e1iLVnE5nRhnvoVBImwM/fPhw2o/Tk1AoxNTUFGfPnsXhcOhq6JcuGxsbXL58mTNnzug2i24wGKiurtYM7JK7GKqqqnC5XFRVVWE0GhMM53LxRsiFxcVFrl27Rm9v756/G5K7GFQvhmvXrrG5uZmzZ8HMzAw+n4+uri5dRf729jbvfe97edvb3sbv/M7viMq9QPA657/Qwb8zv6uqb8HAHRTn751AIBDcUJQY4aVe+PQ0/J0HrBL8aj18OH2vskIghH4aqPP4drs9YR4/FclCX0bhWWmK7xquYcXAffJxepXaPR8PaG356n8XQuQnk6rFX43gslgsNDQ0sLW1lVaLv56o0XUtLS27xibSQZIkKisrNUd8VUSOjIwQCoUSMuD32sTw+/0MDg5y/PhxLUu+0KgV7LNnz2K37+wcqt0ZLS0tu0RkuoZ+maAK7K6urow2STIlvoshOW5RkiTC4XDOBoi5MDs7y9LSUsat8sleDBsbG3i9XmZmZpAkSXu97Hb7gZ+xyclJNjc3dfdnCAQCvPvd7+Zd73oXv/ZrvyZEvkBwC/CLHOcpxnmcUSLIWDAgIfE4/+m6LZ9AIBAIqDLDHx/d+XeDIoT+dfb6Auv3+3G73bS1taU1e5ws9P9ZmuErxmHqlDI2iPInxtf4VOwNHFNSx22pEXtGozHneXy9ULscvF4v3d3d2Gy2tFr89WZtbY3h4eEDo+syIbkVPj4rvby8XGvxV+epVXF79uzZorWILy8va27qe4nbbAz9MmFhYYHZ2Vl6e3vzNmueivgNqNnZWebn5zly5AhjY2NEIpE94+DyhZpy0N3dnVMVPX4Dqr29nXA4jM/nY3p6Gr/fr23UOByOhGq/oiiMj48TDAY5e/asrte8ubnJL//yL/Pe976XBx54QIh8geAWwYDEV3k7r7Kkxev9IseppLBxrQKBQCDIDSH092FpaYnx8XE6Ojq0qulBGI1GZPnHxgwvGuZwKqWUs/Pl3K9EuCR59hT66kaBJEnahkGx46viY+PUyu1eLf7l5eWaiNRTAC4uLmou4vly0Vfb+F0uF4qi4Pf7NaNCSZKwWCxsbW3tmUleCK5du8by8vK+LeLJpGPo53Q6096omZ6exufz6Wr2lgmKomgV7HPnzmE0GmltbdXi4NTOk3y9F9U1jI+Ps729TWdnp+6fUYvFQn19PfX19QkbNdPT09pmh9PpZG5uDlmWOXPmjK5CfH19nfvvv5//9t/+G+973/t0O65AILh5OEcd58i8c04gEAgENwZC6KdAncdfX1/fdx4/FclmfOWKmUVpS/v/iCRTpuz9tBsMBiKRiFbBL2YVLR3TveQWf7/fn2A2ps6/Z9virygKT//jAq+6oePM7ZxJz5A/ZyRJwm63Y7fbaW1t5erVq6yurlJSUsKlS5cK1sWgoigKY2NjbG9v5+zun9zFkOzFoIrjZEM/9XMRDod18WfIBjXlIBqN0tHRkbCG5Dg49b3odrt19SxQFIWRkREUReHs2bN5/4zGb9TAjjeD+hmLRqPU1tbi8XgySijYj9XVVe677z4efPBB7r///pyPJxAIBAKBQCAoPELoJxGJRAgGg8RiMc6dO5fxl/jk1v375GN82vQS02wio1CrlPETckPKx6qu/7Ozs9TX12fdVq0HsViMoaEhzGZz2qIuWRyrgkStfKrieL/59+Q1/MWjc3z5m42UlJbwvf+AZ7+n8Gf/M0xpgQrqsixz+fJlLBYLb3jDG5AkadeceGlp6Z7iWM81WK1WOjo6dBWWRqNRW7vqxZDK0M9mszE8PIzVatW9epwusiwzNDSE1Wrl9OnT+64h+b24l2dBcit8umsoKSmhvb29KM+D2WxmdXWVQ4cO0draysbGBj6fT0soUF+zbDbXvF4v9913Hx/96Ed55zvfmacrEAgEAoFAIBDkGymVw3wc+/7w9UQsFmNtbQ23242iKJw/fz4r0aYoCv/xH//BnXfeqd02h58ByYsFA+eUupRzbuo8figUwuPx4PV6CYVC1NTU4HK5dM9/349QKITb7aa+vp4jR/bPyx2T1rhsWMGmmHmTXE/JHntH8ZXjtbU1bf7d6XSmFFrhcBi3283HPnsOm82qCfuFZYlPfSTCW+7Mf2k/EonQ399PXV0djY2pXTQVRWFra0t7zWRZ1oRzOiZq6azB7XbjcrloamrK6VjZnNvn8+HxePB4PJSXl9PS0qKroV+6xGIx3G63lrSQC/HGdz6fD4PBkOBZsNdrpsYpVlVV5byGbJFlmcHBQW0DI5lgMIjP58Pr9bK1tUVVVZW2oXGQh8DS0hK/9Eu/xCc/+UkuXLiQr0t4vSGMC7JAkqRG4DGgjp3vGX+pKMoX9nvM+fPnlVdeeaUQyxMIBAKB4KZCkqRXFUU5n3y7qOhfx+v1ajncY2NjWUfkpRIJDdhoUPY2blMUhWg0CoDVaqWxsZHGxkZt5ljNf6+oqNDM4fSMz4pHjUs7ceLEgY7yPzQs8Efml4khowCnZAePRN6Eld1rS64cq/Pvly5d0oSWy+WirKyMQCDA4OAgR48eQ5FKMJt/vN8kAaGwzhedAjUbvr29HZfLtef9JEmivLxcE8GqOFZN1CorK3G5XGkJrWSCwSD9/f20trZSW7t/UkM+MJvNOBwOZmZmOHXqFGVlZQmxhOrrWVZWltdNqEgkQl9fHw0NDbpEGSYb34VCIXw+n2YumUocR6NRbdPnoM2vfKFudtTU1Oy56VNSUkJDQwMNDQ3Issza2ho+n4+JiQnMZrNW7U9+zRYWFrjvvvt4+OGHeetb31qoSxLcukSBjyiK8pokSXbgVUmS/llRlKFiL0wgEAgENzGBGHx5Ef5lFVpL4NcOw7H8JUPd6Aihf53y8nLOnz+PxWLBZDJlLfQz4aDovOSZ4/X1dTweDxMTE1itVs04Tq928aWlJaamptKOS/tz0wA2xYwdCwoKVwyr/NCwyJv3GE1QiW+rbmtr01r8R0dH8fv9RKNRjh07hsNRzVvfEuOZfzbiqFLY2oaSEug8nd9qvurun002fLzbvSzLmonaxMQEFotFE8cHRcFtbm4yODjIqVOntNnsQqNudhw7doyamhqAXbGEo6OjCWMZensWqJsdbW1t+2645ILVak0wl1xbW9NGTiwWC9XV1SwtLdHc3Ex9fX1e1nAQsViM/v5+amtr095oMBgMOBwObcMu2YRxdHSUkpISuru7+eAHP8jnPvc57rnnnnxehkAAgKIoC8DC9f/elCRpGGgAhNAXCAQCQXasRuD8q7AYhi0ZzMAX5+FbZ+GtxYnDLjZC6F+nrKyMSCQC7FSf1Qp7vkgl8iPECBCl4npqbTzxhlzHjh3T2sXVWWq1Im6z2TKurqou5mtraxm5ufuJYGPHzVxCQgK2yfx5s1qtWgUyHA7T3t7O2toaMzMz/NQbbMQi7fQPV3DksMRvPxChPo/FbXWzQw93f4PBQHV1NdXVOwkLW1tbeL1ehoeHiUQiWnU1eSxjZWWFq1ev0tnZWTSfBrWzY6/NjlTZ9qqhX7xnQS7PobrRcOLECe05zDfJ4nh9fR23243FYmF6epqNjY2MEgr0IBqNah0NuWw0JL9mwWCQxx57jD/4gz+gtbWV4eFhWltbaWtr03H1AsH+SJLUAvQAPyruSgQCgUBwU/PZGZgLQeh6J3AEiMjwK1dg7o1guPWm7YTQT0GyoZ7epBL5r0nL/L/mfkLEqFXKeCjau2+7f1lZGc3NzTQ3NxOJRPB6vUxOThIIBDKqrqqmbxpOrwAAIABJREFUexaLJWMn9bvlBr5jnKJOKWObKCYMnFEy3zFTndTD4bAWl6bGivn9fhzVi9zzxkEMBgNWo5NAwKW7AFYUhenpaVZWVjh37lxeZtDLyspoamqiqalp11iG3W7H5XIRiUSYn5+np6cnL8Z+6eDz+RgdHU27syM5eUHdhBocHESWZRwOBy6XKyO3e3Wj4ezZs2lHW+rN9va21tnhcDj2TCioqanJW9xiJBLh0qVLNDc3U1enX8yVwWCgqamJ4eFhnnzySQ4dOsSzzz7Lgw8+yLlz5/jUpz6l27kEgr2QJMkGfBP4XUVRNlL8/FeBXwUK7lEiEAgEgpuMb3l/LPLj2YzC6DacuPVa+IUZ33VkWdYq+mNjY9jt9qy/WP/gBz/gjW98Y0pRo5ruKYqiiXwP2/ye5QXsioVyzHjYpgor/0/krut18syuQxUjq6ur+5reZWK6l4ogUf7SNMiLhgWqFAu/Ee2kW8msvToajTIwMEBFRQVtbW37CsF4o8JgMIjD4cDpdKbt4r8XsixrcWknT54seGycOpYxNjbG5uamJvpVz4JCsrCwwLVr1+ju7tYlez4SibCysoLH40m4tv3c7uM7Ggp9/SqBQAC3283p06e1cYV44jc0fD4f0Wh0zw6NbAmHw1y6dCkvYwsjIyN84AMf4Etf+hLnz+/ybsmKBx54gGeeeYba2loGBwcB+MQnPsGTTz6JwWCgtraWRx99VBefhRuIW688oBOSJJmBZ4B/UhTl8wfdX5jxCQQCgWBfel+BS/7dt5cYYOwN0FCcAloh2MuMTwj968QL/cnJSW1uNxt+9KMfpawKx2IxZHlnvjxeTLolL581v8ph5cdV6muSn/8Tvgcb6Ud/JRNveuf1ejEYDJqAjEajaZvu5YtgMIjb7aaxsTHjluRYLKYJyPX1dWw2m9YunklcmrrRoDqpFys2Ln6jIRwO4/V68Xg8hEIh3TY0DmJ6ehqfz0dnZ2deOhpUt3uPx8PKygpGo1FLlVDN4ZaXl5mcnKS7u7toHQ1qN0FHRwc2295dNfFEo1HN7X5jY0N7P9bU1GS1YRIMBunr60vwR9CLoaEhHnjgAR577DG6u7t1O+4LL7yAzWbj/e9/vyb0NzY2tNGPP/3TP2VoaIgvfvGLup3zBkAI/SyQdn7RfhlYURTld9N5jBD6AoFAINiXv1mA3xrdmc9XMQLn7fDDc0VbViEQrvsHEC/wcm3dVx+viqWDTPcclBBDIYKMGQN+IpRhojSFe30mpDK983g8uN1uAoEA9fX1GAwGrbugkKyvrzM0NJS12ZzRaNQ2LRRFYXNzM8HFX+1i2K/FX91oaGpq4tChQ7lcTtaokW0VFRW0trYiSVLCLLW6obG0tMTIyIjWoZGtgEyFoiiMjo4SDoczHt/IhHi3e9htDmc2mwmHw/T29hZN5K+urjIyMpL22IKKyWSirq6Ouro67f3o9Xrp7+8H0Kr96UQubm9v09/fz8mTJ3U3YnS73fzqr/4qX/va1zh79qyux7777ruZmppKuC3e3yEQCBRlI01wQ/Im4H3AgCRJfddv+0NFUb5TxDUJBAKBIFO2YvCEFxbC8KYKeEMFFOtv/QcOwQ834LElMEs75erDFviHM8VZzw2AEPopMBqNWnU/28erGwUHiXyAI4qNX44e4+umUYxIGJB4KNKDkfQFV5gYIWLYMO/Z7m+xWAiFQlitVnp6elhfX98V3edwOPKeka4a3nV3dx/oPp8OkiRRUVFBRUUF7e3tmoC8evWqVhF3uVxUVlZqIvZGcLUPh8P09/fvGxuXvKHh9/sTBKRqwrhf/vt+yLLM0NAQVquVM2fOFFSIxW9oTExM4PF4qKqq4rXXXtPN0C8TVKf97u7unM4Z/35sa2sjHA4nRC7u91k7aGQgF1577TV+8zd/k2984xucPHlS12Pvx8c//nEee+wxKisr+f73v1+w8wpuXBRFeRHRDSEQCAQ3N4N+eHMfhBUIyzvi+s1V8MRZMBd2DBbYMdv7ixPwh83wo42dVv07i7jxcAMgWvevoygK4fBOQPvi4iKBQID29vasjuV2u2lra6O8vHzXPP5+zEl+VglRr5RTQ/pC418MM3zNdBUZheNyFb8V7aKCxGpvLBbj8uXLWK1Wjh8/nrCW+Oi+lZUVLQbO5XLpKrIURWFqaorV1VU6OjoyarHPllQt/iUlJXi93qK62qeKrsuU+Bb/ra0tqqurtfz3dKry0WhUy2Vvbm7Oag25Et9NcPr0aa3DJNX8e6aGfpmwtLTEzMwMXV1dunVKpEL9rHm9Xnw+HyaTSdvQkGWZwcHBvBgQvvTSSzz44IN885vf5OjRo7oeO56pqSkuXLigte7H8/DDDxMMBrl48WLezl8Ebt1vDwVGtO4LBALBDYSiwImXdkzu4ikzwCNt8JuZe38VDUWBryzBp6d3OhPO2+CRdrgts4jtYiJm9NMgFAoBaIL3xIkTWR1ncHCQI0eOaCIyn3PVV6VVPmN+mXqlHDMGZqUA52IufivWpd0nGAwyMDDA4cOHaWjYP+MefhwD5/F4iMVi1NTUUFtbm1V0n4osywwPD2MwGDhx4kTBDe9gR2SNjY2xuLiI2WzGbDZrGxqFNH1bW1tjeHhYV0GnmjB6vV5WV1cTKuKp2uDD4TB9fX1FHVtQ3xMmk2nX5lM8qQz91Pl3PTaL5ubmWFxcpKurK+/dLMmo3SeLi4usr69TW1tLfX091dXVGI25je6o/Pu//zsPPfQQTzzxBC0tLboccy/2E/ozMzO8/e1vT/mzmxgh9AuEEPoCgUBwAzG6Bd2vJM7Dq3SVQ99thV9TtvzxDHxyKvFaygzwYg/0FCf5KVPEjH4GmEymrGf0FUXBYDDg9XopKSnJe9V6VvIDEpbr8/x1SikjhlW4vnx1Fv7kyZNpZ5HHx8BFIhF8Ph9TU1P4/X6qqqq0tuN0xXokEsHtduNyuWhsbCzKnK4q8re3t7nzzjsxGo2ayBoZGSEUCiW4pudrIyLebE6PsQWVvSLuBgYGkGVZq4jb7Xa2t7dz7ibIFdWboLKy8kATRLPZnDD/vrGxgdfrZWZmBoPBkLBZk+l7S41U7O7u1k1YZ0JJSQk2m41oNModd9yhvSfHxsawWq3aZk2275V//dd/5eMf/zhPP/00jY2NOq/+YEZHRzl27BgATz75ZEFHBgQCgUAgEOSJFPpeI38J5foTluGPpndvWGzL8IlJeKazOOvSCSH045AkCUVRsjbjU+fxGxsbmZub49VXX8VqtWoz1vkwGKtWSpBRkFEwILFGiEZlZ/dpcXGR6enpnESl2Wzm0KFDHDp0CFmWWVtbw+PxMDo6SllZmWZ6t1e7cyAQYGBggPb2dt0jwtJFHVsoLS2lo6NDE4OpTO8WFha4cuWK7lVjgGvXrrG8vExvb29eN4AkSaK8vJzy8nJaWlq0zZqZmRnW1taIRCK0tbUVzZtA3fipq6vLONYx3tBP9WPw+XyMjY0ljC8cVBFXFIWJiQkCgQBdXV1F6TCBH0cJqr4A5eXl2uaL2lkzPDxMOBzOOH3hu9/9LhcvXuSZZ54pSKTdu9/9bp5//nm8Xi9Hjhzh4sWLfOc732FkZASDwUBzc/PrzXFfIBAIBIJbk+Ol4DTDTCjx9lIDvD+7ePKiMBcCOUUDu0LqqL6bDNG6H0c4HEZRFAKBAKOjoxlFTymKknIeX62sejweZFnWRH+25mnJyCj8tXGIF43zmJCwKRYeivQQGl9mY2ODjo6OvEWlBQIBLbpPkqQEYzjYETEjIyN5mTlOF9Xwrr6+Pm1RGV819vl8miGe0+nMqsU/vpvg7NmzRROVPp+Pq1ev0trayubmJj6fD4vFol2bnh0GexEKhejv76e5uZm6On3/ECSPL5SUlKQ09FMUhatXrxKLxTh16lTRnODjzf8O2gRUN6K8Xi9ra2uUl5drG1GpHvvss8/y2c9+lqefflr351mQgGjdLxCidV8gEAhuMH60AT/dD1FlpwJuM0JnOXy3C0oK3yWZFYEYuP59Z/3J3FUJL/QUfk1ZIGb000AV+sFgkMuXL3PuXHqZi3uJ/FTHjzdPU93gc81HV1CYkTbZJkZ9tJTpy1cpKSnh2LFjBRMxoVBIu7ZgMIjFYiEYDNLb21sw1/Rk1G6CXFvUg8GgtqGhtvirLv4HPb+yLGsmiIV8PZJZWFjg2rVrdHd3J3RfbG9va9cWiUQSxhf0XqsaG3f8+HEcDoeux05GHV/wer14vV7N0K+mpoa5uTmsVitHjx4t2uuxvLysJU9kav6nbrKp1ybLMlVVVczPz3PXXXfx7W9/my984Qs8/fTTOJ3OPF2B4DpC6BcIIfQFAoHgBmQlAl9b2qmM31UFb3PsuN/fTPzOKPz1wu4Z/ac64J70xp6LjRD6aaAK/UgkwqVLl7j99tsPfEwsFts3Om+/x8W7wdvtdi0fPdsKvJoL39DQkJbpXj5Qq6Xr6+uUl5ezsbGhy7VlyurqKleuXNG9myAWi+Hz+fB4PAdeW7w3QVNTk25ryJTp6Wl8Ph+dnZ37Pv/RaFR7T8Zfm8PhyHnUwO/3MzAwkJfYuHSIRCLa7LvqWaD3aEa6LCwsMDc3R1dXly7njkQiTExM8IlPfIKBgQEikQif/vSneec731m08YxbiJvs28zNixD6AoFAIMgLURn+cBL+fG4nKtBlhv91FO6rLfbK0kYI/TSIRCJa5v2PfvQj3vjGN+55X3UePxuRn+pYGxsbWmU1m7n+bEz39CYWizE4OEhZWZlWLY2/Np/Ph9ls1q4tX5V+tXrd2dmZ126CVNemji9IkkR/fz+tra3U1hbnF0Wq6LpMHps8vqC2wWcaSbi2tsaVK1fo6OgoWpxhLBajv78fl8vFkSNHEq5NNfRTry2fVX7V4T8f5n9/+7d/y5e//GUuXrzICy+8wHPPPYfZbOaJJ54Qgj9/CKFfIITQFwgEAkFeicoQkKHCCEXq+MwWIfTTQBX6AD/4wQ+48847U95PFfmxWAyDwaC7MEie63c6ndTW1u4pQlTTvc7OzoLMWadCnb0+cuTIvsZfaqu4x+MhGo1qwthut+f8PCqKwuTkJOvr63nzJtiP7e1tLSptY2OD2tpaGhsb89IGfxCyLDM0NITFYtFlZEB1g/d6vQSDwbSN4TweDxMTE3R1dRVthCMSidDf309DQwP19fW7fq6OnXi93owM/TJlZmZG66zQ87iKovCVr3yFv//7v+fJJ5/EZrNpP1teXtY2njLlgQce4JlnnqG2tlaLxHvooYd4+umnsVgstLe38zd/8ze3+ibCzfVN4CZGCH2BQCAQCFIjhH4apCP0053H14v95volSWJiYiKvpnvpsLm5yeDgICdOnMho9lp1g/d4PAnRfdkILDWT3Wg0cvz48aIa3o2OjnL69Glttr/Q4wvRaBS3201NTQ3Nzc26Hz8Wi7G6uorH49GM4dRri583X1hYYHZ2lq6urozn0PUiHA7T19dHS0tLWp0V6Rr6Zcrk5KT2OdXzvakoCn/913/Nt7/9bR5//PGszCL34oUXXsBms/H+979fE/rPPfccP/VTP4XJZOKjH/0oAJ/97Gd1O+dNiBD6BUIIfYFAIBAIUrOX0BfxenEcJNoVRSEajQIUTEhaLBYOHz7M4cOHNYG1uLjIlStXiMVi2Gw2zp49WzSR7/F4GB8fp7OzM+O27L2i+8bGxigtLT0wuk9FnYV3Op00NTUVzWBtfn6e2dlZenp6sFqtVFRUUFtbm9DiPzU1ldDir3cHhipsm5qaOHTokK7HVolv41cUBb/fj9frpb+/HwCn00k0GmVjY4Oenp6ivTdV879MzBgNBoNm2gdopneXL1/WDP0yMStUFIXx8XGCwWBeRP4Xv/hFvve97/HEE0/o/l66++67mZqaSrjtZ37mZ7T/vuOOO/iHf/gHXc8pEAheXwSJMoiXGkpppfD+LAKBQHArI4R+Gug5j58LqsCy2Wz09/dTV1eHoii89tprmM1mamtrc648pouiKMzMzOD1ejl37lzOpmIGgwGHw4HD4UiI7lPFozrXX1ZWlvD8b29v43a7iz4LPzU1xdraGufOndvVjRCf/X706FGtxX94eFhXp/utrS3cbnfOKQOZIEkSdrsdu91Oa2sroVCIoaEhNjc3MZvNjI2N5aUN/iDUxIVTp07lZP5XXl5OeXk5zc3NRKNRfD4fc3NzDA8PY7fb9zX0i4/xO3PmjK6/NxRF4Qtf+AIvvfQS3/rWt9L28tCTL33pS9x///0FP69AILg5+GsG+F2+jwGJCDLduHic/0QdxfFqEQgEglsNIfT3QR1ruBFEvopqunfq1CltNvbo0aPaXP/g4GBac/25IMsyIyMjyLJMT0+P7t0NkiRhs9mw2Wy0trZq4wtqFn11dTUulwuDwcDw8HDRnNzhx8+Foih0dXWl9VyUlpbS2NhIY2PjLvFYUVGhOd1nUgnf2Njg8uXLnDlzhoqKilwuKWsURWFiYoKSkhK6u7tRFCWhS6OkpETr0sinMFVHSfROXDCZTNTV1WkbbKqh38zMzC5DP4ArV65gMBg4deqU7iL/kUceYXh4mG984xtFGYv4zGc+g8lk4j3veU/Bzy0QCG58/o1ZfofvsUVUu+1lFrmXJ3gJ8XtDIBAICoEQ+ntgNBqJRqOac/yNIPJV073u7u5dbbplZWU0NzfT3NysRYmNj4/vmuvPVZRHIhEGBgZwOBw0NzcX5DlJHl9YWVlhamqK1dVVampq2N7epry8vOAt4rFYjIGBASorK2lpacnquUgWj+vr63i9XiYnJ7WEAqfTuW9btuoL0NXVpeuMdibIsszg4CDl5eW0tbVpnxe1SwN+3AY/ODhILBajpqZGNyNGFdXhP9/PRXyXRnt7u2bop37mZFnGbrdz+vRpXT8jsizzmc98hpmZGb72ta8VZSzi0Ucf5ZlnnuG73/1u0X8nCgSCG5PP82qCyAeIonAZL1dZ4Tjp+/kIBAKBIDuE0I8j/kur0WgkHA5jsViKLvLVOV+/38+5c+cO/HJvNpupr6+nvr4eWZZZWVlhaWmJkZGRnEzhtra2GBgYKGqbvNFoZHt7G0VRuOuuuzTDu+npaUwmk9bin+/0gXA4rDm575cykAmSJFFVVUVVVZXW4u/xeBJa/F0uFxUVFdr7UY0S7O3tLZrhnWr+53K5aGxs3PN+8W3wqhHjzMwMm5ubVFZWam3w2bb4+3w+xsbG6O7uLrjDv9Vq1Vz9BwYGMBqNmM1mXnnlFS0uM9exGlmW+eQnP4nP5+PLX/5yUUT+P/7jP/LII4/wr//6r0XbVBIIBDc+8/hT3m7GwBJbQugLBAJBARCu+3HEYjGi0SixWIyZmRlmZ2eprKyktrYWh8NR0Bnj+DUlZ9NnSy6Z9mtra0Vvk1dnniORSMpceHX23ePx7CmM9UCd/y7kLLza4u/xeNjc3NTa84PBIF1dXUUzvFM3PI4cOZIyui4d1E4Gj8fDyspK2p0M8SwvLzM1NUV3d3fRNjzUDo/q6uqEtAO1k8Hr9WZl6Ac7Iv9jH/sYkUiEP//zPy/I76J3v/vdPP/883i9Xurq6rh48SIPP/wwoVBIe9/fcccdfPGLX8z7Wm5gREtDgRCu+zcXn+Tf+SwvEyKWcHspJpb4dewU5/e0QCAQvB4R8XppEI1GCYfD2jw+7MzELy8vs7KyojnBu1yunM3n0iEYDOJ2uw/Mps+Wra0tTRjHYjHNCd5msyUIELVq3NnZWbQsdFVE2e12rTV8P5KFcWVlpTb7notIUjc89J7/zgS1Td7v92MwGLBYLGlv2OhJMBikr6+Po0eP4nQ6dTtu8oaNOnqylzCen59nfn6erq6ugnwuUxGLxejv76e2tpYjR47seT/1fen1erXYxf0M/dRjf+QjH8FqtfKFL3yhaNGRgpQIoV8ghNC/uVhhm04ew8u2JvbLMXGRO/kItxV5dQKBQPD6Qgj9NLh48SKyLHPvvfdy8uTJhC/U8U7wHo8Ho9GY1zbxVKZ7+USd6/d4PAQCARwOB06nk9XVVfx+f1Ej/EKhkFY1zmbDQ5blhIpxtqZwy8vLTE5O0tXVVbQND1mWGRoawmKxcOzYMSRJ0lr8PR6PVjHORydDPIFAALfbnff3ZzQaZWVlBY/HowljdcPGbDYzMzODz+ejs7OzKB036hr7+vo0H4l0iTf08/l8uwz9JEkiFovx27/92zidTh555BEh8m88hNAvEELo33z42ObzvMIzTFBHGb/Hed5Ga7GXJRAIBK87hNBPA4/Hw1NPPcVTTz3F9PQ0P/mTP8mFCxe4/fbbd4kIdTZcrTqqLvfJ1fBsUCvoHR0deZ81T4Usy3i9Xq5evaoJx9ra2qzm+nPF7/czODjI8ePHNVO3XFE3bLxeL4qiaJ0M+yUUXLt2jeXlZTo7O4tWNVZn4WtqahJaw5Pvk9zir3oy6CWE1U2ojo4ObDabLsdMh2RhHA6HMRqNBV9HPJFIhL6+Ppqamqirq8vpWKqhn9fr5fd+7/dobGxkc3OTzs5OHn74YSHyb0yE0C8QN6vQX9+AkXFoPAz1uf2KEAgEAoEgJULoZ8jW1hbPPfccjz/+OK+++iq33XYbFy5c4C1vecsu8a0aiy0vLxMIBKiurqa2tjZjl/t4071iVtDVuev6+noaGhqynuvPFdVN/uzZs3kTcmp0n8fjSZlQoCgKY2NjBINBzpw5UzSxFQ6H6evro7GxMe1Z+PjZd5/Ph9Vq1TY1sn3t4h3+i7EJBTvXNTo6SigUorq6Gq/XSzAY1GIX9UiXSAf1NWltbcXlcul67M3NTT70oQ/h9XrZ2triyJEjvOMd7+Cd73yn7ucS5IQQ+gXiZhP6igL/9/+EL/wVWCwQDsPb74Gv/hkU6VenQCAQCF6nCKGfA9FolBdffJEnnniC73//+7S3t/OOd7yDt73tbVRXVyfcV5ZlVldXWV5eZm1tTWs1djqd+1ZU9TTdywW1gr6X0Vx8m/h+c/25Mj8/z9zcHJ2dnXnNXI8nFouxurqKx+NhbW2N8vJygsEgFRUVnDhxomivydbWFm63O2fzv2RPBtUULt0W/6WlJS3esViGd4qiMDQ0hMlk4vjx49q6U712aht8PtYaDAbp7+/n6NGjuhsyhkIhPvjBD3LHHXfw0Y9+FEmSGB0d5dvf/jZ33XUX586dy+q4DzzwAM888wy1tbUMDg4C8Pd///d86lOfYnh4mJdeeonz53f9jRDsjxD6BeJmE/p/8RX4yKcgsPXj20qs8O6fhy/9r6ItSyAQCASvQ4TQ1wlZlhkYGODxxx/n2Wefpby8nHe84x1cuHCBI0eOJAimeJd7r9eL1WqltrYWl8uVID7ybbqXLplW0JPn+rPtZIhH7WoIBAKcPXu2aHPX4XCYS5cuYbFYiEQiefdk2IuNjQ0uX77MmTNnNKd9PVC7ULxeb1pmheroQjEd/lUTQpvNRmtr656bE4qi4Pf7tTZ4IK3xjHTZ3t6mv7+fEydO7Nroy5VgMMj73vc+7rnnHj784Q/rurn0wgsvYLPZeP/7368J/eHhYQwGAx/60If44z/+YyH0M0cI/QJxswn9Y3fC2OTu261WWLsC8U1V02zweV7hFZboxMlHOM9R9P3dIhAIBILXL0Lo5wFFUZienubJJ5/kqaeeYnNzk7e97W1cuHAhZfzb1tYWy8vLeDweAK2FenJysmCme3tx7do1lpaW6OzszKoCKsuyZpq2traGzWbTOhnSFYayLHP58mUsFktCtbbQqNXa1tZWamtrtdvUToZwOKwJx3wa3qkbL52dnXnNLE82K4zPfbdarUxOTrK5uVnUjZdYLKb5EzQ1NWX0WHU8w+v1ahtSTqeT6urqjK9HNSHMR8zk1tYW73nPe/i5n/s5fuM3fiMv76upqSkuXLigCX2Vt7zlLULoZ4cQ+gXiZhP6jlOwurb7dosF5l4D5/VGoEG83MnfEiRKBBkTElZMfI/7uJ3sIksFAoFAcGshhH4B8Pl8PPPMMzz11FOMjY3x5je/mQsXLnDHHXfsErvh8P/P3p2HR1mejd//3lnISpbJzIQYAoRFAiEb4ANaQUURCIMIsogoSLVIq33gabVi6YFif1Xb11q3ui9oXUDRLASwuIGCCkUgCasBshMyk5B9nczc7x84U0ICJGSWJJyf4+hRmZncc93JoDmv61yaOXbsGKWlpfj6+tqb+TkzcGzPxWbTX+o1a2pqMBqNHa7rN5vNZGZmEh4eTlRUVJfXcKlqamo4cODABTdeXNHwztaQ0R1p8vX19fYslNraWnx8fBgxYoTLP5s2ts9GZ7vat8dqtVJZWYnJZKKiosL+d0+n0120RKS2tpbs7GynjFasra3l9ttvZ8GCBdx7771O+z5LoO9wEui7SE8L9GfeDRu3nqnVP9uASMj7D9j+it/IR3xFYZuvH42eH7nL+QsVQgjR40mg72INDQ188cUXpKSksHv3bkaPHo3BYGDSpEn4+PiwevVqpk2bxvjx41EUxd7Mr6amhpCQEHsatTObirW0tJCdnU1wcPAFU6G76mJ1/bYa9CFDhri10ZjtBD0uLo6AgIAOfU17De9smxqX2lsgPz/fPjLOnWnyBw8exNvbm+DgYHvQf7EUf0ezNbwbNGiQPbvCkerq6uyn/ba+BTqdjr59+7b6+2AroYiPj+/wZ6OjqqurmT9/PkuWLOHuu+926LXPJYG+w0mg7yI9LdA/kgPjkqG+AVosZwJ7P1/46DWYftN/X+fLs/Y582dTADP/hycybUMI0U1ZVDjWAMGe0M81/bRE+84X6LsnirgM+Pn5MWPGDGbMmEFLSwvfffcdaWlp/PnPf6a5uZmYmBiGDRtmD+T0ej16vb7ViWNOTg4BAQH20XaOHOvW0NBAVlYWAwcOpF+/fg67bnv8/PwYMGD3mT7TAAAgAElEQVQAAwYMsNf15+bmUldXh7+/P9XV1cTFxbm1dMHW/G/06NGdOkFXFIWQkBBCQkIYNmyYPXDMzs7GarV2qlmhrZt8c3MziYmJbuvwb0uT12g09jF+ERERrVL8jx8/7pBNjQtxZsM7m4CAAAICAhg4cKC9b0FBQYG9b4GtiaZt0oCjSygqKyuZN28ev/nNb7jjjjscem0hhPvEDIP9X8JfX4Tv9sCVg2HlAzA2sfXrAvFuN9D3xQsP2UcSQnRX6WVw71Got0CLCuODYH0shLunWbNonwT6LuDl5cXEiRMZOHAgO3fuZOHChZjNZhYuXEifPn1ITk7GYDAwcOBAPDw80Gg0aDQae1Mxo9FIQUEBXl5eDhltZ5uD7o6+AN7e3kRERBAREUFJSQknTpwgNDSUw4cPX1Jdf1epqkpeXh6VlZWMHj26y6fUZweOzc3NlJeX2zc1bOPfQkND2wTxVquVQ4cO0adPH2JjY93Wn8A2Fz4yMrJNmryHhwehoaH2BnTnbmqc7zT8UtiyPGJiYlz2GfX29qZfv37069fPnqlha0IYHBxMeXk5iqI4rBljeXk5c+fO5cEHH2TOnDkOuaYQovuIHgCv/O3Cr/kNiTzNHhposT/miyf3Eocigb4QojvKroUFh6De+t/HvquCaVmwVzIDuxNJ3XeRmpoarrvuOl566SXGjx8PnAkyi4uLSU1NJS0tjcrKSiZPnsyMGTOIi4trEwy2lwKv1+s71Um8tLSUvLw84uPj3ToHPT8/n9OnT9vT0211/bba8I7U9XeV1WrlyJEjAMTExDj1BN02dtFWGx4QEGDf1FAUxd5oznaC7g62E/TBgwd3uoTClqlhS/EPCQlBq9VeUoq/rU+CM2rhO6OsrIzjx4+TmJiI1Wq1T5gwm81oNBp0Oh3BwcGXtKlhMpmYO3cuq1atYubMmU5YfVsLFixg27ZtlJWVER4ezpo1a9BoNPz2t7/FZDIREhJCYmIi//73v12ynl5CIjEXcWTqflMTZB2GkCAYNtghl7xkZiws4d9s4Cd88aQJC9OI5gOm4ytnMUKI7ujeI7D2FG2SkQI8YOdoSLj45K5uTVVhUzm8fBKqLTBfB/dGgK97GlJ3hNTodwP19fUXTP2tqKhg06ZNpKWlcfToUSZMmIDBYOCaa65pk7ZvC6yMRiMNDQ32wCMkJKTdwOPsk+u4uDi31n4fPXoUVVUvGFyfu6kRFhaGXq/vUAp8R9jS00NCQhg0aJDLGyDW1tba76+urg6dTseQIUOc2l3/Qurq6sjOznbIyDhb+UlZWRmnT5/uVMO7yspKjhw50qk+Cc5gNBrJy8trtxliS0sLp0+fpqysjKqqKvr27YtWq+1wec2pU6eYO3cuf/7zn0lOTnbWLQjXkEDfRRwV6L//Kfz64Z9r4Ftg+BBIfweiIru+xq4opoYjnGYooQzEcaNUhRDC4W7aD1+2M1YkyBPWjYRpzim3dJmHj8M/i6Hu54wFfw8Y4Q/fjYY+3bNvigT6PUxjYyNfffUVqampfPfddyQkJGAwGLjpppvaBEAWi8U+2q6qqoqgoCD0er39NNWWFu7l5cWVV17pttpvW/O/zgbXtk0NW1B8oRT4jmhqaiIrK6vd9HRXsqWnDxw4EIvFgslkoqmpyZ4Cf6mnxZ1lazTnrBP0uro6e6bGhfoWnD59mp9++omEhAS3ZZvAmUDcNvHgYoH72Zko5eXleHp6otVq0Wq17W5UnDx5knnz5vHXv/6VyZMnO+sWhOtIoO8ijgj092bBhFvPNMiz8fQ8Uz9/cPt/O+ELIYS4gKfyYU0+NFpbP+6rQN7VPbtOv6gRhu2CxnNC4AAPeHU4LAx3z7ouQgL9HsxisbBr1y5SU1P54osviIiIwGAwMG3aNHQ6XatgyVZbbDQa7TPRGxoaiIiIIDo62m330NjYSFZWFgMGDOhS879zU+Btdf0dPU21nVwPGzbMaQ3eOsIWXMfGxhIU9N/TG4vFYh/dV11d7ZTRfWezBdfx8fEuySY4d9PGNmGipaWFgoICt4wTPFtxcTGnTp0iISHhkrJempqa7PfX2NhIaGgoZWVljBkzBpPJxO23384//vEPrr/+escvXriDhIYu4ohAf8lyeHcDWM/53TTAH7Z90rZRnhBCiHZUmCHuP2AyQ/PPoWKAB9x3Bfx9qHvX1lUflsJ9P0FN2yapzNfBuljXr6kDpOt+D+bp6ck111zDNddcg6qqHDlyhNTUVBYuXIinpyfJyclMnz6dwYMHt+oCX1NTQ1ZWln08Wnl5OXq9Hp1O59IT047Mpu8oDw8PwsLCCAsLa3Wamp+ff9G6/srKSg4fPuz22m/bGL/2urh7enraJzCcPbrvxIkT9i73Wq3WIX0LbOnpSUlJTuma356zmzHaUvxtJSWhoaGYTCa0Wq3L1nO2wsJCysrKSExMvORNFR8fHyIjI4mMjMRisVBRUcFzzz3HfffdR3NzM3fffTexsd3zPxJC9HZFp9oG+QBenmAsd/16hBCiRwr1hn1j4ckCSCsDjTes6A93OH4MssuFnefQ0Avo1/MyFeREvwdTVZWSkhLS0tJIS0vDZDJx0003MWPGDIqKinjjjTd4//337UFtY2OjvS7cbDbbU6gd0SX9fMrKyjh27JhLaq4vVNdvMpnIzc0lISHBac39OqKkpMSeFt7Zk+v6+np7CrytGWNHR/edq7i4mJKSEhISEhw6trGzCgsLMZlMxMfH09TUZL8/VVXtJQyO6stwIXl5eVRVVbXbBLOrcnJyuOuuu1i5ciUFBQVs3rwZgL/85S9MmDDBoe8lXE5O9F3EESf6z74Gq55qnboP4OMDRT+CtoeXlQohhOiiFitE/QClza2jYD8P+HEMjHBf/6gLkdT9y0BVVRVbtmzhmWeeoaioiKlTpzJ79myuvfbadhuK2VKMa2trCQ0NRa/XExIS4rBAp6ioiFOnThEfH+/ydOyzU8QrK880DBk+fDg6nc5tPQry8/MpLy+3Txroiq70LcjLy6OiooL4+HinlAN0hK05ZHV1dbvBdXv3Z+vi78ifn6qqnDhxgvr6emJjYx3+2Th8+DBLlixh7dq1jB492v64bTOjs9MNbH75y1+SkZGBXq/nwIEDwJkyjPnz55OXl8egQYP46KOPutxYUVyUBPou4ohAv6YWEm+Ck6egsenMYwH+8OAyeOwhByxSCCGE+2XVwt8L4acGmBh8JtsgohOZoofqYHo2lJnBgzPR8OtXwvzuWZ8PEuhfFqxWKytXriQ/P5/XXnuNH374gZSUFHbs2MGoUaOYMWMGN910U5u0dVvdu9FopLKykr59+9rrwi8lIFVVlWPHjtHQ0EBsbKxbg8mcnBwaGxuJiIigvLzcPtpOr9d3uK7fUetobm5m5MiRDg8mzze6LywsrNUGi7PX0VG2z0dzczMjRoy46DpsKf62+/Pz87NnM3RlA8n2/TCbzYwcOdLhWQMHDhzg3nvv5b333iM+Pt6h1/7mm28IDAxk0aJF9kD/D3/4AxqNhpUrV/LUU09RUVHBX//6V4e+r2hDAn0XcVTX/apqeP4N+HQzaEJh+b1wyxQHLFAIIYT7fVYOtx080yjQCvRRINDzzGn8oE6ULasq7KuFOgtc1bdbj9YDCfQvCzt27OCzzz7j8ccfbxU8Wa1W9uzZQ0pKClu3bkWn02EwGEhOTiY8PLxNM7/q6mp7F/E+ffrY6947UjdtsVg4ePAgfn5+DB061KVj685mtVo5cOBAm3W01yXddn/O6Ftgm3jQp08fhg0b5vTvh6qq9i73JpMJDw8Pexf4/Px8++QFd/1cVFXl8OHDeHp6XtI6bPdnO+0H7PfXmRR/VVU5evQocCbTw9Hfj/3797Ns2TLWrVvHyJEjHXptm7y8PAwGgz3QHz58ONu2bSMiIoKSkhKuv/56+z0Kp5FA30UcFegLx7NgJYVjbOAn+tKHexjFeNw30UYIcZlSVRj4AxQ2tX7cA7hdD+875/ex7kACfQGcCXB++uknUlNTycjIwGq1Mm3aNAwGQ7uBqK0u3GQy2VONdTpdu/X2zc3NZGZmEhERQf/+/V11S22YzWaysrLQ6/VERUVd8LVn1/W3tLQ4tG9BS0sLWVlZhIWFMXDgwC5d61I1NTVhNBo5ceIEiqLQr18/dDodISEhLg/2rVYrBw8exN/f3944squam5spKyujrKyswyUMqqraN1+csRm1Z88efvvb3/Lxxx9z5ZVXOvTaZzs30A8JCbGXqaiqSmhoqP3Pwmkk0HcRCfS7JwtWpvMpOzhJHWY8UPDFkzVcw4Nc5e7lCSEuJ6eaIHpX27F/AHpvKP2F69fkIhLoizZUVaW0tJT09HTS0tIoKSnhxhtvxGAwMHr06DYp983NzfaguLGx0d7sLigoiPr6+m4xtq6hoYGsrCyio6PR6zvX/dNsNttH29n6FnS07v1czc3N7N+/n6ioKCIiIjr1tY5k2/QIDw8nIiKC06dPYzKZqKqq6nKJRmdYLBaysrLQaDRO2/SwlTCUlZVx+vRp/P397VMKbCn+tkyPwMBAoqOjHR7kf//99/z+978nJSXF6eMsLxToA4SGhlJRUeHUNQgJ9F1FAv3uKYUc7mILdZhbPe6LJ/ksRY/zx7YKIQQAtS2g3QlN7YSvw/3gyDjXr8lFZLyeaMN2wrt06VKWLl1KTU0Nn332Ga+//jr79+/n6quvxmAwMHHiRHx8fOjTp0+r0WHl5eUUFhZSWVmJ2Wxm6NChbm3+1dUxft7e3vTr149+/fq1qnv/6aef7HXvWq32onX99fX1ZGVluX3To6mpiczMTAYOHEh4+JkGIraMjLNLNPLy8i46mrArWlpayMzMpF+/fkRGRjr02mc7d/SirYQhMzMTgLCwME6fPk1YWJhTgvBvvvmGRx55hPT0dAYMGODw619MeHg4JSUl9tT9zm50CSFEZ6VwrE2QD+CNB1+SzwJGuGFVQojLUqAXzNDCxrLWwb6/B/zuwhm+vZUE+sKub9++zJ07l7lz52I2m9m+fTspKSn86U9/IiYmhunTpzNlyhSCg4Pt897Ly8vx9vZm6NChVFVVsWvXrk4FxY5im00fHx/vkDF+5waNtbW1GI1G9u3bd8G6/urqag4ePEhsbCxBQUFdXselamhoIDMzkyuvvBKNRtPmeUVRCA4OJjg4mKFDh9pLGA4ePGgfTeiIEgZbZsPZmw2uoCgKgYGB9pP7hoYG9u/fj6IonDp1iqampkvO1mjPV199xaOPPkpGRoZTNzMu5JZbbuGdd95h5cqVvPPOO8ycOdMt6xBCXD6C6YMnCpY2CaAKfel5M6eFED3cm8PhNjPsrD7TiK9JhaVXwK/cl13rTpK6Ly7KarWyb98+UlJS+Oyzz9BoNCQnJ3Po0CGKi4v58MMP7anfZwfFtmZ3er3eKSfFNidPnqS4uJiEhASXjPFrbGy0lzCYzWZ7XX9zczPHjh0jPj4ef3/3pSvaMhtGjhxJcHBwp7/+3BKGkJAQdDpdp0fbNTY2kpmZyZAhQ9BqtZ1eh6PYMgoiIiK44oor2kwpaC/FvzO2bt3KX/7yFzZu3Ei/fv2ccAdtLViwgG3btlFWVkZ4eDhr1qzh1ltvZd68eRQUFDBw4EA++uijdjd5hENJ6r6LSOp+97SPUn7BOhpoafV4CD6cYhk+cp4khHCH4w1Q0AijAkDX+zcdpUZfOISqqhw5coTFixdTU1NDSEgIU6dOxWAwEBMT0+b09+xmdxaLBa1Wi16vJyAgoMv10aqqkpuba5/F7o4xfraguKCggJqaGnvqv6NOijursrKSI0eOEBcX55DMhrNH252v7r09tvKF4cOHu7Wcw2w223sltBeEn53iX1ZWBmDfuOnIZzQjI4NnnnmGjRs3otPpnHIPoluTQN9FJNDvvl5iH79nO954ogCeKGzhNsZxeZ6gCSGEq0mg7ySFhYUsWrSI0tJSFEVh6dKlLF++vNVrVFVl+fLlbN68GX9/f9auXcvo0aPdtOKuqaysZN68eUybNo3ly5dTVlbGxo0bSUtLo6CggEmTJmEwGLjqqqvaBN5ms5mysjKMRiMNDQ2Ehoai1+svqQO81WrlyJEjKIrC8OHD3TYTHiA/P5/y8nJGjRplH9139jx7V5UwmEwmTpw4QUJCglOyJ9oLitubwlBbW0t2drbbyxdsZQPR0dEdDsJtXfxNJpP9M6rVatvduElJSeGll14iPT3drb0YhFtJoO8iEuh3b6dp4GsKCcCbSQygD9175rQQQvQmEug7SUlJCSUlJYwePZqamhrGjBlDampqq9nZmzdv5oUXXmDz5s3s2rWL5cuXs2vXLjeu+tLt27eP3NxcZs+e3ea52tpaPv/8c1JSUti7dy//8z//g8Fg4Prrr28TeFosllYd4IOCguwd4C92Mt/S0kJ2djYhISEMGjTIrTPhc3JyaG5uZuTIka0CwfZKGM5X1+8IJSUlFBUVuax8AdpOYdBoNPj5+VFYWEhCQoJDMgouVVNTE/v372fo0KGXHISfm+Lv6+vLDz/8wKxZs9i+fTtvv/026enpl9T4UfQaEui7iAT6QgghRPsk0HeRmTNn8sADDzB58mT7Y/fddx/XX389CxYsAGD48OFs27bNrWPXnM1sNrNjxw5SU1P5+uuvGTp0KNOnT2fq1KltUrlVVaWqqgqTyUR5eTm+vr7o9fp208NtneTdPbbOarXaZ7EPGzbsopsN56vr72qzO4CCggLKyspISEhwS/kCnNm4KSgoID8/H29vb4KDg102uu9ctkaEjiwbUFUVo9HIs88+y9atWzGZTCxfvpw5c+a0W7IiLhvyg3cRCfSFEEKI9sl4PRfIy8tj3759jBvXek5jcXExUVH/HevQv39/iouLe3Wg7+3tzQ033MANN9yA1WolKyuLlJQUZs2aRWBgIAaDAYPBQGRkJIqiEBISQkhICMOGDaOurg6j0UhmZiaKoqDT6dDr9VitVrKzs90+tq6lpYWsrCzCwsI6PBPe19eXqKgooqKi7HX9+fn59mZ3er2+03X9qqpy/Phx6uvrSUxMdGv5gi074+qrr6ZPnz7tju7TarVOyWY4m603wIgRIy6pEeH5KIqCXq/nyiuv5NChQ2zatInt27ezatUqcnNz+eCDDxgxwjFjpJ577jlef/11VFXlV7/6FStWrHDIdYUQQgghxOVDAn0Hqa2t5bbbbuPZZ591a11yd+Th4UFiYiKJiYk89thj5OXlkZaWxrJly6irq7M38xsxYgQeHh4EBAQQHR1NdHQ0TU1NmEwmsrOzqampISIiAm9vb1RVdcspqq3uuysZBd7e3vamfbZmd0ajkZ9++qnDdf2qqnL48GE8PDyIi4tz64myrWwgKSnJvub2RvcdPnzYns2g1WoJCgpy6LptvQFGjRpF3759HXZdOPP9fu2119i6dSvp6en4+fkxePBglixZQmNjo8MyKQ4cOMDrr7/O7t276dOnj/3vxtChQx1yfSGEuJjjVLKR43jjwWyGEUGgu5ckhBDiEkig7wBms5nbbruNhQsXtlu7HhkZSWFhof3PRUVFbpu17W6KohAdHc2KFStYsWIFZWVlZGRk8MQTT3DixAmuu+46DAYD48ePx8vLCx8fH/Lz8/Hy8mLcuHHU1tbaT8JDQ0MdOgv9YmynxY7MKPDw8ECj0aDRaOx1/SaTiX379p23rt9qtXLgwAECAgIYPHiwW4P8oqIijEYjSUlJ503R9/PzY8CAAQwYMICWlhbKy8spLCykpqbGnuKv0Wi6FCzbRgrGxcURGOjYX0pVVeXFF19k586dpKSktOk34cjGh4cPH2bcuHH28YzXXXcdn376KX/4wx8c9h5CCHE+f+EH/h+7UFHxQOFBtvM6N3MnIy/+xUKI3sHUDKllYFZhRhhEOWc8tnA+qdHvIlVVWbx4MRqNhmeffbbd12zatIkXX3zR3ozvf//3f9m9e7eLV9r9NTQ02Jv57d69m7Fjx+Lt7c3+/fvZsmVLq+Zu5zZKCwwMRK/XO60mvLq6moMHD7q0k/y5df1hYWGEhYVx4sQJ9Hp9q3IQd8jLy6OysvKSRxtarVZ7b4bTp0/j6+tr39joTEPBqqoqDh8+THx8vD1AdhRVVfn73/9OZmYmH374odMbHR4+fJiZM2fy/fff4+fnx4033sjYsWN54YUXnPq+4pJJjb6LSI2+82VhYjwf0EBLq8d98aSApehw7L9fhRDd0IelcM/RM/91U3/+3xPR8H/u/Z1TXJg043OSHTt2MGHCBOLi4uynyk888QQFBQUALFu2DFVVeeCBB/jss8/w9/fn7bffZuzYNj8LcZbm5mYWL15MdnY2Xl5eDBw4kOnTpzNt2rQ2p+mqqlJTU2PvcN+nTx97wOjj49PltZSXl5OTk+OUQLKjWlpaKC0tJScnB09PT3szP41G4/LafFVVOXbsGE1NTW2mDXTlmvX19faNDejYPPuKigqOHj1KQkKCw+v/VVXlySef5Pjx47z77rsuGZEI8Oabb/LSSy8REBBAbGwsPj4+591EFG4ngb6LSKDvfH/kW/7Gf7Cc86tfAN48xw3cQ5ybViaEcAljMwz8ARqtrR/384Afx8AI901TEhcmzfic5Nprr+UimyUoisI///nPS36PwsJCFi1aRGlpKYqisHTpUpYvX97qNdu2bWPmzJlER0cDMHv2bFavXn3J7+lOTU1N3H333QwaNIj3338fgIMHD5KSksK8efPw9fUlOTmZGTNmEBUVhaIoBAUFERQUxNChQ+0BY3Z2NqqqotVq0ev1lzTuraSkhMLCQkaPHu2ysXXtMZvNFBYWMmrUKDQaDZWVlZhMJnJycjpc1+8Iqqpy5MgRFEUhNjbWYWUDiqIQEBBAQEAAgwYNss+ztzUb1Gg06HQ6QkJC7BsL5eXlHDt2jKSkJIds6JzNarWyZs0aTp06xb/+9S+XTg645557uOeeewD44x//SP/+/V323kKIy5cFFbWd8x0VFauc+wjR+6WXQXsJmmYrrDPCmmiXL0l0jZzo9wAlJSWUlJQwevRoampqGDNmDKmpqYwc+d+auW3btvH000+TkZHhxpU6RkNDAxkZGcydO7fNc6qqUlRURGpqKmlpaVRVVTFlyhQMBgOjRo1qc7psCxiNRiONjY2EhYWh0+kIDg6+aJCan59PeXk58fHxLh8RdzZbk7kRI0a0mdl+dl1/WVnZeev6HcFqtXLw4EH8/PwYMmSIy3oDWCwWe5lGZWUlgYGB+Pj4UFFRQVJSksM3YKxWK6tWraKuro5XX33V5SMLjUYjer2egoICbr75Zn744Yc2P3fRbciJvovIib7z/UgpE1lHfTup+ye4V5ryCdHbvVIMvz8O9eec6HsAf4iCJ4e4ZVl2qgrbKiGrDob6wVQNeMp/hkFS93uVmTNn8sADDzB58mT7Y70p0O+M06dPs2nTJtLT0zl69CgTJkxgxowZXH311W1Oty0WC+Xl5ZhMJqqrqwkODkav17dJf1dVlZycHJqamoiNjXXr2LqqqioOHTrU4SZz7dX163S6Lne4t1gsZGdnExISwqBBgy75Ol2lqip5eXkUFhbSp08f++g+R21sWK1WHnroIRRF4cUXX3TLz37ChAmUl5fj7e3NM888w4033ujyNYgOk98wXEQCfddYyTc8zz6aseCBgicK/+AGlpHg7qUJIZytqBGG7W6buu/vAdsTYawbp4rVtMCk/XCk4UyGQR8P0HrDd0nQz7FZnT2RBPq9RF5eHhMnTuTAgQOtmsJt27aN2267jf79+3PFFVfw9NNPExsb68aVul5jYyNffvklqampfP/99yQmJmIwGLjxxhvbpO3bGsEZjUZOnz5tT3/XaDTk5OTQp08fhg0b5taO9rbeAJdaf27rcG8ymaipqSEkJOSS6vpbWlrIzMwkPDzc7WnkJ0+epKSkhISEBLy8vBy6sWGxWFixYgVBQUH8/e9/d+sGj+gxJNB3EQn0XScLE6kcow8ezGU4Q5CMIiEuG88Wwh9zzwTTVsDXA5ZdAX9385jf3+bA6yeh6azQ1AuYooGMeLctq7uQQL8XqK2t5brrrmPVqlVtxvhVV1fj4eFBYGAgmzdvZvny5eTk5Lhppe5nsVj44YcfSE1N5YsvviAyMhKDwcC0adPQarWtgkBb+ntpaan9pHjAgAHodDqHjk7rjFOnTlFQUEBiYqJDUtOtVqu9rv/sjY2L1fWbzWb2799PVFQU/fr16/I6uqKwsBCTyURCQkK76fTnbmx0ZnRfS0sL999/P5GRkTzxxBMS5IuOkkDfRXpDoH+CSjaTiy+ezGIYYTi2vEoIIRzicN2ZmnyzCrfpYExfd68IwnbA6Za2j3spUDfhzAn/ZUwC/R7ObDZjMBiYMmUKv/vd7y76+kGDBrFnzx60Wq0LVte9qarKoUOHSE1NZdOmTXh5eTFt2jRmzJhBdHQ0iqJw8uRJdu3axfjx4wkJCbGfElssFnv398DAQJec8BcWFmI0Gu2n1o7WXl2/rWHh2ZkDTU1N7N+/n8GDB6PT6Ry+js7Iz8+noqKC+Pj4DgXhqqq22tiwje7TarVtGveZzWaWLl1KTEwMjz32mFuzOESPIx8WF+npgf4avuMpdqMAHnhgReUDkrmVYe5emhBCdH/B30K1pe3jnkDtBPB1bT+l7kYC/R5MVVUWL16MRqM575itU6dOER4ejqIo7N69mzlz5pCfny9ByzlUVeXkyZOkpaWRlpZGeXk548aN49///jd//vOfmTlzZqvXm81mysrKMJlM1NXVodFo0Ov1hISEOPx7q6oqubm51NTUMGrUKJc1gWsv/T0oKIjjx48TExNDaGioS9bRHtv3pLa2tt1mix1VV1dn39iwbQKEhIQQFxfHr371K0aPHs0f//hH+fsiOks+MC7Sk3lsS+0AACAASURBVAP9/3CK61nfpsmdP16cZBnBSH2pEEJc0JLD8P7PWQY2HsCEYNiW5LZldRcS6PdgO3bsYMKECcTFxdkDnSeeeIKCggIAli1bxosvvsjLL7+Ml5cXfn5+PPPMM1xzzTXuXHaP8M0333DXXXeRkJBAbm4uv/jFL5gxYwa/+MUv2qTM27q/G41GqqqqCAoKQqfTERYW1uWgXFVVjh49itVqZcSIEW4LOFtaWiguLubEiRN4e3vba947W9fvCKqqcuzYMZqbmxk5cqTDvifNzc1s2bKF119/nSNHjjBkyBAee+wxJk6c6PTxhKLXkUDfRXpyoL+cr3iR/W1G1PXFm9e4mduJcdPKhBDCCcrN8HQBpJdDmDf8X3+4VQtd+T3O1Az/sxfKmqHWCgEe4OcJ3yfBUH/Hrb2HkkBfXFBjYyMTJ06kqamJlpYW5syZw5o1a1q9pqmpiUWLFvHjjz8SFhbG+vXr3dqBvau+/PJLHnroIT7++GOGDBlCU1MTX3/9NampqezYsYO4uDgMBgM33XQTffu2rk9SVZWqqipMJhPl5eX4+vqi1+vRarWdrqm3ja3z9fVl6NChbj1Vrq6u5uDBg8TFxeHv798q/d3f399+j84OiG0bHwDDhw93+PekoaGBO++8k8mTJxMbG8vGjRv59ttvue6663j++ecd9j7/+Mc/eOONN1AUhbi4ON5++2239X0QTiGBvov05ED/fr7gZTLb/EIViDcvcxN3MrLdrxNCiB6n0gwJe6C0+b+N8wI84HdR8Hh0167daIENJthbCzH+sEAPfd03/ro7kUBfXJCqqtTV1REYGIjZbObaa6/lueeeY/z48fbXvPTSS2RlZfHKK6+wbt06UlJSWL9+vRtX3TXbt28nJiaG8PDwNs9ZrVb+85//kJKSwtatWwkPD8dgMJCcnIxer28TeNbV1WE0GikrK0NRFPvIN3//C+8yWiwWsrKy0Gg0DBw40KH311kVFRUcPXq03S7/ts+H7R5tdf0ducfOsvVU8Pb2dsrkg7q6OhYsWMCcOXO477777NdXVZXi4mKHTRYoLi7m2muv5dChQ/j5+TFv3jySk5O5++67HXJ90S1IoH+JFEV5CzAARlVVR13s9T050N9OIdNJoQ5zq8d98aSQpWiR0yghRC/x13xYkw8N54zo81Gg+JozJ/zC4c4X6Ms2iABAURT7nHaz2YzZbG4TYKWlpfHYY48BMGfOHB544AFUVe2xdc3XXXfdeZ/z8PBg3LhxjBs3jieffJKjR4+SmprKXXfdBcC0adMwGAz2E/iAgACio6OJjo6mqakJk8nE0aNHaW5uJiwsDL1eT9++fVt9r2wd7SMjI7niiiucfr8XUlZWxvHjx0lKSmrTrA7++/kIDAxk8ODBNDY2UlZWxpEjR7o81u5stuwGf39/Bg8e7PDPVk1NDbfffjt33XUXS5YsaXV9RVEcPj6wpaWFhoYGvL29qa+vd/vPWYhuZC3wIvCum9fhdBPpz52M4F8cooEWvPDACw+eZ5IE+UKI3uWzirZBPoCPB/xYAzdrXL+my5gE+sLOYrEwZswYjh07xv3338+4ceNaPV9cXExUVBQAXl5eBAcHU15e3us7+yuKQkxMDCtXruThhx/m1KlTpKen88gjj3Dq1CluvPFGDAYDY8aMwcPDAx8fH/r370///v3tI9/y8/Opra0lNDQUnU6Hn58f2dnZ3aKj/alTpygsLCQpKanDZQe+vr5t7rGwsNA+1k6v1xMaGtqp3gVWq5Xs7GyCg4OdUhJSVVXF/PnzWbp0KXfeeafDr3+uyMhIHnzwQQYMGICfnx8333wzN998s9PfV4ieQFXVbxRFGeTudbiCgsIrTGYJo0jlGAF4s4AYmU8vhOh9onzONMk7N9ZvUaFf18dFi86RQF/YeXp6sn//fiorK5k1axYHDhxg1KiLZlReVhRFISIigvvuu4/77ruP6upqtmzZwmuvvUZmZiZXX301M2bMYMKECfj4+ODl5UV4eDjh4eFYrVYqKio4efIkRqORkJAQrFYrLS0tThmj1xFFRUWUlpaSlJR0yWs49x5tdf05OTn4+/vbyxguVNdvK2HQarX2zSRHqqioYO7cuSxfvpz58+c7/Prne8+0tDRyc3MJCQlh7ty5vPfeey7ZZBBCdD/jiGAcEe5ehhBCOM/y/mfq6M8+1fcChvlBXIDblnW5kkBftBESEsINN9zAZ5991irQj4yMpLCw0H6KW1VVRVhYmBtX6n5BQUHMnz+f+fPn09zczPbt20lJSWHVqlXExMQwY8YMJk+eTHBwMB4eHpw4cYK6ujquuuoqAIxGI3l5eXh7e6PX69HpdO2mzjtDXl4elZWVJCYmOmyUn4eHBxqNBo1GY6/rN5lM7Nu3Dw8Pj3Z7F7S0tJCZmUm/fv2IjIx0yDrOVlZWxty5c1m5ciWzZs1y+PXP54svviA6OtqesTF79my+++47CfSF6CBFUZYCSwEGDBjg5tUIIYS4qDF94a3h8OscsKhnxuElBcInsV3rui8uiQT6AgCTyYS3tzchISE0NDTw+eef8/DDD7d6zS233MI777zD1VdfzYYNG5g0aVKPrc93hj59+jB58mQmT56M1Wpl7969pKSk8PzzzxMWFkZsbCzp6els2LCBoKAg4MxGwdChQ6mvr8dkMpGdnY2qqmi1WvR6PQEBjt/9VFWV48eP09DQQHx8vNPG5p1d1x8dHW2v6z969ChNTU1otVpCQ0M5fvw4UVFRREQ4/qSrtLSUefPm8eijj2IwGBx+/QsZMGAAP/zwA/X19fj5+fHll18ydmybPilCiPNQVfU14DU404zPzcsRQgjREbeHw206OFgHod4wUKYNuYt03RcAZGVlsXjxYiwWC1arlXnz5rF69WpWr17N2LFjueWWW2hsbOSuu+5i3759aDQa1q1bx+DBg9299G5PVVVeeeUVnnrqKXsjO1szv/ZGxzU3N1NWVobRaKSxsdHe6C44OLjLGyu2sXWqqhITE+O2jZqWlhZKS0vJycnB09PTfo8ajcZh2QUlJSXMmzePJ554gilTpjjkmp316KOPsn79ery8vEhKSuKNN95wWcaGcAnZ6eyCn2v0M3p7130hhBDCmWS8nnC7xsZGJk6cSFNTEy0tLcyZM4c1a9a0es3atWt56KGH7CncDzzwAPfee687luswb731Fu+99x6ffvopwcHBmEwm0tPTSUtLo6ioiBtuuAGDwcBVV13VJsi1WCyUl5djMpmorq4mODj4kgNiq9XKoUOH8PHxsU8LcJempib279/PkCFD0Gg0VFVVYTQaOX36tL2uX6vVdrg54LmKi4uZP38+Tz/9NJMmTXLw6oWwk0D/EimK8iFwPaAFSoFHVVV983yvl0BfCCGEaJ8E+sLtbDXbgYGBmM1mrr32Wp577jnGjx9vf83atWvZs2cPL774ohtX6ljvv/8+s2fPbjObHqC2tpatW7eSkpLCvn37GDduHAaDgeuuuw5f39apTqqqUllZ2Sog1uv1aLXaCza6g/92tA8KCiI6Otqh99dZjY2N7N+/nyuvvBKNpvWYlbPr+svKylAUpd26/gvJz8/njjvu4Pnnn2fChAnOuAUhbCTQdxEJ9IUQQoj2nS/Qlxp94TK2mm04M0PebDZfFjX+CxcuPO9zgYGBzJ49m9mzZ2M2m/n2229JSUnh0UcfZdiwYUyfPp2pU6cSEhKCoiiEhoYSGhpqD4iNRiP79u3D09MTnU6HXq9vs0FgsVjIzMxEp9M5paN9Z9TX15OVlUVMTAwhIW1HS51b19/U1ITJZLLX9YeFhaHX6wkKCmr3s3PixAkWLlzIq6++2moDSQgheqMfKeUQ5cSgYSzhKLL3JIQQ4mcS6AuXslgsjBkzhmPHjnH//fczbty4Nq/55JNP+Oabb7jyyiv5xz/+4fbg1FW8vb2ZNGkSkyZNwmq1kpmZSUpKCrfeeitBQUEkJyczY8YMrrjiilYBsa3u32QycfDgQSwWiz0g9vHxITMzk/79+zul2V1n1NXVkZWVRWxsrL0Z4cX4+PjQv39/+6SH06dPU1hYSE1NDcHBwWg0Gvr27UtAQABHjx7l7rvv5q233mLMmDFOvhshhHCfOsxM5RP2UYqCggrEo+XfzKEvPX9WdR1m1nGE/RgZhZaFjCCwF9yXEEK4kqTuC7eorKxk1qxZvPDCC61G+JWXlxMYGIiPjw+vvvoq69ev56uvvnLjSt1PVVVyc3NJS0sjPT2d+vp6pk6dyowZM4iJiWnTNd9sNlNWVkZpaSnl5eWEhYUxYMAAQkJCnNZh/2Jqamo4cOAAcXFx9qyOrrBarVRVVZGZmcny5csJDw/n5MmTvPXWW1x//fVdX7AQHSPHpy4iqfut/YYveIsDNGGxP+aDJ3cygjdwT/NRRymihv/hfapppg4zAXgTgDe7uINBBLt7eUII0e1Ijb7odh5//HH8/f158MEH233eYrHYG7WJ/yorK2Pjxo2kpaWRm5vL9ddfj8FgYNy4cXh5nUnSyc3Npbi4mJiYGACMRiNVVVX07dsXvV5PWFiYw7rbX0xVVRWHDh0iPj7eKeMC9+/fz+9+9zvGjx/Pjz/+iJeXFwaDgV/+8peEhoY6/P2EOIsE+i4igX5rgTxPHeY2j/vhRT3L3bAix5lNGukcx3LWr6AeKExhEJuZ7caVCSFE9yQ1+sLtTCYT3t7ehISE0NDQwOeff87DDz/c6jUlJSX2FPP09HRGjBjhjqV2a1qtliVLlrBkyRLq6+v5/PPPef/991mxYgVXXXUVSUlJvPDCC6xduxatVmv/GlVVqa6uxmg0cuLECXx9fe2N7i61u/3FVFRUcPToURITE9ttRthVe/fu5f7772f9+vX2TY1Tp06RkZGBxWK5yFd33NGjR5k/f779zydOnODxxx9nxYoVDnsPIYToqGba//dbMxZU1B5dq7+Z3FZBPoAVla3k9fh7E0IIV5ITfeEyWVlZLF68GIvFgtVqZd68eaxevZrVq1czduxYbrnlFh555BHS09Px8vJCo9Hw8ssv2wM4cWEtLS28/fbbrFq1isGDB9OvXz+mT5/OtGnT2nS3B+zd7U0mE4qioNVq0ev1He5ufzHl5eUcO3aMhISENg0CHWH37t2sWLGCDRs2MHToUIdf/3wsFguRkZHs2rWLgQMHuux9RbckEYeLyIl+a8l8wr/Jx3rWr2kKMIkBfMFc9y3MAQJ4jnpa2jzeB08aWS6BvhBCnENS98VlxWKxMHbsWCIjI8nIyGj1XFNTE4sWLeLHH38kLCyM9evXM2jQIPcs1IF27tzJb3/7Wz7++GOio6M5cOAAKSkpbNmyBT8/P3szv6ioqDYd623d7U0mE83NzYSFhaHT6c7b3f5iTCYTubm5JCYmOiVbYOfOnfzhD38gJSXF5T+7rVu3smbNGnbu3OnS9xXdkkQcLiKBfmvHqWQc71NPCw204IcXvnjyA3dwJW03dnuSX/IZ73OYZqz2x7zxYC5X8j7T3bgyIYToniR1X1xWnnvuOUaMGEF1dXWb5958801CQ0M5duwY69at4+GHH2b9+vVuWKVj7dq1i40bNxIZGQlAfHw88fHxrF69moKCAtLS0rj//vuprq5m6tSpGAwGYmNj8fDwaNPdvry83N7dPiQkBL1eT2hoaIea+ZWWllJQUEBSUhLe3t4Ov8/t27fzpz/9iY0bN9K/f3+HX/9i1q1bx4IFC1z+vkIIYTOEEH7il7zJAfZSShJ67iGOMBxfIuVqz3A9ezFynEpasOKFBwMJ4gUmuXtpQgjRo8iJvuh1ioqKWLx4MatWreKZZ55pc6I/ZcoUHnvsMa6++mpaWlro16+fPX39cnD69GkyMjJIS0sjJyeHiRMnYjAYuOaaa+zN/GysVisVFRWYTCYqKioIDAxEp9Oh1WrbvBbg5MmTnDx5ksTExHaf76ovv/ySNWvWsHHjRreMC2xubuaKK67g4MGDhIeHu/z9RbdzefxLoxuQE/3Li4rKNgo5SDkj0HADA/CQv25CXL6qWsBHAV/XNJLuaeREX1w2VqxYwd/+9jdqamrafb64uJioqCgAvLy8CA4Opry83N64rrfTaDQsWrSIRYsW0djYyBdffMGGDRv4/e9/T1JSEgaDgRtvvJGAgAA8PDwICwsjLCwMVVWpqanBZDKRn5+Pt7c3er0enU6Hj48PRUVFGI1GkpKSnNLRf8uWLfztb39j06ZNbguyt2zZwujRoyXIF0IIJ1JQuIEB3MAAdy9FCOFO31XBPUfheMOZrfVbtfDacAiWELYj5LskepWMjAz0ej1jxoxh27Zt7l5Ot+fr64vBYMBgMGCxWPjuu+9IS0vjqaeeIioqiunTp5OcnExYWBiKohAUFERQUBBDhgyhoaEBo9FIdnY2DQ0NeHp6MmrUqA6l93dWeno6zz33HJs2bXLrhsyHH34oaftCCCGEEM52vAFuzoS6//brILUMTjbDt0nuW1cPIoG+6FV27txJeno6mzdvprGxkerqau68807ee+89+2siIyMpLCy016NXVVURFhbmxlV3D56enkyYMIEJEyagqiqHDh0iJSWF22+/HS8vL5KTkzEYDERHR6MoCn5+fgwcOJDGxka8vLzQ6XTk5ubS0NCARqNBr9cTHBzc5ZKIDRs28Prrr7N582ZCQ0MddLedV1dXx+eff86rr77qtjUIIYQQQlwWXiiCZmvrx5pV2FsDB+sgNsA96+pBpEZf9Frbtm3j6aefblOj/89//pPs7GxeeeUV1q1bx6effspHH33kplV2f6qqUlxcTFpaGmlpaZw+fZrJkyeTnJzMO++8g7+/P08++aQ9oLdYLJSXl2MymaiuriY4OBidTodGo+l0Sv8HH3zAv/71L9LT0wkODnbG7QnRFVI07CJSoy+EEJeZmzPh84q2jwd5wnsjYMblUXLbEVKjLy5rq1evZuzYsdxyyy3cc8893HXXXQwdOhSNRsO6devcvbxuTVEU+vfvz/3338/9999PZWUlGRkZ3HPPPXh7ezNhwgS2b9/OL37xC7y9vfH09ESv16PX61FVlcrKSkwmE8eOHcPf3x+9Xo9Wq71gR35VVXn33Xf5+OOP2bRpE4GBgS68YyGEEEII4VbXBsO3ldB4zrlzswrx8nthR8iJvhBdYLFYGDt2LJGRkW0yB9auXctDDz1kH3f3wAMPcO+997pjmQ5ltVr59a9/TWBgIH/5y1/4+uuvSU1NZefOncTFxWEwGJg8eXKb4FxVVerq6jAajZSVleHp6YlOp0On0+Hn59fqdW+++SabNm0iJSUFf39/V9+iEB0lJ/ouIif6vUcqOTzHXsppZCZD+B1jCcXX3csSQnQ3pmYY+R84bQZbBr+/B8zWwb9GuHVp3c35TvQl0BeiC5555hn27NlDdXV1u4H+nj17ePHFF920OufIyMhg165dPP74463q7y0WC7t27SItLY3PP/+cfv36YTAYmDZtGnq9vk2tfmNjIyaTCZPJRG1tLRkZGcyaNYu9e/eyfft2NmzYgK+v/PInujUJ9F1EAv3e4TG+42n+Qx0tAPjgSQQB7GcRwfi4eXVCiG4nvxFWHod/V0CgJ9x/Bfw+Crwc3/i5J5NAXwgHKyoqYvHixaxatYpnnnnmsgn0O0JVVY4cOUJqaioZGRkoikJycjLTp09n6NChbYL+2tpaPvzwQz744ANycnJYuHAht912G9deey1eXlJhJLotCfRdRAL9nq+cBvrzKo1YWj3uhxePcw0PcpWbViaEED3b+QJ92Q4R4hKtWLGCv/3tbxccJ/fJJ58QHx/PnDlzKCwsdOHq3EtRFEaMGMEjjzzCjh07+Pjjj9FoNKxcuZIJEybw6KOPsmfPHqzWM7lYAQEBlJeXM2jQIAoKCjAYDKxfv57Ro0ezc+dOh66tsrKSOXPmEBMTw4gRI/j+++8den0hhOhJqmiiHrPT32cPpfShbUPWBlrYTK7T318IIS43clQmxCXIyMhAr9czZswYtm3b1u5rZsyYwYIFC/Dx8eHVV19l8eLFfPXVV65daDegKAoREREsW7aMZcuWUV1dzZYtW3jllVfIysri6quvpq6uDg8PD95//328vLyYMmUKU6ZMwWq1YrFYLv4mnbB8+XKmTp3Khg0baG5upr6+3qHXF0KInuBHSlnCZxzhNABTGMTbTEGLc/qihOOPpZ1EUQ8UoujrlPcUQojLmaTuC3EJHnnkEf71r3/h5eVFY2Mj1dXVzJ49m/fee6/d11ssFjQaDVVVVS5eaffW3NzM559/zuuvv84nn3zS6fF7nVVVVUViYiInTpxoUz4gxCWQD5GLSOq+Y5VQy3DepoZm+2PeeBCDhkwWoTjho62iksC7HKK8VcDvhxffcjtjCHf4ewohxOVAUveFcKAnn3ySoqIi8vLyWLduHZMmTWoT5JeUlNj/OT09nREjpEPoufr06cP06dNJTU11epAPkJubi06nY8mSJSQlJXHvvfdSV1fn9PcVQoju5HWyaT6nVt6MlVyq+I6TTnlPBYXPuI3RhOOHF33pQzB9eIObJcgXQggnkEBfCAdavXo16enpADz//PPExsaSkJDA888/z9q1a927OEFLSwt79+7l17/+Nfv27SMgIICnnnrK3csSQgiXOkQ5TbRfFpWL8zLPriCQ3SzkIHezjXkY+Q13IJvgQgjhDJK6L0Q3N2jQIPr27YunpydeXl6cm76qqirLly9n8+bN+Pv7s3btWkaPHu2m1XZvp06dYvz48eTl5QHw7bff8tRTT7Fp0yb3Lkz0VJK67yKSuu9YL7KPh/mG+p/H3Nn44cVuFjIKrcPfs5Q6PiUHM1YMDGYwIQ5/DyGEuBydL3VfmvEJ0QN8/fXXaLXt/+K1ZcsWcnJyyMnJYdeuXfz6179m165dLl5hz9CvXz+ioqI4evQow4cP58svv2TkyJHuXpYQQrjUIkbyJLtoxkLLz2c6fnhxIwOcEuR/yBHu4d8AWFFZybf8iXH8kfEOfy8hhBBnSOq+ED1cWloaixYtQlEUxo8fT2VlZav+AKK1F154gYULFxIfH8/+/fv54x//6O4lCSGESwXhwx7u5E5GoMGXSAJZyf/wCbc4/L3KqOeXfEYDLTTQQhMWGmjh/7GLTIwOfz8hhBBnyIm+EN2coijcfPPNKIrCfffdx9KlS1s9X1xcTFRUlP3P/fv3p7i4mIiICFcvtUdITExsU/4ghBCXmwgCeZtpTn+fjZzAEw84pydAMxbWcZQE9E5fgxBCXI4k0Beim9uxYweRkZEYjUYmT55MTEwMEydOdPeyhBBCiIuyYEVtp+WTikoLVjesSAghLg+Sui9ENxcZGQmAXq9n1qxZ7N69u83zhYWF9j8XFRXZv0YIIYRwp+kMxtpOoO+LF/MY7oYVCSHE5UECfSG6sbq6Ompqauz/vHXrVkaNGtXqNbfccgvvvvsuqqryww8/EBwcLGn7QgghuoUIAvkH1+OLJ9544IGCH178hkSuop+7lyeEEL2WpO4L0Y2VlpYya9Ys4MwM+DvuuIOpU6fyyiuvALBs2TKSk5PZvHkzQ4cOxd/fn7ffftudSxZCCCFaWUYiNzGQj/iJZizMZAhJhLt7WUII0aspqto2neosF3xSCNF7DBo0iL59++Lp6YmXl1ebhnXbtm1j5syZREdHAzB79mxWr17tjqUK0V0o7l7A5WLs2LGqNNEUQggh2lIU5UdVVcee+7ic6Ash7L7++mu02vPPUJ4wYQIZGRkuXNH5XWxjQgghhBBCiMuVBPpCiB7rYhsTQgghhBBCXI6kGZ8QAgBFUbj55psZM2YMr732Wruv+f7770lISGDatGkcPHjQxSsUQgghhBBCdISc6AshANixYweRkZEYjUYmT55MTEwMEydOtD8/evRo8vPzCQwMZPPmzdx6663k5OS4bb22jQlFUbjvvvtYunSp29YihBBCCCFEdyIn+kIIACIjIwHQ6/XMmjWL3bt3t3o+KCiIwMBAAJKTkzGbzZSVlbl8nTY7duxg7969bNmyhX/+85988803bluLEEIIIYQQ3YkE+kII6urqqKmpsf/z1q1bGTVqVKvXnDp1CtuUjt27d2O1WgkLC3P5Wm0utjEhhBBCCCHE5UpS94UQlJaWMmvWLABaWlq44447mDp1Kq+88goAy5YtY8OGDbz88st4eXnh5+fHunXrUBT3TBerq6vDarXSt29f+8aEjPoTQgghhBDiDMV2QnceF3xSCCHc4cSJE202JlatWuXmVYnLkHt2ui5DY8eOVWWEphBCCNGWoig/qqo69tzH5URfCNHjDB48mMzMTHcvQwghhBBCiG5JavSFEEIIIYQQQoheRAJ9IYQQQgghhBCiF5FAXwghhBBCCCGE6EUk0BdCCCGEEEIIIXoRCfSFEEIIIYQQQoheRAJ9IYQQQgghhBCiF1FUVXX3GoQQQgghzktRFBOQ385TWqDMxctxNbnHnq+33x/IPfYWco8900BVVXXnPiiBvhBCCCF6JEVR9qiqOtbd63Amuceer7ffH8g99hZyj72LpO4LIYQQQgghhBC9iAT6QgghhBBCCCFELyKBvhBCCCF6qtfcvQAXkHvs+Xr7/YHcY28h99iLSI2+EEIIIYQQQgjRi8iJvhBCCCGEEEII0YtIoC+EEEKIHkVRlBBFUTYoinJEUZTDiqJc7e41OZKiKMMVRdl/1v+qFUVZ4e51OZqiKP+nKMpBRVEOKIryoaIovu5ek6MpirL85/s72Ft+hoqivKUoilFRlANnPaZRFOVzRVFyfv7/UHeusavOc49zf/45WhVF6fFd289zj//fz/9ezVIUJUVRlBB3rrGrznOPf/75/vYrirJVUZQr3LlGZ5JAXwghhBA9zXPAZ6qqxgAJwGE3r8ehVFU9qqpqoqqqGEWRCgAAA5lJREFUicAYoB5IcfOyHEpRlEjgf4GxqqqOAjyB2927KsdSFOX/b+9uQq2o4ziMPz8QI120sJIihSKRQKQXiAiSwCAT0SjoBQMrCly0ahGJULRoEbVr0UbpujChIiqCwnCRbXSTLiQrqPCl1CJfgoTS+rY4sxD1bvTM/Xem57M5M8NdPH/OcOF3zsycJcCzwJ2MztNVVXVz26qxmAJWnHfsRWBHkkXAjm5/kk1x4Rr3AQ8BO2e8ph9TXLjGz4ElSZYC3wEbZjpqzKa4cI2vJ1na/X/9BHhpxqtmiIO+JEmaGFV1FbAM2AyQ5K8kJ9tW9Wo58H2SA61DejALuLKqZgFzgJ8b94zbLcDuJKeTnAW+YDQoTrQkO4Hj5x1eA2zptrcAD85o1JhdbI1J9if5tlHS2E2zxu3duQqwC7hhxsPGaJo1/n7O7lxgsA+sc9CXJEmT5EbgV+DtqtpTVZuqam7rqB49BmxrHTFuSX4C3gAOAkeAU0m2t60au33APVU1r6rmACuBBY2b+jI/yZFu+ygwv2WMxuJp4NPWEX2oqler6hCwFr/RlyRJ+k+YBdwOvJXkNuAPJv8y4YuqqtnAauC91i3j1t3DvYbRBzfXA3Or6om2VeOVZD/wGrAd+AzYC/zdNGoGZPSTXoP9lvT/oKo2AmeBra1b+pBkY5IFjNb3XOuevjjoS5KkSXIYOJxkd7f/PqPBf4geAL5Kcqx1SA/uA35M8muSM8AHwN2Nm8YuyeYkdyRZBpxgdN/zEB2rqusAutdfGvfoElXVk8AqYG2G/zvsW4GHW0f0xUFfkiRNjCRHgUNVtbg7tBz4umFSnx5ngJftdw4Cd1XVnKoqRu/joB6qCFBV13avCxndn/9O26LefAys67bXAR81bNElqqoVwAvA6iSnW/f0oaoWnbO7BvimVUvfavgf1EiSpCGpqluBTcBs4AfgqSQn2laNV/fcgYPATUlOte7pQ1W9AjzK6BLhPcAzSf5sWzVeVfUlMA84AzyfZEfjpMtWVduAe4GrgWPAy8CHwLvAQuAA8EiS8x/YNzGmWeNx4E3gGuAksDfJ/a0aL9c0a9wAXAH81v3ZriTrmwSOwTRrXAksBv5hdK6u754ZMjgO+pIkSZIkDYiX7kuSJEmSNCAO+pIkSZIkDYiDviRJkiRJA+KgL0mSJEnSgDjoS5IkSZI0IA76kiRJkiQNiIO+JEmSJEkD4qAvSZIkSdKA/AudmYWMZsQ7DQAAAABJRU5ErkJggg==", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } ], "source": [ @@ -4590,6 +4574,28 @@ }, { "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/" + }, + "id": "pY8ZLyjUolu4", + "outputId": "924b164e-eed9-433a-8e46-77119a794415" + }, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], "source": [ "#@title UMAP Dop and Serotonin combined\n", "\n", @@ -4656,41 +4662,41 @@ "# plt.legend(labels_inh,)\n", "plt.show()\n", "\n" - ], + ] + }, + { + "cell_type": "markdown", + "metadata": { + "id": "xFj7GfSfTTk9" + }, + "source": [ + "# Heirarchical clustering " + ] + }, + { + "cell_type": "code", + "execution_count": null, "metadata": { "colab": { "base_uri": "https://localhost:8080/" }, - "id": "pY8ZLyjUolu4", - "outputId": "924b164e-eed9-433a-8e46-77119a794415" + "id": "xLgQlldgqub1", + "outputId": "57295bea-dd7b-4daf-ab9c-371454e863c0" }, - "execution_count": null, "outputs": [ { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ - "
" - ], - "image/png": "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\n" + "
" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } - ] - }, - { - "cell_type": "markdown", - "source": [ - "# Heirarchical clustering " ], - "metadata": { - "id": "xFj7GfSfTTk9" - } - }, - { - "cell_type": "code", "source": [ "#@title Heirarchical clustering with drug\n", "from sklearn.cluster import AgglomerativeClustering \n", @@ -4732,32 +4738,46 @@ "ax[1].set_title('Agglomerative with 5 Clusters Inhibitory acsf')\n", "\n", "plt.show()" - ], + ] + }, + { + "cell_type": "code", + "execution_count": null, "metadata": { "colab": { "base_uri": "https://localhost:8080/" }, - "id": "xLgQlldgqub1", - "outputId": "57295bea-dd7b-4daf-ab9c-371454e863c0" + "id": "ODqkDgizGCNw", + "outputId": "9533de29-768f-49ee-db99-eb7a8a4127fd" }, - "execution_count": null, "outputs": [ { - "output_type": "display_data", + "name": "stdout", + "output_type": "stream", + "text": [ + "Exc\n", + "(23, 21)\n", + "(13, 21)\n", + "(40, 21)\n", + "Inh\n", + "(28, 21)\n", + "(9, 21)\n", + "(35, 21)\n" + ] + }, + { "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAA64AAAHwCAYAAACmHTLcAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdd5xcd33v//dn6s72lXa1RV2WZatYkm25444xzYRmmgGHBBwSbgKB/HITboGbm9wk9wZuckNIMM02YFNsTDGmYyMMbpIsy0W2Va2uLVptbzPz+f0xR/Joi1Zt98zuvJ6Pxz5255yzZ95zpnznc873fI+5uwAAAAAAKFSRsAMAAAAAAHA8FK4AAAAAgIJG4QoAAAAAKGgUrgAAAACAgkbhCgAAAAAoaBSuAAAAAICCRuGKM8LMft/MHgk7x6kws1vM7Gch3v+PzezW48y/w8z+djIz5d33lH1ez6TxniMAKGRT+bOcNnpsU/l5PRFm9mkz+/px5j9nZtec7LKYuihci5CZPWxm7WaWDDvLZDOzBWbmZhY7Ms3dv+Hurwkrk7u/zt3vDPKddiMUPL4eM+sOfr40zvI3mtlaM+sysxYz+7WZvel0MoyRafGZXOdx7usOMxvMe/zdZvb06azzdJ6j0V5zADAW2mja6GHLT8c2+owU+u6+3N0fPtllxytyUbgoXIuMmS2QdKUkl3RGP/gKgZlFw85QIFa5e3nw88GxFjKzt0v6jqS7JM2RVC/pv0u6aXJiju8UC77/nff4y9191RkPNkkoeIHiQRtdNIq9jZ7SivExFwoK1+LzfkmPSbpD0jFdX8xsppn90Mw6zexJM/vb/D2LZvYaM3vRzDrM7PPBXr9RP3DN7PJgHR3B78vz5j0crPt3wd7GHwb3/Y28+16Qt/y5ZvZzMzsU3P878ubdYWb/bmYPmlmPpGvN7A1m9lSwrt1m9um8aGuD34eD+74sfw9qsK5/GvZYvm9mHw/+bjKz+4K9njvM7M/GePwLzeywmUWC2180s+a8+V8zs4/lbY8PmtlSSf8h6bIg2+G8VdaY2Y+CPa6Pm9lZo93vyTAzk/RZSf/T3b/k7h3unnX3X7v7h0ZZfsSe8CPZg78XB6+JDjNrNbNvBdOPbPOng8f1zmD6G81sY7CdfmdmK/PWu9PM/rOZbZLUY2ax4PbeYBu8aGbXn8JjfmfwvFUGt19nZgfMrC64/XtBpk4z22Zmr81/nGM9R6fwmouY2X81s5fNrNnM7jKzqmHb+Q/NbJekXwXP/Z8OeyybzOwtJ7sNABQ02ugc2mib/m10XuZbzWxXkOu/DFssEbSRXZbr7rtmWI5X5y1bYmbfCpbdYGarhi9ruXb9k5LeaXk9soLXzg+C1/FWM/tQ3v9+2szuNbOvm1mnpL8ys14zm5m3zAXB6y4+3uPGaXB3foroR9JWSX8i6UJJQ5Lq8+Z9M/gplbRM0m5JjwTzaiV1SnqrpJikjwb//8Fg/u/nLTtDUruk9wXLvju4PTOY/3CQ4yxJVZKel/SSpFcHy98l6avBsmVBjg8E886X1CppWTD/Dkkdkq5QbkdMiaRrJJ0X3F4p6aCkNwfLL1BuT3Ys73HnZ78quD8LbtdI6pPUFKxvvXJ7OxOSFknaLunGMbb1LkkXBn+/GCy7NG/e+XnbY8R2zFvPHZLaJF0cbINvSPrmcZ5jl7RP0gFJ35W0YIzlzg2WXXicdeVvm9G2XX72eyT9l7zn4VXDMi3Ou32+pGZJl0iKKvcFbaekZDB/p6SNkuZKSkk6J3hemvKynDVG5jsk/e1xHtM3gmVmBtvpjcH0i4PX0g3BY5gt6dwTfI6u0cm95v5AuffAIknlwfP0tWHL36Xc6z8l6R2SHs/7/1XBayIR9mcKP/zwc+Z+RBs92udlfnba6GOXyd82o227/OwF10bnZf5isJ5VkgbynodPS+qX9Pogx99LeixvXTslvTpv2SFJb5cUl/QXknZIio+x7NeH5Vor6fPBtlktqUXSdcPW/eZg+6UkPSjpj/P+//9K+tewP0Om+w9HXIuImb1K0nxJ33b39ZK2SXpPMC8q6W2SPuXuve7+vKQ78/799ZKec/fvunta0v9T7kN3NG+QtMXdv+buaXe/R9ILOrZry1fdfZu7d0j6saRt7v6LYN3fUe5DU5LeKGmnu381WNdTku6TdHPeur7v7r/13J7Ifnd/2N2fCW5vUu7D+uoT3Ey/Ue5D9Mrg9tslPeru+yRdJKnO3f/G3QfdfbtyH7bvGmNdv5Z0tZk1BLfvDW4vlFQp6WTOu7zf3Z8Its83lPtQHcvVyjUG5yrXOD5go3drObKncP9J5DieIeVeX03B83C884Buk/QFd3/c3TOeO39oQNKlecv8P3ff7e59kjKSkpKWmVnc3Xe6+7bjrP8vgr3ER37yX8sfkXSdcg36D939gWD6H0r6irv/PHjt7HX3F07kgZ/Ca+4WSZ919+3u3i3pryW9a9jz9Gl37wke/w8kLTGzs4N575P0LXcfPJF8AAofbfQJoY0+dYXURg/3P9y9z92fVm6755/e84i7P+juGUlfGzZvuPXufq+7Dyl3tLpkWOZRmdlc5Xau/Odg22yU9CXlekAc8ai7fy943fYp9/57b/D/UeV2AH3tRB8wTg2Fa3G5VdLP3L01uH23XumKVKfcnsLdecvn/92Uf9vdXdKeMe6nSdLLw6a9rNwRrCMO5v3dN8rt8uDv+ZIuyS9ClPvS35C3fH5OmdklZvZQ0GWjQ9KHldsbPa7gcX1TuQ8gKfel4Rt5WZqGZfmkcuecjObXyu1Zvkq5PXkPK9dgXS3pN+6ePZFMgfwvIL16ZfuM9hjWBo32YeX2ui+UtHSURduC340nkeN4/lKSSXoi6M7zB8dZdr6kTwzblnOVe+0ckf962yrpY8rt9Ww2s2+aWf6yw/2Tu1fn/Rztchdsl+9IWiHpM3n/M1e5L4on7RRec8PfIy8r9/7Lfy3lP/5+Sd+S9N6gaxsNJDD90EaPgzb6tBRSGz3c8bbf8HklYxT6wzNllXsPnEiOJkmH3L0rb9rw98TuY/9F31euUF+oXE+tDnd/4gTuC6eBwrVImNmR7oZXW+6cvgOS/lzSquAcgBZJaeVO/j9ibt7f+/PnmZkNWzbfPuU+9PLNk7T3FKLvlvTrYUVIubv/cd4yPux/7lbuCNVcd69S7pwUG2PZ0dwj6e1mNl+5bjL35WXZMSxLhbu/foz1/Fq5vcLXBH8/otwevauD26M5kXwny/XK48/3onKP6W0nuJ6e4Hdp3rSjX07c/YC7f8jdmyT9kaTP29ijFO6W9HfDtmVpsOc/P/crN9zvdvcjRyRc0j+eYO5jmNlq5brq3qPcUYn8TCdyXtJoz9HJvuaGv0fmKff+y/9yOPz/7lTuC+H1knrd/dETyApgCqCNpo0eZXpRttGn6eh7ItjJO0e51/tww5/HfZJmmFlF3rTh74nhj7df0reVO+r6PrEzeVJQuBaPNyvXlWOZcl1YViu3h+83kt4fdMH4rqRPm1mpmZ2rY7tI/EjSeWb25mBP10d07B7VfA8q163xPcEJ++8M7veBMZY/ngeCdb3PzOLBz0WWGyRhLBXK7TnrN7OLFXS1CrRIyip37suogq5Orcp1E/lpsFdUkp6Q1GW5AQhSZhY1sxVmdtEY69mi3J7p9yrXsHcqV5i8TWM3igclzTGzxHEe35jMbLmZrQ6ylSt3RHGvpM2j5HNJH5f038zsA2ZWablBg15lZrePsnxLsK73Buv/A+UVemZ2s5kd+aLUrtyH/JE91gd17Db/oqQPB3vezczKLDdgR36jkf+4zjGz6yx3eYh+5bbryewNP7KeEklfV24v/AckzTazPwlmf1nSB8zs+mA7zA7eB8ON9hyd7GvuHkl/brkBQsol/S/luv6mx8oeFKpZ5Z5TGkhgeqGNzqGNfiVf0bXRZ8CFZvbW4D3wMeW6Nz82ynIHJS0Iilu5+25Jv5P092ZWYrmBqP5Que8Lx3OXcucZv0m0y5OCwrV43KrcOSu7gr1uB9z9gKTPSboleJP/J+UGYjig3BvwHuXe9Aq6Lt0s6X8r131lmaR1R+bnc/c25c57+USw7F8qNwBO6/BlxxN023iNcueoHBnM4B+VO5diLH8i6W/MrEu5QRq+nbe+Xkl/J+m3luv+Mta5D3crNxDF3Xn/mwke12rlTvg/0nBWHSfLryW1BR+KR26bpA1jLP8rSc9JOmBmJ729lOsS9S3lBunYrtx5NG8MzvcYwd3vlfRO5Y5A7lPuw/xvlesCM5oPSfr/lHtelyv3QX/ERZIeN7Nu5famf9Rz5xhJue5Ddwbb/B3uvi5Y1+eUa0C3KvfhP5akpH9QbpsfkDRLufNCx/KXdux1XI9sy7+XtNvd/93dB5T7wvK3ZnZ20MXnA8oNsNCh3HM1/KiENPpzdLKvua8o9x5bq9xrqV/SMaMGj+Eu5QY14fpzwPRCGy3a6OGmcRs9Ub6v3PY6MvjYW8fYtt8JfreZ2ZHn+t3KPR/7JN2v3Pnkvzjenbn7b5Ur0De4+/Du95gAR0ZlA0Yws3+U1JB/fmDevIhy5w7c4u4PTXo4oAiZ2fsl3RZ0xwJQxGijgfCZ2a8k3e3uXwo7SzHgiCuOsty12FYG3UIuVq6bxP158280s+qgK8gnldsrOVoXDABnmJmVKnekYkQXMQDTH200UFiCbugXKHcUHZOAwhX5KpQ7h6ZHuTfhZ3Rsd5TLlBt1tVW5YfPf7LkhwQFMIDO7Ublzvw4qr2scgKJCGw0UCMtdZu8Xkj42bDRiTCC6CgMAAElHBzBbq9w5azFJ97r7p8JNBQAAhSsAAAiYmUkqc/duM4srd4mQj7o7XU4BAKEa6wK+AACgyASX4OgObsaDH/ZwAwBCN6UK19raWl+wYEHYMQAA08T69etb3b0u7ByFxMyiktZLWizp39z98eMtT9sMADiTxmqbp1ThumDBAq1bty7sGACAacLMuPbeMMH1MFebWbWk+81shbs/m7+Mmd0m6TZJmjdvHm0zAOCMGattZlRhAAAwgrsflvSQpNeOMu92d1/j7mvq6jhgDQCYeBSuAABAkmRmdcGRVplZStINkl4INxUAAFOsqzAAAJhQjZLuDM5zjUj6trs/EHImAAAoXAEAQI67b5J0ftg5AAAYLtTC1cx2SuqSlJGUdvc1YeYBAAAAABSeQjjieq27t4YdAgAAAABQmBicCQAAAABQ0MIuXF3Sz8xsfXBNOAAAAAAAjhF2V+FXufteM5sl6edm9oK7r81fYPhFzgEAAAAAxSXUI67uvjf43SzpfkkXj7IMFzkHAAAAgCIWWuFqZmVmVnHkb0mvkfRsWHkAAAAAAIUpzK7C9ZLuN7MjOe5295+EmAcAAAAAUIBCK1zdfbukVWHdPwAAAABgagh7cCYAwDjcXfu7u9Q9OKgZqVLVlpaGHQkAcAa5D0qZPZKyUnS2zFJhRwIKDoUrABSw1t5e3f3M09rdeVgRiyibdS2fNUs3L1uhskQi7HgAgNOUHXxa6rtf8n7JTFJUnnyNLHmlglPqACj867gCAMYwkE7r9g1PqrmnW03llWosr1BTRYWeb23W3c88LXcPOyIA4DR4eofU+w3JUlK0SYo0SlYj9f9APrg+7HhAQaFwBYAC9UJriw719am2tOzoXnczU2NZhV5sa9W+rq6QEwIATocPPCxZaa5wPcLiks2UBn4h92xo2YBCQ+EKAAVqV2eH4jbyY9rMZGZq6e0JIRUA4IxJ75CscuT0SJmUPSx53+RnAgoUhSsAFKjKRFKZ4+xtL4kxTAEATGlWIfnAyOmeliyWO/oKQBKFKwAUrBWz6iVJg5nMMdO7BwdUGo9rUc2MMGIBAM6U5Kskb5OGj1mQbZbiF8mMQfiAI9hdDwAFamZpqd6+bIXuff5ZRcyUjMbUl04rFjF98II1SkSjYUcEAJwGS6yRp7dIQ89KKpEskuseHG2Slbw67HhAQaFwBYACdvHsOZpXVaUN+/eptbdXcyoqdX5jk2pSXOMPAKY6s7hUeouU3iof2ih5Roovl8WXcrQVGIbCFQAKXEN5hV5/9jlhxwAATACzqBQ/Rxbncx44Hs5xBQAAAAAUNApXAAAAAEBBo3AFAAAAABQ0ClcAAAAAQEGjcAUAAAAAFDQKVwAAAABAQaNwBQAAAAAUNApXAAAAAEBBo3AFAAAAABQ0ClcAAAAAQEGjcAUAAAAAFDQKVwAAAABAQaNwBQAAAAAUNApXAAAAAEBBo3AFAAAAABQ0ClcAAAAAQEGjcAUAAAAAFDQKVwAAAABAQaNwBQAAAAAUNApXAAAAAEBBo3AFAAAAABQ0ClcAAAAAQEGjcAUAAAAAFDQKVwAAAABAQYuFHQAAAAAIk2cOygfXSdkDUqRBlrhQFm0IOxaAPBSuAAAAKFrZwU1S792SRSSlJG2TDzwiL32nIonVYccDEKBwBQAAQFHybLfU9y0pMkOykmBqleT9Ut935LHFskh5qBkB5HCOKwAAAIpTequkdF7RGrASydPyoRdDiQVgJApXAAAAFCX3Xsn9OAv0Tl4YAMdF4QoAAICilBuAycaeH2ucvDAAjovCFQAAAMUpukCKzsuNJnzkyKt77nZ0jhRdFGo8AK+gcAUAAEBRMovIym6VYkuk7L5cwZrdJ0UXy8p+X2Z8VQYKBaMKAwAAoGhZpFxW9vvyTJvkHZJVyqK1YccCMAyFKwAAAIqeRWdKmhl2DABjoP8DAAAAAKCgUbgCAAAAAAoahSsAAAAAoKBRuAIAAAAAChqFKwAAAACgoFG4AgAAAAAKGoUrAAAAAKCghV64mlnUzJ4yswfCzgIAAAAAKDyhF66SPippc9ghAAAAAACFKdTC1czmSHqDpC+FmQMAAAAAULjCPuL6z5L+UlI25BwAAAAAgAIVWuFqZm+U1Ozu68dZ7jYzW2dm61paWiYpHQAAAACgUIR5xPUKSW8ys52SvinpOjP7+vCF3P12d1/j7mvq6uomOyMAAAAAIGShFa7u/tfuPsfdF0h6l6Rfuft7w8oDAAAAAChMYZ/jCgAAAADAccXCDiBJ7v6wpIdDjgEAAAAAKEAccQUAAAAAFLSCOOIKAAAAACgsmUxGuzbv1f7tB1VSltRZqxaoqrYylCwUrgAAAACAY/R09uq+//uADuxoViQaUTab1a++8Yiue8+rdMGrV056HgpXAAAAAMAxfvG1tWrZ1aaGBbOOThsaTOsXX1+rhoWz1HRWw6Tm4RxXAAAAAMBRXe3demndNs2cPeOY6fFETPFkXE8/9NykZ6JwBQAAAAAc1dPRq0g0okjERsxLlZWo7UD7pGeicAUAAFNW1l3tfX3qHhwMOwoATBsVNWVyd2Uz2RHzerv6VD+vdtIzcY4rAACYctxdTx/Yrwe3btHh/j65pHNmztSbzlmqWWXlYccDgCmtrKpMyy8/R8/85gXVz6+VWe7I60DfoDLpjFZds3zSM1G4AgCAKeepA/v19Wc2amZJqZoqKpV1187Dh/X5Jx/Xxy69XNUlqbAjAsCUdt17XqWezl5tf/rlo9NiiZje8Ec3aNa8uknPQ+EKAACmlEw2qx9teVG1qTKVxuOSpIiZakvLtL+7U4/v2a0bFy8JOSUATG3JVFJv+9gbdfDlFrXuaVM8Gde8ZXOUKisJJQ+FKwAAmFIO9fWpa2BATRWVI+ZVJUv0THMzhSsAnAFmpoYFs465JE5YGJwJAABMKdGIyZU7z3W4TNaViEUnPxQAYEJRuAIAgCmlpiSluZVVau/vP2a6u+vwQL8uaZoTUjIAwEShcAUAAFOKmemtS5cp41kd6O5Sfzqt7sFB7enq1Fk1NVrd0Bh2RADAGcY5rgAAYMqZU1mlj11yuR7Z9bKeb21WKh7TtQuWaU3TbCVjfL0BgOmGT3YAACBJMrO5ku6SVC/JJd3u7v8Sbqqx1ZWV6S1Ll+ktWhZ2FADABKNwBQAAR6QlfcLdN5hZhaT1ZvZzd38+7GAAgOLGOa4AAECS5O773X1D8HeXpM2SZoebCgAAClcAADAKM1sg6XxJj4ebBAAAClcAADCMmZVLuk/Sx9y9c5T5t5nZOjNb19LSMvkBAQBFh8IVAAAcZWZx5YrWb7j7d0dbxt1vd/c17r6mrq5ucgMCAIoShSsAAJAkmZlJ+rKkze7+2bDzAABwBIUrAAA44gpJ75N0nZltDH5eH3YoAAC4HA4AAJAkufsjkizsHAAADMcRVwAAAABAQaNwBQAAAAAUNApXAAAAAEBBo3AFAAAAABQ0ClcAAAAAQEGjcAUAAAAAFDQKVwAAAABAQaNwBQAAAAAUNApXAAAAAEBBo3AFAAAAABQ0ClcAAAAAQEGjcAUAAAAAFDQKVwAAAABAQaNwBQAAAAAUNApXAAAAAEBBo3AFAAAAABQ0ClcAAAAAQEGjcAUAAAAAFDQKVwAAAABAQaNwBQAAAAAUNApXAAAAAEBBo3AFAAAAABQ0ClcAAAAAQEGjcAUAAAAAFDQKVwAAAABAQaNwBQAAAAAUNApXAAAAAEBBo3AFAAAAABS00ApXMysxsyfM7Gkze87M/kdYWQAAAAAAhSsW4n0PSLrO3bvNLC7pETP7sbs/FmImAAAAAECBCa1wdXeX1B3cjAc/HlYeAAAAAEBhCvUcVzOLmtlGSc2Sfu7uj4eZBwAAAABQeEItXN094+6rJc2RdLGZrRi+jJndZmbrzGxdS0vL5IcEAAAAAIQqzHNcj3L3w2b2kKTXSnp22LzbJd0uSWvWrKErMQAAAIra9vZD+sX2rdrW3q6yeFxXzJ2vK+bNU0ksHnY0YMKEOapwnZlVB3+nJN0g6YWw8gAAAACF7pnmg/r8k49rT2en6svKlYhG9eDWF/Xlp9ZrMJMJOx4wYcLsKtwo6SEz2yTpSeXOcX0gxDwAAABAwUpns/ru5uc0I1WqGalSRcxUEotrTkWVtre36/nmg2FHBCZMmKMKb5J0flj3DwAAcKa19PZof1eXkrGYFlbXKBGNhh0J08j+ri71DA6qqaLymOlmpvJ4QhsO7NfqxqaQ0gETqyDOcQUAAJjKBtJp3bf5OT21f7/MctNKYnG957yVOre2LtxwmDb8OFeONJNyV5sEpqdQRxUGAACYDh7c8pLW79+rxooKNVVUqqmiUiWxqO7YuEHNPd3jrwA4AQ3lFSqJxdSfHhoxr3twUKsaGkNIBUwOClcAAIDT0D04qMf37lZjeaUiRw63SiqNJyRJj+3ZHVY0TDOJaFQ3LTlXzT096hwYkLtrKJPRvq5ONVVU6LxZ9WFHBCYMXYUBAABOQ3tfn1xSLDLyeEBZIqFdHR2THwrT1kWz56g0HtdPt23Rvu4uxSMRXTFvvq5feJaSMb7aY/ri1Q0AAHAayhJxubvcXZZ3xFWS+tNpzUilQkqG6Wr5rHotq5ulwUxGsUhE0VF2mgDTDa9yAACA0zAjVaolM2vV3NtzzPR0Nqv+dFqXzpkbUjJMZ2amZCxG0YqiwSsdAADgNL1t6XLVlJRob2eHmntyl8Q52N2l1y5erIXVNWHHA4Apj67CAAAAp6kmldJHL7lcL7S2aHt7u0oTcZ03q37E9TYBAKeGwhUAAOAMSMZiWtXQyCVJAGACULgCAAAUoUw2q4M93XKX6svLRx0VGQAKBYUrAABAkXmhpVn3bX5eHQMDMkmpRFxvWnKuLmhsCjsaAIyKXWsAAABFZOfhdn154wa5pKaKCjVWVCgZierrmzbq+ebmsOMBwKgoXAEAAIrIL3dsU0k0pvJE4ui0VDyu6pKUfrLtJbl7iOkAYHQUrgAAAEVk66FDqi4pGTG9IpHQ/u5uDWTSIaQCgOOjcAUAACgiqVhMQ9nMiOkZzyoWiSgWiYaQCgCOj8IVAACgiFwxd75aentGdAlu7unRmqYmRhcGUJAYVRgAAKCIXDFvnja3tmh7+yFVJpMyM3UNDKi+rEw3LDo77HgAMCoKVwAAgCJSEovrQxes0TPNB7Vh/z5l3fWaRYu1uqFRqXg87HgAMCoKVwAAgCKTjMW0pmm21jTNDjsKAJwQTmIAAAAAABQ0ClcAAAAAQEGjcAUAAAAAFDQKVwAAAABAQaNwBQAAAAAUNApXAAAAYBrrHRrS3s5Otff1hR0FOGVcDgcAAACYhoYyGf1k6xb9dvfLcklZz+qcmbV629IVqkmlwo4HnBSOuAIAAADT0PdefF4Pv7xdtaVlaiyvUFN5pba1t+v29U9qIJ0OOx5wUihcAQAAgGnmUF+vnty7V7MrqhSL5L7ym5nqy8rV2tuj51uaQ04InBwKVwAAAGCaOdDdLUmKmI2Yl4zFtPXQocmOBJwWClcAAABgmolHovIx5qWzWZUl4pOaBzhdFK4AAADANLOgulql8bh6BgePmZ7JZpXOZrWyviGkZMCpoXAFAAAAppl4NKr3rFiprsEBHejuUvfgoFp7e7Svu0vXLVyk2RWVYUcETgqXwwEAAACmoXNq6/Tnl16hx/bs1ssd7ZpfVaVL58zT4hkzZKOc+woUMgpXAAAAYJqqLy/X7527NOwYwGmjqzAAAAAAoKBRuOKUuWfkPij3scasAwAAAIDTR1dhnDTP9soHHpIGH5N8UIrWy5M3yOIrOF8CAAAAwBnHEVecFPdBec9XpIG1klVLkSYp2yf13ikffDzseAAAAACmIQpXnBQf2ixldknR2ZLFJTMpUiFF6qX+B+U+OP5KAAAAAOAkULji5Aw9I1npyOmWzHUbzuyd/EwAAAAApjUKV5wkkzTWYEwW/AAAAADAmUPhipMTXyl5rzR8JGHvzx11jTaFkwsAAADAtMWowjgpFj9XHjtbSm+VIrWSEpJ3SN4jlb5bZomwIwIAgALV1tujf338MT388g6lsxmtqm/Uf7r4Ui2tmxV2NAAFjsIVJ8UsLpXdKh/4nTT4W8kPSdEFspJXy2KLw44HAAAKVEt3t95x7zfV0turZDQiM9PaXTv12N7d+tzrbtJlc+eFHRFAAaNwxUkzS8pKrpVKrpW7c+1WAAAwrv/zu0fU0turqmRCZrmz1UpicXUNDuh/rn1ID95ya8gJARQyznHFaSHJiUgAACAASURBVKFoBQAAJ2Ltrp0qicWOFq1HlMXj2t3Zod0dHSElAzAVULgCAABgwqWzGUVH2eEdCQrZroH+yY4EYAqhqzAAADjKzL4i6Y2Smt19Rdh5MH0smjFTL7S2KB6NHjO9Pz2kVDyuxTNrQ0p2atxdL7a16tc7d+hAT7fqSst01fwFWl43ix5pwATgiCsAAMh3h6TXhh0C089HLrpE5lLv4KDcs5KkgUxa/em03rF0hRLDCtpC9/DOHbp9w5Pa19WlVCyu5p5ufeWp9frpti1hRwOmJQpXAABwlLuvlXQo7ByYfq6ev1CfvuZ6pRJxdQ4OqmOgX1l3vWfFSv35pZeHHe+kHO7v04NbX1JTeYVqUiklolFVl6TUVFGpX+7YppbenrAjAtMOXYUBAABO057ODj25d6/a+no1r6pKa5pma0aqNOxYBectS5fpTUvO0foD+9SXTuvCxtkqT0y9a8BvPXRIWXfFIsceJY5FIpJML7W2qm5eWTjhgGkqtMLVzOZKuktSvSSXdLu7/0tYeQAAwIkxs9sk3SZJ8+Zx7c21L+/UD1/crFg0omQ0ri2HWvXwzh364AVrtKhmRtjxCk40GtXFs+eGHeO0ZN019lmsrnQ2O4lpgOIQZlfhtKRPuPsySZdK+oiZLQsxDwAAOAHufru7r3H3NXV1dWdknZ0D/drX1aneoaEzsr7JcrC7Wz986QXNKitXfVmFqktK1FheqdJ4XF/btFFDmUzYETEB5lZWyZQboCmfu0suLaiuCScYMI2FdsTV3fdL2h/83WVmmyXNlvR8WJkAAMDk6hwY0PdeeF7PNh9UxEwu6bI5c/W6xUuUjBX+GU3PNB9QxDRipNzyRFJ7uzq143C7lkyx0XIxvobycp3f2KT1+/eqvqxCiWhUQ5mMDvZ0a9msWZpXVRV2RGDaKYgWwcwWSDpf0uPhJgEAoLiZ2T2SrpFUa2Z7JH3K3b88Efc1lMnoyxvW6UBPtxrKKxQxUzqb1W927VTP0JBuOW/VRNztGdU1MKiYjT4arknqT6cnNxAmRGtvr363+2Vl3HVBQ6PmV9fo5mUrNDNVqt/s3qmhTEaxSFTXLVyk6xeexeVwgAkQeuFqZuWS7pP0MXfvHGU+59EAADBJ3P3dk3VfWw61aW9Xp+ZUvnJ0KhaJaE5FlTYe2KcbFp2lWWXlkxXnlMyrrtJvd788Yrq7y91VX+D5Mb4vbVine57dpIy7JJfJdO2ChfrkldfoxsVn67qFi9Q7lLsW7VS7pA8wlYR6ORwziytXtH7D3b872jITcR4NAAAI37b2Q4pHRn7RNzOZTPu6ukJIdXJW1NVrRiql1t6eo+c7Zt21t6tTy2fVq76cwnUq+9m2Lfra0xtVlUyqoaxcDWUVqk2V6hfbt+mLG56UlOsmXlVSQtEKTLDQClfL9aH4sqTN7v7ZsHIAAIBwlMbiymrs0VenQiGQjMX0oQsvUkN5ufZ1d2p/d5cOdHfpgsYmvWvFeWHHw2n65rOblIrHlIi+0kkxGoloRiqlB156UYN0BQcmTZhdha+Q9D5Jz5jZxmDaJ939wRAzAQCASbJiVr1+su0lpbPZ4PqXOX1DQ4pHo1pUMzVGZq0rLdNHLrpUB3u61Ts0pBmplKpLUmHHwhmwt6tLFaNcZ7YkFldHT7fa+vrUWFERQjKg+IQ5qvAj0nEugQUAAKa1+vJyveasxfrJ1q1KxWJKxeLqHhpQJut678rVKonFw454wsxMDeUUMNNNVTKp3qEhlSeOPfo/lEkraqaqZDKkZEDxCX1wJgAAULxevXCxFlbP0KN7dqmtt1fn1NbqsrnzNLuiMuxok64/PaRnm5u1ubVZqVhc5zc0amHNDEUYoTY0N52zVF9c/6RK43FFLNcrwD2rtr4+XbNgkUpHORoLYGJQuAIAgNCYmRbPmKnFM2aGHSVUh/v79IV1T6q1t1epeEzpbFaP7t6lS+fM1duWraB4Dck7l63QpgP79eS+vYpGIjKX0p7VgqpqfezSy8KOBxQVClcAAICQff+FzTrc36/Zla8cac6669E9u3VObZ1W1jeEmK54JWIx/eOrb9Rje/fooR3bNZhN6/K583Xt/IVKxPgaDUwm3nEAAAAh6hwY0LMtzWocdo5sxEyVyaQe3b2LwjVEkUhEl8+dp8vnzgs7ClDUQr2OKwAAQLEbSKcVMRu1O3AyGlPH4EAIqQCgsFC4AgAAhKiqpESJaFSDmcyIeZ2D/VpUPTUuCwQAE4nCFQAAIESJaFTXLlioA91dSmezR6f3DA4q69IVc+eHmA4ACgPnuAIAAITs6vkL1Z/OaO3LO+TucknliYT+YPUFaqwo7uvD9qeH1NLTq0Q0qlllZTJGWAaKEoUrAABAyKKRiF5/9hJdNX+B9nV1Kh6Nam5llWKR4u0cl3XXQzu26Vc7dyidzcrd1VBerncuX3nM6MsAikPxfhoCAAAUmPJEQktm1mphdU1RF62S9PDO7frRlpdUnSxRY3mFGssr1NE/oP9Y94Ta+/rCjocT1NvVpy0btuvFJ7eqs60r7DiYwjjiCgAAgIIykE7rlzu2q6G8QvFoVJJkZqpJpbSvq1NP7N2tGxcvCTkljsfd9dQvn9FD3/ytsplXzt2+6HWrddXbL1OkyHfM4OTxigGAAuTu6u8dUGaUUUYBYLpr7etVOpNVIiha81Umk3qxrTWEVDgZO5/dpZ/d9WtVz6pS/fw61c+vU+2cmXrsh+u18VfPhh0PUxBHXAGggLi7nv3tC3r0B+vU0dKpeElc51+3Qpe+8UIlU8mw4wHApEhGY8rK5e4jBmMazGRVFufzsNA99qMNKq8qVTzxSrkRjUY0o7FGjz2wXquuXa7oKDsmgLFwxBUACsgTD27Qj77wC2UzWdXPr1NFTbkef2CD7vvnHymT5ugrgOIwM5XSvMoqHRp2Lqu7q3twQJfOmRNSMpyogzubVVZVOmJ6SWlSvZ19GuwbDCEVprJxC1czqzSzs0aZvnJiIgFAcerr7tMj9z+hWfNmqrQiJUmKJ2KqX1Cn3S/s087ndoecEIWCthnTnZnp5uUrFI2Y9nZ1qmtgQG19vdrT1amLZs/WubV1YUfEOKrqqtTfOzBi+tBgWrFEVPFkPIRUmMqOW7ia2TskvSDpPjN7zswuypt9x0QGA4CJ8tKG7frsh/5DH7n4r/QX131a3//8j9Xf2x92LO3f3qxsNqtY/NizOMxMyVRCWzfsCCkZCgltM4pFQ3mFPn7ZFXrdWWdrZmmpFlXX6LWLz9ai6hl6obVFA+l02BFxHGtuXKXO1i5ls350mrurbd8hnX/9eSPaOmA8471iPinpQnffb2YXS/qamf21u98vias/A5hy1v10o/7to1+V5CqrKlN3e7e+85kH9NQvn9Unv/FRJUoSoWWziMnG+Gj1rCsS4+wOSKJtRhGpTJboukVnac3sObrr6af0021bZG6SXKl4XO9buVpnz6wNOyZGseyyJdr70n5tWvu8orGozEzpobTmL5+ry25aE3Y8TEHjFa5Rd98vSe7+hJldK+kBM5sryY//rwBQWNLptO741LeUSMVVXlUmSUqmEkpVprRt40795ruP6/r3XBlavqaz6hWNRzXYP6REyStdqNxdgwNDWnLhotCyoaDQNqOouLvuefZp7ens0OzyyqODNfUMDuqrGzfoL6+4UtUlqZBTYrhoNKobP3CtVl2zXFs37lA2k9WC5XM155wmBmXCKRlv931X/jk0QUN5jaTfk7R8AnMBwBm3Zf12dR3qPlq0HhGxiEpKk1p776MhJctJppK6/pYr1bbvkDrbupTNZNXX3a/9Ow7qnIsWae65s0PNh4JB24xpoWdwUI/t2aX7Nz+vh3fuUPuwgZiOONjTra1th1RfVn7MCMNliYSGslk9dWD/ZEXGSTIzNS6q15VvvVRX33y55i+bS9GKUzbeEdc/1rBuR+7eZWavlfSOCUsFABNgaGBINkZHykgsosH+ockNNIqVVy1TxYxyPfbAeu3fflDl1WV6za3XaOVVy7hYO46gbcaUt6ezQ19c/6R602klIlENZjP6ydaX9J4VK7WyofGYZdv7+xWJaMRlcSQpFYtpX1fXZMUGEKLxCtceSfWStg6bfrGkxyYkEQBMkLNWL1Q0FtXgwKASyWPPZe3vHtB5V54bUrJjLVwxTwtXzAs7BgoXbTOmtHQ2qzs2blDEIppdUXl0en96SHc/u0nzqquP6fpbmUgq6xr1mq4D6YzqSkdecgXA9DPe7vt/ltQ5yvTOYB4ATBlllaW67pYr1X6w4+gQ/dlsVu0HD6u0skSvufXakBMCJ4S2GVPajvZ2dQz0q6qk5JjpJbG4Mu7adPDgMdObKio0p7JSbX29x0zvT6clk85vbJrwzADCN94R13p3f2b4RHd/xswWTEgiAJhA7/6rtyhZktDP7/q1Wg/3SMod4fzA371btU0zQk4HnBDaZkxpPUODGmsA7Hgkoo7+Yy9PZma65bzV+tKGddrb1aGIRZT1rKIW0buXn6e60rJR1wVgehmvcK0+zjyGbwMw5UQiEb394zfpTX9yo/bvaFZpRUp1c2aGHQs4GbTNmNJmpFKS+6hdfwczGTVWVIz4n9rSUn38siv0Ulur9nV1qiKR1PJZs1SZLBmxLIDpabzCdZ2Zfcjdv5g/0cw+KGn9xMUCgImVKElo/tI5YccATgVtM6a0uZVVml9To72dHZpV+spIwe19fapIJrW8btao/5eIRrViVr1WzKqfzLgACsR4hevHJN1vZrfolcZwjaSEpLdMZDAAADAq2mZMaWam969cra9t2qid7YdllrsA8cxUqd6/arVS8fi46wBQfI5buLr7QUmXBxc3XxFM/pG7/2rCkwEAgBFomzEdVCZL9CdrLtHerk4d6utTeSKh+VXVinLZLwBjOG7hamYlkj4sabGkZyR92d3TkxEMAACMRNuM6cLMNKeySnMqq8KOAmAKGG+31p3KdT96RtLrJP3ThCcCAADHQ9sMACg6453juszdz5MkM/uypCcmPhIAADgO2mYAQNEZr3AdOvKHu6eHD1kOAAAmHW0zAEwR7q792w9q5/N7FIlIC5bPU/38uhGXgsL4xitcV5lZZ/C3SUoFt02Su3vlhKZDQXF37dt2QB0tnSqtLNXcc5oUjUXDjgUAxYa2GQCmgPRQWg9+8Rd64YmtikQjkktrv/OYVl61TDfcerWiUb5Hn4zxRhVma0KS1NXere/964+1f/tBmZlcUsWMMr3lT1+vhgWjX28NAHDm0TYDwNSw/uebtPmxLWpYOOvoEdZs1rXxoWfVeNYsrbp6xThrQD7GHMe43F3f/9xP1LK7TfXz61Q/v04N8+uUTbu+85kfqq+nP+yIAAAAQMHIZrN68sdPaUZjzTHdgiMRU019tZ54cGOI6aYmCleM68COZu3bflAzm45941XUlKm/u19b1m8PMR0AAABQWNJDGfX19CuZSoyYV1KW1OGWDrl7CMmmLgpXjKujtVMR06gnkccTMbXsaQ0hFQAAAFCY4omYKmrKR+2Z2NvZp7o5Mxmg6SRRuGJcpZWlGmt/0NBQWlW1jAMCAAAAHGFmuuymC9V+4LCymezR6Zl0Rh2tnbr0jReGmG5qGm9UYUCzz25QVW2lOtu6VDmz4uj0/t4BRaMRLVlzVojpAAAoDh39/XrqwH7t7epUbWmpLmhoUl1ZWdixAIzhvKuW6dDBw1r306flLsmlSES66u2X6pyLFocdb8qhcC1i7q6+7n7F4lElSkb2vz8iGo3qzX/6On3nMz/QgZebFY/HlElnFIlFddMf36jKGRVj/i8AADh929sP6Usb1mkom1UqFtOmTFq/3LFN71q+Uhc0NoUdD8AoIpGIrn3nq3TB9Su1d8t+yUxzljTy3fkUUbgWqS0btmvtvY+q/UCHZNI5a87SVTdfNma331lza/XBv79FW5/aoZY9h1Q5s1xLLjxL5dXs6QUAYCINpNO6c+NTKo3HVZ5IHjP92889o0U1NaouSYWYEMDxVNVWcmrdGUDhWoQ2P/6SfvBvP1VlbYXq5s6UZ11bNuzQni379f5Pv0NllaWj/l8yldTyy8+d5LTAxOg+3K3f/WC92vYdUtOiel3yxgtUUloSdiwAGGF7+yH1poc0O3XsF99kLKZM1vVcc7OumDc/pHQAMDkoXItMJpPRQ9/8rarrq5Qqy31Jt6ipdvYMHdzVomd+s1mXvoGTxTG9bfjlJv3Hx+/UQM9AbuAxk771T9/Xx2//sBavXhh2PKCouLsOdHerZ2hQM1Olqklx5HC4nqGhMedFI6bOwYFJTAMA4aBwLTLtBzvU29mnWXNrR8yrqC7XS09upXDFtNbefFj//ud3KBqLqnbOzKPTO9q69M8fvl2fXfs3SiTiISYEikdLb4/ueWaTdnd2KGImd9fqhka9dekylcR4Hx5RV1omea7IH375jIxn1VTO+XKF6mB3t3Z3digWiWhRzQxVJpPj/xOAUVG4FploNCJ3H7Xxy2azisaiISUDJsfaex/VYN+gamfPPGZ61cwKte5t0/qfbtRlN10UUjqgePSnh3T7+ifVlx5SU3mFzExZd23Yv09D2axuXXV+2BELxryqKi2sqdaujg7Vl5XLgiK/rb9XNalSnVtbF3ZEDDOUyei+zc9p/b69cplMUiRiumnJuXoV3bqBU8J1XItM9awq1TbNUPfhnhHzutq7teJKzmHF9HZgR4si0bF30LTsOTSJaYDi9Wxzs9r7+lSbKju6IzViptkVlXr24EE193SHnLBwmJnet/J8nVUzQ/u6OrW/u1P7urtUmyrThy5Yo2SM4xCF5lc7tuvJfXvVWFGpOZWVml1ZqdpUqe7f/Ly2tLWFHQ+YkvikKzJmphtuvUbf+sfvaah/SBUzK5QZyqi9uUOzz27U0kuWhB0RmFANC+uUzWTGnF83Z8YkpgGK166Ow6MWXGYmM+lgT49mlZWHkKwwVSST+uAFa3Swp1vt/f2qSCQ0u6JyRO8phG8wk9HaXTtVX1auSN7zE49GVZqIa+2uHTp75szjrAHAaDjiWoTmnN2o933qZp194SL1dvZKkq555+W6+RM3Hfd6rsB0cNXbL1O8JKGe4LV/REdblypmlOvCG1eHlAwoLhWJpNLZsXcilUTZtz6cmamhvEJLa+s0p7KKorVA9QwOaiibUWKU3j3l8YT2dXWFkAqY+mgVitSsubV6w203hB0DmHQ1s6r14c/eqi984k617GmTgu995dVl+rN/v42BmYBJsrK+QT/bvlVDmYzieV/wuwYHVJ5IaGFNzRm5n/70kIYyWZUnEhR6mBSl8bhiFlE6m1Escmzx2pceUkM5PQmAU0HhCqDorLlhlc5d+zf63fefVNv+djUsrNdlN13IdVyBSVRfXq6blpyrH764WbFoRMloTL3pIcUsog9esEaxyOl1Cmvr7dWDW17SMy0HJJdqS8v0+rOXaMWs+jP0CIDRJWMxXTx7jh7Z9fIx3bnT2aw6Bwb0juXnhZwQmJooXAEUpfLqcr3m1mvDjgEUtavmL9BZNTP05L49OtTXp/lV1bqgsem0r+XaOTCgz697XL1Dg2ooq5BJ6h4a1Fc3rtf7zlut1Y1NZ+YBAGN47eKz1dzTo5cOtSoiy10zXK4bFi3WUkaBBk4JhSsAAAjN7MpKza5cdkbX+cTe3eocGNDsisqj0yoSSUXN9MCWF3VefYOip3lEFzieklhcH7xgjXYebtf29kOKR6NaWlvHgGPAaaBwLSBDg0Pqbu9RsjSp0orT29sMAECx2nTwoKqTI7v+l8YT2t/dqba+XgoITLiImRbVzNCiGkarB86EUAtXM/uKpDdKanb3FWFmCVMmk9G6n2zUYz/aoKGBIcmls9cs0nXvfpUqaia2Yc1ms+o+3KNYPHbKxXJXe7d2bd6r9GBa9QvqVD+/jgEwAAChiUUjyg76iOnuLncpYhxtBYCpJuwjrndI+pyku0LOEapHvvu4Hv3hetXOnqFEMq5sNqttT+1Q6542ve+/3zxhl6h54YktWnvvY+po7ZQkLVwxT9e++1Wa2XjiIzlu+MUmPXTPI8pkskennX3BIr3hj25QIsnorACAyXdR42zdt/k5VSSTx0zvGBhQQ3m5Zp7mObQAgMkX6i5Hd18r6VCYGcLW09GjJ3+yUfXzao8WepFIRLWzZ+rQ/sPa+tSOCbnfzY+/pO9/7ifKZrKqn1enujm12vPiPt3zv76rzkMndn2xXS/s1c+/tlbV9dVqWDBLDQtmqX5+nV5av12/ue+xCckNAMB4Lmhs0vyqau3u7FDv0JAGMxk193RrID2kty5dTq8gYJo5dKBdP7vzYX3uz76iL/zFnXr0gXXq6+kPOxbOMPrKhKx5d5skKRobeZHqZCqhHc/sOuP3mclk9Otv/07Vs6qOdg+OREwzGmvU3zOgpx9+7oTWs/7nT6ukNKl44pUD92amujkz9fTDz2mgb+CMZwcAYDzJWEwfunCNblpyriIm9Q4NalV9g/7sksu1oPrMXB8WQGFo3tWiuz79bT37yAtKlSVlkYh+c+/j+uY/fI/idZoJu6vwuMzsNkm3SdK8efNCTnPmxeJRaeRpOJKkTDqjROrMdxPubO1S9+FezZpbO2JexcxyvbRuu65866Xjrqd1zyGVVo7sbhWLR5XNZNXT0atkKjnKfwIAMLFKYnFds2ChrlmwMOwoACbQr+5+RJFIRDMaqiRJcUkNC+p0YGeznln7vC5+3QXhBsQZU/BHXN39dndf4+5r6uqm33WvGhfVq6Q8qb7uY/cIZbOuwYEhnXvx4jN+n9FYNBigYmTFnE1nlSg5sf0ZM5pq1NfVN2J6Jp2RRUyllaWnnRUAAAAYTU9nr3a9uE9VdZUj5lXVVmrT2s0hpMJEKfjCNUzuLs8ekmfbRy3yzoRYPKbX/eH16mrvVtu+dg30DqjzULcO7mzW6muWa86SM3+R9IoZ5WpaVK/OtpHnsnYd6tZ5V53Y9fTW3LBSfT39Sg9ljk5zd7XubdPKq5aqpJSjrQAAAJgY2UxWY52yHolGlBlKT24gTKhQC1czu0fSo5LOMbM9ZvaHYebJlx16Sd79GXnX/5F3/oO8+1/k6YkZKOmsVQv0/k/drHMvWSyLmGpn1+jNf/o63XDrNRMygISZ6fr3XqVMOqPWvW0a6BtUb1ef9u9o1pwljVp26ZITWs+8pXN0zbuuUNv+Qzqws1kHX27RwZdbNH/5PF319svOeG4AAADgiPLqMs2or1Zv58gegB2tnTpnAnouIjw2UUcSJ8KaNWt83bp1E34/nt4u7/6CZJWS/f/s3Xd8Hed14P3fzNxe0XsHwd7ErkJRjWqUrOomx3bi2Eq862w22X2TOPvm8268ySafxNlk1+s4m9XGXiXutmI1qotUpdh7ARt6vWgXF7h95nn/AAUSBCiJJICLcr6fD//gzL0zZ0hczD3zPM85F/qoqkFQUTTfv0WzlU15DJ9UbChGZ2MI3dAprim8qhY0fZ397H/tMOcONeFw2Vl12zJWbF5y1e13wj2DNBxtJpVMU1xTSOmCIqnYKISYFTRN26+UWpfpOGaz6bo3CyHERM4faeIX/+15fFlevEEPSkF/1wA2h40v/X+fJpg3fhqxmNmudG+WxHUC1tD3wOwG/bLKg1YP2Bage7805TF8HKUUu57fx67n9qGskf9Du8vO1i9t+cQjpkIIMd9J4nr9JHGde5RSNAz0c6CjnVg6RV1OLisLi/HYpT+7mJnOH23i7Z/voqe1D4WidlUVWz5zE7nFUkV8NrrSvXnGVxWebkolId0I+gRrS7VsSJ+a9pgmcnjncd7++S4KKvJHKhMDiViSF773CoEc35SsjRVCCCHE3GYpxa9OneC9lmachoFNNzjS1ckbDef57bUbyPVI4UUx89SsqKR6eQXRSAzDZkidlTlKijONY4BmANYE+0xg8tvTXC3TNNn13D5yirNHk1YY6fvq8rrYvf1ABqMTQgghxGxV3xPi3ZYmSv0BCrw+ctxuSv1BhpNJfnnyk/V5FyITNE3DG/BI0jqHSeJ6GU0zwL4GVGj8TqsHHBunLRZl9aHSZ1Fm15iqxvGhOEPh4Qk/mL5sL21nO6ctRiGEEELMHR+0tuCzO9Avq1WR7/Fytq+XzkiEk6FuDna00xYZnLKuC0IIcTmZKjwBzXUXKn0GzHbQs0ApUANgFKA5N0/5+ZU1jIr9ElLHR0Z/lQm2avB8Bk3Pwe5yYNgMzLSJYTPGvDcZS+HP8k55jEIIIYSYe8KJOE5j/NdDTdMIx+P89a53RpNapWBJfgFPLF+JW9a/CiGmmIy4TkDTs9B83wDXPYAddBe47kfz/jaa7pvScyulUNEfQuok6MWgF42stzXbUMP/hFIpHE47KzYvpqe9b9x7B0KDrNm6ckpjFEIIIcTcVJOdQySZGLc9HI9zrr+PoNNFqT944U+AUz0hnjklU4iFEFNPRlyvQNP9aK7bwXX79J7YbIX0uZFk9cNpOpoGWj6YbZA+A/al3PLIRjrOd9PZ0I3T7cSyLJLxFEtvWsjymxdPb8xCCCGEmBNuLKtgV0szkWQCv2NkSVLasjgR6qbQ5yfgdI2+VtM0in1+Dnd2cv+CGNlud6bCFkLMA5K4zjTWhbW1E/ZBNVBmC5p9KW6fm89/8xHOH2nm3KEGbHYbi9bXUr64FF2XgXQhhBBCXL18r5evrlnHj48doS0yODI1T9Mo8Y9NWj+kaxqaptEfl8RVCDG1JHGdaTQXMFHSCmCBdnGqst1hZ9G6Whatq52W0IQQQggx99Xm5PLNW7bQMhgmZVoU+ny8cf4ce9pbxr1WKYWl1OjorBBCTBUZmptpbLWgOUFFx25XSdA0NPvSzMQlhBBCiHnD0HWqsrKpy80l4HSyobSMtGmRNM0xrwtFh6nJziZPTAKJNgAAIABJREFU+rsKIaaYjLjOMJrmRHmegOjTYIVBcwMJUGlwP46mZ1/X8U3T5PzhJg7tPE4sEqNqeQUrb11CVn5wci5ACCGEEHNOaSDAI0uW8qtTJwEwNJ2UMinwePncspVoEy5xmlzRVAqlFF6HY8rPJYSYeSRxnYF0+yKU7/dRqQMjBZn0PDTHOjSj6LqOa5omLz31Bsffq8cT8GB32ti7/SAHXjvMZ//wYYqrCyfpCoQQQggx19xUXsnC3HyOd3cxlExSEQyyKC8fh2F8/JuvQ+tgmBdO13Ouf6SbQlVWNg/ULaIyK2tKzyuEmFkkcZ2hNCMXzdg6qcdsONrMsffqKa4uGH0y6vG7Gewb4uV/epNf/9bnpuWJqRBCCCFmpzyPhy1V1dN2vvbIIN/d+wE23aDE5wege2iIv9+3m2+s30R5UGaMCTFfyBrXeeTYu6fw+N3jklN/tpeetn56L+sLK4QQQgiRSa+fP4eOTq7bg3ahgnG2241DN3j1/JlMhzctlFJ0RCK81dTAzsbztITDKKUyHZYQ005GXOeR+FAcm338dJ4PbwSpRDoDUQkhhBBCjKeU4niomwKPd9y+bLebUz09pC0L2xxuA2gpxbOnTvB+SzOgoWkj21YXFfPZZSuwT/E0bSFmEklcr5NlWZw/3MTBN48yHI5SvqiE1XesILf4+oooTYXqlZW0nu7AlzX2BpBKpjFsGjnFslZECCGE+CSUUiTMNDbdmNOJU6YZmoZi/OiipdRID9kMxDSdDnS0805zE2WBIPqFGXNKKQ52dlDi83NHjbREFPOHJK7XwbIsXv3BTg7tPI434MHhsnNox3EO7zzBp//jg5QvKs10iGMsu2kRe18+SH9XmKyCwIVR1hSh1l62fOYmnO7M9WBTStF0opUDbxxhoCtMYVU+a+5cSXGNFIwSQggxcyilONzVyavnzhKKDmPXdW4sq+DOmlo8dnumw5tTNE1jXUkpu1tbKfb7x+zriQ6zprgYY44/NNjZ2EC22z2atMLIv0u+x8tbzY3cVl0zZp8Qc9nc/rRPsZZTbRx+6zhFVQUE8/y4fS7yy3Jx+1y8+I+vY17W6yzTfFlePvdHj5BfnkN3cw/dLT1E+oe4/fO3sHHbmozG9v6ze/npX/2K1lPtpJMmp/ed55+/9XNO7KrPaFxCCCHEpd5raebpwwdJmmlK/QGyXW7ebm7kqQP7xvU4Fdfvjuoa/E4H7ZFBEuk0iXSajqFB3HY7d1UvyHR4U64nOozXPr79j8tmI5ZKkTRlmZeYP2TE9Tqc2HUap8uBro990uUNekYSw6aeGTdimFeSw+e/+SjhnkGS8RRZBUEczsw+Ie5p7+O9Z/dSUJ6HYRtZq+HyOknGk7zy/R1Ur6zE7XVlNEYhhBAink6x/Uw9RT7/aAsYu2FQ6g/QHB7gZKibVUXFGY5ybslyufmdDTfyXksT+zvasZTilvIqbqmoJNvtznR4U67Q5yOSSBJwjp0VF0ul8DkcOAz5Ki/mD/lpvw7xaALDfoV/Qm1k7ehMpGkaWfkzp3z8uYMNaBqjSeuHHC4H6ZRJy6k2Fq6VNRyTxUybKKWwXelnVwgxr2madi/w3wEDeEop9ZcZDmnGaBscJG1ZE/YtddvsHO3uksR1CgRdLu6vW8T9dYsyHcq0u6Oqhh8cPojHbh9dS20pRSg6zCOLl8o0YTGvyDfX61C9ooKzB84TzBu77sJMm2iaRl5pToYim12SiRT6FdeoKNIz9AHAbNPT3sd7v9rDmf3nsSxF7eoqNj+ygYKK/EyHJoSYITRNM4DvAluBVmCvpmnPKaVOZDaymeGjep0r1KQlEUopOoYiNPT3gwZ1ObkUeH2Tcmwxu6wsLOLe2jreaDh3sUiV0rilopKbyisyG5wQ00wS1+uwaP0Cdr+wn96OfnKKskaKHSXThFp7uemh9Xj8c38Ky2QoqytmV3r/uO1KKZTSKKiUxOp69Xb088M/+wVWWl14oKLRcrKNH554hi/8p0cleRVCfGgDcFYpdR5A07SfAA8BkrgCZYEgTpuNeDqFy3ZxmY1Silg6zQ2TMNqatix+ceIY+9rb0NBQmkIDNldU8cDCxTLCNs9omsY9C+rYUFrGuf4+FIqqYDb53vEtgoSY66Q403Vwe1189g8fpmxhMd0tPYRae4n0Rbj18U3c/PD6TIc3a1QsLaO4poDulh4s0wIgnTLpbOxm6Y115JXM/pHrRCxBqLWXwb5IRs6/+8X9WGmL3JJsdF1H1zVyirLQdY13/nVPRmISQsxIpUDLJX9vvbBNAA7D4JHFSwlFo/THYpiWRTSVomUwzJK8fOpy8677HO81N7GnrZUSf4DSQIAyf5Air58djQ0c6GifhKsQs1G22826klLWl5RJ0irmLRlxvU5Z+UE+/R8+xWBfhGQsSSAvkPFiR7ONYRg89nsPsOMn73LygzNomoama2y47wZueXRjpsO7LmbaZNcL+9n70kGstIWlLKpXVLD1i1sI5gWmJQalFKd2nyG7cHyf3mB+kPOHG0mn0rLmVQjxiWma9iTwJEBFxeyZrqiUoicaJWmZ5Lk9OG1X/3tvTXEJAaeT1xvO0djfj9/h5OFFS9hUXnHd/VwtpdjZ1ECB1ztmZNXQdXLcbnY2NrCuRJ4jCCHmJ/mmOkkCOf6Pf5G4Io/fzbavbeX2z91CNBLDl+XF5clcX9nJ8vYvdrFn+0HyynKxO2xYlqLlZBs//atn+fKffmbaeudqmoYa378dUCMPCmTqmRBiRBtQfsnfyy5sG0Mp9Y/APwKsW7duwt8uM03b4CA/P3GU9kgEDQ2HzWBrTS2bK6qu+nfggpxcFuTkTnqMKdNkKJkk6B//YNNrd9A1PDTp5xRCiNlCpgqLGcXjd5NXkjMnktbh8DD7XztCQWU+dsfIMyJd18gtySEcGuT0/vPTEoemaSy9aRH9XQPj9vV3halbWzOuorMQYt7aC9RpmlataZoD+BzwXIZjum59sSj/sG8P/bE4xT4/xX4/PoeDX506ybstTZkOb5TdMPA7HMRSqXH7hpNJCmSKqBBiHpPEVYgp0t3SC4BhjP+YOT1OGo82T1ssG7etweVxEmrtJZ0yMdMmve196IbGzQ9vmLY4hBAzm1IqDXwDeAU4CfxMKXU8s1Fdv92trSQtk2y3e3R01WnYKPT6eO3cWZKmmeEIR+iaxm1VNYSiw1iXTJMxLYv+eIzbq2oyGJ0QQmSWTBUWYorYnXbUxPNzMVNpXD7XtMWSlR/kC3/yGHu2H+T4e6dQSrFk40I2PrCGnKLsaYtDCDHzKaW2A9szHcdkOtUbIuAYP5PHabPRF4/RF4tS5JsZS35uLq+gazjCntZWFPDhLOY7q2snpWqxEELMVpK4CjFFiqsL8AY8RCOxMa2RLMsimUizZGPdtMaTlR/k7i/fxtYvbQE+uh+hEELMJR67nXA8Pm77SNs1hcOYOcslDF3n00tXcGtFNQ0DfeiaTk12DnkeT6ZDE0KIjJKpwrNMpH+I5lNtdLf0XHE0T8wMhs1g25N3ER2M0tPWS2woTrhnkK7GEGvuWkFpXWaenEsxJiHEfLOptJzBZGLcfbMvFqMyK4ts18zru17o87GprIINpWWStAohBDLiOmskEyne/NE7HHvnJJquY5kWBRV5bPutrXOiz+lcVbm0nC/96Wc5vOMYLfXt5JXmsPqO5VSvqJDkUQghpsmygkJWFRRxuKsTv8OJzdCJJBO4bXYeW7JMfh8LIcQsIInrLPHa0zs5/l49BRV56LqOUopwaJCf/dWz/MaffQ63b+Y9LRYj8kpyuPMLt2Y6DCGEmLdsus4XVq5mdXcXe9vbiKaS3FhWzvqSMoKu6as3IIQQ4tpJ4joLDITCnHj/NAUV+ej6yFNhTdPIKgjS1RSift85Vt+2PMNRCiGEEDOXTddZVVTMKilwJIQQs5KscZ0F+joG0HRtNGm9lNPjpLW+PQNRCSGEEEIIIcT0kMR1FnC4HVcsxJROpPBlS0NyIYQQQgghxNwlU4VngeKaAgI5PoYGhvFlXUxSzbRJOm2yZOPCT3QcpRRdTSGGw1GCeX5yS3KkIIUQQggxgw0lkxzp6qRpYIAsl4sbiotnTM9ZIYSYTpK4zgKGYfCpf3MvP/vrZ+lqDuH2uUnFU6SSKW59fBMFFXkfe4z+rgGe/e7LdDf3oBs6yrKoXFbOtq/dhTcoI7ZCCCHETNMWGeR/799LNJXCabORNE3ebDjPQ4uXcEtFZabDE0KIaSWJ6yxRXFPIV/7rExx/v562Mx0Ecnwsv2UJRdUFHztqmkyk+Nm3nyMxnKCwMh9N01BK0XqqnWf/58t8/o8flZFXIYQQYgaxlOJfDh8CoMQfGN2eMk2ePXWSBTk5MvIqhJhXJHGdRfzZPjZtW3vV72s40kQ4NEhRVcHoNk3TyC3NofVsJx3nuyipLZrMUIUQQghxHVrCYXpiUUovSVoB7IaBrmsc6Ojg/jpJXIUQ84cUZ5oHuppC2Ozjn1FomoYG9HeFpz8oIYQQQlxRNJW84pc0h2EwEI9NazxCCJFpMuI6D/iyvZimecX9Lq9zGqMRc1k6labxWAtNJ1pwuB3UrakZnZ4uhBDik8vzerHUSGHFy3+HJtJpKoNZGYpMCCEyQxLXeaBuTQ07fvweiVgSp9sxuj06GMPtc1G+uDSD0Ym5Yngwyi/+5nm6mkLYnXYs02LXc/tYf+9qbvvszZK8znOWZWGmTWx2m/wsiHlFKYWlFIZ+dZPc8j1eVhQWcrS7ixKff/RzMxCP4bbZWFVUPBXhCiHEjCWJ6zzgz/Zx31fv5KX//ToKcLgcJGIJ7A4bj/3eAzic9kyHKD6hdDrNQPcgviwPLo8r0+GMsePH7xJq7R2zltoyLfZsP0j54lIWrK7OYHQiU+LRBLtf3M+hN4+RjKfIKcnm5ofWs2j9AklgxZyWMk3eb2nmraYGBpMJCjxe7qiuZW1xySf+2f/00uUo4FhXF7quYSlFrtvDF1euxudwfOz7hRBiLpHEdRpF+ocYDkfxZ3unvQXN0k0LKa4u4MSu0wx0h8kvz2XJpoX4s33TGoe4NpZl8ez/fIlXn95JdDCOYdNZtWUZX/rTz5BdkPnpYrGhGKf2nCWvJGfMdt3Q8QQ8HHz9qCSu81A6leaZv32B1jMd5JbkYLMbRAdj/Oo7L3H3l29jzV0rMx2iEFNCKcVPjh/hYEcH+R4vZf4gQ8kEPzp6mL5olLsX1H2i47jtdr686gZCw8P0RIdx2+1UBLPQ5aGPEGIeksR1GkQjMV57+i3O7D+PpoFSsPSmhdzxxGZcnulbX5pdmMXND2+YtvOJyfP0f/4Zr//LOwTyfOSV5JBOpTnw+hFa6tv51nN/iMfnzmh8saE4mjaSqF7O5XEQ7hnMQFQi084dbqLldAdFVRfXOXuDHhwuO2/9fBfLbl6E0y1r7MXc0xQe4HBnJ+WB4OjPvs/hxGWz83rDOTaWlRN0ffJZM/leL/le6bkuhJjfpKrwFDNNk1/+7QucOXCevLIc8svzyCvN4fh79Tz/D6+ilMp0iGKG6+vsZ+dP3ye3OAv3henBNruNvNJcupt7ePeZPRmOEHxZXnTDIJVMj9s3FI5SXFOYgahEpp05cB6X1zluWqTdacdMm3Q2hjIUmRBTq763B0PTx/3s23QdhaJxoD9DkQkhxOwliesUa61vp/N8FwXleegXCjPohk5BRR6NR5vpbOzOcIRipjux6zQoNWFLI7vLzsE3jmQgqrEcLgfr711NqLUHy7JGtydiSdLJNKtuX0bD0SYOvnmU0/vPkYwnMxitmC6aBlzp2dwElVKFEFNPKUU4Hqc3GsWSh+dCiFlEpgpPsY6GLrQJKglqmoZSilBLL8XV8280aiAUpv1sJ5quU76oBF+WTIG6EsN25edLlmVhcxjTGM2V3fjgOmKRGId2HufDfMXusLHlMzfy0lNv0t8dHklW0HD5nDzyu9soq5OqmHPZovULOPZu/bh2Hsl4EpvTTlF1wUe8W4jZa1FuHq+dOzvuZz9tWWhoVGVlZySutsggvzp1gqaBAQCyXC621S2atRWK2yODHOnqJJJIUpOTzfL8Qpw2+WorxFwln+4p5nA7rjgdWGNkytx8YpomO3/6PvtfPQyahoZC03Q2P7aRDfevkRGYCazcsgzDbiMRT+J0XawiaSmLdDLNpgfWZzC6iwybwdYv3caG+9fQ1RTCZrdRsqCQH/7ZL4lFYhRV5o++djgc5Zd/+zxP/tUXcWd4fa6YOtXLK6hZWcH5w03kFGVhdzkYulCk7v4n75KK5mLOqgxmsbqomAMd7eR7vLhsNoZSSfpiMe5bUHdV61snSyg6zN/v3Y2uaRRfaK8znEzy9JGDfFnTWFlYNO0xXSulFG82nOfls6fRdR27rrOnvZVs91l+a816cj2eTIcohJgCMlV4ii1YVY2ma+PW/iXjSTRDJz4c5/nvvcLL//QmjcdbxkyznIsOvnGUvS8foqA8j6LKfAorC8gpzmbHT97n7MGGTIeXcaZp0lLfxun95wi19qKUwhvw8Ojv3k84NEi4N0I6lSY6FKOntY+6G6pZf9/qTIc9RjAvwMK1tdSsrCTU0ktfxwBZBcExr/EGPSRjKU7vP5+hKMV0MGwGD33jPm773M2kUmlCrT3kFGfxmT94iBW3LMl0eEJMGU3T+NzylTy8eClpy6QtMojLsPHFlavZWrMgIzG929SEaVnkuj0Xi6U5HGS7PGw/Uz+rpg03hgfYfvY0hT4/xT4/eR4vpf4Aw8kEPz1+VOqHzFG7XtjPH2z9Fr+x5N/xB1u/xfvPZb7Gh5heMuI6xQK5fu544hZe/+e3sTvsuH0uYkMx4tEEht3G60+/jdPrxDItjrx1gsUbF7Dtya0Ytpkx/XMyWdZIT8+coqwx1WdtdgN/jpfdLx6gbk1NBiPMrM7Gbp79ny8x2DuEpoFlKWpWVbLta3ex7cmt5JXl8vw/vErnuU48QQ8PfeNePvX1e3A4Zu6oVaRv5FomYrMb9HUOTG9AYto5nHY23r+GjfevGTdtUoi5zKbr3FpZxeaKSiylMCZYNjSdToS6yHKNn+HiczhojwwymIhPuP9DkUSClGUSdLoyfi1721pxGga2y+LIc3tpGOinJxqVKsxzzFN//EOe++7LaGgYTht9HQP85Re/wwO/tZUn/+pLmQ5PTBNJXKfBmjtXUlRVwOEdx+nt6KdmVSX9XQO01LdTUJ43+jqlFCc+OE31igpWbF6awYinRiKWZHgwSmFF/rh9noCHUGvPtMRhpk3OH2nixK7TpFNpFq6tYeG62oy25RgejPLzbz+HbugUXphSq5Si4Wgz2596g8f+/QOjX/5nE1+2jys9+E6nTHKKghPvFHOSJK1iPtI0DWMG/Ow7bXYS6fGV3y2lUIBNn/iBeSg6zHOnTnKqtwdNA7/Dyb21dawrKc3YZ7ovFsNlG//QVtM0dE1jOJUkH0lc54qW0+08//ev4PI6sX/4sN7jJJVM8+L/ep27v3QbVcsrMhukmBYZfWSmadq9mqbVa5p2VtO0P8pkLFOtpLaI+756J7/2J4+z5TM30nq6g9ySnDGv0TSNYG6A/a9lvkrsVHC47DhcDpKJ1Lh98eE4wfzAlMeQSqZ45n9s55m/e5GmE610nOvipf/zJv/yX37BcHh4ys9/JfV7zxIfTuDP9o1u0zSNgvI8zh1qordjdrZOKK0rIqswyEBobB/XaCSG3WWnbk1thiITQoj5ZUNpGX3x6LjtvdEoi3Jz8Tkc4/YNJuJ8b98ezg/0UezzU+ILYGg6Pz52hA9aW6Yj7AlVZWUxnBpfnd60LJRS5Lhljetc8vI/vYmyrItJ6wV2hw2lFC9//80MRSamW8YSV03TDOC7wH3AUuDzmqbNvWHGCSTjKZRSGMb4f36Hy85wePyNZTayLIvYcBzTNAEwDIN1d6+it71vzPoTy7II9wyy/t4bpjymo++c5PyhRoqqC8jKDxDI9VNUVUB/V5h3ntk95ee/ks6Gbpzu8V8aNE1DNzT6u2bnlFrDMHj0d7fh9DjobOymqylEZ1M3iViSR393Gx6/FGYSQojpsKG0lOqsHFoGw0SSCaKpFB1DEWyGzoMLJ15zvretjUgiQb7Hh35hdNVjt1Pg9fLyuTOkLtzfp9u6kjJ0TWMoeTF5tZSiYyjCxrIyAs7MzaASk294YJiRkqYTC/cNTV8wIqMyOVV4A3BWKXUeQNO0nwAPAScyGNO08ATcuP0uEtEETs/YX66R/mGqV8zu6Q6maXLg9aPs2X6AaCSG0+Nk/T2rWX/vajbcfwNdTSHOHmwY7WtrWRZr7lzBspsWTXlsB147QlZBcNz0ptzibE68X88dT2zOSKXTQK5/wpFoGJkyPJsTvNzibH7zvz5B4/EW+rvC+LK8VK+owOWRLxZCCDFdXDY7X1uzjv0d7exuayFpmmyprOLGsgqy3RPfY46HuiZMAl02O32xGD3RKMV+/1SHPk6ex8NXb1jLvxw9THtkZEaPQrGmuIQH6hZPezxiai1av4A3f/QulrLQtfGDPks21GUgKpEJmUxcS4FL55m0Ahsvf5GmaU8CTwJUVMzuhO5DhmFw06fW88r3d1BQkYfNPvLfEB9OkEykWH/vzKoSezUsy+Inf/mvvP/cflweB3mlOXiDXt7++S5CLT08+PV7eOTf3U/b2U6aT7Sg23Sql1dSUJE3LWtlopE4vqzxU4gMm4FpWqQSqYwkrks2LWTX8/tIJVJjWiSFeyJkFQRnfb9Lm93GgtXVmQ5DCCHmNafNxk3lFdxU/sm+TzkMG6YVH7ddKYVSjCuONJ1qc3L541u20BQeIJ5OU+jzke+Rda1z0Z1f3MyP/+IZBkKDeIMedF3HsiyigzECuX7u/c3bMx2imCYzvh2OUuoflVLrlFLr8vPHF/WZrVbfvpwtn7mJge4w3S09dDX3kIwnefgb91JSO3t6qV3Ksiye+e8v8vL3d6JMk2Q0SePxFk68X08gz8+pPWfpbOxG0zTK6oq56aENbNq2jsLK/Gkr8FCxpITIBFNKYkNxgrkB3L7p760HI6OSd3/5Nvq6Buhu7qGvc4CuphC6ofHwN+4bHZ0WQgghpsuG0lIiycS49jLhRJxiv4+8DPdLtRsGC3JyWV5QKEnrHOZwOPjz7f+J4upChsNRhvqHGQ5HKazI48+3/zGOCdZni7kpkyOubUD5JX8vu7BtXtA0jRsfXMfq25fR3dyDYTMoqi4YHX2djRqONrP/lcO4vU7cvpFpRw63g/hwnHOHGimoyKPlVBvF1YUZi3Hj/Ws5s7+B2FB8NElNJlL0d4V58OtbM5ogrtqyjPJFJdTvPcdgX4SiqgIWrqvF7c1MMi2EEGJ+W1FQxKLcdk71hMh2ubEbOgPxBLoGjy1ZLpXCxbQpX1jC/zr0bU58UE/zqXYqFpewdNPULzETM0sms6S9QJ2madWMJKyfA57IYDwZ4fa5qVxa/vEvnAUO7zyO0+scdyNzepxE+ofJKgiO6d+aCcU1hTz677fxyvd30N3cA9pIP9G7v7yFpTdO3i/AZDxJw7EWhvqHCOYFqFxWNq4a3kRyirK58cF1kxaHEEIIca3shsGvr17DgY42drW2EE+l2VBayi0VlRR4fR9/ACEm2dJNiyRhnccylrgqpdKapn0DeAUwgH9SSh2f0nNaEVTqOKgw6EVo9sVomhSImSzRSIysgiAd57uwLGt09HIkkVWYaYuqZZlP0mtXVfFb3/4S3c09WJZFflkuDtfkTTPpON/FL//uRWKRGDBSMMKf4+Px33uQ/LLcSTuPEEIIcS0a+vt59tQJmgfD5Hs8lPgDxNJpctxu1peWURXMGn0I7TAMNpVVsKlsbtQZEULMXhmdl6qU2g5sn45zWcmTEPshqDQjeXIapQfB+xU0Y+asKR0ejHJ453GOvXsKpRRLNi3khjuWj+nvOVNVLi2js6Gb8kWlNJ9sxel2YHPaScaSxIcTbNx2A3mlMyNxM2wGxTWTP2U5EUvwy799Ad3QKay8uCZ7sDfCM3/3Ar/5F1+YtOng7ec6eOqbP+Lo2ycw0xaVS8v40n/+NDfcsXJSji+EEGLueen0Kb79wftYSoFS9MaigMbaomKKAwF2t7Vy34I67qpZkOlQhRBijHlR8UVZYYj9C2h+MErAKASjFFQKFX0apTLTh+xyw+Fhfvhnv+Tdf90NCnRNY8+LB/jnb/2cgVA40+F9rJW3LsXmsJFVEGDRhgU43A6ig1GS8RT3/eYd3P3l2zId4pQ7d7iJaCSGL2tskYhArp/B3gjNJydnGXf7uQ5+/7b/zL6XD4KmYXfaOX+kiT99/G9Gfn6EEEKIy/REo/y33bvwXujFGjfTeB0O3HYbR0Jd+OwOin1+Xjl7hrYLbWaEEGKmmB+Ja+oIKBO0y/qU6dlg9oLZlJnALrPn5YOEQ4MUVRbg8jpxepwUVOQRj8R5/9m9n/g4fZ39nNhVT/3es0QvTFedDsG8AJ/9g4dw+91YaYuSmkIWrV/Al7/1WT79Hz81LyrjDoQGMQxjwn1KQaT/6ptkK6XobOym4WgTfZ39AHz/T35CNBzFn+PH4bRjsxujyfJT3/zhtV+AEEKIGSeaSvFBazM/PnqEF0/X0zZ4bUnlG+fPkrJMPHYHiXQa01IYmo5dN7AsRcNAPzZdx9B1Dnd2TvJVCCHE9Zm9JWyvhtUPXKEwjqaBuvpkYioceeskOcXZ47ZnF2dzctdp7vn12zFsEydFAGba5NWnd3L07ZOMLE3RMGw6dzyxmdW3L5+6wC9RXF3IV/7s84Rae0klUuQUZ09YFdc0TTrOdY2si80PkF8+PX1cp1pWfgDLsibcp2lc9ZTv3o5+nv/eK4RaetF0Dcu0qF1dxaEdx3F6xq/Ldftd9HX003K6nfKFJdd0DUL4RJz0AAAgAElEQVQIIWaOrqEh/vHAXiKJBE7DRtIy2dl4nvsWLOT26pqrunf2x+NoFzrbWJe1uFFALJ0CRvqzDiUTk3UJQggxKeZH4qoXgpYav/3C+g60rOmPaQJmKj1h1V1d1zAtC8uyMLhy4rr7xf0c2XmCwqoCdH3kRpZKpnnl+zvILsqicknZmNcPh4c59l49DceacXmcrNi8hKrl5VccMfykNE2joDzvivtDrb386jvbGQgNomkalqWoWFzKg1+/G28gsz3hrlftqkrcPhdDA8NjpguHeyIEcv1ULi37iHePlYwn+dm3nyUZS1FQMZLYK6VoONpMfCiO23/lNjmpRPK6rkMIIUTmKaX48bEjJNMmJf7A6Pa0ZbH97GkW5OZSEfzk32Gqs7P5MF21GwYwNnnNdo3cg+PpNDXZOdcbvhBCTKq5P3cT0OzLARdYl02tUSEwykf+zAC1N1QTDo2f/jPYG6FiUelHtlNJJVPsefkQeaU5o0krgN1hw+1zse+VQ2Ne39Pexw/+5Ke8/Ytd9LX303yyjZ//zfO89NQbmObUrfmNRxP87NvPkogmKazIp6A8j8KKPNrPdPDc378yrsn5bON0O3ns9x/Askam93Y1hehs6sbutPHov3/gI0fML3f2UCOR3iGyC4KjT9Q1TSO/LBd3wE18aPzT8GQshTfgmTMtloQQYj7rHBqiLRImxz12qZNN17EbBvvar65uwu2V1eR5PPRGo9g0DZ/DSTyVIppK4nU4qAgGCUWHyXa7WV5QMJmXIoQQ121ejLhquhe8X0FFnwarDdRIexaMUjTvr82YKao3PriOcwcbL4zOjUwpHRoYJh5NcMtjmz7yvbFInHQyjd05Prn1BD0jPUsvUErx6g92kE6ZFFZcrHwbzPNz/L16Fq1fQN2amkm6qrHOHDhPNBwbU3FX0zRyS3NoOdVGV1OIoqqLN0vLsmg60cqx904RH4pTtbyCpTcunNEjs8XVhTz511+k8Vgzkb4hgvkBKpd+sj6ul+ps6Jrw/1PTNOpuqOLYu/UMhYfx+N2gQSKWIhVP8blvPnLdo+ZCCCEyL5pKomv6hN9TnIaN/vjV1bFw2Gz89db7+H93vEb74CBogK5hx2BVQSHd0SGqgtl8dvlKXLaru2cJIcRUmxeJK4BmqwD/H0L6HKhh0HPAqETTZs6gc0F5Hp//5iO8+eN3aT/bCRoUVOTxwG/fTVld8Ue+1+V1ousa6ZSJzT42aYkPJ8gpvjiVKNwzSNuZTgoqxk7n1TQNT8DDoZ3Hpyxx7W4OYXeM/7HTNA1d1xjoDo8mrpZl8cr3d3Dk7RO4PC5sDoPGYy3s2X6Az/3RI+ROsB54pnA47SxcW3tdx/AGvaRT6Qn3ZeVn8bW//iLPf/dlOhq6QYE/28sX/tOjPPa7D1zXeYUQQswMeR4vllKYloVxWYHDaCpFVfDq74PV2dn888OPc7Czg46hCMU+P7XZOQwk4njsdvI93qt+oJ9Ip4mlU3jtjgtTkIUQYvLNm8QVQNPsYF+c6TA+UnFNIU/88aNEB6MoBd6g5xPdQBwuByu3LOPA60corMwffY9pWgwPDHP3l7eMvjYZT6Hp2oTHtTttxKagErFSisZjzRx4/Sgn3q8nqzCLktpC8stz0S88PFCA239xOtT5I00cfusERZes2fVn+xjoDvPKD3bw+T96JCOj5clkij0vHuD4+/W4vE5ufGAtC9dNfr+7RetreecXH5BMpHBcMvI6HI7iCbi599dv54GvbaWrOUQynqKktlBGWoUQYg4JulysLyllT1srJf4A+oV73mAigd3QWVtybUX4dF1nbUnpmG1Zl01H/iTi6RSvnjvLrtYWTGXhNGzcWlnJ7VW12OZBJwEhxPSaV4nrbKFpGt6g9+NfeJnNj22kt72PphOtGIaBZVkoBRu2rRkzgppVEMRmN0hEEyRiSZRSeINebHaD4YEoS29aNJmXA8DBN47y6tNv4XDacLgdJKIJzuw/T6R3iNobqhjqj+LP9o0ZWT688zjegHvMml2AYH6AttMdhHsGycoPTnqsH6Wvs5+/+LX/QVdjaKSQllK88cN3uOWRDXz1L39tUlv+ZOUHuec3buPl7+9A13UcTjvxWAK7087jv/8gNvvIx/fS6d5CCCHmlocWLSFtWRzs6EDXwGIkoX1y1XqyXFefbF6LpGliXUhMP3xgbCnFPx8+RH1vD4VeH3bDIGGmeenMGQZicT69bMW0xCaEmD8kcZ1DnG4nn/6Pn6Klvp2WU23YHTZqVlWRV5ozZmTS4bRTvriU5//hVRxOO7quo+kaeeW55BQGWXnrkkmNKxqJseMn75FflovdYUOpkbWumq7RfKoNw2GQU5jFY7+3bUzxouhgbMJ1oZqmoekaydj0V8596o9+SHdTD7ml2aMjxel0mnd+uZslmxay+dGPXot8tVZsXkpxbREnPzjNQHeYwsp8lmxaeNVtdYQQQsxOTpuNJ1as4p7aOrqHh3DabFQGs8ZNHZ4KvdEor5w7w+GuTiylqAgEuW/BQhbk5tI40E99bw+l/sDodwynYaMsEGR3Wyu3VdWQ7736h/BCCHElkrjOMbquU7mkbFzrm0u1nung7MEGKpeW090cQlmKdCpNx7lO7vq1zZM+itl6uh1lqdG1rfllufizffS09RJq7aNmRQWP/d6DI0WGLlGxpJR9Lx/GExi7PZVMY9gMsgqC9LT3sf/Vw5w92IDDZWfVbctYddsynG7npF4DQH/3ACd21ZNVlDWatALYbDacHievPr1z0hNXgLySnCk5rhBCiNkj1+Mh13NthQkT6TQNA/0kTZNiv598z8cnlOF4nL/ft5toKkmBx4uuafTGovzD/j18dc06WsJhjAkKR304nbl5cEASVyHEpJLEdR7a/cI+3F4XxdWFVC4tJRaJoxsjo66ndp9l86ObJnXKq7LUuDY3Lq+TsoUluLwuKpaUjUtaAVbdtoyDbxwl0j80OsKYTpmEWnq49dM30tvRz0/+8legFIFcP+m0yc6fvk/93nN85v/51KQnr/2dAygFtgl67TrdDgY6w5N6PiGEEOJ6nQx186NjR4in02iMtK+/obiYx5cux/ERdRE+aG0mkkiM6R+b5XJjaDrPnz7FuuISLCZuYadpYJtBxS+FEHOD/FaZh1pPd+C7kAjabDb82T68AQ8en5tI7xCJ6Pj+oNejuKYQGCkUdSmlRkZ6q5ZN3HM0Kz/IZ//gYZweJ11NIbpbehnoHuCWRzey4f4beP2f38busJFbkoPdacftdVFUVUD7uU5O7Do9qdcAIxWeDZs+YaXf+FCcogvXKYQQQswEnUMRfnD4IG6bnVJ/gBJ/gGK/n/0dbWw/U/+R7z3Y2TnhGlqfw0FoeHgkob1Q8fhSKdPE0HRqsnMm9VqEEEJGXOchb9BDKpEa1zYnnTLRDQ3bBO1qrkcg18+6e1ex+4UD5BZn4/Q4SSXT9Lb3UbmsnLJFV66KWFxTyFf+/PP0tPaSTKTJLcnG7XUx2Buhs6F7XEufD8939O0T3HDH5BaG8GX5WH/vat5/dh95pTmjo9LJeJJUMs39X71zUs8nhBBCXI8PWlvQ0PDYL9aL0DWNYl+AXa0tbK1ZgNfhmPC9hq5hXfbA+VKFXh9bqqp5s+E8AacLj93OUDLBcCrJI4uX4XdO/pIdIcT8JonrDGKmTZpPttJ6pgOn28GCG6rJKZr8XqWrbl/G8997jbzSbHxZ3tHqtL0dfay+fdmEBZGu15ZP30Qgx88HL+4n3BPB5jDYuG0NNz647mNbuOi6TsFllXNN0xop0jRBOxxd10mnzEmN/0O/8WefJ9wT4eSu04x0blfoNp3H/8MDk54oCyGEmJvi6RRpS+G126e0rVtzeADfBPf0D1vVDMTjV0xc15WU8uLpejz2sfsH4nHKAkGCLhfb6hZRGczi7eZGeqNRKoJZbKmspi43d/IvRggx70niOkMMD0Z55u9eoON8CMOmY5kWb/1sF5sf28jGbWsn7cZ2ev85dj27j76OPhqPN2Oz2yiuKSSQ56eoMp+bH944Kee5nK7rrN26itV3LCcZS2J32kcT5msRzPPjz/ESG4rj9rnG7Iv0D7HpgbXXG/KEXB4Xf/T0v+PsoQZO7zuHw2Vn7d2ryC7ImpLzCSGEmDtCw8NsP1PP8VA3Cij2+bi/bhGL86amrVmO20P38DA+x9jRT0spLEuNGYm93IaSMva1t9EWCZPn9mLoOn2xKEopHlq0ZPR7yYrCIlYUFk1J/GJ+CvcM0tnQjWEzKF1YjNvr+vg3iXlBEtcZ4s0fvkNXUw9FVRdvXumUyds//4Di2qKPrBL8SbWf6+RX33mJYH6AdfesZqB7kK6mEAPdYTZuW8O9X7kDh3PyR1svZRgGbt/V951TStFxvovzRxpRCqqXV7Dlszfx7HdexrIsPH43Sin6u8K4fS5W3bZsCqK/aMHqahasrp7ScwghhJg7+mMxvrv3A5KWSZHPjwZEkgmeOrCP37xhLUvyCyb9nJvKyjnY2UHaskZHWQG6h4dZkp9HtvvK92Ovw8HX123k3eZGPmhtIWmZLMsv4PbqmjEFm4SYLKZp8uaP3uXQjmN8WPfLsBts/eKtrNi8NLPBiRlBEtcZYDg8TP3ec+SVjS1kYLMbOD1ODu84NimJ656XDuB0O0afXOUUZZFTlEVsOE77mQ4M28ys1WWmTV76P29wYtfp0T6vu57bR92aGj71b+/h/Wf30t3Sg6Zp1K6u4rbP3DQlfU7NtDlSfXkKp3UJMd2UUnQ39zAQGsTjd1GyoOhjp+8LIa7e+y3NxNIpin0Xk76A04WGxgun61mclz/p95fa7BzuqV3Aa+fOoms6hq6RskwKvD4eW7L8Y9/vczi4d8FC7l2wcFLjEmIie186yIHXjlBYlX+xjkgixfan3iSrIEj5otIMRygyTRLXGSAaiaPp2oQtaFxeJ32dA5Nyntb6i9WEL+X2uuhu6SE+FMcbnJyea0opLNOalETvyNsnOPbuKYprCkePpZTi9P5zFNcW8pU/f4LoYBTDbsPlmdxiEEopTu05w/vP7aO3rQ9PwM26e1azduvKKVkLLMR0Gg4P89z3XqXlVBu6oaMsRVZBgId/537yy2SNmhCT6UhXJ1nOiav0dgxFCCfiE1bxvR6apnF3bR0rCoo42t1JNJWiOiubJfkFH9kKR4jplk6l2fPSQXIvKX4J4HDacXmd7Hn5kCSuQhLXmcCXPZIsmmlzdETxQ9FIjIqlk/NB9QTdJKMp7JdVDTbTJpqmYZ+EacKmaXJ453H2bD/IYN8QWXl+Nj6wlhWbl1xzb9g9Lx0kuzBrTAKsaRo5Rdnse/kQG+67YdIS7onOvePH7xLMC1BYmU8ynuKtn75P+9lOHv6d+ya1360Q00kpxbPffZnOhm4KKy+O9Az2RvjZt5/lq3/xhUnvhSzEfGboOinzyoUD9Snse1rs91Ps90/Z8YW4XtHBGMl4iqz88d9FvUEPXY3dGYhKzDTyrXsGcHtH1mSGWnpR6mIz70QsSTqZnrRqtWu3riTcMzjmHAC9Hf0su3kRDtfElQU/KaUUrz/9Nq/+351oukZRZT5KwUtPvcGOn7x3zccc7I3g8o7/Au10O4gNxTHTU1NBOBqJ8e4zuymoyMMb9KBpGk63g6LqAs4caKClvn1KzivEdOhs7KbtTCe5JTljHgoFcv1EwzHOHGjIYHRCzD3rS0vpj8fGbe+Lx6jKyiYg7WPEPObyOtENfcLvdIlogqx8WVctJHGdMW799I0s2riA7qYQnY0huppCDPUPse23tlJUNTkFG5bdtJiF62vpbOymv2uAcGiQzsZucoqz2fzYpus+fqi1lyNvH6eoqnB0Ha3b56KoqoD9rx2hv+vqpzxrmkZBeR7D4ei4fdFIjGCe/7qqE3+U9rOdWJY17viapmF32Dh7UL7Yi9lroHvwii2lbA4b3c09GYhKiLlrY2k5xX4/rYNhYqkUSdOkaziCaVk8tGhJpsMbRylF2+Agr507ywv1p9jd2kJDfx/DyWSmQxNzkMPlYMXmxfS09Y0ZYLFMi0jfEGu3rspgdGKmkKnCM4TDaedTX7+H3ofW093cg81ho3xx6aSWALfZbXzq39xD0/FWTu4+TTppsuCGKurW1Fz3aCtA86lWQEPXx34R1g0dLEVLfTvZhVffNmbTA2v51/+xHZfXhc0+MpXaTJsMdA+y7ck7p7ZYkrryrsuvU4iZrulEC3tePkR3YwiFor97gPzy3HGfoXQyTSB38gucCTGfeex2fnvtBj5obeGDthYSqSRrikrYUlVNgXdmfd4spXj21Anea2kmaZo0DvQzmEgQcLlYmJPL7VU13LOgbkylYiGu1+bHbiTU0kvr6Q5sdhuWZWGZFuvvXU3d2ppMhydmAElcZxBN08grzSWvdOqKohiGQc3KSmpWVk7J8S+fhjxK45oTzIXrarn9czfzzjO7R46vQNPg5kfWs/yWqXtKXbKgCN3QSafSY0ZdlVKkU2lqr7EdTjqVJhFL4vI6pXqrmDaHdhzjlR/swONz4wl6iEVidJzvJp2yWLqpbvTzmYgl0Q2dhetqMxyxEHOP1+Hgzppa7qyZ2Z+vo12dvNPcRIHXx+62FgAKvV4iyRSDiThvNJzDVBafmoEjxWL2cnmcfPYPH6b5RCuNJ1qxO2zUramhoCJPOjoIQBJXMYkql5SjAZZljSlaZJkWmqZRtrD4mo6raRobt61l+S2LaT3dAYwklVPR8uZSHr+bWx7byI4fvUcwz48n4CYZT9HX0c/CdbVXfT3JeJL3n9vLoTePkU6ZuLxONm5by5q7VkgCK6ZUNBLjjR+9Q15JzmgRNnuunzV3ruDA60doCrgJ5PpJJVPous62J+8ikCOFXISYr95qaiTochEaHiJpmvgdI+tvRyogD7G5PJf3Wpq5rapG1uaKSWUYBtUrKqleMTUDLGJ2k8RVTJq80hxW3b6MA28cI7sgiMvrJDYUZ6A7zIb711zTNOFLeYNeFq1fMEnRfjIb7r2BYK6fD17YT1dTCG/Qw+2fv5kb7lxxVRWFTdPkX7/zEk3HW8gtycHusJGIJXnjh+8w2Bvhzic2T+FViPmu9XQ7VtoaVzk8kOtn0foFlC0uoaAsl2B+gEXrFxDMkyIYQswnllLsb29jZ2MDoegw9b09LMjJoTcWxX7JvU7XtJF2dxf+3j08JImrEGLaSOIqJo2madz5a7dSUJHP7u0H6GoKkVUY5P6v3cXyWxZnOrxromkaizfUsXhDHaZpouvX1pe2tb6dpmMtFFZdbDvidDsoqsznwGtHWHf3KkkWxJQx09YV99kcNsoXlnDr4zdOY0RCiJnk+dOneKuxgRy3m2Kfn6bwAAc7OvA7HViXrABKWxY2XcdhGCilcBryNVIIMX3kN46YVIZhsPr25ay+fTmmac76KbBKKbqaQoR7IngDbkoWFF1T4tp0ohXDbox7r27ogKLjfJckrmLKFNeMVCa/fBq/UgozbVG5rDxToQkhMqxraIh3m5oo9QcwLvx+WJSbRzieIJJIYtN1PLaR2RrDqSQLc/KIJBPkuN2UBuS+JYSYPpK4iikz25PWSP8Qz/39K7Sf7UTTNZSlyCoM8sjv3HfVBbR0Q79y4Sq0CwmsEFMjKz/I6juWceC1o+SWZONwOUin0vS291G5tOya158LIWa/s329oKnRpBUgx+1hWX4BBzrbsOk6XcNDGLpGmT+Iw9BJmia/vmoNuhTMEUJMI0lchZiAUopffeclQi29Y6rZhXsG+fnfPM9v/tcnrqqFUO3qKt5/du+4Ea9UMo1u6JQtLJn0axDiUnc8sZlAjp/d2w8yEBpEN3TW3r2amx9eP+sfMgkhrp2lFKjxCWh5MIilLDaUluFzOGmPDGIqRW1ODutLSslxe8a83rQsYuk0TsPALr9ThBBTQBJXISbQfq6TzobucSXYg3kBuppCnDvcxJKNdZ/4eEVVBay+fRkHXj9KMC+A0+NkOBwlOhhl65e34PG7p+IyhBhlGAYbt61l3T2riQ3FcbgdOC4r1iSEmH9qcnJAU1hKjRlBtdTIKOydNbUf2WfWtCzea2lmR+N5hlNJHLrBTeWV3Fldg9MmXzOFEJNH5icKMYGB7kFg4t6zhs0g1NJzVcfTNI27vriFB79+N96gm6GBYQorc/nMHzzEmjtXTkrMQnwShs3Al+WVpFUIAUCJz8/a4lJaB8PEUikAYqkUrYNhNpVVfGTSCvDimXp+deoETsOgxBcg4HTxRsM5nj5ycGQ0VwghJok8ChNzxmBvhLOHG4gPJymuLqBiSek1T4H0+F1X3GemTfy5V99DVtd1lt20mGU3zc4Ky0IIIeYeTdN4fOlyin1+djY10BeJEXA6eXTJUm4sq/jI9/bForzbPLawk8MwKPMHqO/poaG/j9qcq6sJIYQQVyKJq5gTDu04xuv/8jbKUmi6hmUqCqvyePz3HsAb9F718coXl+IJjIyM+rIuvj8RTWDYdOpuqJnM8IUQQoiMsek6W6qq2VxZRco0cRjjq+BPpDkcRsGYwk4wkgwbus7p3l5JXIUQk0amCotZr6Ohi1f/706yC7MorMynoDyPoqp8elr7eOUHO6/pmDa7jUd/dxvplElXU4i+/7+9+w6P8zzvfP+9pw/KoDeisYpNYpEoWcWSqEYptiVLsmQrsR07G1t2dr1nc7K7OSlXsifZP+KUk/g4iRM79h6XTVwjWl1Ws4otq1ASJZEUG9jRe8fMYOY5fwCkCAEgQRLAOwP8PtelS8DM4J0fHgJ45p7nfe+npYfWY+30dQ/wkS9um1DMioiILAQ+M8KBwIy3ffOZwTRnAzscAZ9eZorI7NGKq2S9t1/YQzAUJBia+ONcsqSYhreO0NfZT6wk/5yPW7W8gs99+ZPsf72B9mMdFJTFWHPFqvM6lmS2/u6Bsb16C3IoKi/wOo6ISFZYVliE32ckU6kJnYTTzpFOO9aWlXmYTkQWGhWukvW6mnuI5IYn3e7zGT4zBnoGz7vYzMmPsmnrxRcaUTLUyFCcZ/73C7z78gEww6XTLL24llt/6wZixXqDQkTkTPLDYT580Wq2v7uHWDhMXijMcDJJ98gwV9fWU50f8zqiiCwgOodjFjnn6Ovqp7utl3Q67XWcRaO8toThgeFJt6fTaRyQX3zujZRk4XPO8ejXn2TPy/sprSmmvLaE8rpSju9t4id/+zCjyVGvI4qIZLwP1tZz/2WXU5mXR+/IMHnhEJ/csJG7166b8SnHs8Wpi7HIgqYV11nSerSdp//38zQ1tGJm5Bflcv3Hr2bNFTPf61POz4br1/PGM+8QH04QjoaAscmr/Xgn6666iPwiFa4yWduxDhrePkbFaXv1mhml1cW0Hm3nyO7jrNy0zOOUIiKZzcxYU1rGmlJvTgseSiZ54ehhXjp+jOHRUVYVl3DLipUsKyzyJI+IzB2tuM6CrpZuvv8XD9DV1EN5bSnltaU4Bw/+wxPsf73B63iTOOfobu2h+XArw4MjXse5YGU1JdzxO7cy0DNAy9E2Wo+003q0nfr1tdz0qeu8jicZqqOxC2P6vXqbDrbMfygREQ+lnaN9aJCOoaGsWL0cGU3yjddf5elDh8gNhajKy+dEXy//+OrL7G1v8zqeiMwyrbjOgtefeot0Kk1x5Xvv7uXkR8HBCz/+FSs3L8OXIZ31ulq6efxbz9LU0IKZD/PBlm0b+eBdH8AfOL89TzPB6stXUreuhmN7TpAYSVJaU0zl0vJ5P01JssfJ1fmppFIpovnReUwjIuKtve1t/HTfu3QNj116U5aby52r17KqpNTjZNPb2dLMib4+amLvNdUrjuYQ8id4YO8e/qC0bKzzsYgsCCpcZ8HBN49M2fwnJxal9Vg7g71DGXG66vDAMD/4y58yGh+lvHbs9MjUaIpfPbyD0WSKm37jWq8jXpBoboTVl6/0OoZkibq11QQjQUYG4xOaeyUTY9e2rrpUpwmf1NnczWtPvMn+HYcIhPxsuG4dl968YewNOhHJeg1dnXzzzdcpiERYkh/DOUd/Is6/vLGDL11xJXUFhV5HnNIbzc3khyc3Z8wLhWjq76NtcIDKPDXaE1koMmMZMMuFIkFSo5ObMaXTDsMIBDNjJXPvqwcZ7BmisLzg1EqkP+Cnor6cN595h8HeQY8TisyfUCTE7V/cRn/3AO0nOhnoGaSzqZvOpm5u+uS1FJZpWxyAtmPtfO/PfsSel/aTW5BDMBTkpYde4/t/8QBD/ZOboolI9nni4AHyQiHyQ2NFoJkRC0cI+wM8fSjzLnk6ybmx11nT3z+PYURkzqlwnQUbrl9PT3vvpNt72npZtqGOaF5mrEoc2X2caF5k0u1+/9iPQUdT93xHEvHUio1L+ez/vI/Ltm2gpLqIiz+4mt/8v+/l0ps2eB0tY/z8B7/EfD5Kq4sJhgKEoyEq68vpbOpm5893eR1PRC5QIpXicG83BeHJrw+KolH2dXZk7PWum6uW0Bef3KtjKJkgPxymPDfXg1QiMld0qvAs2HDdWva+coCmhhZiJfn4/X76uvqJ5ITZ+olrvI53Sk4sSjI+zRYfDkLh4PwGEskAJVVFbP145vyeZpKh/mGO7W2kvHbyNW6FZQXsenEvV99xuQfJRGS2+M0I+vyknCPwvutBR9Npwn5/xvaL2FxZxS+PH6Wpv4+ynFwCPh+98Tj9iTif2bgZf4b0FxGR2aHf6FkQjob5+H+/g22f2UpOfhRfwMcVH7qU3/yzj1NSlTnt2NdftZqR4Titx9o5svsYTQ0txIcTDPQMEivNp2KpN63sRSQzuTPsR+3zG6lUah7TiMhMjabTpGe4Sur3+diyZAntQwOT7msfGuTKmtrZjjdrosEgX7zsCq6uraN7ZJimgX5KcqJ8fvNlFEejPH/kMM8fPQWeH1gAACAASURBVExTf1/GrhqLyMxpxXWWhKNhNt94CZtvvMTTHD3tvez+5T5ajrRRWF7AJR9cQ3ndWEEayYvQ19lP4/4mAqEgZsbeVw9Qv66WL/zNb2ZM52MRyQw5sRzKakoY6Bkiv2jiKXe9Hf1svsnbv3ciMtGh7i5+1nCAQ91dBH1+Ll9Sw03LVxCbooHR6W5etpL9nZ2c6OulMBLF4egdGaEyL4/r6jO7UV1+OMyda9Zxx+q1pNJpzIwf73mH15uaGFsoNnCOD9TUcdeatVqFFcliKlwXkCO7j/PA//so6dE0kbwIR3ef4PUn32LbZ7ay4fp1PPS1J6hcWkbVsnJaj7aTGEkSzY8Szglp6w8RmcTM2PqJa/jRXz8IzpFXlDu2D3RLL6FoiMtu0bXAIplib3sb//Lm6+QFQyzJi5FyaX514hj7uzr40uVXkhuafguwgkiE/3zFVexoauTN5qax3/36ZVy2pJqcYHZcRuQzw+f38/yRw7zW2EhNrODUVjhp53jp+FFqYvlcWVPncVIROV8qXBeIRDzJw//0M3LyoxO2qEgmRnnqe88Tzg3T2dhNRf3Y6uvpHVPbjnWw95UDXHX7lnnPLSKZben6Wj7x+x/lhZ/8iubD7fgMVl66jGs/dhUFpTGv44kIY4XZT/e9S1EkQt54Z+CA+VmSH+NEXy+vNzVy3dIzr5zmhUJsXbqMrWd5XCZzzvH80cOU5eRO2L/VZ0ZJNIfnjhxW4SqSxVS4LhAn9jUxMhif9EIyGAqAg72vHJi2uUIwEqSnbXJXZBERgPp1tXzqT2oYGYrj9/sIRaZfuRGR+dc5NETX8DBL8ie/mVQYifBGS/NZC9eFIJlO059IUD3FOOQEgzQN9I9toZOhzaZE5Mx0ov8CER9OTHtfIBjA5/eRdm7K5gSJ4QTldZO7hs5UMpEkNaomLSILmZkRzY2oaBXJRGeowxywWOq0oM9HYTjCUDI56b6BZILy3FwVrSJZzJPC1czuNbPdZpY2M52fOgtKqgpxMGVhmkwkWb1lBfXrqulo7JrwmMHeIUKRIGuuWHnOz9l4sJkf/OVP+bsvfJ2vfOHrPPovT025n62IiGQ+zc3ZqySaQ2lODv3x+KT7ekdGuLRyiQep5pdzjo6hIdaVldE80EfqtK7oqXSa7uFhbly23MOEInKhvDpVeBdwN/B1j55/wSmrLWX5JXUcfucYZbWl+Hw21kSltZeiigKWb1xK7ZpqHvyHxzmxv/nUO445sSj3/NfbyS04t026T+xv4vtf3k4kJ0xFXRnptGPfqw0c2X2cT//pvcSK8+fi25QFaDQ5yon9zYwMjlBcWUhZbaneERfxhubmLOUz48416/iXN14jkU5RFIkymk7TPjRIZV4ely2p9jrinGofGuRHu97hSG83htE+OEjrwMCpBk0+M25evnJRFPAiC5knhatz7l1AL05nkZnx4S/cwlPffY59rzZgPsOl01SvquJDn7+ZUDhIKBzk1//wbloOt9Hd1ks0N0ztmmoCwXP7MXDO8ez3f0E0L0qsOA8Av98orS6m7VgHbzz9Dls/fvVcfJuywDQebOanf/84g33D2NiOBSxdX8vtX7yFaJ46XYvMJ83N2e2iklL+0+VX8vShBvZ1dhD2B9hav4zrly7Lms7A52M4meQbr7/GcDLJkrwYZkZFbh6HerqpzMvjxmUrWF5URHE0x+uoInKB1JxpAYnmRrjjd26j994+ejv6yYlFKakqmvAixMyoWl5B1fKK836eof5hWo+0T3ldbEFZjL0v71fhKmeUGk2x48m3+Paf/gCXdpRWF7NkRSW5BTkce/cEj33zGT72ux/xOqaISFZZWljE5y7dcuqSoMXwJsSutlZ6hkeojr3XkMnv87GiqJjmgb7zKlob+/t4s7mJnpER6gsK2VRZRf5Z9sIVkbk3Z4WrmT0NVE5x1x875x48h+PcD9wPUFenFuYzUVAam9NtKs44ETqH+Rb+RCnnL5VK8fA//4xf/vQ1EsMJ8ovy6GzqpuNEF2uvXEVZTQmH3jpKZ3M3JVVFXscVWVA0Ny8OmVywdg8P09DdRdo56gsKqcjLu6DjHezuIhKY/HLWZ4YPo3Vg4JwK1+ePHOaR/fvw+4yQP8DbrS08fbiB+y+9fEJxLCLzb84KV+fczbN0nG8A3wDYsmXL5M5DMu9y8qNUX1RF54kuCsom/hHvae/jqjvU00Omd2TXcfa92kAoEiSSG8Ef8JOTHyUZT3Jw5xEuu2UjPp+P3vY+Fa4is0xzs3jFOcczhxt4suEg6ZMrwhhX1FRz15r1BHzn1y80PxQimZ56Z4O0c4SnKGqn09TfxyP791Gem0vQ7x+/NUrPyDDfe3snv3/NtRP2hxWR+aXtcOS83HDfNSSTo3Q2d5NKpUkmRmk91k5BWYzNN17sdTzJYHte2kckL0IkNzJhG6VgOEgynmSgZ4BUOk1OTNe4iogsFLvaWnn8wH7Kc/OoiRVQEyugKj+fXx0/zotHj5z3cTdVVjGaTjN6WhdhgP54nFg4TF1B4YyP9WZzM36fnVa0jimMROkcHuJ4r3ZOEPGSV9vh3GVmJ4CrgEfN7Gde5JDzV7Wsgk/9yT2s3LyM7pZuBnoG2XLrRn7jj+4+5w7Fsrgk4qP4/T7KaktwzpFKTXynvK+jn7KaEirqyzxKKLI4aW6WufTc0SPEwpEJK6s+M8pz83j+6OEJ29eci+r8GLcsX0nzQD9tg4P0xeM09/czPJrkkxs2ndNKbk98ZFLR+l5WGBqdvD+siMwfr7oKbwe2e/HcMnvKa0u5/YvbvI4hWWbl5qUc2nmYipJyVm5eRsPOI6caicSHEsRK87njP96a0ddoiSxEmptlLrUM9FMUmXwmTSQQoGt4iOHRUfJCoXM+rpmxbcVKVpWU8GrjCXpGRlhaXc3lS2ooyTm3pkxLCwp5q6V50u1p50g7R1mO3pgX8ZK6CovIvFp9+UpeffxNOho7KasuoaA0n+ZDbXS39nDLp6/j9t+5lXBU3RtFRBaS0pwc+uMJYu/rzhtPjRIJBKZssDQd5xzx1ChBnx+/z4eZsbyomOVFxReUcWNlFU8dOkj38DBF0bEiO+0czQN9bKyoovQcC2ERmV0qXGWCkaE4DTuP0NveS1FlEcs31KmIkFkVyQlz3/91J8//6CX2vnoQgLLaEj76pdvYeP16rbSKiCxAN9Qv47tv7yQ3GGQwmeREXy+98RESqVHuWrMe/wz+9jvneL2pkacON9A1PEzY7+eqmjpuWr6cSODC96rNC4W4/7LL+de3d9LU34eZkXZpNlVW8bG16y/4+CJyYezkKXrZYMuWLW7Hjh1ex1iwThxo5oGvPEJ8KIHP5yOdThPNj3DP791O5dJyr+PJAjQyFCcxkiC3IAf/NNcVicwlM3vdOadW6BdAc7PMRNo5Ht2/j+17d3O4uxufGX6fj4JIhJpYjDsuWssNy5af8RjPHm7gkf37KInmkBsKkUilaBscYHlREfdfdsV5dyaeKuuJvl6GkknKcnLP+ZRjEbkw083NWnEVYKyAeOArjxAMBSksKzh1e3/3IA985VE+/1efIhi68HczRU4XyQkTydGKvojIQucz4+blK3j2cAPryysI+nwURqMUhMOMptM8dnAfF1dUTHsd6WAiwZMNB6nKyz/VQCnk91OdH+NQdzf7OztYVzY7b7L7zM6pG7GIzA9thyMAHHr7KCNDcXILJr6rmF+Uy0DvIEf3nPAomYiIiCwEB7u7CPj9rCwuob6wiIJwBDACPj+GsaetbdqvPd7XS9q5SV1/zYxwIMDuM3ztTCRTKXa1tbL93T08dmAfx3t7yaazEkUWA624CgD9nf34bJr3MRwM9gzNbyARERFZUBKpUZimFvSZMXyG7WZ8Z7gG1jl3xvv74nFeb27k3fZ2IoEAV1TXsKa07NSpxf3xON98YweN/X2E/AHSLs0zhw9xbV09d6xee8Zji8j8UeEqABRWFJKebg81M2IlefMbSERERBaUJXkxwOGcm9SIL+XSLC0smvZr6woKCPr9xEdHCZ/Wgdg5RyKV4pKKiim/rn1wkK/teIWBRIL80NhpybvaWtlUWcVvXLKRgM/Hw/v30jIwQE3svUulUuk0Lxw9wqriEtaXT31sEZlfOlVYAFh2SR15Rbn0dfZPuL2nvY/Cshh1a2s8SiYiIiILQWVeHpdUVNLY38fo+JvlY9vN9FOTX8DK4pJpvzYSCHLH6jW0DQ7SPTxMKp1mKJngeF8vF5dXsGKarXAe2LubZCpFdX6MWDhMcTRKbayAnS3N7GptYTCRYGdLC+W5E6+t9ft85IfC/OLY0dkbABG5IFpxFQBC4SD3/N7tPPCVR2k92oZzY9eNFJbHuPt3P4I/oI6vIiIicv7MjE+sv4S8UIhXG0/gHKRxbCiv5M41a8/aFfgD1bUURqI8e7iBo709xMIR7lqzjitravFP8bU9I8M0dHVRlZc/KUdBOMKvThynuqAAgym/PhoM0D0yckHfs4jMHhWuckp5bSmf+/InOfZuIwM9g+QX51G3plpFq2SFxEiCQ28fpf1EJ/nFeazavIzcgqm7U4qIiDfCgQB3r13PrStW0RsfIS8UIhaOzPjrV5eUsrqkdEaPTaRSmNmU+4MH/X4GkwlioTABv49EKkXofY2f+uJxLtZpwjLLhvqHOfBGA51NPRRXFXLRZSvIyY96HSsrqHCVCQLBAMs31HsdQ+ScdDR18eO/eYiBrkH8QT+p0VGe/ddfcPt/3MaqzWfeF1BEROZfbihEbig0p89RFIkS9gcmXRcL0Bcf4ZraesKBANfXL+WJgweozo+dWnkdGU2STKe5tm7pnGaUxeX4vkb+/SuPkhxJEggFGE2M8twPX+Jjv/thaldXex0v4+kaVxHJaul0mp/+/eOMxkepWFpGaXUxFfXl5BXl8vDXfkZfV//ZDyIiIgtO0O/nlhUraB0cIJFKAWPNnHpGRvCZjytrawG4cdkKrq2rp3VwgMb+Phr7exlIJPj0hk3UFhSc6SlEZiw+HGf7Vx8nEg1TUV9GSVURFfVlRKJhtn/1MeLDca8jZjytuIpIVms62EJ3Sw8V9WUTbo/khOnr6Gffaw1cfusmj9KJiIiXPlhbTzrtePLQQUZTKRxQnpvLx9dfSlnO2OUkAZ+Pu9auZ+vS5TT29xHw+VhWWDRplVbkQhzZdZz4UJzCstiE23NiUfqO9HNk13FWX77So3TZQb+RIpLVBnuHmG6LvUAoQE9b7/wGEhGRjGFmXL90GVfW1NI+NEjA56MiN2/K616LolGKorrWUObGQM/gtPsYmxn9PQPzGygLqXAVkawWK83HOabcFzAZT1JWO/32CiIisjiEA4EJ+7SKzLeiikKwqStX5xzFFdPvYyxjdI2riJyzdDrNsb2N7HhqJ7t+8S6DvYOeZalcWk7V8go6m7sn3D7YO0Q4J8xFl63wKJmIiIjImPp1NRSWF0w6E6ynvY+iigLq1qo509loxVVkXHw4zoE3DtN8qJX84jxWb1kx9u6YTDDYN8T2rz5G08EWDMPhCAT93PpbN7D+6jXznsfM+OiXbmP7Vx+j9Uj7qdtzYlHu+b2PqMW8iIiIeM4f8HPP790+9nrl6HuvV4qrCrn7v3yYQFBl2dlohER4bzuV/u4BQuEQo4lRXvz3l9n2ma1svH691/EyypPfeY7Ww+1ULi0/dVtiJMFj33yGstpSymtntr/ebMovyuNTf3IPTQ2t9LT1kpMfpXbNEoKh4LxnEREREZlKUUUhn/2f99F0sIW+rgFixXksWVmJz6eTYGdChasses45Hv6nJ0mOJKmsf68YS8aTPPnt56heWUlpta6TBOjt6OPgm4cpq5lYnIYiIfx+H++8sIebPnmdJ9l8Ph81q6qoWVXlyfOLiIiInI3P56PmoiVex8hKKu9l0Ws50kZHYycF72tPHgwH8fl97Hn5gEfJMk9/9yA+nw+fb3I3xkhuhPbGLg9SiYiIiMhCp8JVFr3h/hHMbMrW+KFwkN52badyUqw4j3Q6TTo9uSveyOAIZTVamRYRERGR2afCVRa9wvIYLu1wbnIxFh+OU7mswoNUmSlWks+qzcvoaOycMF6JkQSpVJoN163zMJ2IiIiILFQqXGXRK64sYuXmpbQd75hQjA32DhEMB1n7gVUepss82z57A0uWV9B6tIOWI220HGmjr3OAD3/+Zq24ioiIiMicUHMmEeC2376JR77+FIffPorPZzggtyCHe//bHeQV5nodL6Pk5Ee57w/vovFAM+3HO4nkhqlfX0tuLMfraDIDnc3dvPXcbpoPtVJYHmPj9eupXlU15anyIiIiIplChasIEM2NcM//+RE6GrvoaukhkhOi5qIl+AN+r6NlJJ/PR+3qampXa7PsbHLo7aNs/+pjwNg+t+3HO9n1i71cf+9VXPmRLR6nE5HFqC8+wq62NvriI1Tl5bOmtIxwQC9PRWQy/WUQGWdmlNWU6HRXWZAS8SSPfP0p8opyieZGAMiN5ZAaTfHCT15m5eZlGb3tUyqVYv+OQ7zx9NsMdA9Qs3oJW7ZtoqK+zOtoInKe3m5t4d/eeYuUS+M3HynnKIxE+PylWyjPzfM6nohkGF3jKiKyCDTubyI+FD9VtJ7kD/jx+Xzs39HgUbKzS6fT/Oz/+zkP/uPj9LT14fP72b/jEN/9sx/R8NYRr+OJyHnoGh7i3955i8JIhOr8Airz8qnOjzGSHOW7b71JeoqGiSKSeVKpFMf3NXLwzcN0t/bM6XNpxVVEZBFIjCSZ7jJWf9DP8MDI/AY6B8f3NfHOi+9SubTi1B7C4WiI4YERHv/WM3zx//kMgaCmM5FssrO5mTSOSCA44faSnBwa+/s43ttLfWGhR+lEZCaaGlp48GtPMNA9iGGknWP15Su47bduIBwNz/rzacVVRGQRKK0pwTmm3PZpNDFKzUVLPEg1M3tfOUAoEjpVtJ4UzYswPDBCy+E2j5KJyPnqGB4i5Ju6j4QB/Yn4/AYSkXPS3z3Aj/7mIVzKUVFXRnldKRV1pex/7SBPf++FOXlOFa4iIotASVURa69cRevRdlKpNDBWxHY0dVFcVcjyjfUeJ5xeYiQxbaM0wxhNpuY5kYhcqKq8fOKp0Um3O+dwOIqiUQ9SichM7fnVfpLx5ITdN8yMstpS9ry8n77O/ll/ThWuIiKLxLbP3sDmmy6mq7mb9hOdtB3voHZNNff+tzsIhoJnP4BHlm+oZ2Rw8qnMqdEU5oPyulIPUonIhdhYWUXYH5iwsuqco21okGWFxSzJy/cwnYicTVNDy6S+GTC284TPZ3NyvasuChIRWSRC4SC3fHor19z5AXo7+sjJj1JQGvM61lmtunQ5JUuKaD/RSUlVET6/j8RIgo7GLj549wfIydfKjEi2iYXD/PalW/jOzjdo7O9l7ARhqI0V8KkNG7W3tEiGi5Xkc3jk2KTbnXOkU45o3uSi9kKpcBURWWRy8qNZVeyFIiE+8ft38twPf8neVw8CEM4Jc9OnruOyWzZ4nE5EzteywiL+6NqtNHR1MphMUpKTQ31BIT4VrSIZb/3Vq3njybcYTY5OaJDY295HeV0pZbWzfzaUClfJWicONPOrh3dwdPdxIjlhNt14MZdt2zjlaQsikt3yCnP5yBe2cdOnriM+FCevMFedhEUWgJDfz4riEv76ly/y8P69DCaT5AaD3H7Rav77NdcR8k99fbuIeKtyaTnX33cNz//wJcxnBENBEiMJcgtz+PAXbpmTsyY060tWOvT2UX7yd48QiYYorS5mNJHipQdf49BbR7nvD+4kFAl5HVFE5kA0N6I3p0QWmPsf2s5rzY1EAgFioSAjqRT/tutt9nd28J277vU6nohM44rbNrPs4jr2vnqAgZ5Blqyo5KItK+ZsnlbhKlknnU7z1PeeJ1aUR05s7HTHUMRH5dJymg+38u4rB9h4/XqPU4qIiMjZvHTsGK83N1EQCuPzjfUMjQZ8hH1+3mhp5qVjx7i6rs7jlCIynbKaEspqSubludRVWLJOV3M3/Z39p4rW0+UV5rHnpf0epBIREZFz9UTDPpxxqmg9yefz4YDHDuz1JpiIZBwVrpJ1nIOT3QffzwycS89rHhERETk/6bSbZkYfm+nTuPmMIyIZTIWrZJ3iqkJyCqIMD0ze13GgZ5A1H1jlQSoRERE5VzcvXwlA+n1vOp/8/KZlK+c9k4hkJhWuknX8fj83/voH6Wnvpb97AOcco8kUbcc6KFlSxNorL/I6ooiIiMzAtXX1rCktozceJ5FKAZBIpeiNx1lTUsrW+qXeBhSRjKHmTJKV1lyxilA0xC+3v0LL4Xb8QT8bb1jP1XdsUcdRERGRLOH3+/nuRz/G/3juWZ450kBPPEnY7+dDK1fz51tvxK/tcERknApXyVrLL6ln2cV1jCZH8fl9mtxERESyUDQU4q+23UYqlaInPkJhOKI5XUQmUeEqWc1sbMNjERERyW5+v5+SnFyvY4hIhtI1riIiIiIiIpLRVLiKiIiIiIhIRlPhKiIiIiIiIhlNhauIiIiIiIhkNBWuIiIiIiIiktFUuIqIiIiIiEhGU+EqIiIiIiIiGU2Fq4iIiIiIiGQ0Fa4iIiIiIiKS0TwpXM3sr81sr5m9bWbbzazQixwiIiIiIiKS+bxacX0KuNg5twHYD/yhRzlEREREREQkw3lSuDrnnnTOjY5/+jJQ40UOERERERERyXyZcI3rfwAen+5OM7vfzHaY2Y729vZ5jCUiIiIiIiKZIDBXBzazp4HKKe76Y+fcg+OP+WNgFPjX6Y7jnPsG8A2ALVu2uDmIKiIiIiIiIhnMnPOmFjSzzwJfAG5yzg3N8GvagaOzGKMU6JjF480nZZ9/2ZoblN0ryj7/zjV3vXOubK7CLAZzMDcvdNn6u5UpNH4XRuN3/jR2F+Zcxm/KudmTwtXMbgP+FrjeOefZ+b9mtsM5t8Wr578Qyj7/sjU3KLtXlH3+ZWtuWTz0M3phNH4XRuN3/jR2F2Y2xs+ra1z/AcgHnjKznWb2zx7lEBERERERkQw3Z9e4nolzbqUXzysiIiIiIiLZJxO6CnvpG14HuADKPv+yNTcou1eUff5la25ZPPQzemE0fhdG43f+NHYX5oLHz7PmTCIiIiIiIiIzsdhXXEVERERERCTDLarC1czuNbPdZpY2s2m7WpnZETN7Z7xx1I75zDidc8h+m5ntM7ODZvYH85lxOmZWbGZPmdmB8f8XTfO41PiY7zSzh+Y752k5zjiGZhY2sx+O3/+KmS2d/5RTm0H2z5pZ+2nj/Dkvcr6fmf0vM2szs13T3G9m9tXx7+ttM7t0vjNOZwbZt5pZ72lj/qfznXEqZlZrZj83sz3jf1v+yxSPychxn2H2jBx3EZj5nC7vycTXN9nkbHOVTG8mc45Mz8wiZvaqmb01Pn5/dr7HWlSFK7ALuBt4YQaPvcE5tymD2l6fNbuZ+YF/BH4NWAf8upmtm594Z/QHwDPOuVXAM+OfT2V4fMw3OefumL9475nhGP420D3eZOzvgL+c35RTO4d//x+eNs7fnNeQ0/s2cNsZ7v81YNX4f/cD/zQPmWbq25w5O8CLp435n89DppkYBf6rc24dcCXwn6b4ecnUcZ9JdsjMcReBc3s9suhl8OubbPJtzj5XydRmOufI1OLAjc65jcAm4DYzu/J8DrSoClfn3LvOuX1e5zgfM8x+BXDQOXfIOZcAfgB8dO7TndVHge+Mf/wd4E4Ps5zNTMbw9O/nJ8BNZmbzmHE6mfrvf1bOuReArjM85KPAd92Yl4FCM6uan3RnNoPsGck51+yce2P8437gXaD6fQ/LyHGfYXaRjJXNr0c8krXzW6bI1rkqE2jOuTDjryEGxj8Njv93Xk2WFlXheg4c8KSZvW5m93sd5hxUA8dP+/wEmfGLVeGcax7/uAWomOZxETPbYWYvm5lXxe1MxvDUY5xzo0AvUDIv6c5spv/+Hxs/7fMnZlY7P9EuWKb+bM/UVeOnyDxuZuu9DvN+46e7bwZeed9dGT/uZ8gOGT7uIjJjGf+3SBaHs8w5Mg0z85vZTqANeMo5d17j58k+rnPJzJ4GKqe464+dcw/O8DAfdM41mlk58JSZ7R1/p2pOzVJ2T5wp++mfOOecmU33Lkv9+LgvB541s3eccw2znXWRexj4vnMubmZfYGzl+EaPMy10bzD2sz1gZh8CfsrYqbcZwczygH8Hftc51+d1nnNxluwZPe6y8GXznC4ik2XzfOk151wK2GRmhcB2M7vYOXfO11svuMLVOXfzLByjcfz/bWa2nbFTVOa8cJ2F7I3A6StoNeO3zbkzZTezVjOrcs41j59m2DbNMU6O+yEze46xd7Tmu3CdyRiefMwJMwsABUDn/MQ7o7Nmd86dnvObwF/NQ67Z4NnP9oU6fXJzzj1mZl8zs1LnXIeXuQDMLMjYJPyvzrkHpnhIxo772bJn8rjL4jAbr0fklIz9WySLwwzmS5kB51yPmf2cseutz7lw1anC72NmuWaWf/JjYBvnMbAeeQ1YZWbLzCwE3Ad41p33NA8Bnxn/+DPApHeazazIzMLjH5cC1wB75i3he2Yyhqd/P/cAz7rM2BD5rNnfd33iHYxdp5ENHgJ+c7zL7ZVA72mnn2c0M6s8eQ20mV3B2N9dz9/oGM/0LeBd59zfTvOwjBz3mWTP1HEXkfOSqa9vZBGY4Xwp0zCzsvGVVswsCtwC7D2fYy24FdczMbO7gL8HyoBHzWync+5WM1sCfNM59yHGrr/cPv56JwD8m3PuCc9Cj5tJdufcqJl9CfgZ4Af+l3Nut4exT/oy8CMz+23gKPBxABvbAuCLzrnPAWuBr5tZmrEXmF92zs174TrdGJrZnwM7nHMPMfbH63tmdpCxRgf3zXfOqcww+/9hZncw1iGvC/iszvONuAAAAk5JREFUZ4FPY2bfB7YCpWZ2AvgfjF28j3Pun4HHgA8BB4Eh4Le8STrZDLLfA/yOmY0Cw8B9GfJGxzXAp4F3xq87AfgjoA4yftxnkj1Tx11k2jnd41gZK4Nf32SNqeYq59y3vE2VNaacc5xzj3mYKZtUAd+xse7gPuBHzrlHzudApnlcREREREREMplOFRYREREREZGMpsJVREREREREMpoKVxEREREREcloKlxFREREREQko6lwFRERERERkYymwlUky5hZysx2mtkuM/uxmeWM315pZj8wswYze93MHjOzi8bve8LMeszsvNqPi4iIyPQ0N4vMPRWuItln2Dm3yTl3MZAAvji+OfZ24Dnn3Arn3GXAHzK2LzHAXzO2B5mIiIjMPs3NInNMhatIdnsRWAncACSdc/988g7n3FvOuRfHP34G6PcmooiIyKKiuVlkDqhwFclSZhYAfg14B7gYeN3bRCIiIoub5maRuaPCVST7RM1sJ7ADOAZ8y+M8IiIii53mZpE5FvA6gIics2Hn3KbTbzCz3cA9HuURERFZ7DQ3i8wxrbiKLAzPAmEzu//kDWa2wcyu9TCTiIjIYqa5WWQWqXAVWQCccw64C7h5vOX+buAvgBYAM3sR+DFwk5mdMLNbvUsrIiKy8GluFpldNvY7JSIiIiIiIpKZtOIqIiIiIiIiGU2Fq4iIiIiIiGQ0Fa4iIiIiIiKS0VS4ioiIiIiISEZT4SoiIiIiIiIZTYWriIiIiIiIZDQVriIiIiIiIpLRVLiKiIiIiIhIRvv/AZc9TldIfTYzAAAAAElFTkSuQmCC", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } - ] - }, - { - "cell_type": "code", + ], "source": [ "#@title Heirarchical clustering with drug\n", "from sklearn.cluster import AgglomerativeClustering \n", @@ -4829,49 +4849,11 @@ "ax[1].set_title('Agglomerative with 5 Clusters Inhibitory')\n", "\n", "plt.show()\n" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "ODqkDgizGCNw", - "outputId": "9533de29-768f-49ee-db99-eb7a8a4127fd" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "stream", - "name": "stdout", - "text": [ - "Exc\n", - "(23, 21)\n", - "(13, 21)\n", - "(40, 21)\n", - "Inh\n", - "(28, 21)\n", - "(9, 21)\n", - "(35, 21)\n" - ] - }, - { - "output_type": "display_data", - "data": { - "text/plain": [ - "
" - ], - "image/png": "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\n" - }, - "metadata": { - "needs_background": "light" - } - } ] }, { "cell_type": "code", - "source": [ - "!pip install fastcluster" - ], + "execution_count": null, "metadata": { "colab": { "base_uri": "https://localhost:8080/" @@ -4879,11 +4861,10 @@ "id": "cnv_IqZ5HN04", "outputId": "cb6e4e4c-6cd9-4079-9ee9-fe895ee078b0" }, - "execution_count": null, "outputs": [ { - "output_type": "stream", "name": "stdout", + "output_type": "stream", "text": [ "Looking in indexes: https://pypi.org/simple, https://us-python.pkg.dev/colab-wheels/public/simple/\n", "Collecting fastcluster\n", @@ -4894,10 +4875,35 @@ "Successfully installed fastcluster-1.2.6\n" ] } + ], + "source": [ + "!pip install fastcluster" ] }, { "cell_type": "code", + "execution_count": null, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/" + }, + "id": "ciZv06eyJ3xB", + "outputId": "f2f22979-3879-4871-9144-b931f28e2b98" + }, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], "source": [ "#@title distance matrix for Dopamine and Serotonin\n", "from scipy.cluster import hierarchy \n", @@ -4985,32 +4991,51 @@ "ax[1].set_title('Distance Matrix Between Cells Inh')\n", "\n", "plt.show()" - ], + ] + }, + { + "cell_type": "code", + "execution_count": null, "metadata": { "colab": { "base_uri": "https://localhost:8080/" }, - "id": "ciZv06eyJ3xB", - "outputId": "f2f22979-3879-4871-9144-b931f28e2b98" + "id": "OrM3aN_DUf1i", + "outputId": "7f98b04e-5534-4b23-f6d2-474cb6ef1c04" }, - "execution_count": null, "outputs": [ { - "output_type": "display_data", + "name": "stdout", + "output_type": "stream", + "text": [ + "(53, 21) (125, 21)\n" + ] + }, + { "data": { + "image/png": "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", "text/plain": [ - "
" - ], - "image/png": "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\n" + "
" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" } - ] - }, - { - "cell_type": "code", + ], "source": [ "#@title distance matrix for acsf data\n", "from scipy.cluster import hierarchy \n", @@ -5041,51 +5066,54 @@ "sns.heatmap(dist_inh_acsf,ax=ax2)\n", "\n", "plt.show()" - ], + ] + }, + { + "cell_type": "code", + "execution_count": null, "metadata": { "colab": { "base_uri": "https://localhost:8080/" }, - "id": "OrM3aN_DUf1i", - "outputId": "7f98b04e-5534-4b23-f6d2-474cb6ef1c04" + "id": "wAMB2WdjYdyi", + "outputId": "f498de26-5a66-4170-e5d2-f794c3fba690" }, - "execution_count": null, "outputs": [ { - "output_type": "stream", - "name": "stdout", - "text": [ - "(53, 21) (125, 21)\n" - ] - }, - { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ - "
" - ], - "image/png": "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\n" + "
" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { "text/plain": [ - "
" - ], - "image/png": "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\n" + "" + ] + }, + "execution_count": 91, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } - ] - }, - { - "cell_type": "code", + ], "source": [ "data_inh_pca\n", "features = ['Vm_avg','dvdt_p','dvdt_n','resistance','thr','adaptation',\n", @@ -5096,54 +5124,44 @@ "plt.show()\n", "df_exc = pd.DataFrame(data= dist_exc_acsf[0], columns=dist_exc_acsf[1])\n", "sns.clustermap(data=df_exc,)\n" - ], + ] + }, + { + "cell_type": "code", + "execution_count": null, "metadata": { "colab": { "base_uri": "https://localhost:8080/" }, - "id": "wAMB2WdjYdyi", - "outputId": "f498de26-5a66-4170-e5d2-f794c3fba690" + "id": "8GhVEPVsxCxH", + "outputId": "144757cb-b413-4a91-97c5-68e590abe2ec" }, - "execution_count": null, "outputs": [ { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ - "
" - ], - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAsgAAALICAYAAABiqwZ2AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzdeZzkd13v+/eneu/pnn1fks7MZAGSEEgT1mBACIgY4CoG8QhidDwqVz3HhXC990A4Rx8TPYhc0YttHsDBew+IKBABcTkkAUEInRiTkIVsM5mtZ997uqe76nP/mA5Whpmpd01XdVdVv56PRx7pqfrU9/er7Vef+tX393tHZgoAAADAKYXZXgEAAACgkdAgAwAAAGVokAEAAIAyNMgAAABAGRpkAAAAoAwNMgAAAFCGBhkAAAAoQ4MMAAAAlKFBBgAAAMq0V1M8se/Jhord61i6PmZ7HQAAANBaqmqQVSrWaTUAAACAxlBdg1ycrNNqAAAAAI2hqgY5aZCBMxocHLxZUvdsrweAhjE2PDy8ebZXAsD5qXIP8kSdVgNoet3Dw8Pvn+2VANAYBgcH3z/b6wDg/DHFAgAAACjDFAsAAACgDFMsAAAAgDJMsQAAAADK0CADAAAAZaqbg1xiigUAAABaG3uQAQAAgDIcpAcAAACUYQ8yAAAAUKa6BnmSBhkAWhWR6TU1QJpeTRDZjVlRZVAIUywAoIURmY6GwpcMzBamWAAAAABlaJABAACAMjTIAAAAQBkaZAAAAKAMDTIAAABQhgYZAAAAKMN5kAEAAIAyVe5BLtZpNeojIj4m6Y2S9mTm5We4/jpJX5D01NRFf5OZH5i5NQQAYOY0YRhMswWuEGzSIlp9isUnJH1E0ifPUfP1zHzjzKwOAACzijCYOmqyZh7n0NJ7kDPzaxExMNvrAQAAgObBHGTppRHxb5J2SvrNzPzubK8QAAAAZk9VDXI22B7kiNgkaVPZRUOZOVTFEPdKujAzj0XEGyR9XtLFtVxHAAAANJemnoM81QxX0xCffvsjZX9/OSL+NCKWZua+mqwgAAAAmk6VUywaaw/ydEXESkm7MzMj4hpJBUn7Z3m1AAAAMIta+iC9iPiUpOskLY2I7ZLeJ6lDkjLzo5J+QtIvRcSkpBOS3paZOUurCwAAgAbQ0nuQM/OnKlz/EZ06DRwAAAAgqcX3IAMAAADVqu4sFk22BxkAAACoFnuQ0RIaID51tuNQiTcFAKBGWnoOMuaUOR2fSrwpAAC1wx5kAAAAoAxzkAEAAIAy7EEGAAAAylS5B7lUr/UAAAAAGgIH6QEAAABlqmuQS6QwAwAAoLVVN8WiyBQLAAAAtLYqp1jQIAMAgMY3SwFSsxEaRVBUHXCQHgAAaEVzIkCKoKj6qLJBZg4yUK0Z2osxE3st2EsBAJgTqpxiQYMMnIeW2IvBXgoAwFzBHmQAAIA6aKFfEKU59isiDTIAAEB9tMQviNLc+xWxyga5XqsBAAAANAYaZABoAi30U+2c+pkWQHOqqkEu0SADwGxpiZ9q59rPtACaU5VJelGv9QAAAAAaQpV7kGmQUVs1/Nm4lj8N8xMwAABzWHUNMnuQUXsN97NxM/0EPMNRqjMZocqXFADArGEPMtDcGu4LRi0005cUAEDrYQ8yAABoShV+Rav0qxe/VOGsaJABAECzOu9f0filCudSZYNcqNd61EVEfEzSGyXtyczLz3B9SPqwpDdIGpX0s5l578yuJQAAABpJVQ1ysfn2IH9C0kckffIs1/+IpIun/nuxpP9n6v8AAACYo1p6D3Jmfi0iBs5R8iZJn8zMlPStiFgYEasyc9eMrCAANIEany2FUzICaHhNPQc5IjZJ2lR20VBmDlUxxBpJ28r+vX3qMhpkAPh3DXm2FOaQAqiX6qZYlBprD/JUM1xNQwwAAACcU5UNcmPtQa6BHZLWlf177dRlACqoc0hJPUNJ+FkeAHBO1U2xaL0G+XZJ746IT+vUwXmHmX8M2BryZ/dK+FkeAFBJU0+xqCQiPiXpOklLI2K7pPdJ6pCkzPyopC/r1CneHtep07y9a3bWFACA81fFLzrurzP80jJH8No5s+oa5GyuPciZ+VMVrk9JvzJDqwMAQL3U9BcdfmmZU3jtnEFL70EGAAAAqlVdg6zm2oMMAM2kwk+dlX7ebImfNQGgEVTVIE822RQLAGgy5/1TZ6v8rAkAjYA9yJjTzrLH7kx76tg7B9TBNE8XOJ3TAfKeBnBWNMioG/ODz/mAq+cHmbXHjr1zjWUWmyqJxqrWZuV0gbynAZwLDTLqqSYffHyQ4Qxm7RzMvB6B5sOvhbXRJDu+aqK6OchBgwzMNA7cAoBp49fC2pgzO76q3IMMYBZw4BYAADOougaZPcgAAABocVVOsajXagAAAACNgYP0AABNaZbOZsK8fmAOYA8yADQZjsj/vhk/mwnz+oG5oco5yPVaDQBAFTgiHwDqqLo9yPVaCwAAAKBBsAcZAIA5oop52+4c7VafxoM5qqoGuVSvtQCABmE0EOdqHGgW0OhqOm+baTxoVexBBoBnI5gFUM1ihfnSiKbEHGSgRbTSmQ2muRdXaoL7CDSBae9t5ksjmhV7kIHW0UpnNpjWB3OT3EcAQIOqMigEAAAAaG1VTrHIeq0HAAAA0BDYgwzgjJgHDACYq6qMmmYPMjCHMA8YLa2KcwKXc88P/Ay+KAJNiD3ImHWcdxbALKnpOYHPhC+KranGgSt8jjWgKhtk9iCjLjjvLAA0qQrNYqtOxarZlys+xxpTSx+kFxGvl/RhSW2SbsvMzadd/7OS/kDSjqmLPpKZt83oSgIAmk4dIpulOdgs0hyiUbXsFIuIaJP0J5JeK2m7pO9ExO2Z+dBppX+Zme+e8RUEADSzmk/PoFlsTTU44Flq3i9PTauVp1hcI+nxzHxSkiLi05LeJOn0BhkAAKBeSCRsQoVqiovKhvovIjZFxHDZf5vKVneNpG1l/94+ddnpfjwi7o+Iz0bEuvN4DAEAANBCmnoOcmYOSRqaxhB/K+lTmTkeEb8o6X9IenVNVg4AUDNn+Zn6bD9N83M0gGlp5SkWOySV7xFeq38/GE+SlJn7y/55m6Tfn4H1AgBUz/6Zmp+jAUxXKzfI35F0cURcpFON8dskvb28ICJWZeauqX/eIOnhmV1FAJh9pCYCwLNV1yBn8zTImTkZEe+W9Pc6dZq3j2XmdyPiA5KGM/N2Sb8aETdImpR0QNLPztoKA8DsITURmGVz9HzSDavKPcileq1HXWTmlyV9+bTL/kvZ3++V9N6ZXi8AwNxWxZxqGp+5g/NJN5CmPkgPAGYLDQ6myWqGWqnx4T2DZtLKc5ABoJ7mXIMDTBPvGTSNKucgN9cUCwAzgz1DAIBnTPPA34b4nGAPMoBaYM8QWhZfAIGqNf18avYgAwBwbnwBRMPgC9vMYA8ygKZ1jp/x+LAA0Kr4wjYD2IOMpsG3ZpwB6WoAgJpr6fMgo+XwrRkAANRdyybpAQAAAOejyqAQ9iADAACgtTEHGQAAAChTVYNcokEGAABADTTywffsQQYA2Br5Aw1A02nYg+85iwUAoBoN+4EGALXCHmQAAACgDA0yAAAAUIYGGQAAAChDgwwAAACUqbJBLtZrPQAAAICGwB5kAAAAoEx1DXKJBhkAAACtraoGOZX1Wg8AAACgITDFAgAAACjDFAughojhBQCg+bEHGagtYngBAGhyLb0HOSJeL+nDktok3ZaZm0+7vkvSJyVdLWm/pBszc8tMrycAAAAaR8vuQY6INkl/Ium1krZL+k5E3J6ZD5WV3STpYGZujIi3SbpV0o0zv7YAAABoFFU1yKUmapAlXSPp8cx8UpIi4tOS3iSpvEF+k6T3T/39WUkfiYjITE7XAQAAMEdV1yA31xSLNZK2lf17u6QXn60mMycj4rCkJZL2zcgaAgAAoOFU1SBPnNwR9VqR8xERmyRtKrtoKDOHZmt9AAAA0PyqapAbzVQzfLaGeIekdWX/Xjt12ZlqtkdEu6QFOnWwHgAAAOaowmyvQB19R9LFEXFRRHRKepuk20+ruV3SO6f+/glJX2X+MQAAwNwWrdwPRsQbJP2RTp3m7WOZ+bsR8QFJw5l5e0R0S/oLSS+QdEDS2545qA/Vae9cU/GF9E+LXmaPdzg6rLo97d53vLdee/qPB2dWGvXn2Xes6fUKO70fasa+e8Sq2/LQYm+5ktZtOGjVjR7stOqi4G0vsuTPxuroLlp1E2NtVl2hzVvHJa/ssuokqbB0gVWXR0e9uvEJq27vHSetOkladJlX2/26F3oDdnivCXX3WGXF79zrjSepsHalVZcnxrwBT4x7deZ7VZLy0FGvsMMbM+Z5j2PheZd7y5WksRNeXYe3vdXhQ17dyrVenST19lll4x/9/6y69jX9Vl2Oee9BSer8T/+XN+bxA96AxUmrLOYv98aTFD3e/S7e/bfegO3m+3++/3mkgrlP9qT5vpbU85r/WLepv009xaKSzPyypC+fdtl/Kft7TNJbZ3q9AAAA0LhaeYoFAAAAULWW3oMMAACAuSciLtOpvIs1UxftkHR7Zj7s3J49yAAAAGgZEfEeSZ+WFJLunvovJH0qIm52xmAPcg0MDg7eLKl7ttdjpg0PD79/ttcBAADMTefIw7hJ0vMyc+K0+j+U9F1JmyuNTYNcG900iwAAADPnHHkYJUmrJW097fJVU9dVRIMMAACAVvLrkv5XRDwmadvUZRdI2ijp3c4ANMgAAABoGZn5lYi4RNI1evZBet/JTOvk+zTIAAAAaCmZWZL0rfO9PQ0yasJJyXvNwW/a492y6jqrbkt4CVk3jJgJeemH8nRd6SUXqc1LgOsZ9JKLLlltpllJ6rz+Wqvuyd98yKrbm1763D4z4VCSfuzSbZWLJPUsNdOnzK3axFY/pa7nBc+16nLUS9KL9ZdYdauu9+6zJD/5rtM7njg6vWS3WGCmfY0e9+okxcYrrLo8st8bb+Eyb8F9i7w6SdHmpc/lCS8h000Pm/zcZ7zxJLVd6b1utWyVVZYHzMfbW+op5v2eOOBtw48+6b3OFlzpb6NK//x5q27yngeturbLL/YWPOGn/bkpdcXvPmHVtf/Yj1h1+b0HrDpJiv75XqGbuFdnjbEWAAAAQIOgQQYAAADK0CADAAAAZeb0HOQaBnwM1GAMAAAANIA53SCrRgEfg4OD0x7jtPGaIpmPcBQAANCK5nqD3KhI5gMAAJglzEEGAAAAytAgAwAAAGVokAEAAIAyzEFGTRyOyqlSbjqeJL1v151W3etXXuUNaCbkPf7IUm88SVe91jyOsuAtO/cdtura1y72litJ6aVPbbhsn1W3vujdl5GtZmKSpN7nL7DqcsxLlYpuL+EsFvvrKDMByk2KKt1zt1VXWLPaqqvKYu81nvv2eHVLzSS9xUt18Na/s0oX3eS9bkvbdlh1scK7z7HQf29ll5cqmVue8urGvWTHPFm06iRJ3V4aosa8BEiNe8ml7nZHkjTh3e/vPeClIa7f6KX9Fap5/y/xXuPtV1/ujdc7z6tb6iUcSpL2j1hlheXe46MjB726Y8e8Oknq9j4z87D3WVhv7EEGANSd2xwDQCOgQQYAAADKMMWiNsZqfC7kgRqOBQAAgCrQINfA8PDw5lqOV+vgEQAAgLkkIi6TtEbStzPzWNnlr8/Mr1S6PVMsAAAA0DIi4lclfUHS/y7pwYh4U9nVv+eMwR7kFjRTUdWk/QEAgNkSEZskbSq7aCgzhyT9gqSrM/NYRAxI+mxEDGTmhyVZp2OiQW5NRFUDAICWNtUMD53hqsIz0yoyc0tEXKdTTfKFMhtkplgAAACgleyOiO8HJUw1y2+UtFTSFc4ANMgAAABoJe+Q9Kz0lMyczMx3SHqlMwBTLFATe9orf9faEmYKk/yEvK+M3OcNuGGjVeamMEmSCl4aUrS3eeOV0iqb3H7AG09Sxzov5ejwiJe4NTraadVtGzeToiSte3SnVTdphn2193p1nRd7j7ckFdwEsUkz7a/Pe3xy7IS3XEma9BLWwkzSs1PY5nmJZIs+cKMmP/v5mi47VnoJZ9FrvigmTkpd5uEb4e1figVeUqRGvRd4dB7yxpOko0e8usXm4zjfTJ/r8LYTkqTxMausv9t7D3av8N7XedxbriT/NeG+ZxYu8eraqmjR+hdaZSUzsbXQZ75uO3Z7dZL/vi5Mf99tZm4/x3XfcMagQW5M0z2v8kCN1gMAasJujmeT2wgBaHk0yA1ouudV5jzKAAAA568pG+QansZsoAZjAAAAoIU0ZYOsGp3GjD2tAAAAOB1nsQAAAADKNOseZJzbdA/ysxBGAgAAWhENcgua7kF+AAAAcxlTLAAAAIAyNMgAAABAGRpkAAAAoAxzkFETb712R8WaG0ZK/oAZXp0ZIb3mG49bdd9ZOegtV9J8Mxo6x0/aYzqOP+Y/jsWHHrTqus1k6P4lXtzrxpeYz5+k6Ftt1RWec5lVlyO7vOUu9KJZTy3c25eQ+72o8hi4yKorPfyIVSdJedCLkG279HJvwNHjXl1x0qvr7vDqJDuKN8xo74mv32PVtT/Pe14kqfjIVqsuJ7wI8PZB7/UdPV1WnSTlSTMi/cF/9Za9ynuv5iPedkeS8ugxq+7iW57njXfEjNfu8h9HjZw1tfhZSk9ssepi2V6vzny8JSmfetKqK6xc7A3Y2+ctd8S7L6cWbsazd1URVV5H7EEGAAAAytAgAwAAAGVokAEAANDSIuKT1dQzBxkAAAAtIyJuP/0iSa+KiIWSlJk3VBqDBnmaBgcHb5bUPdvrMRtI0gMAALMlIjZJ2lR20VBmDklaK+khSbdJSp1qkAclfdAdmwZ5+rppFAEAAGbWVDM8dIarBiX9mqTfkfRbmXlfRJzIzLvcsWmQAQAA0DIysyTpQxHxV1P/360qe14aZAAAALSczNwu6a0R8aOSzJNkn0KDDAAAgJaVmV+S9KVqbjPXG+SxwcHB909zjIEarEfTK40a6W5uOp6kxx9ZatWt3+gll7kJeS8aGbbqJOnoihu9wg4vFaiwxktNWniVlx4mSVHwHvPio095A6aZ4tfW5tVJKrzsWqsuevq9uvVeUlzu9pLQJEnzvfSpwlov2bF03794461eadVJkl7yCq9un5c0mLtHvPF2VE7RlKQtX/CGk6QNz/Wem9IjT/iDGmKF/3i3dXjJgMVHt5gLN8+6Wk3K2KSX4hd9XpRm8Tv3WnWFC9dYdZK/3Ss+8LBVt+OLXnLpmjf1WHWSJHM7qnFv21w65KUHtlWR9ucmtubRUauu9NV/sOqKI4esOknSHi/ts3TE/4zr+Xl/8dWa0w3y8PDw5umOUYMGGwAAAA2EoBAAAACgDA0yAAAAUIYGGQAAAChDgwwAAACUmdMH6dVILc6E0ZRIEAQAAK2IBnmaanEmDAAAADQOplgAAAAAZWiQAQAAgDJMsUBNdKzprVjTdaWXhCZJV7222ysseAlQ80tp1dnpeJL6f/kvrboL5i+36u57yzKrLnqqSNJyk/R2e8lOHVdeYNVFu5+kN/rH3uPY/eJ1Vt3kY15SXPHQpFUnSXsfrfz6lqSFq7yUqvn/4UVWXfF7flJcYd8+qy4ufa5X93xvHVXy0hU33ibpyAFvTDO5sG3dRV5d0Xyux7zn79Sg3mu8ffAKb7xeb1tWzXtLbd5HfB45YtW5CXl50EtMk6To67Pq2q652qq74MoTVl0ePWrVSVIMeAmZ2rPTqzPfM+rzPzOjf75XOM8c03ztFLrMz2pJOuRto9S/0B+zjtiDDACoP7c5BoAGQIMMAAAAlKFBBgAAAMqc9xzkwcHBmyVVMfmkpgZmabkAAABocdM5SK97toIi5mowBwAAAOqPs1jgjJxfCEjSAwAAsyUiNknaVHbRUGYOTV33+sz8ytTfCyT9oaQXSXpQ0n/KzN3nGpsGGWcza78QAAAAVDLVDA+d5erfk/SVqb8/KGmXpB+T9L9J+jNJbz7X2DTIAAAAaGWDmXnV1N8fioh3VroBDTIAAABazfKI+M+SQtL8iIjMfCY1rOJZ3DjNGwAAAFrNn0vql9Qn6X9IWipJEbFS0n2Vbtzye5Bn+XR0zWygqupO46VkRrNKsiOS3djVHD/pLbfDj3F2I6SfPrLHqpvc40WFdqyr4m1bMB+fk14Uty2q+O5tpq66ctK7L+knTevkuPeYF8e9+51jY1ZdFOqwD8Md030O3VWs4r1lcyOkXW4EcDXMyN6aPy+Sf3/Gxr26Pi8O291+n6p1X2dmnRl9HO7zUg3z9ZglbxsV9Xg91vrxrub1WI/t2Tlk5i1nuXwkIu6odPuWb5DFwWbnhVPpAQCAFnWLpI+fq2AuNMgAAACYQyLi/rNdJWlFpdvTIAMAAKDVrJD0OkkHT7s8JH2z0o1pkAEAANBqviipLzN/4IC8iLiz0o1pkHE2Y5XmITO3GwAANKLMvOkc17290u1pkHFGw8PDm2d7HQAAAGYD50EGAAAAytAgAwAAAGVokAEAAIAyzEFGTYx990jFmp5BP0kr9x32Cs1EIldhzWq79r63LLPq3IS85X/3uLfctS+w6iQpzYenWPLWcdFTO6y6/nUT3oIlHdnuvS6eHjpq1R07udiqW7fSfI1J6unxkhjve6LiqTUlSSs3b7Pq1mzw17F9npfY2HvJZd6AB73x1Nvn1VWTerd/xCorPfSwN16X9xor7drvjSfZKXVt69d443V1WWWxYqU3nqQ84N2fg5/fatUtetfzrbrCBeusOklSsWiV5b693rKvfqVV13aRvx0t7fPer7nQ+0zQ2HGrLBZ6aa2nis0Uz91bvOH6FnnLba+ijVzifb7mQe/9X2/sQQYAAADK0CADAAAAZWiQAQAAgDI0yAAAAEAZDtKrscHBwZsldc/2eswEkvQAAMBsiYhNkjaVXTSUmUO1GJsGufa6aRwBAADqa6oZPmNDHBGDkv5A0g5J75X0MUnXSPqepE2Z+a/nGpspFgAAAGg1fyrp9yV9SdI3Jf1ZZi6QdPPUdedEgwwAAIBW05GZf5eZn5KUmflZnfrjf8mYCkuDDAAAgFYzFhHXR8RbJWVEvFmSIuKHJFVMqGEOMmpiy0OV08suWX3IHq99rZeGNrn9gFV3/DEv9WrhVX4CXPR46Vwd67y3mZuQd9X2c06bepbblr3Kqls36d3vBcUTVl3b4g6rTpJ6D3vLLk6MWXVPH5hn1V31Mn/zF73mc/3VfVbd0h/3EqV2f9p7vCVpcre3v6Pz7++w6tqu2GjVRa/3eEuSlnjJYLnfTE279BKrrvTgQ1ZdtPv7jIp7veemsN/b7sV8L5EwSzutulML9+7P/Bd7z2H09nrL7e7x6iTpkLcNj4ufZ9Xlbi8VcHKrmcIoqf26t3tj7nrMqot+M6WurYptVJf3HOaJY16dmXwZq73thCTppPeeiZ5+f8xz+486NcWiJOl1kn4pIj6hU3OSf6HSjdmDDACoP7M5BoBayMx/y8zXZeaPZOYjmflrmbkwM58n6dJKt6dBBgAAwFxyS6WCOT/Fog7nLR6o4VgAAACoUkTcf7arJK2odPs53yCrxuctHhwcrNlYAAAAOC8rdGru8cHTLg+dOu3bOTVrgzxWRSM6UMf1AAAAQOP5oqS+zLzv9Csi4s5KN27KBnl4eHizW9uoe3RbIZKaxEAAANCIMvOmc1xX8dQkTdkgtwgiqQEAABoQZ7EAAAAAytAgAwAAAGWYYoGaWLfh9INEf1Dn9df6A6aXfNexzksFKj70oFUXhbDqJElubaHNKsv0hnPT8STp5/d6qWlPD3qJZN3LvOelfeOFVp0knXxwh1XXv3zcqru8sN+qy4pBo//uyDeOWnVP7Vhi1S16erdV95VDfrjG6gnvuVl7hbeOUU0ammP/HuXoca92/KRVlkePWHUn7t1j1Z087O8zeupJ73Fcv9FLV+y73HuNtb/mFVadJOUuL3WvuNd7XtonvNTL0veesOokKUfNdM4BbxtVuOj5Vl3pX79q1UlS6YD3OMb8peaA3sbHTceTJE1475lYvcEbz/wM1rj5npakTnOb4n1k1h0Ncu25Z9gYqPN6AEDDsJtjAGgANMg15p5ho1HPrgEAADDXMQcZAAAAKEODDAAAAJShQQYAAEDLiIgFEbE5Ih6JiAMRsT8iHp66bKEzBnOQZ081cdkNiaATAAAwWyJik6RNZRcNZeaQpM9I+qqk6zJzZKp2paR3Tl13faWxaZBnSTVx2QAAAHi2qWZ46AxXDWTmrafVjki6NSJ+zhmbKRYAAABoJVsj4rcjYsUzF0TEioh4j6RtzgA0yAAAAGglN0paIumuiDgYEQck3SlpsaSfdAZgigUAAABaRmYejIiPS/pHSd/KzO/H7kbE6yV9pdIYNMioidGDnRVrnvzNh+zxNlzmxbMeHvGiK7vNxM7io095hZKKu72Y6zzpZUgXS/OtunWTXtyr5EdIXzD8Pavu/1x1nVV35bcnrTpJuqC936rr7vTG3HO816p75PN+nunVl+6y6nravAjZjh9+uVX3zgset+okqbB6tVUXG6/w6pau9ep6F1h1pZ2PWnWSVFjhxeFm0XtN9D3nhd6COypvx56xaMxLBoyOLm9AcyM1/pGPeONJalvkvRc61nsRyXnM2+YVLvReO9WMqbFRq6x099974y1c7NVJytFD3rK/9U9WXVz8XG+5xw5adZL8x+eb/2zVtd/4C1ZdHjtg1UmSYuYmLUTEr0r6FUkPS7otIn4tM78wdfXviQYZAAAAc8wvSLo6M49FxICkz0bEQGZ+WFI4A9AgAwAAoJUUnplWkZlbIuI6nWqSL5TZIHOQHgAAAFrJ7oi46pl/TDXLb5S0VJI1z4wGGQAAAK3kHZJGyi/IzMnMfIekVzoDMMWigQwODt4sqXu218NFkh4AAGg0mbn9HNd9wxmDBrmxdNN0AgAAzC6mWAAAAABlaJABAACAMnNhisXY4ODg+89x/cAMrQcAAACaQMs3yMPDw5vPdX2F5hmmKFROi9ubZqKUpPVF6zSFGh31kq/6l4x7C86SVyep48oL7FrHoqd2WHULiifsMbuXeffHTcj7b8fjD5gAACAASURBVLvutOp+ZvVLrDpJesO4lyC2YvSkVbes13t8to2a8YqSei/1XmfLDx+1x3REZxWb6G4vVTIWe4l7avfer9HpLVftfkqd2sz7fcJ8vN2EPPe+SAozxc9ddnR5r8f21Yu85UqKZWZaXJe5jkuWeeMV/B+nw93mHtpvleVBL33O+4SZGvN793p1h454yz5ips8VvWTOakxu95btbnny8fv9ha9c59WNmumKkmSGZJ4PplgAAAAAZWiQAQAAgDItP8WiFmbw/MQDM7AMAAAAnAMNsmdGzk/MfGgAAIDpiYhflfS5zNx2vmMwxQIAAACt5L9K+nZEfD0ifjkizCNM/x17kFvAbEVUk/oHAABmS0RskrSp7KKhzByS9KSkqyW9RtKNkm6JiHskfUrS32RmxVPg0CC3BiKqAQDAnDLVDA+d+aosSfoHSf8QER2SfkTST0n675Iq7lGmQQYAAEAredaprjNzQtLtkm6PiF5nAOYgAwAAoJXceLYrMnPUGYA9yKiJLFXOJdrX7n8fG9k636rbZqawbXyJmZvU1ubVSYp2sza8+92/bsKqa1vc4S1XUvvGC626K7/tpYK5CXl/sfNbVp0kXb/0OqvucHqbq2XtXvrU0YL/XLv6V3ppfxoz0xAXLPAXvtg8BsVNgBs/bpVlp3f4Q2H5RSo+9M/emH1eAlxOmI9jT79XVwU3kTDNtL885iWcTT5tprBJ6lzvvf/Va6ZKuq/bPv/xzlLlFFZJKj3wsFVnp09WkVIXHeY2t2B+zrjJjqUxr06S0nsc86SXXJju+//wYatOkqLf3J4d9cc8m8z83nTHoEFuLGPneaq3gRqvBwDUlNscA0AjoEFuIMPDw5vP53acPxkAAKB2mIMMAAAAlKFBBgAAAMrQIAMAAABlmIPcGs734L5pIZwEAAC0IhrkFnC+B/cBAADgBzHFAgAAAChDgwwAAACUYYoFaqKju3Iq0Y9dus0er/f5XuLOukd3WnXR56VeFV52rVUnSaN//JdeoRdcpCPbvXSl3sNe4p4knXxwh1V3QbuXfPUGM7nQTceTpJ/Zd6dV92fLX2XVHTu+yKobd1OvJA3fvtCqOxTeJvX1N3jpasf+5gGrTpLmvWS7VRc/ud6rM/ef5KSXHli45Bo7LU4l700T7V1WXR7d5y1XUu7f5dUdPugNuHCJV2emq3X+zI9LO7Z4Y65c69WdOGaVxdqN3niScq+3vY8F3nur7TIzzW65mXD46He98SRp+RqrrDDPTBDs8+6z5pkfHpLUbr5+XmC+/3u9z+BYaiZ4SpKZuqklZl2dsQcZAFB3dnM8i9zmeFa5zfEscptjoJHRIAMAAABlaJABAACAMjTIAAAAQBkaZAAAAKAMZ7HwUugG6rHgwcHBmyU1xuGa54EkPQAAMFsiYpOkTWUXDWXmUC3GnvMNspNCV8cY526aTAAAgOpNNcNnbIgjYr6k90paK+nvMvN/ll33p5n5y+camykWAAAAaDUflxSS/lrS2yLiryPimZOnv6TSjWmQAQAA0Go2ZObNmfn5zLxB0r2SvhoRVnrPnJ9iAQAAgJbTFRGFzCxJUmb+bkTskPQ1SX2VbkyDjJqYGGurWNOzdNIeL8e8OOXJUW+8wnMus+qix4wKldT94nV2rePpIS9+uDgxZo/Zv3zcquvu9J6bFaNerPDh9DctboT0L+65w6p726oXW3XvGPOiWSXpopVerPCjI16s8MTdD1t1pQk/DnviSS9OuXDvnVZdLl7qLXjefK+uzX9N5M6tXmG/F4erovf6zice98aTFIvMuOCT3vs1tz3ljTfmv/91zIuQzpG9Vl0s856XWLzYqpOkHPU24qWnvHS+tiXLrbqY72/rC2sutery0Ii37AXeOlaTPhn93vu1tPBBr26v+VxXET+uvkVe3Qnvs9Dwt5JeLemfnrkgMz8RESOS/rjSjWmQAQAA0FIy87fPcvlXIuL3Kt2eOcgAAACYS26pVMAe5Bo5z3MaD9RhVQAAAOa0iLj/bFdJWlHp9jTItVP1OY3reH5lAACAuWyFpNdJOv0gkpD0zUo3pkFuMTOZzkfICQAAaFBflNSXmfedfkVE3FnpxjTIrYd0PgAAMKdl5k3nuO7tlW7PQXoAAABAGRpkAAAAoAwNMgAAAFCGOcioiUJbVqyJKl5t0d1h1bX3euPlyC5vuesv9waUNPmYN2ZOVn5sJOnYSS996ukD86w6Sbq8sN+q23PceyCX9Z7w6tqLVp0kHTvupSu5CXmf3vVtq+7dS73xJGls1Hs9Hi5UTpSUpLblXvpcYbufpDXuvRzVaSaXxRJz/0m7n0gY3d5rN9vNjUWXeTzyuJk+V/Rft24CXPR76ZOa8NJD89hxbzxJscB7neW4t47R5T/Xrmj33jOFVcu8AfvNhMMT/uNYWLzaqpt00+dKJW/BVaRPummRmuclCLqpstFrPt6S1NHl1XX2+GPWEQ3y7Bqrw6neBmo8HgBMm9scA0AjoEGeRcPDw5trPSbnVgYAAJge5iADAAAAZWiQAQAAgDI0yAAAAGh5EbHcrWUOcuupx4F/Z0RiHwAAmC0RsUnSprKLhjJzaOq6008NFZLujogXSIrMPOdpgmiQW0w9DvwDAABoNFPN8NBZrt4n6fRz762RdK+klLT+XGPTIHucvbIDM7AeAAAAqOy3JL1W0m9l5gOSFBFPZeZFzo1pkA3OXllOrwYAANAYMvODEfGXkj4UEdskvU+n9hxbaJBRE0teWTkhZ2KrmSglKRZ7CVCdF3uv9Vjopf3kbi8JSZKKh7zkojQDjtatPGzVXfUy/22bZjDYI5/30qy2jXphD0fNRDlJGi+EVfeOMS/Fy03Ie8U+L3FPkj7Y/iqr7lin93psu2yDVde33EsZlKTYsNGqK1zqPT5uelg1SscOestec1ltF1z0UupKF9R4uZKif6lXeOKoVTb+4T+wl9220tvmlg56qYB6zNs+lo6Pe+NVoeN1P+QVHj3k1blpdpImv/YZqy6fNh+fjZd4Cz7kJ2lmm7fNzYPme/AK7zO4tOsxq07y0/mqeW4qycztkt4aETdI+kdJZv4uZ7EAAMwAtzkGgFrLzNslvUrSayQpIt5V6TY0yAAAAGhpmXkiMx+c+uctleqZYgEAAICWEhH3n+0qSSsq3Z4GGQAAAK1mhaTXSTp9fldI+malG9MgAwAAoNV8UVJfZt53+hURcWelG9Mgz7DBwcGbJXXP9nrUAkl6AACgEWXmTee47u2Vbk+DPPO6aSwBAAAaF2exAAAAAMrQIAMAAABlaJABAACAMsxBRk0Uli6oWNPzguf6A/Z7MZeFcTPStGB+F5y/2KuTtPdRL7Hy5Lj3Nuvp8WJho9eLXJakI9/w4muvvnSXVdd7qb9s1/DtXgz4RSu9JLax0Q6rzo2PlqTfGLnDqnvH6pdadcWHvXjW48Ne/Lgk9V17zKqLVRdZdaWiGaU+ccKq00mzTpIX2C2pzXtv5X7v9a2dT7tLVo568cxx4XpzQC9et/0qM6ZYUo7sseomRrxtT0e7tx0de3zMqpOkrgu896s6vWPbY50XF56P3estV5LmeZ9HscG8L719Xl1HFdtb870QK9dZdTl+3BvPjY+WlOY2oJox64k9yAAAAEAZGmQAAACgDFMsamdscHDw/UbdQJ3XAwAAANNAg1wjw8PDm506s4kGAADALKFBbmKzncpH4AkAAJgtEbFJ0qayi4Yyc6gWY9MgNzdS+QAAwJw01QyfsSGOiAWS3ivpzZKW69QJcvZI+oKkzZl56Fxjc5AeAAAAWs1nJB2UdF1mLs7MJZJeNXXZZyrdmAYZAAAArWYgM2/NzJFnLsjMkcy8VdKFlW5MgwwAAIBWszUifjsiVjxzQUSsiIj3SNpW6cbMQZ557ungHAM1Gmfa8mjlVCk3eUqSwkzS0+SEVZb791t1hbUbveVKWrjKuz/Fce976H1PrKhcJKnjq/usOkl6ascSq66nrWjVLT/sJfP1r/SSuSTpUHiboUdHvPtyuNBm1R3rtPPa7IS8T+78F6vu/257sVVXPBlWnSQVR845ne77CmNeQpZ6K6djSpLavbSv6JrnjSc/xctND/MX7KXZSZKK3nvG5t4XNz1Ukrq9Y7iP7/aWvfhy7znsXOltlyUpCt5rPBYs8+rM11kuXW3VSVL0ewmruetJb8AeM0mv3d+O2syEzOjoseoy/P2sMc/cplQxZgU3SrpZ0l0RsXzqst2Sbpf01ko3pkGeYe7p4BycMg4AAOAHZeZBSe+Z+u9ZIuJdkj5+rtszxQIAAABzyS2VCtiDDAAAgJYSEfef7SpJFec00iADAACg1ayQ9DqdOq1buZD0zUo3pkFubrU84K9qhJQAAIAG9UVJfZl53+lXRMSdlW5Mg9zEannAHwAAQKvIzJvOcd3bK92eg/QAAACAMjTIAAAAQBkaZAAAAKAMc5BREzleOTkp1l9ij1e6526rLvq81KQYuMhb7n1eEpokzf8PL7LqcmzMqlu5uWLypSRp6Y/7CVCLnt5t1XX88MvtMS1jJ+zS19/gpfNN3P2wVde23EthbLtsg1UnScWHH7Pq3IS8hR/6tlX3L8uuseokaY28JL15n/y0VTex00tDK477aX9tXV564f6tvVbd9oPec12Ut47j4d+XgZ5jVt2qSx6x6k4c8D6Ol26+0aqTJN37Lats3gozXa3dS6nses2gVSdJecx7HI9vvs2q633Pu6y6mL/UqpOk3Pm4VVe44HneeEfNNNS2Dq9OUvR796f0iLftmbzrDm+5C/utOklSm/f6yf3etkyS9Pw3+LVVYg8yAKDu3OYYABoBDTIAAABQhgYZAAAAKEODDAAAAJShQQYAAADKcBaL8zQ4OHizpO7ZXo/ZRNQ0AACYLRGxSdKmsouGMnNo6rp7Jf2NpE9l5hPVjk2DfP66aRABAABmx1QzPHSWqxdJWijpjogYkfQpSX+ZmTudsZliAQAAgFZzMDN/MzMvkPQbki6WdG9E3DG15/mcaJABAADQsjLz65n5y5LWSLpV0ksr3YYpFqiJvXecrFiz6novrUmSCmu8tLg0E9tKD3tpVoXVK606SSp+z5vSFAXve+iaDYetut2f9lPqvnJouVX3zgu8pKjoNDcZCxZ4dZKO/c0DVl1pwks5K2w/YNX1LV9k1UnS8WHvuSme9NbRTch76V4vUVKSvjx5rTfmNcetuq4FPVZdHh+36iQp5nVZdcvne+mKq5d5y33gc14yX583nCTpkTEvxa9/t5ek2beq8jZUkmLpWqtOkrTce/93v6Jo1bnbZRW98SRJXd5rouf1XkpdzFto1ZW+ertVJ0lt17/VW/Zi8/Hp8dLnoqPTG09S9C226kp7t1p1hfVHvAX3VZGkZ35exyo/LbaC751+QWYWJX1l6r9zYg8yAKDu3OYYAGohM992tusiomImOQ0yAAAA5pJbKhXMySkWNTpF20ANVgUAAAA1FhH3n+0qSSsq3X5ONsiqwSnaBgcHp3V7AAAA1M0KSa+TdPC0y0PSNyvdeK42yAAAAGhdX5TUl5n3nX5FRNxZ6cY0yHXWyol7BKUAAIBGlJk3neO6t1e6PQ1y/ZG4BwAA0EQ4iwUAAABQhgYZAAAAKEODDAAAAJRhDvL5GzNP9TZQ5/VoCIsuM2JSq4jNtE16kaZ50IsK1kteYS+6sG+fXeton7fHqpvc7X+vXT1RsuoKq81oz24vfliLzQxgSfNest2qm3jSe7zHd3nLjQ0bvUJJfdces+qKI4esujXy6tz4aEl6w8GvW3V7tnr3O73kY7XNN1+Pxya8Oklb7/ZinJcs92Kze8xtz2cK86w6SRqVt+05sbfi6VZP1Zmbk3fce6dXKKm0c8Sqm7h/m1XX2eu9/0tbd1p1klQyo8qjx3sOC680P2e6av95lIe9bXge8p6X7PZfj9rzlFUWC7348ez31lFLVnp1kmLeAq9wwtz41BkN8nkaHh7e7NRxvmQAAIDmwhQLAAAAoAwNMgAAAFCGBhkAAAAowxzk+nMP5ms6BKAAAIDZEhGbJG0qu2goM4ci4srMvH+qpkPSeyRdI+lBSf8tM0crjU2DXGfuwXwAAADwZeaQpKEzXPUJSS+c+nuzpCWSPijpzZI+KukdlcamQQYAAEAribK/f1jSizJzIiK+JunfnAFokAEAANBKFkTEW3TqWLuuzJyQpMzMiEhnABpkAAAAtJKvSbph6u9vRcSKzNwdESslWbE8NMioie7XvbByUWe3P+DipVZZmHVtl17uLXefGcMmKS59rldY8E4W03vJZVZd59/f4S1X0torllh1sfEKr26xmbhXnPTqJMVPrrfqCmaCWOdoxWMvTo136YutOkmKVRd5Y455yW7zPvlpq+6l13jjSX5C3vIvPW7V/cpqL8VvzEyUu/Xl+606Sdoy3mfVrew5YtUtWuy9Jn5+csyqk6QF67zaghnYdniLV5j7q9iOjnnr2HHlOm/ZZiJp2/PNbaOkwhHvOcwjXprl5P/751ZddPrtT/GbX/bGXOxtb3P/Xq9u7wGrTpJi2WJvzJ27rbpvDkXlIkkv/Zl7rDpJmtjqvX6i4C1bkrqv/ZkzXp6ZP/sD40Z8MjPfoVNTLiqiQQYAAEDLiIjbz3DxqyNioSRl5g1nuP5ZaJABAADQStZJ+q6k2ySlTh209yKdOpOFhaAQAAAAtJKrJd0j6XckHc7MOyWdyMy7MvMuZwD2IAMAAKBlZGZJ0oci4q+m/r9bVfa8NMgAAABoOZm5XdJbI+JHJXlHhE6hQW4yg4ODN0uq4jDm+iFqGgAANLrM/JKkL1Vzm7o3yI3U0JUZmO0VmIZuGlMAAID6mYk9yA3X0A0ODr5/ttcBAAAAjYmzWAAAAABlmIOM2uionAIVnT32cLlvj1fYbY456iWS5e4RbzxJ8fwXmYXm99CD3n1uu8JLTJOkMB+fWLrWG7C9y6sb9xPgwvyenm664hJvvIKbCiip5CYD9i6wyiZ2Tlh1XQuqeM+c9OrchLw/2fl1q+7a5V5q2lvuatNH+7yPnDalVbfn6X6r7uRkm1VXCG+5khRm7ehxLyHv2JhXt3LRQqtOktTu3W9113gWpLtdlqTxcaus+ORjVl30dHh18/z77KbPxYUbvAGfftqr66iiRTOTBksHvbru6LXqjt3tpeNV4+Sov++2indD1diDDACoO7c5BoBGQIMMAAAAlOEr/Vk06Nk3pOY+AwcAAEDDo0E+u4Y7+4bEGTgAAADqjSkWAAAAmBMiYsipYw/yHFPLqSONuIcdAADMDRGxSdKmsouGMnMoIhaf7SaS3uCMTYM89zTk1BEAAIBqZOaQpDPtEd4raatONcTfL5/693JnbBpkAAAAtJInJf1wZv7ASacjYpszAHOQAQAA0Er+SNKis1z3+84ANMgAAABoGZn5J5K6IuJFkhQRz42I/xwRb8jMP3bGYIpF8xmb5qneBmq0Hs9mRIvGAmvajyQpl5q18+Z7dW5U8I4dXp0klUpenfs1tLfPKoveeeaAvjAjkt248OysIsZ10sxIdp/rdi+ytxo5caKmyy6OR+UiSXnci+GVpLb53gttTEWrzo2Q/vqeh6y67H2+VSdJe8yI5GUnvVjh0aL3Udff7kWAS9LkpPd4t7V524nONu95UZ8Xry1JuWvEqvNejVKeGPPG6/CeF0nShPeYF8zY9Rz1tid53Lsvp4rNbf1Jb8wcNbcn5mMjSZrvfX4UFnifH0+0dVl1z+nx49mPjnjbx1Jx+vtuI+J9kn5EUntE/KOkF0u6Q9LNEfGCzPzdSmPQIDeZ4eHhzdO5PedRBgAALe4nJF0lqUvSiKS1mXkkIv67pG9LqtggM8UCAAAArWQyM4uZOSrpicw8IkmZeUKS9ZMADTIAAABaycmI6J36++pnLoyIBTIbZKZYAAAAoJW8MjPHJSnzWZPIOyS90xmABnnume5Bft9H4AgAAGg0zzTHZ7h8n6R9zhg0yHPMdA/yAwAAaHXMQQYAAADK0CADAAAAZWiQAQAAgDLMQUZNFL9zb+Wi0eP2eAf//DtWXf+VXtqPur1kpy1f8IaTpI1/eMAr7DCT3dy0vyVVJBJue8qqK+181BvQTIrLfX4iYaze6BW2eZur6PaSokrHDnrLlaSTXvJVdHnLbuvy0qdinvn6lqRjXurWrS/fb9XtvsdbtpuQd/mWf7PqJOkfFr3cqhs1P8JOyEvmW9TmJxe67jm+2KqbNOPsLv76t+1lt61bYRZ6j0/u9d4zpRP+4+imyn33095z/dyf9N5bxZEjVp0kdVxtbqMmvBS/WL7Uq1t3kbdcSfn0E96Y/V4S45uve8Abr9NPTVx6sVdbPFhFymEdzdUG2TmTw8AMrAcAAAAazJxskJ0zORDJDAAAMDcxBxkAAAAoQ4MMAAAAlJmTUyxqbXBw8GZJ3bO9HjONJD0AADBbImKTpE1lFw1l5lBEzJf0XklrJf1dZv7Pstv8aWb+cqWxaZBro5tmEQAAYOZk5pCkoTNc9XFJj0n6a0k/FxE/LuntUxHUL3HGZooFAAAAWsmGzLw5Mz+fmTdIulfSVyNiiTsAe5ABAADQSroiopCZJUnKzN+NiB2SviapzxmAPcgAAABoJX8r6dXlF2TmJyT9hiQr0YU9yKiJwtqVFWti4xX2eItuKnmF3T1e3ULvV5UNz93qjSdJ872ELNv+Eass9+/1xxz3kp0KKzZ445lpdtlXxWNT8p7r3Ok9N9nurWNhzWVWnSR52VxSjntpkfu39lp1y+cfNZcsbb17vlW3ZdzaeaI2817vafdS2P5s+at0kZk0dv3Bb1h1v7X6h6y650x4+4LuCe+xkaSXHvCOy14hL+HwG93e67bt4gusOkkqbdvlFbpJeie9tM/o9FuLKHrpnAvnH7Pq2tassury6DarTpK9jdJRM51vdNQqy4fu98aTpAULrLJY5qUrHn74u1bdsrcPWHWSlMe87WNhkf8+POuyMn+7/N8R8QpJ10h6MDMvttZj2msBAEAFbnMMANMVEXeX/f0Lkj4iqV/S+yLiZmcMGmQAAAC0ko6yvzdJem1m3iLpekk/7QzAFAsAAAC0kkJELNKpHcGRmXslKTOPR4Q1V4gGGQAAAK1kgaR7JIWkjIhVmbkrIvqmLquIBhkAAAAtIzMHznJVSdJbnDFokJtIo0Vakx4IAACaRWaOSnrKqaVBbi5EWgMAANQZZ7EAAAAAytAgAwAAAGWYYnF2Y4ODg+83awfquB5NIU+MVa45st8er7Rth1UXK5d7dZNemlXpkSesOklqW3eRV1j00qdKDz1s1RUuvcRbrqQ0k53SXEed8JLdcuKEN56kaO/yCvu9pCh11WGavpkg6NZtP+il3q1e5i1WkpYs91KqVvZ4r4k9T/dbdctOdlQukqQ2abToPT5uQt4f7LzLqvvc4ldadYX0EuUkqSu8dLXJtA6Y1+VmjkoMrPcKJRUK3j6w0lPbvfGWmmltSxZZdZIUnd77f3T0MauutO+QVdd+lRWmJknKvQe8wh5v2zP5sJcK2rbGS4CVzNMySNKaC62yzt6iVZdmWqskyX097vGew3qjQT6L4eHhzW7t2RrpOhxUN1DDsQBgxrjNMQA0ArZY9VXTg+qq2KMNAACA88QcZAAAAKAMDTIAAABQhgYZAAAALSMiFkTE5oh4JCIORMT+iHh46rKFzhjMQW4u1ZxZo+4ILQEAALMlIjZJ2lR20VBmDkn6jKSvSrouM0emaldKeufUdddXGpsGuYlUc2YNAACAVjbVDA+d4aqBzLz1tNoRSbdGxM85YzPFAgAAAK1ka0T8dkSseOaCiFgREe+RtM0ZgAYZAAAAreRGSUsk3RURByPigKQ7JS2W9JPOAEyxAAAAQMvIzIOS3jP1nyLiWknXSHogM61oRBpk1MaJ8YolsdDPzY0VS7263l6rbuLr99jLdrW58cyurk6rrPTgQ/aQJ+7dY9X1PeeF3oAd3jqqx4splqQ8us8rdB/v8cqx56fG8+LHJSn377JrrUWbwbAPfM57fUtSj/ncLFo8atWdnPRil92EvBPyY5yfM+H9uOlGSL/lwNesul9f7Y0nSY/Ii9h+3knvuV5S9KJ9VfIiriUp95jvrTbzuWk366qIHy5u2WHVrf9hL0q97ZVvtOrysYetOkkqXHSBV3jBRqusY/2l3nhRxY/8Zoyzdmyxyno3eOMVt4x4y61CdPjbirOOEXF3Zl4z9ffPS/oVSZ+X9L6IeGFmVjymiykWAAAAaCXl32B/UdL1mXmLTp294qedAdiDDAAAgFZSiIhFOrUjODJzryRl5vGIsH6OpEEGAABAK1kg6R5JISkjYlVm7oqIvqnLKqJBro2zBXgMzPB6AAAAzGmZOXCWq0qS3uKMQYNcA2cL8Kg29W5wcPBmSd21WKeZQJIeAABoFpk5Kukpp5YGubF003QCAADMLs5iAQAAAJShQQYAAADK0CADAAAAZZiDjNroNF5KfYvs4WLhYq/QTA9qf95F3nJXrPSWK0ljXiKZm3xV2rXfqot2/3vtycNmrZuQ19ljL9vlptTlE497A5qJZKULLvPGk6SdT3t16T3X4+Glq/V5S5UkfaYwz6r7+UkvabAQadX1t3uJhIvaKqdtPuOe8O55Ib3ELTch7492eol7kvSWVYNWXV+Hlyr5L53e433tATMdT1Lp6AmrbmLrEauu64oub7k7vARPSSqOeMsuHvGSNGPlgFWXO7ZadZKkJcu9Zc9b4C372EFvudUk6ZnbHq1ca5W1X7jFqotl5me1pOjxzkGQE37KaT2xBxkAAAAoQ4MMAAAAlKFBBgAAAMrQIAMAAABlaJABAADQMiLi3RGxdOrvjRHxtYg4FBHfjogrnDE4i0WLmI2YalL/AADAbImITZI2lV00lJlDkn4pMz8yddmHJX0oFUBlogAAIABJREFUMz8XEddJ+qikl1camwa5dRBTDQAA5oypZnjoDFeV97fLM/NzU/V3RoR17kUa5PoaGxwcfH8V9QN1Wg8AAIC54rMR8QlJH5D0uYj4dUmfk/RqSdaJ7WmQ62h4eHhzNfVVNtMAAAA4TWb+TkS8S9KnJG2Q1KVTUzE+L+mnnTFokFETeehoxZpo6/DH6/ISm9ykoeIjXmpSW4e/jmrzUrxsZuJeca+XjiVJTz25xKpbNHbcqouimWa1eLVVJ0l52EuVikULvfFGzYTDKthjmil+Az3HrLpHxuZ7y5U0Km/ZC9Z5SXphJulNTtb+WO+XHvAOp+gK7z3ziLz3tZuOJ0mf2zVs1a1Y/Qqr7rlFc9uz+gKvTlJhz16rrv2o+ZowU9Ny+4hVJ0mFRV46Z/sFZornhJnYOO4nO9rcpNHRbV7dwqX+ss1tszrNQ5V6zM/gKuSkt42q2fIyPy7p48/8OyL+IjP/D/f2NMgN6jwOuhuo06oAAAA0jYi4/QwXv/qZyzPzhkpj0CA3rqoOumN6BgAAgCRpraSHJN0mKSWFpBdJ+qA7AOdBBgAAQCsZlHSPpN+RdDgz75R0IjPvysy7nAHYgwwAAICWkZklSR+KiL+a+v9uVdnz0iADAACg5WTmdklvjYgflXSkmtvSILeOas+5PG0EkwAAgEaXmV+S9KVqbkOD3CKqPecyAAAAzmw6DbK7x3JgGssAAAAAZtR5N8juHktOPwYAAIBmwhQL1EZH5ZdSnvDnx+eWp6y6WLDAG2/CS/ApPrrFqpOk9sErvMI2723Wtn6NVVfYf8hbrqT1G/dZddFhpiZ1dFpleaJysuL3LfTS/nTSTPvqP2nW+SlVceF6u9ax6pJHrLr+3d59lqQTe1dYdQXvKdToca+wrc1Ls5Oke457SWwrNGHVTWZYdc87adapT1s7vFo3Ie+jO//Zqrtp9cusul//pa/rQ7/qpUqGmQzattIbT/9/e3ceJddB3Xn8e3tRq1ut1r5LdnvBGANGoLbjLBibkGATYgiBZCYBDBnQCTMsITMQZ2BYMkPigBlCmAnQYXFIHCYJiYFgIJBgmwCxQDZeZMuWbZAtWbu1W2t33fmjXscPuZdfdVWrW6Xf5xwdtapv3/de1XtVV9Xv1U9MOG0550xy02Naz+NaAlxlj5Y+2fKIdmzlnn1SHUAs0pI08+BurW6LlqQXtSTp7RDvbzUt9qC4zWJ6KEB0aUmDtTw2E8kD8tRSPm2ldxLXw8ysodTheDKpw/FkUofjySQPx2ZTmAfkKaR82opPTTEzMzObHE7SMzMzMzMr8YBsZmZmZlbiAdnMzMzMmkZEdEXEOyPiHRExPSJeFxFfjogPRkS30sPnIJ/C+vr6rgGmT9bynaRnZmZmkyUiVgOrSzf1Z2Y/cD2wCeikmqC3HvgQcBXwceA1Y/X2gHxqm+4h1czMzE5HxTDcP8y3zsvMX4uIALYCL8rMjIjvAHcpvX2KhZmZmZk1ncxM4KvF30P/TuVnPSCbmZmZWTNZO3SucWb+1tCNEXEOICVZeUA2MzMzs6aRmW/IzJ+IX4yIz2Xmw8DzlR4+B3nqOiKEhfSehPWQxAwhQlKMCgbIo1pcMIe0OMy2vvO1flHD/xm7Zmh1LWJPMcY1eqQLcAHofpYY+Txd25bo0OrUyFVAj6/epMWPc1yLKaaWOOwU45TFWPHDu7W67iXicQAc1lLF2bdRu78PHtHqprVqUbMDNYTUfXe6dv88S7x75olxuP82TfrNKwAXDGqRvWqE9Ke3fE+qu+6hC6U6gJY52nNFZd8TWr/NW7V+Bw5LdQCD27UI6T33afvE4he2SnV5WH89Up+jGNRis2Nmj9bviPb6BsCAtmw6xefwAe2YaZmjJztGV5dWqL5mjrasiC+feBNweUQMrfBVY/XwgDxFlVP1RuK0PTMzM7OnWAHcC3yK6jnHAfQBH1Yb+BQLMzMzM2smq4DbgXcB+zLzFuBwZt6ambcqDfwOspmZmZk1jcysAB+JiL8r/t5OjTOvB2QzMzMzazqZuRl4VUT8ErC/lp/1gHxqUy7kmzAOKTEzM7OpLjNvopqoJ/OAfApTLuQzMzMzs9r4Ij0zMzMzsxIPyGZmZmZmJR6QzczMzMxKIlNPDhqPvr6+9/liruZ3+MZrx9yRBr/7b3K/PKal+MQ0LTUpOrWUOjrExCSgZfFCrVBN55ulJRLl1i1aPyCWLJXqjt10i1TXtnSOVDfwqJ6kN+2Fq6S63LdPqzuopYINPrJDqgNoW3meVnj0qFQWz7lIq5u/XFsukHfcotU9rj02oSZkdc/U6oCBf10j1bU+7QypLnrP1hZcEZMQd4txhABLtXXM9fdIdYMPbZbq5nzqbqkOYNPF2n47fYk2BwzsFesO6++9rXtQex79mbdoyYUxf55Ul3v2SnUAISa7HbrlR1Jd1xXPkOrykJ5IeGztI1JdS7f2mjntFS+W6nLD/VIdQCzUHus8rG93129/tIaMztr4HWQzM5tw6nBsZjYVeEA2MzMzMyvxgGxmZmZmVuIB2czMzMysxAOymZmZmTWNiPiHiHh1RHSPt4eT9GrU19d3DTB9stdjKvCnk5iZmdlkiYjVwOrSTf2Z2Q/8FFAB/jQi/hn4PHBTZh5Te3tArt10D4ZmZmZmk6sYhvuH+daOzHxlRPQALwPeCPRHxFeAz2fmN8bq7VMszMzMzKyZJEBm7s/Mv8zMlwDnA2uAa5QGHpDNzMzMrJkcPPGGzHw8Mz+RmS9UGvgUC2uMI2Mn37ReeIHeb3qnVndgv1SWx7SEMwa0BD8AWsXDR0zxyt2Pa/3EVCfQU/da53RJdbFgrlQ37ewzpToAFotpcQef8nw3rJjVI9W1LpZPRSO3ial708XLE+64TasTk6cAKlu2aYVHjmh1bVriVm7VltuyeC7RrqWhVTZt1XqKx0Lu0BLyKgf0BK+WHTulOnWbW+Zo1xKp6XgAK76/Qaq758znSHVb9mupiT1t+rHVjvb8GAsXaHViIili4iboiXadFy2R6qJbe6zz4CGpDmDa87Rkx4ENj2nL3rJJqouzxDRLgEExIXee/rw3ksy8NCIurn6ZP4iIC4ArgPsz86tKDw/IZmY24dRB0cysXhHxXuBKoC0ivkn1or2bgWsi4rmZ+YGxenhANjMzM7Nm8kpgJdABbAOWZ+b+iLiO6nnIYw7IPgfZzMzMzJrJQGYOZuYh4OHM3A+QmYdBO6/HA7KZmZmZNZNjETF0cc2qoRsjYhbigOxTLMzMzMysmVyamUcBMrM8ELcDVysNpsSAfIql0/WO9wdPse0ckwNTzMzMbKoZGo6HuX0XIH2szZQYkDmF0un6+vreV8ePnzLbaWZmZna68jnIZmZmZmYlHpDNzMzMzEo8IJuZmZmZlUyVc5DtVKekZC3QYjgBOCJGbM4VIynX/VAqi+4ZWj8g92sx1xzRYq73fPERqa7np/R1HNypxam2nz1fa9gxTavr0teRw1qEdG7Ton3zqBZzW9mjx7ge36b1fGK79pQ6Y9GAVDf95/To8+N3a9Gw7Reu0BqKsdmhdYNWLbq6ltrKjzc3tN/xR8RjGmg7oEV2ty7Woo8r+7RjdfqSlOpAj5B+9iN3SXUfX3i5VNdZ0VMTu0OMmhYjpGP5uVJdyzMukeoAKvevkepyuxj3LooF8/Ti48elso7VL5bq8rGHteUuXK7VAdHeoS37wB6550TyO8hmZmZmZiUekM3MzMzMSjwgm5mZmZmVeEA2MzMzMyvxRXq1O1JHWEjv0BfNkKrn0BMzMzObLBGxGlhduqk/M/sjohV4A7Ac+Hpmfrf0M+/OzP81Vm8PyDVau3btteP92RMGa6fqmZmZmY1TZvYD/cN865NAF/B94E8j4tbM/N3ie68AxhyQfYqFmZmZmTWTizPzNzLzT4CfAroj4h8iogPx0yk9IJuZmZlZM/n3D+3PzIHMXA3cBXwL6FYaeEA2MzMzs2ayNiKuKN+Qme8HPkvperDR+Bxka4x9e8csyd2P6/2Oaulz0dOj1S1ZKtUN/uAOqQ6g5cxlWqGYzjfn9VrqVXR1acsF2sR0pTyopdnFvAXago8c1urQk69igZY0GGra34NaP4D2Nu29hLnP0h7raNOS3VqWafstwLSuTqku9+yTe0r9DmuJcrlTT8fKY1rSYMv8WVpD8f7ueLaW9AUQC+ZqhR1az5bNW6W6gQe0xD2ALftnSnVqQt6bdtws1b17yWVSHcBFR7RkwMq6e6W62LhRqsuKluAH+vGaew9oDZcv1vrt3K31A2jXxrncKyaSbnlMqouZWsIhQKoJua31j6aZ+eoTb4uIz2Xma4FPKT08IJuZmZlZ04iIL594E3B5RMwGyMyrxurhAdnMzMzMmskK4F6q7xYn1QG5D/iw2sDnIJuZmZlZM1kF3A68C9iXmbcAhzPz1sy8VWngd5BPrnLISO8kroeZmZlZU8rMCvCRiPi74u/t1DjzekA+icohI6Ol8Z0qKXsOOjEzM7OpKjM3A6+KiF8C9tfysx6Qpyan7JmZmZk1QGbeBNxUy8/4HGQzMzMzsxIPyGZmZmZmJR6QzczMzMxKfA6yNcbi5WOWRC39Ukw5atdS0/L+dVKdnI5HDYlkLdqWt5yxQus3XUtMA6hseFhb9pljP37VQvH/1N1aghdA7twk1cVcMblMVHlCS2sEOPKQlhY3bbGWXNjxoj5twYODWh1QeWSLVNf6nAu0huJ+Fu3tWr+FC6g8slnrOU17aYp5c7RlHz0mlVUe26H1A3LzNqlO3ZbKAS19cuCw/r5WT5u23Z0V7TFUE/L+19ZbpDqA/7L0+VLd5UsXSXVq2mfedY9UB1ARkx1lu7XXDjWlEqCySUvxa7lEu7/jzLO0BavpeADTxM8emK6nxU4kv4NsZmYTTh2OzcymAg/IZmZmZmYlPsVi8hwZ5bOQe0/iepiZmZlZiQfkSVIODTnRaCEiZmZmZjaxPCCbmZmZWdOIiBbgdcCvAsuBQWAD8InMvEXp4QH5FDbZkdRO+zMzM7PJEhGrgdWlm/ozsx/4NPAI8EfAK6nGTP8r8O6IeHZmfmys3h6QT22OpDYzM7PTUjEM9w/zrVWZ+fri6+9ExG2Z+Z6I+DZwJzDmgOxPsTAzMzOzZnI8Is4BiIjnAccAMvMokEoDv4NsZmZmZs3kHcDNEXGU6qz7HwAiYgHwFaWBB2RrjK7usWuO6alAHNcSoDiq9cwDB6W6lmVLteUC0S1sM+jpc2pq2t7dWh2Qh7R0rjyo3T8hJhxmRfoPerXnrNlaz0NaYlO0tcrLVnWcoSWNhZiaqN7fdHRodejJgC3792sNj4pJg8e19MBYNJ/Kj8XUxEEtITOmaffP4MbHpDpagsEtWspZyxwx0fK4lsI2uF3bJ9Y9uFBbLtCOdrx2h1Z30RHtuFbT8QD+75Z/ler+KF4p1cUZT9fqNmv7IgCP75XKsqLdjy29Z2r9tm6V6gDysPia+fB9Wt2yXm25D92v9QNi0WKtsJZZYQSZ+a2I+HVgIDN/EBEXRMTvAvdn5juVHh6Qp6bRPiO5rHeC18PMrCHU4XgyqcOxmU1tEfFe4EqgLSK+CVwM3AJcExHPzcwPjNXDA/IUNNpnJJf585LNzMzMnuKVwEqgA9gGLM/M/RFxHbAGGHNA9kV6ZmZmZtZMBjJzMDMPAQ9n5n6AzDwM2rlHHpDNzMzMrJkci4iu4utVQzdGxCzEAdmnWJiZmZlZM7m0+Eg3Mn/i6vJ24GqlgQfkU5t6Md+EcEiJmZmZTTVDw/Ewt+8Cdik9PCCfwtSL+czMzMxM53OQzczMzMxKTsY7yMppAL0nYT3MzMzMzMY04QOychqAP8/XzMzMzKYKn4NsDXH0EzeMWXN8txbDCbDhngVS3czpWhzu097/TKlu8J71Uh1A68Wrxi4COWo6d+2U6uJp2rYAtPaepxUe0WKc2fu4VFap5X48X4txVpPYWpZo+077i18g1QEwbbpUFrO0ZT9x7aekus4r9Mc6OrV45tyvRRoP/uhBqa5llha5fO//019uZvdo63jokLaOZ//8E1Ld4H4tFhqg7Qxtuyt7tG3Zc592//zMW7TjBSAWavujGvdeWXevVHf50kVSHegR0j1v/YJUt/Nld0l1A3u12GyArucvl+paZs2U6nK39jxai5ZFc7XCVm0/q/xgjdavXT+uo1OM7Bbj6yeaz0E2MzMzMyvxgGxmZmZmVuIB2czMzMysxAOymZmZmVmJL9Ir9PX1XQNoV+IY4CQ9MzMzmzwRsRpYXbqpPzP7I+Js4N3AFuBa4CPATwPrgXdk5saxentAftJ0D3xmZmZmp4bM7Af6h/nW9cDngVnAbcBngT8AfhH4DPDCsXr7FAszMzMzayYzM/PjmXkt0JOZH87MTZn5aWCO0sADspmZmZk1k0pEnBcRFwFdEdEHEBHnAq1KA59iYWZmZmbN5J3APwIV4OXA70fEhVRPuVg92g8O8YBsDdG2bOwEoQM/0tKsAM4+V0samr5IS0PK/fuluse+ckyqAzjjwsNaYYd27WfLqkulutz+iLZcoOWs50h1le//k7bsPXukuphWw1PLwqVSWeu8hVq/mVoqGAe0VCeAWHG+VtcxQ6rr+r3Xa/1miNsCtFyqJekN/NWfa8vu1BLb8pB2zFzwa3pyWeuyJVJdZZf2GLZe+lKpLhb3SnUAHNdSPFseuV+qW/xC6U0tOXET9IS8WH6uVrdxo1Y3T0vwA4gzni7VqQl5C76kpSvevWKlVAcw+4ktUl1rh5YWu2G9dv8smqOlMAJ09WjH4eyf1Z7Dt3xN67f0Su15B+DYfZulusqRQbln15uGvz0z/wUo71zfiYivAFdlpvRAeUA2MzMzs6YREV8e5ubLgC9GBJl51Vg9PCCbmZmZWTNZAdwLfApIIICLgA+rDZp2QB7H5xr3TtCqmJmZmdnJswp4G/Auqp97fGdEHM7MW9UGTTsgU+PnGvf19cm1ZmZmZjY1FecZfyQi/q74ezs1zrzNPCCbmZmZ2WkqMzcDr4qIXwK0q/ULHpAb5HSMqnbyoJmZmU11mXkTcFMtP+MBuXEcVW1mZmbWBJykZ2ZmZmZW4gHZzMzMzKzEp1hYQ+SR42PWzLpQ//9Yy9webblPHNEadnRIZcte1qn1A/LAAakuWrXDrPWs50p1A4+sl+oAKj/8llY4e65UFuqCB/UkpHzgXm3ZPWOnNQJwWExsrGipVwD54B1a3XwtFTB65kt1lW8N91n3I+jQEq3UlMOYoV1SIR+DwOA27RqZPLBJqmtb+TSt34PaMZOP6SmVHNWS9HLPPq3usHY/Rk+3VAfAQe1YaHnGJVJdisdM3nWPVAcQm7XHemCvlsSoJuRduOlOqQ5g5/O0/ax1rnbMrHzmIamusm/s19Uh0aUlX8aCOVLdkhds1/r1aOmhAK0t2itI+wotSXOieUB+0pE6P+qtt0HrYWbWdNTh2MxsKvCAXFi7du219fy8P0fZzMzMrDn4HGQzMzMzsxIPyGZmZmZmJR6QzczMzKxpRERfRNwcEX8VESsi4psRsS8ifhAR0hXxPge5ceq9yO+U42AUMzMzmywRsRpYXbqpPzP7gT8D3gvMBr4HvD0zfyEifr743k+P1dsDcoPUe5GfmZmZmemKYbh/mG+1Z+bXACLijzPzC0X9v0TEdUpvn2JhZmZmZs3kSET8YkS8CsiIeDlARLwAkD6o3+8gm5mZmVkz+W3gg0AFeDHwpoi4HngMeKPSwO8gm5mZmVnTyMy7gN8BrgM2Z+bbMnN2Zj4TkKJ6/Q6yNcS0t/+PMWsq3/mi3nDeQq2uQ4v2ZNtmrU6MwgSI3nPlWkVllxive9lv6D13b5Hq8tBerW6DFrkc7VrsKQALl0llLcuertXN1eKeB779t1IdADO06POYqUV255aHpLrWX3yVVFeLwe99VarLLVrULKnFD7evquF4USONd+6W6lrOOkNbrvq8U4NYpMUK065FheeGB+Rl56HDUl3l/jVSXbS1av2ODUh1ADyuPfd0PX+5VDf7Ce05T42PBljwpQelur+cf5lU16alZrO2QzsOAHpSe7/z6sXa60znQuksBO64cZZUBzCzVdt/ejq1dQS44G3D3x4RbwX+M3A/8OmIeFtmfqn49h8CXx+rtwdkMzMzM2smbwT6MvNgRPQCX4iI3sz8KCC9E+YB2czMzMyaSUtmHgTIzI0RcRnVIflMxAHZ5yCbmZmZWTPZHhErh/5RDMsvBeYDz1YaeEA2MzMzs2byWmBb+YbMHMjM1wKXKg18isUU09fXdw0gXnk2uZykZ2ZmZlNNZo54ZX5mflfp4QF56pnuwdPMzMxs8vgUCzMzMzOzEg/IZmZmZmYlHpDNzMzMzEp8DrI1RD4xdqLVwO3r5H5tq56lFU7vlMoqD2/U+h09rtUB7NASmxjUUqVy9gKpbmCrluoEED3zpbrKbf8s1eXe/dqCa0gkbJkxU1z2trGLgIGdj2j9HtXqAOIcLRkwt/5Iqms545nacsVUQIDct0PsOU+rO/McbcHHjmh1x49pdQAHxP2sU7ye+QwtxS9m6KlgTNOee/KglvanPk8cuuVrWj+g86IlUl1u146t3HtAXrYqxdTEllna80SrmD7XOle/Fl5NyHvNrlukuj9fcLlU16Z9XC8A6itXz4Xa2Ne6Qtt3Lln2uLhkyEH1sdaOrYk2VQbkI319fe9rcM/eBvczMzMzs9PAlBiQ165de22je07AwG1mZmZmpwGfg2xmZmZmVuIB2czMzMysZEqcYnEynEIJdb3lf0zl9XagiZmZmU2WiFgNrC7d1J+Z/RGxGHgvUAHeA7wF+FVgPfC2zNw6Vu/TZkDmFEmoG+bc6VNivc3MzMxOpszsB/qH+db1wE3ADOBm4AbgJcDLgU8ALxurt0+xMDMzM7NmsigzP5aZ1wKzM/OPM3NTZn4MOFNp4AHZzMzMzJpJeb793Anfa621gZmZmZnZqe5LEdENkJnvHroxIs4FHlAanE7nINtEElKgWp/1NL1f1wytbraYCrZgp1RX2XtQWy6AmACVldT6HXlCKouZc7R+AJVBrefTLtDq9oupYO3TtDqA7tnasmct1OrEx6Vy7nlSHQBd3Vpdp1aXB3aJ/bT0MNCTBvNx7Vjg0Ue1focOa/2AWKglO3LokFQ2sF5LQ2w/++lSXR7cI9UBcGiT1nOLVhcze6S6riueIdUBRLe436qWL9bqdu+TW7b0Sr/tJndriW0b1muJpCufqe1jAG3iU7iakPfGnTdLde9Y+gJtwcDx0FaydZn2mrnv61pS7Mw+8bUaqOzUXuMGdh2Ve44kM98TEedHxDJgTWYeLG5/KCI+pfTwO8hmZjbh5OHYzKxOEfEW4EtUP71iXUSUL8r7Q6WH30E2MzMzs2ayGliVmQcjohf4QkT0ZuZHgVAaeEA2MzMzs2bSUjqtYmNEXEZ1SD4TD8inrCMnfBZy7ySth5mZmdmpaHtErMzMOwGKd5JfCnwGeLbSwAPyFLN27dpry/8eJjjEzMzMzEb2WuAnPj0gMweA10bEJ5UGHpBPQVMlftoJf2ZmZjbVZObmUb73XaWHB+RTk+OnzczMzCaIP+bNzMzMzKzEA7KZmZmZWYlPsbCGiB4h5ez4cb3h/CVaXau2C8eSpVq7jg5tuQDdWsqZmuwWs7WkOHWbAaJDSzmSE8QGtWQ+Kke0OoAZYiLhQTHFT71/9or9QE8GbDum1bW2S2VRQyJhThcf651qGqJ4P4rHdT62lZZLfkarve9uqU5NBSPE94LUOoDZWvBJiHUc0ZLdUkw4BMiDWs9YoN2P6r6Th/XjP7dulWsVi+ZoaaiVffrr0doO7TmqTfv0MDkh70NbbpXqAF6/VDu2Brful+pap2nJfANb9fTZwf1az7a5U+O926mxFmZm1tTU4djMbCrwgGxmZmZmVuJTLKa+E4NDwOEhZmZmZhPGA/IUd2JwCDg8xMzMzGwi+RQLMzMzM7MSv4PcZE5myp7DSszMzGyyRMRqYHXppv7M7I+IKzLz60XNLOB/AxcB64C3Z+b2sXp7QG4+TtkzMzOzppeZ/UD/MN/6Q+DrxdcfBrYCvwy8Avgk8PKxentANjMzM7Nm1ZeZK4uvPxIRVys/5AHZzMzMzJrJwoj4XSCAnoiIzBxKKpGuv2vmAfnEj0frnaT1MDMzM7OT58+BobjbvwDmAzsjYjFwp9KgaQfkEz8erck+Gm24z0Ye0nsS1+PfRacQu9xSw4emPL5Nq5s5WyrLH/9IqzsqRgUDMbNHrtUaavePGh8NwHFxe8SYW1lqkaIAtGlxyjFTjOwdHJDKsrVV6wc1xXsr1G2J7rl60x0/1nouEHvuFyNke7qlsnz0Ya0fwKxZUpkW7Iv+3JNapDAg72fseEyrG9D6HVv7iNYPmPa8M7RCMS5cjR+vbDqg9QPysPYc1bJI22+7erR+0aXFvQP0pLb/qOHVx0N7flTjowE+u+V7Ut11h86X6qav0J4fj20TjwOgtUssrOEwHElmvj8izgeWAWsy82Bx+7aI+GulR9MOyM1suM9GHtJk/xEwMzMzq0lEvAV4M7Ae+HREvC0zv1R8u3wB34g8IJuZmZlZM1kNrMrMgxHRC3whInoz86OIv3zygGxmZmZmzaSldFrFxoi4jOqQfCbigOwkPTMzMzNrJtsjYuij3SiG5ZdSvVjv2UoDv4PcfEa7gK+hHEhiZmZmU9BrgZ+4gjAzB4DXRsQnlQYekJvMaBfwmZmZmTW7zNw8yve+q/TwKRZmZmZmZiUekM3MzMzMSjwgm5mZmZmV+Bxka4jB7//j2DX36klaLQsfl+oqu/Zp/RZrKUx5oIZEuRlCeiDIKV65faNWd1hMOANi6TlSXeV735HqBjbvlurymB6FNO25Z0t1ldnrtIbi45JHxwXqAAATRklEQVR79mj9gFi8QisU09Uq96/R6nbqqWkxe6FUl1u2a8veo+1nLbO0ZMeYKR4vQCxYpBUuO1Ore2yjVrd4uVYHMG26VtcuJrZ1avdjS7eeADmwQUvx61j9Yqku9+6U6loueb5UB8DD92l1Yprl7J/VjutYMEdbLnD14k1SXc+F2jq2Lpsn1Q1u3S/VgZ6QN+9v7pfqHv/NZ0h1t92zVKoDmC1mDU5r0V8/fkqurJ3fQTYzMzMzK/GAbGZmZmZW4gHZzMzMzKzEA7KZmZmZWYkHZDMzMzNrGhHRFRHvjIh3RMT0iHhdRHw5Ij4YEd1KD3+KRQ36+vquAcRLl5ufo6bNzMxsskTEamB16ab+zOwHrgc2AZ3ATcB64EPAVcDHgdeM1dsDcm2meyg0MzMzm3zFMNw/zLfOy8xfi4gAtgIvysyMiO8Adym9fYqFmZmZmTWdzEzgq8XfQ/9O5Wc9IJuZmZlZM1k7dK5xZv7W0I0RcQ5wQGngUyysMdqmjV3yy1fq/fZraUgt3bO0fl3SOflUvvUNrR/IyU5qkl50a8lOKaa1VYu1RKK2X3+jVqcu9ugTYiVEl/YYqqly0akltrU8u0eqA317or1Tqhu49WapruVsPUkrZ26T6r7XH1Ld9OiS6h5u7ZDq4HFeftkWqXLf+nulumldg1Jd1znaMdh25kapDoBOcbsPaumcOaBty7RXaKl3ALlFS4DLx7SU09yiJfPFmWdJdQAs65XKKj/Q0ie3fO2YVLfkBVqiJEDnQu2xaV2xRKrb93XtOGidJr3RCcD0FVrCopqQN++G9VLd5kv016M7Hlgs1R2v1P/ebWa+ISIujojMzB9ExAXAFcADgBT16AHZzMwmnDocm5nVKyLeC1wJtEXEN6mmUt8M/B6wEvjAWD08IJuZmZlZM3kl1UG4A9gGLM/M/RFxHbAGYUD2OchmZmZm1kwGMnMwMw8BD2fmfoDMPAxI5x56QDYzMzOzZnIs4t8vpFg1dGNEzEIckE+nUyyO9PX1va/OHr0NWA8zMzMzmziXZuZRgMyfuFq9HbhaaXDaDMhr1669tt4eow3Yp2PKnkNTzMzMbKoZGo6HuX0XsEvpcdoMyCeBU/bMzMzMmoDPQTYzMzMzK/GAbGZmZmZW4lMsrDF65o5Zkhvu0fsdPKjVtWtpSLltp1Q3uG2vtlygpUM85TzE/4e2aYdjLD1X6wcgJsDlwd1a3UN3a3X79kl1ADF/gVa3XNvu6Jot1VW2PijVgZ7Ol+JjHbO1fnSLdQDztJSqn37N7VLdwe9rj+EzOrW0r4Fd0L60Xapd8Bu9Ul0e1VLTBjdqKYODW3fTdmENx5cgB7UUtpY52n6bmx+FQ1o6X5x1tlTHwuVav5naOgJwREwQfOh+rV+79vy49MqxU12HRM8Mqe6OG7W0z0uWPS7VzezTljuwVXwdBI5t0xLtbrtnqVSnJuQtv01/Hn3t0vlSXRdaKiDAy+TK2vkdZDMzm3DqcDyZGj0cTwhxOJ5U4nA8mdTh2E5fHpDNzMzMzEo8IJuZmZmZlXhANjMzMzMr8UV6tRktja/3JK6HmZmZmYkiYkNmnqfWe0CuwWhpfA2Isa7LZCT5ORjFzMzMJktErAZWl27qz8z+iDgADH3EThR/dw3dnpk9Y/X2gNw8nORnZmZmp43M7Af6h/nWZ4HZwDsycztARPw4M89Se/scZDMzMzNrGpn5VuCjwOcj4q0R0cKT7yhLPCCbmZmZWVPJzNuBFxX/vJUaT0P1KRZmZmZm1lQi4mKq5xv/aUT8ELg8Il6SmV9Vft4DsjVGy9i/jIiZY54T/6Tp4n/0pndqdcL6AbBDj0hm767GLnueFgHKscNaHcA08f5R47AXr9DazdSiWQGYJj7W3XO0uvYOqUyNjwZI8T6PGeJ2t4pRqkf0x1pd9vFHatjHBQe2adG+85+mJ+nlQS0iXT62RNGpv8GUA1qEdHRpx2B0dWkL7u7W6gDEmOsQj5lUE/LUYxqIRVpEenTuleqO3bdZqmttibGLCjPF4zUHK1JdZae2fw/u188IaBV3n9kcl+rueEB7XNT4aIDPbfk3qe7Kxc+Ve44kIt4LXAm0RcQ3gYuBW4BrIuK5mfmBsXp4QDYzMzOzZvJKYCXQAWwDlmfm/oi4DlgDjDkg+xxkMzMzM2smA5k5mJmHgIczcz9AZh4GpLf6/Q5y44wWInIy9E7iss3MzMymimMR0VUMyKuGboyIWXhAPrlGCxE5GSY7qMTMzMxsirg0M48CZGZ5IG4HrlYaeEA+TUxE0p6DSczMzGyqGRqOh7l9FyBdYe8B+fThpD0zMzMzgS/SMzMzMzMr8YBsZmZmZlbiAdnMzMzMrMTnIFtjHDsydk0NqVe5T0v7CrFndGhpX5X9WsoQADNn67WC3LNNqqslAQ4xsE126KBWd6CGtLZ54rWjhw9odWp6YEVLvYIa7nMxkTAf11LBYomYrghw/JjWU0wQO3ZI25bKoFa345ZB5j1HO75a5mhpcZUd4v3YLiahHa/h+BflHvFYUJ8f1ZRRIOYtlOrywB6tYas4MkwXY91Ae+1Af2wqR7T0wPYVS6Q6gJ7OTVJdyyztuWdg17DXjz1F29wa3sMUn86mtWiFxyvasrtqeJFRE/K+tu2Hcs+J5AG5eYz1Ocy9J2k9zMyeQh2OzcymAg/ITWKsz2H25ySbmZmZaXwOspmZmZlZiQdkMzMzM7MSD8hmZmZm1jQi4s0RMb/4+tyI+HZE7I2INRHxbKWHz0E+fYx1EV/NnMxnZmZmkyUiVgOrSzf1Z2Y/8KbM/D/FbR8FPpKZN0bEZcAngJ8dq7cH5NPEWBfxmZmZmZ1KimG4f5hvlefbhZl5Y1F/S0RIn9vpUyzMzMzMrJl8ISKuj4izgRsj4nci4syIeD3wqNLA7yCbmZmZWdPIzHdFxOuAzwPnAB1UT8X4IvCbSg8PyGZmZmbWbO4D3pyZP4iIZwJXAOszU4u3zEz/8Z8J+QOsnsr9vI5Tt6fXcWr28zpO3Z5ex6nZ71RYx2bcZuC9wG3AWuCPgH8B/gfwbeBdSo8oGpk1XESszcy+qdpvInp6Hadmv4no6XWcmv0moqfXcWr2m4iep+M6NuM2R8Q9wEqqp1ZsA5Zn5v6I6ATWZOaFY/XwRXpmZmZm1kwGMnMwMw8BD2fmfoDMPAxUlAYekM3MzMysmRyLiK7i61VDN0bELMQB2Rfp2UQa7rMJp1K/iejpdZya/Saip9dxavabiJ5ex6nZbyJ6no7r2IzbfGlmHgXIzPJA3A5crTTwOchmZmZmZiU+xcLMzMzMrMQDspmZmZlZiQdkq1tErIiImyPivoi4NyLeVty+MiJui4g7I2JtRFxcQ8/PRMSOiFhXuu1DEXF/RNwdETdGxOwa13O4nvWs4/SI+H5E3FVs9/uL26+PiB8XPe+MiJU19NwYEfcMrU9x26uK/pWIqOljc0bY5v9Z3Id3RsQ3ImJpA7b5hoh4ICLWFctsr6HnSPvP3Ij4ZkQ8WPw9p5ZtL/V/yn06zj6tEfHDiPhK8e+fj4g7ir7fiYhza+g13ONS1/aOcj/+TWlf3BgRd9bZ730R8Vip50tqWMdh95/S9/80Ig7W0G+4+3Hcx0vx88Nud+n7/zUiMiLm19q7+Pm3F33XRcTnI2L6OHo8ZbuL298S1efIeyPig+Po+xP7eOn2mh6XkfpF1QciYkNErI+It9bQa7jHelz7dunnR3o+G/exPVbveoz0uI+z14mPzaeLdb07Ir4QEd119ntzRDxU57FyYs9xv86MS6M/HNp/Tr8/wBLgecXXM4ENwAXAN4Ari9tfAtxSQ89LgecB60q3/SLQVnz9x8Af17iew/WsZx0D6C6+bgfWAJcA1wOvHOd9uRGYf8JtzwCeDtwC9DVgm3tKX78V+EQDtvklxfeCarTnmxqw/3wQuKa4/ZpaH+/R7tNx9vld4K+BrxT/3gA8o/j6PwPX1/m41LW9I92PJ9R8GHhPnY/L+4D/Ns77cNj9p/h3H/CXwME678dxHy9j3Y/ACuCfgEfGs08By4AfA53Fv/8WeN04+gy33ZcD/wx0FP9eWO8+Pt7HZaR+wOuBzwEtta7jcNs83n17rP2xnmNb2dfH+2es+6DOx6b8uvC/KZ6L6uj3XKCXOp5/h+k57teZ8fzxO8hWt8zcmpl3FF8fANZTfSFIoKcomwVsqaHnt4HdJ9z2jcwcKP55G7C8xvV8Ss861zEzc+hdlfbiT8Oves3M9Zn5wDh/drj7cX/pnzOoYZ1H2ubM/GrxvQS+Tw2PzSj7z8uAvyjK/gJ4udqz0SJiOfBLwKdKNzd0/6bO7R3lfgSq794Bv0b1haXufuMx0v4TEa3Ah4B31thvuP173MdL8fOjbfdHinWs5zhvAzojog3ooob9prSOw+0/bwKuzSev3N9RS8/h9vHxPi4j9SvW8Q+y+FSBWtZxhG0eWlZN+3ap50jP4eM+toXe4zbafVCL4R6bodeF4r7spIZ1HaHfDzNzY4PXcdyvM+PhAdkaKiJ6qf7PcQ3wO8CHImITcB3w+w1c1G8BX2tAn7rWsfgV0J3ADuCbmbmm+NYHil9VfSQiOmpomcA3IuL2iFhdy7rUovg15ybgN4H31PizI20zxa+8XgN8fZzr1cuT+8+izNxafGsbsGg8PWnMffonVIeE8scFvQH4akRsprrN146z95BGbe+J9+OQ5wPbM/PBBvR7c7F/fyZqPxVkuP3nzcCXS9s/JZS3OyJeBjyWmXeNt19mPkb1eeZRYCuwLzO/0YBVBTgPeH5ErImIWyPiohp/frh9vJ7HZbh+5wC/HtXT2b4WEU8bR9/h1LNvD7c/NuTYHu25cpIN99gQEZ+l+txzPvCxevvVacSe9b7OqDwgW8MU5yz9PfA7xf9G3wS8PTNXAG8HPt2g5bwLGABuaEC7utYxq0k9K6n+T/biiHgW1SH7fOAiYC7wezW0/LnMfB5wJfBfIuLSWtZHlZnvKrb5BqovgrX87HDbPOTPgG9n5r/Wuk7D7D/lZQ69qzMedd2nEfFSYEdm3n7Ct94OvCQzlwOfpfpryYaoZ3tHuR//IzW+wzZCv49THXRWUh3yPlxLv2H2n0uBV1HbC/KEK2831eeb/06N/5kcpuccqr8pOAtYCsyIiFfXuapD2qg+31wCvAP42+LdQGW9nrKPR/XahHE9LqMcMx3AkaxGBv858Jlae49gXPs2jPh81pBje4znykkxymNDZr6e6n65Hvj1evtNxDoWxv06UwsPyNYQxf/o/h64ITP/obj5amDo678D5AvgRlnO64CXAr9ZDBH1asg6ZuZe4GbgiuJXtFn8qvOztfQs3mEa+tXjjeNdnxrcAPzqeH6wvM0AEfFeYAHV88ZqMsL+sz0ilhTfX0L1XZjxrGe99+nPAldFxEbg/wEvjIibgOeU3hH6G+BnxrN+JXVv7wj3I8Wv819RrGdd/TJze/HCX6E65NR7zFwOnAs8VNzHXRHx0Hh6Nsow230O1aH2rmIdlwN3RMTiGlu/CPhxZu7MzONUn3vq3W+GbAb+oXju+T7Vd97Ui6Oeso8D9zL+x2W4Y+avhtaxqLkRuFDsN6Lx7tsnKu2PV9LgY/vE58pJNtJjA1SH+uJ29XVh1H6NXsd6Xmdq5QHZ6la8S/FpYH1mlv+nvQV4QfH1C4Gaf/11wnKuoPorl6uymq/eCONex4hYEMUnaUREJ/ALwP2lISeonkcqXXEcETMiYubQ11QvSqz7auVhllP+tebLgPtr+NmRtvkNwIuB/5g/mVqk9Bxp//kyTyYeXQ18qZa+Re+679PM/P3MXJ6ZvcB/AL5F9X6bFRHnFWW/QPVdl3rUtb2j3I9QHczuz8zN9fYb2r8Lv0IN9+cI+8/tmbk4M3uL+/hQZtb8qQGNMtx2Z+Y9mbmwtI6bqV7It63G9o8Cl0REV7Gcn6f+/WbIF6n+Z4Niv5wG7FJ+cLh9PDPnjPdxGaHfq8vrSPV5d4O8dSOred8eMsL+uJ4GHNsjPVfW2qfRRng+e00Un9RR7JdXIa7rKI91Q9cxM19dz+vMeFfEf/ynrj/Az1H9dfDdwJ3Fn5cUt98O3EX13MVVNfT8PNVf3x6n+mL0n4CHgE2lZcifvjBKz3rW8ULgh8V2r6O4gprqE849xW1/RXEls9Dv7GI97qL67s27itt/pVjfo8B24J/q3Oa/L9btbuAfgWUN2OYB4OHSYyNfTT7K/jMP+Beq/2n5Z2DuOPbNYe/TOvb1y3jyiupfKR7nu6h+YsLZdT4udW3vSPdj8b3rgd9uRD+qn2hwT3H7l4El9e4/J9TU8ikWw92P4z5exrofSzUbGf+V+e+nOnysK+7LjnH0GG67p1F9vlkH3AG8sN59fLyPyyjHzGzgpmL/+Teq79SOe5vHu2+PtT/Wc2zXsq834nGvs99lwFeovln6XZ583bqB0qdajPOxfmuxjgNU34j6VAP2n3G/zoznj6OmzczMzMxKfIqFmZmZmVmJB2QzMzMzsxIPyGZmZmZmJR6QzczMzMxKPCCbmZmZmZV4QDYzMzMzK/GAbGZmZmZW8v8B4JPH5HMrt5QAAAAASUVORK5CYII=\n" + "
" + ] }, "metadata": { "needs_background": "light" - } - }, - { - "output_type": "execute_result", - "data": { - "text/plain": [ - "" - ] }, - "metadata": {}, - "execution_count": 91 + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ - "
" - ], - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAsgAAALICAYAAABiqwZ2AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOy9eZBc13Xm+Z1caq9CoVDYAaJAgKsoipSgxVKrTVKWx5ZoqT2ttuUeOWS3FZjw2OM9ZMsTbWvcbYfc3TNhe+RpNUKWLbXdXtpjtdlq2S21JZqWREmEqI0iKYILiH0poKpQhVoz884fKAp5vntRmVmVWbnU94tgEPct99133733nXz1nXMshAAhhBBCCCHENTLNboAQQgghhBCthAxkIYQQQgghypCBLIQQQgghRBkykIUQQgghhChDBrIQQgghhBBlyEAWQgghhBCiDBnIQgghhBBClCEDWQghhBBCiDJkIAshhBBCCFFGrpaDl8afb6m0e/nRm63ZbRBCCCGEEJ1FTQYySsUGNUMIIYQQQojWoDYDuVhoUDOEEEIIIYRoDWoykIMMZNFkDh069CsAeprdDiGEADB/9OjRDzS7EUKI+lPjF+SlBjVDiKrpOXr06Pub3QghhDh06ND7m90GIURjkMRCCCGEEEKIMiSxEEIIIYQQogxJLIQQQgghhChDEgshhBBCCCHKkIEshBBCCCFEGbVpkEuSWAghhBBCiM5GX5CFEEIIIYQoQ056QgghhBBClKEvyEIIIYQQQpRRm4FckIEshBBCCCE6mxoThUhiIYQQQgghOhtJLIQQQgghhChDBrIQQgghhBBlyEAWQgghhBCiDBnIQgghhBBClCEDWQghhBBCiDJkIAshhBBCCFGG4iALIYQQQghRRo1fkIsNaoYQQgghhBCtQUdLLMzsIwAeBHAhhHBXYv99AP4awAvLm/4qhPAb69dCIYQQQgjRanT6F+Q/AvBBAB9b4Zh/CCE8uD7NEUIIIYQQrU5Ha5BDCI+Y2Viz2yGEEEIIIdqHmgzk0GJfkM3sMIDDZZuOhBCO1FjNd5nZ1wGcAfBLIYRv1a2BQghRJw4dOvQrAHqa3Q7hGDt06ND7m90I8R3mjx49+oFmN0J0Bm2tQV42hms1iMt5HMC+EMKMmb0FwH8BcEtdGieEEPWl5+jRo+9vdiOEaFX0Y0XUkxolFq31BXmthBCulP37k2b2/5rZaAhhvJntEkIIIYQQzaPTnfRWxMx2ADgfQghm9hoAGQCXmtwsIYQQQgjRRDr6C7KZ/SmA+wCMmtkpAL8OIA8AIYQPAXgHgJ80swKAOQDvDCGEJjVXCCGEaGlaXAvf6ppwaaTbiI7+ghxC+JEK+z+Ia2HghBBCCFEZaeFXSYsb74KoLYpFm31BFkIIIYQQolY6+guyEEIIIYQQtdLRGmQhhBBCCCFqRV+QhRBCCCGEKEMaZCGEEEIIIcrQF2QhhBBCCCHKqPELcqlR7RBCiIbS4vFbq6HVY7xWg+LACiHaAjnpCSE2Corf2mQ6wMAXQmwQajOQS0oyJ4QQQgghOpvaJBZFSSyEEEIIIURnU6PEQgayEEJ0Og3UazdCRy1dsxCi7shJTwghBNM2em3pmoUQjaBGA1kaZCGEEEII0dnUKLGQgSyEEEIIITobfUEWQjSVdYxPvF5xhKWJFaLFaVJc9GbEMtd6tEpkIAshmk3b6F2rQZpYIdqCjlp3boTWo9VTo4HcqGYIIYQQQgjRGshAFkIIsSaanMa72Sm49SdsITqQmgzkkgxkIYQQMRviz9Up9CdsITqTGjPpWaPaIYQQQgghREtQ4xdkGchCCCGEEOtBHeRLa5EgbWj5UG0Gsr4gCyGEEKIFqdGYrMVwbKah2DT50kaXD+kLshBCCCE6gYYYk51mKNbwQ6JdfkQ0BH1BFkIIIYTYONT9h0Sn/YgAZCCLBtHAsE+NDOnUcb+AhRBCCFE7NRrImUa1oyGY2UcAPAjgQgjhrsR+A/C7AN4CYBbAj4UQHl/fVnYsbRf2qRN/AQuxUWhiLGalDxaiA6nJQC623xfkPwLwQQAfu8H+7wdwy/J/rwXw75f/L4QQor1oux/lq0U/5oVoPB39BTmE8IiZja1wyNsBfCyEEAB80cyGzWxnCOHsujRQCNGSrPFrpMIqCSFEm9PWGmQzOwzgcNmmIyGEIzVUsRvAybLyqeVtMpCF2Ng05WukvgwKIURrUJvEotRaX5CXjeFaDGIhhBBCCCFWpEYDubW+INeB0wD2lpX3LG8TQgghhBAblNokFp1nID8E4KfN7M9wzTlvSvpjIYQQQjSaKn0dKvk0yG+hQbS1xKISZvanAO4DMGpmpwD8OoA8AIQQPgTgk7gW4u1ZXAvz9uPNaakQQgghNhhr9nVYT7+FCgb9SoZ8WxrxtRnIob2+IIcQfqTC/gDgp9apOaKB1CkGaj3imbblQiCEEEJUYFUGfbs6H3f0F2SxoWiJGKjtuhAIITYuNX5gqOVDgj4YtAj0jPkZ6jklqM1ARnt9QRZC1JcGZSurdyYyLfZC1EZDPjDog0FjWMHYXWntc8+Y6ri9yjo2FDUZyIU2k1gIIepOS3ypXwm9lGsn8cOn2peuEGL9Sa7DNa599aijo9EXZCGEEDf84aMXphBiIyIDWYgKNEifp69ydaJdvn4qpJOolRXGTMdFDBCi1ZCBLERl6i4r0Fe5utIuXz/bKqSTaAlqHjMaI62NnOXah9o0yCYDWQghRP2pgwPoWpw9ZZiI9aJdftBveGr8giyEEEI0hKY5gMowEUIwtRnI+oIsREXqpDV9CX3ZEkIIIdaZGiUWjWqGEB1F3b6E6cuWEEIIsf7ISU8IIYQQQogy9AVZCCFER1OF7KkayZPkTqLurDIrnlgHatQgN6oZQgghRMNQiD1xQ+rwA2otxqwy2rUotX1BblQrhBBCiCZQQ3g5fWXuXNb0A0rGbGeiL8hCCCE2Mm3vVKs/04tmU+GH5o1+XLb0+KzJQC41qhVCCCE2DDd4mbblS7RF0J/pRbPpuKyP+oIsRAJ9kRGioVT9Mm31l6gQnUDZO++l992Gf9dJgyxEmoZ/kalTQpENv4jVg8Sz4H7fcP2sF6ZodTRv64p75+mHqb4gixanzg40QGstmPKsbx1WfBYbtJ/1whStjuZtm9FOf52tMVGIEOtO3RxoAC2YlVC8WCFWphOdkVaD/gImVmnsto1evkaJRWhUO4QQrUEt2tAbvSBvTyx2ehHWwAp9u2EMsBam45yRVon+AibaxthdDfqCLIRYLXK0ahw1GR+d1L/roStd4ctXXeoXQrQ/Naaa1hdkcWPaSVskhGhZ1kNXesNrdNKPDSHE6tEXZFFPOvbPLQmPfkCGv2gCrRJDWF9hG4M+NAjRGtRoIOsLstiwRMZ/Jxj+oi1pFWnLunyFrSC56ESjsWM/NAjRTnS0k56ZfR+A3wWQBfDhEMIHaP+PAfi3AE4vb/pgCOHD69pIITqMVvnCKToGySGEEOtOx0oszCwL4PcBvBnAKQCPmdlDIYQn6dA/DyH89Lo3UIhV0gZ/2l7JoGHjmSNetEL7hRCiKShBT+vQyRKL1wB4NoTwPACY2Z8BeDsANpCFWBfq6J3fzl/UGu6A1QY/IIQQ4kYoQU+L0NYGspkdBnC4bNOREMKR5X/vBnCybN8pAK9NVPNPzewfA3gGwM+HEE4mjhFtTAsZTMr6tD608w+IVVOltEU/ENYBpUBOo34R7URba5CXjeEjFQ+8Mf8VwJ+GEBbM7H8F8FEAD9SlcaKV2JAGk2g+6xyRoBppy+3t/mfbFvrBuxL6MZymI/pFhv7GoK2/IFfgNIC9ZeU9uO6MBwAIIVwqK34YwL9Zh3YJ0TJooW84rRKRoCX+bFsnfaV+8Ipm0xGGvliZTjaQHwNwi5ntxzXD+J0A/nn5AWa2M4Rwdrn4NgBPrW8TOxs5G7QFWujFetIShroQQlSiNgM5tI+BHEIomNlPA/jvuBbm7SMhhG+Z2W8AOBpCeAjAz5jZ2wAUAFwG8GNNa3BnopehWJENGOO27uiHaPuwXs9KY0I0g04bdzV+QS41qh0NIYTwSQCfpG2/Vvbv9wF433q3S8TcwMEIUPzcirR55i39uXzt6Ido+7Bez6ru15EcS1RBR61Fbe2kJzqKqrODAe0/8epMq+hcW5ZO+7IhWpMOH2eSY7UoHT7umkYna5CFEOIlOurLhqg/jXAg1DhrDhvQYGzbcdfKz6pGDXJ7SSyEEKujlRctIRrEikaG5kRb0bYG4wakZZ+VviALIVK07KIlRJPQnBBiA6EvyEJsQPQ1TAghhLgx+oIsxMZEX8NWQB77QgixsdEXZNEWVGGwADJa2p4WSiMsj30hhNjAdHQcZNFRVAwD145GS5vHMG4EiossauIGc2ijzh9RJVp7RSU6NpOeEG2CYhiL75DQhgN6YVcimkOdNH/kL9AwtPaKFakxUYi+IAshRAPpaGNPrIqW9RfQV1jRyUiDLNoefWER7YLGqugw9BVWdCw1GcglGciiNWnZLyxCEBqrQgjRBugLsmhp9MVNCCGEEOuNoliIVkdf3IQQokORjlm0KvqCLEQLUY8v5vrqLoRoI1pCx6y1VzAykIVoLerxxVxf3RuAvnQJ0dFo7RUOGchCiLrQiK8nLZYEoiW+dLUqLfashBBiTchAFkLUi0Z8PVFc4PZBz0oI0THUaCAXG9UOIYQQQoiKSOsr1gN9QRZCCCFEOyGtr2g4tRnIJRnIov2RVlLUA33FEu0KrYGAnE6FiKjJQA4IjWqH2IA00VCVVlLUA33FEu1K0uEUaP44btUfnvqwsvGQxEI0ExmqQgjRIdTJuG3VH556X20wJLEQQgghRD1oVeNWiJrRF2Qh6kSr/mlQCCGEELXR0V+Qzez7APwugCyAD4cQPkD7uwF8DMCrAFwC8MMhhOPr3U7RMejriRCiLdEPfCE8HfsF2cyyAH4fwJsBnALwmJk9FEJ4suywnwAwEUI4aGbvBPDbAH54/VsrhBBCNBX9wBeijJoM5FIbGcgAXgPg2RDC8wBgZn8G4O0Ayg3ktwN4//K//xLAB83MQggK1yGEEEIIsUGpzUBuL4nFbgAny8qnALz2RseEEApmNgVgC4DxdWmhEEIIIYRoOaydP5aa2WEAh8s2HQkhHFne9w4A3xdCeM9y+UcBvDaE8NNl5z+xfMyp5fJzy8fIQBZCCCGE2KDU9AW51Vg2ho/cYPdpAHvLynuWt6WOOWVmOQCbcM1ZTwghhBBCbFAyzW5AA3kMwC1mtt/MugC8E8BDdMxDAN69/O93APiM9MdCCCGEEK2PmWXN7Ktm9onl8h+Y2dfN7Btm9pdmNrDqujvZHjSztwD4HVwL8/aREMJvmtlvADgaQnjIzHoA/EcA9wK4DOCdLzn1ierJde3+ziD6rZ33R/uHSLr+I/ed9RvoZ1pmc198kZIfp5bzJ2V2jsbn9PS4YunM+RXrLE1ejaq4/CXf+OfOjrjyq//JpG9XPhvVsXTS1zt9Mu/KmWw8B+dm/DGbdsy5cteoufL8WV8GgEzO1zv06+/yB1w6F52DHur7IX+/OHHMFW3f7VEVYYH6cWnRnzO6Oz5n6qLfkOvy5QwNktMvxHWc9/ez8Plv++t2+Tp6fvHn4joef9hvGKFxlU380a1Y8NfZud+VS49/3u+ncQkA9rJX+3ZcOOnLp1+Mz7n5Nr/hzAl/zsIC1UHzDkD2HT/myrO/9tuu3H3vruiczL33+g0L87686K+bec33R3WgVPTFF74eH1OGbR+Lt+W6fR1n/dgMTz8Rn7P/oN/QP+T3D272dX72k3EdL3u538Bz5vKF+JzdB3x5yx5/naOfcuVwIa4DRd9ndvcrqY4vx9fdusWVc2/5F3G97sKxn1Hxs3/mN/T1+3I1vknUzyj4NSFZB821wqc+48o22OvKx/7Ez0MA2HuHX5/PPjMUHcMsFfw6sXPfFVdemPHtOnM+rvNKya/fPfDP7pUP+nYBQGme5sSML3ffvd2Vw/xSVIcN0lgsFKNjfCVxv9vunb68/xZ/ygt+ngFA4bEnXTl3+15XDtSO0vnLUR0Zep79/+ov4pdaAjP7BQCHAAyFEB40s6EQwpXlff83gAsc4rda2lpiUYkQwicBfJK2/VrZv+cB/LP1bpcQQgghhFg9ZrYHwFsB/CaAXwCAMuPYAPQCWPVX4I42kNuRsmDtLQvnoxdCCCGEqBcrBWEo43cAvBfAIJ37hwDegmthfX9xtW2Qgdx69MgAFUIIIcRGpUIQBpjZg7gmn/iKmd1H5/74crK4/wfXkr/94WraIANZrJly3fGvnv1stP8Xd/1jVz79Bf+BnDW4W2/zmi8AMBqppVlf7i7EWqrM6KZke79TZ6/XME59Zio+JuNlUKdzXlv2ihNef2kJt9djX/U6wFPBa61Sf/9h8dWeKa9BHj7tr3t6yv2ABgAsUC0P9FN/9MbnRO0Y8XrhkPX3n6rD6DphxuvNbIB0zQDChNeHcx3ooj6bSGg0zd9v/mZ/HX7eWPR9eu0geoAF0jWyNjp1TrfXaNpB0mkn9JZhbjqut7yOnt54I2t/8/RsJib8NRZjjWY4f9yVCzNU5SRtAJDb/wpfx7yfr2HW34sl+izM0lzL0zGkWw/jp6I6bO8dvjzk51nxxTPxOeN+LGZefpe/ziLN5507ojoiHfo50otTvwMAlrxeNBRJPzrs227bYp0+60Wtz8+RzG23xueQbjkaZ9yOTOxDYXcc8ods3efrpGeVIlyh+UoadPC6AkR+B5mRo35/zrc1n4vX7wz9LXb/D/o1onTV6+UBIMz7eZLZ7H28+qf9GOk/EUeFnZvwY+T4Kb8WFS7HfTZ3zt9PKPlycdavkdmEq05+p1/TsrfvowN8P4fJxLu2j3XM8brBlGb9MWGSxlm3n9+B+hAASon1qQJvAPC2ZV+zHgBDZvbHIYR3AUAIobicIO69kIFcH1pA4jDWxGsLIYQQQrQ0IYT3AXgfACx/Qf4lAD9qZgdDCM8ua5DfBuDp1V5DBnJMUyUOhw4dqnjtZhvxkoAIIYQQosUwAB81s6Hlf38dwE+utjIZyO2JdMpCCCGE2PCEEB4G8PBy8Q31qlcGslgz5XGOWW8MAP/XmUdc+d1773Fly3gV7tJUHP7QSKecYU3yRKwntW7StVHsZNbnDd0ZVQFWCL921idazA0lRMc53/6xg1732PWC1w729cbxLCux415/v/lvxfEuCxTPk3Wdke4TiGL6hjnSqLF+thBr+CJe8H/hCgkNMq6SjpV2x5rkxB9QekmnPO37KEx54Xq2mNC8sdaX+6ivipjzrG1mzep8Qve3mWKcpuItVzqH2mZdXnOdYW0hAEx6/eSTT2xz5btysdY7z5rjcxSjed73c6mH4uYCCPMUK5vHFY0HLMTjrMTxh08+56v4aqxJ7bvDzzV7wcfTtlGvBV768jejOrK7KTY264sTsWezm3185ej+eJxdjGNWs045XKGkr6n53EPjiMdmag4wdExpkuKnL9GzSeiJw6QfR9ZHvguLsSaVNcizjyb6pIyJ2a3RtuFzfizmd9NaW0p4gJDfSXY/6cGX2C/Ba9ABoGvRj4HRCd+OTE/83sj1+HOy9Djz2/yzzG6P1yLbMuzK4bKfA5n9N7ly6VTcp2Hcrwl2E8VBPhevCZkBeuakOWb/D+uO17fMtuFoW7ORgdx6zFchsxhbh3aI1ZCrKra5EEIIIVoYGcgtxtGjRytmfKlGpyyEEEIIIVaHDOT2pJqvzA1D+mchhBBCdDIWwqqz8HUkhw4der8MwNqY+tE3fWcQcYzjFHef/Jor7xjw+ryX9fs87gAwkvEapgHzmqcBxPE7717yv/9GSXOcpbG/p5d0kQC27PTbvv281x8WEGvJuuCv05v15cWSP+cU3RsA5Gha/nmXjyv5zkWv4ZvOxNKOi/Tz92f++p1+QypoM8dJzZN2bJjiwqbi91LM4tJpr0HObNsfnRJIy2x9pEejGLClp74Q1WGjFLOZY7wm4g/bZrofjjWbJ01yVyIeMRP1IcUApbjQqetGzyYVJ3YhHq/+HD8AwuTF6JDCQ59w5ckv+eeQ0uV3/dAP+HpPeh1vmJh05cyunXElrPXuJZ3yMOl8p32dAGAjpMFe8Pra0qN/H5/T69cnG/DXDaQnztz9uqiOMENxjmc5eHRCCzxEunvSfts+6uiUNpjHFa2b0RgCEK5QjN4Tx+g6pJfOxePMDvhY0RwbHaQnDyHWYIeTFGnrEulYhyrrT0uPP+7L5GOARBzdzC6v287s3uXrOOdjCwOI+iTzXeRXw8/m7ImoikCaeev262jxaXoOAALFZM5s8hr7wnHyf7ktnleZvXt8nVe81p1jsoenYo29bfN+CLxuFh5/Ir7uIM3nHppnW2isTifeG6QH7/vlP2y6XjHxhhRCrBY2jkXrEhnHQgghxDIykIUQQgghhChDGmQRUSkRiSQoQgghhOhkZCCLFEpEIoQQQoiWxcw+AuBBABdCCHctb/u3AH4AwCKA5wD8eAghdmKoAhnIbUwDU06P1XR0mVAnk42dPjkRCDvlnSOnl5cnnPSYieAdGoLFjjGT5KTUE7yiqJecAqbmY2e5wVkfwJ6d8gYy3qmnJxc7ivR0+W1FctK7Olt5Gm4237YF8/4L+YSvbeSyU0VA/8jRhx3d2EEl4fgWN4SOSTgTobC48jFVONzxNjPvuBniHolh57hM7Sq0UKJkFEWqg+8ViO+nmusWKKkL9ZF1k+NMIhlDWKCxueSvW5pJJLFJPT9XSRU6fL7fSuMq5bRG9x+1K5UEgpJ4BD5msYpxxnDbUkleOEkPj4FqEnYQRvOXHV0BJPqxWGF/7e3gsWqJLoueRDZ2qq4IP6vU8610Drc1Mc8C91GlpD1d8Xsj8i6rYhxZP9XDjm49vs+sL+EwzE6WeSpzIqiFeC2K2s6BHBKJcKJ+rrRGJJ5dKsFOFfwRgA8C+FjZtk8DeF8IoWBmvw3gfQB+eTWVy0BubxrypVdxloUQQgjRyoQQHjGzMdr2qbLiFwG8Y7X1y0AWKVaMsyz5hRBCCCEahZkdBnC4bNOREMKRGqv5FwD+fLVtkIEsIqrJ5ieEEEII0QiWjeFaDeLvYGb/B4ACgD9ZbR0ykMWayWy+HtB8621Xov1LU17V9LKrXmPMmuNPn/9GVMcbt/lA+gdym1z5ucJUdM7WnNcl72OJIh2/bThOvDC4w2u0xha91v/MZZ+wI1OMtXWjm30iAdZ5blmMp+Ei1TMWvD5tf/BB8udKcR19JWoL68ISmkVOthBp9vj4UqxRNdY6s+6N9cRArP1d9O2I9JUprWSffxZcR0U9NRBrf/mYVHIVhvSG1SQsiagmUUjOP3OjcpTUZCBOxhAKvi3dA5QkYSAez+HF51258LVnXHnpnH9WvayDBGDbtvoNrElN6bS5HbM051mDPuATLQAAunxbjK5bVeKsBdJyd5EbSE/iuqnkIeWwzpXHLhLjiBPFpOYVz71ZOoe1oCltMCcomaLkGqxtT43vKe9nEi75pBe2lGg7k0iG5OrYFPd7UqdbiUpznNeIlL9ABS1wZsS/vwDEz2JowJ9zifzMehPuR710v+OUHIjuzXKJ580abNLPFydiX4bsvpVjyvN1AiXoAQCrZl2sEjP7MVxz3ntTWEM2PBnIQgghhBCi7TGz7wPwXgDfHUKYXUtdShQihBBCCCHaCjP7UwCPArjNzE6Z2U/gWlSLQQCfNrOvmdmHVlu/viA3kTqEaRtrwjXlpCeEEEKIphJC+JHE5j+oV/0ykJvLmsK0rTIcW/1Dw5VppywxooxiI49k4riR5bDeGAD+4cKTrty74xWu3J+JNX7n4LWQT3X73wWservLKkuVrkz7Ooa6Yq1kV95ruAoLXn+VzXutVW93Qse76NsyVFr5N825hEa1yJI91talYlVWir/LOsfpieiQkCPN4pzXYBtrY4E4TixTjT6Ntc/MAv21LaHz5PvnEZHSyYVQIX4n93NCjxomL654TKYv1g+XJs75tvXEuj5HQnOd6fYTtmuTf76Z/njchSv++YZ5Gu/+caM0Hsfoz5IGOZw66co2POpPSGmSeRvra1PxmLN+7EUxb0kLG+mcAWCOrtND4/nK5egUG9ri6+UYzqy5Z90vAEz7fgx8ndQcmRj3ZdY6J3wIIlhjzLrmq4k+IsI0+aZQv4eEBpn14ayPtt4uKifWyH56NhQ/Ht3xXDSsPUZ1tI7SuweD5C8BALO0PpEmOcxSu67QRAOAPupn9iEZpnmX0AKHOT8Wrc9ru3PbvTYaAGz7dl/HPOv0/Tvf+hP3n/BVaDYykDuQCl+Jx9axKRsONo6FEEII0X7IQO5MbviVWElAhBBCCCFWZtUGcgPTHDebsWY3oMGsmASkGqRBFkIIIUQns5YvyA1Jc9xsOv0LayOSgFjuus6plAiqkqFRNmBeazQRvHaUYxwDseb4U+e+7spv2n53dM65om/M/oz/PddDUX3HJ2M9Vt+Q133NLPm233HnheicLP1sLLIca8RfN3sq7rSlea+3233e68Dmg9+/E4uYg992iWNPXvA6z2S81gp6uzDjdY9h6mJ8UP+QL1/2OsgwFfdZmPFaZuv1GrUQxTSOpSwV72/Sx14Nk5dgu272x1AsZeumMZHQeVrwOr/SxRP+AI6TCwBXSStIOm3Wi5dSz4U1qTOk9a1w/wBQnKYYpzRWCxdjnXb3Qa/bzO7wz7u74Nthmypoo4E4BizrtvuHgPOn/bZIT+vPKV2MtcCZJerHvK8jzPm1KHzpUWRe+aoVr4PxeDwzoce3PVzy88Z2+jUgpdMPPaRBZQ0265oTRHGfK+wHEI1fGxjx+/MJnxL2d+CxyW1ljW6qjtNn/W6O4buwGGuKeVxt2uzrSGifQ95PAhve5g8gP4yQ8uVgn4rLiXWSsC2kU18gn4oc9UdCPxzFAh/xdbImOXPP61H6/N/5czZ7fwfWE5euxr4emcvxXHOQvjjMxn4JSZ+YJiOJRXtzo6/BY+vcDrEMG8frBRvHojKRcbxesHEsKsPG8ToRGceiMtUk02kECYc7sdoJtQcAACAASURBVDJsHAuPDOQ25kZfgzv9K7gQQgghRCORgbxBqKdmvBOlNUIIIYQQLyEDeePQkZpxIYQQQmw8zOwjAB4EcCGEcNfythEAf45rUtPjAH4ohBAH7K8CGciroI5fY8fqUEeKlDa5UddCZuf1oP7dhVhoX5rwjj4Dx8hRxLx27LlCHHieE4GwU97fnf9GdM7rt97uytvIKY/zggyyEwyAvh3eGexAyTsjLM3SvRTj++/2MdSRHfIOC91X4uvmun09A+d8ebjLO0qMZuPrDs2vnJAlCScFYIckdshJOPqxg1GgIPFhnhItALXrFlOJRXbu81UaPRtyAkkl+DB2/OLrVEqkAkT3EvXHfMKTla/LjlG9cWD9sEDPKufrsAHvkBQlpwCwcJqeL+VRKM3GyXPCjG//0nH/7pk77SsZ3B7PZ+sjJzRO6MD9nkr6EahtnEiC6wSAjG9bWPDXCdP0bPi5ALEjKzsXDZMTW+qcAt0Pz6OUU2aUfILOSU13ms+lJ33CJW57SDjpZfYd9MdMk9NtpQQ9AECJQsIVX04m+eA1gZ5V6aq/t+zm2LmbxwSvZ6kEJVEz8jR/eUykxgi3nZ0DOdkMECfToAQ0mVF/f5ZKNjLojwnnvWMjZinJz2wiWRJrucnBbukMrTsAcrfQeOY5we+RlEPeYhVJa2L+CNdSS3+sbNuvAPi7EMIHzOxXlsu/vJrKZSCvjrp8jW2UVjilTZYuWQghhBCdQgjhETMbo81vB3Df8r8/CuBhyEAWFVhz/OOXkFRDCCGEEI3CzA4DOFy26UgI4UgVp24PIbz06fwcgO0rHbwSMpA3CI2IfyyEEEIIUW+WjeFqDOKV6ghmLKasHhnIYu30XNePsU4KAKzba5juXvLDbpI0XFtzcTzLc/A6N04CwnpjAPjCxadd+b5dO6JjysnnE8knKsQuHxiLQu3Derz+LLvFB3S3LT4Qe09/HGS9NO11XjePe51nJuuvGwKJRwEYb5qgYPU9CY0maz1JCxounfH7z1FyDgChz99vGKekCCNb4+uSVjJw4oxZXw6zsY7XJkkbybpODtafkIqih9qeJS1osQqdHCVFCKzr5ntDoo+6Sbedus74OV9mrTdrjq8k/FTo3dG9o7LGunja9/MideuVcT+u+qdinSMrXUvHfZzj7Fb/0SfMxH0GSmDAWslI5wsAA/4YniJRP0/HCQ2ittCcsclE0gTSJYcZr0ENl0krmtK1cvxs1qCnkl6cpzESaUP5jhN9xnOP93M7EiSfn2tWaoRTW3r9+M6QntyGKUEREM2JOOnJQOKy/ropXwVXRyKpC+vFI7+D3kSSjyGvU+ZEMJGmPjVGFkkPzrp1OseqiR1NYzU7EK8RtnXUlcMsaazZP6I7IZhP+RmsjvNmtjOEcNbMdgKonMnnBjQporcQnQkbx0IIIYRYNx4C8O7lf78bwF+vtiK9zYUQQgghRFthZn8K4FEAt5nZKTP7CQAfAPBmMzsG4HuWy6tCEos2oJ5JPuqBnPSEEEII0UxCCD9yg11vqkf9MpDbg5ZO8lE6c37lA3L+DxWjpDXqCX7/voTu96lu//tgf8aXOcYxEGuOf+vMw668d9Drpr4nf2tUx+WjXvc1UfKardePee1gmC0i00fxdxdJC3rJ6xqL5+OYmAsXfCeMT3p93WTRtyOfUKnOUBzgg/0UNzMZ05fizxppxc6+6Mt9CS0da+N6q9DObd7myxwHlsrGelMAoDFiQ1tcOdIBLswDQyRE7qb7iTSbVejk+kkLyRrNRMxn27F7xSptc+yIHT1xep58TsjF/Z6hTdbl6yhOxvF4c2Net9l3u597+c1+PFsu1huGK16TuvS81+1m9pOwOfW8WSs66TW6mVt8/N5rG0kbeoHWLlqrUnPE+kjbPkDzimO+ArA9t/hqSaMZXnzOn5DQ7JbOeCll9l4fCz6cJR0zgOIpf3+Z7X68Ww/1a8LpIpzxfgY89wLrXhPa7zDhY2FzvGnblFhHKO6xbSGNLsfSZr1xgnCC+zkRj5j10Mce92UeE1OxTj1qy7Bfi0ovvBCdkhmjvmcfkQHqo9Ta2+fnZjh2zJVt0K/fpfOX4nZs9221nf492vWq+LIY9ccY+zvw/B30fjjXTmo9QUPrtUiINoaNY9HCsHEshBBCLKMvyM2l2tjEYw1uhxBCCCGEWEYGchOpNjbxembBq0bv3MpyDyGEEEKItSIDWTAtrXcWQgghhGg0MpDbg7qlia6CsZrPKHNqsN7KAcCzwTtB9JJTRCo3B4fA7yGnvGpy5bBT3slpn/Bg677YUerqnHeG6yUnrbBICTtyidYng+CXkYsdDCPnKdrfb955Kp+Jr5tnZyF2jktlQUk67pU3hPZzMgogclIyCuifdHzKkuNPwtGpIqm2lMOOf6l7LbCTIh3DdQBxP6acEMvJJ4Lzc7183VRbKz1fJuEEkxv2zyazyTsGWRf1BwAs+NlYnPbHFCifRc5Pu2v19pJDJTkHRokEsrG2P3BiDHYEqsKRE3SdKBlDqt+jOshpLzVGOJkEjwFOerIU1xHm6X4Lvhxm44QsTJQYgvs1JNYq6ufoiLnKbeexGq7SOewcCQAFWkf4eVYz/tkx9YJ3/gxXE31G67XxOKM+CwvkpAjAuG3cjpRDGtdTaQ3kZBxAPF7ZYZL3dyfmSKU6qzmGy3wvqfuvtH41gdZrkYhYzzTR6ynnEEIIIYRoRWQgC6bi12pJMIQQQgjRychAFo71/FothBBCCLFazCwL4CiA0yGEB+tZtwzkmGr0vmPr0I62oTR5XQs19ZmpaP/Qnb68p9dr9qbmvQ5w23CsrbqLRMbjkz5I+mBPrJXM573+ihOBsOb45S9+Parjw1vvd+X+jG9HfidpCxNaOuv3mkVOijB1LNaBTU74gO/9dH9/X/SB1m9ejLWDT5KM89WcKIO1lAAwR5o8ToJAejwbuyOug5JthDPP+v0JjWZ44Um/YQslxmAN2yAl40AiMciivxfbttfvn6Fg9kgkE2EW5+Ntvf5ZRTpmLs9Mx3WMbPXlJX9OmIiT8diwPyccf9qX+V4m46QAs6f8eC085+/vidPULgD3v9+Lirt2+X7OUxIQJPwSSpzUo8+/ipa+8DW/f4T6GEBmzw7a4PXUYTJ+voXHvuXK2TFfR+GYT7bRdSctXkCk/eRkI1EiEQCl533CBvTQ3ON5tSNODJMl/bDd+gpXznBiCQB2/LhvKz0bG6J+TfhL2M5dfgPPzS66l8QcsRf9GhAlcUnpxaf9GAmXL8fHlFH82hPRNtY6595wyLeLk5wACJyk5aqfr4E11wmNbiC/hOJ//aQvT8R91E2JrXCTTy4THvk7f9l7Xh/VwVr3zKTvs8KjR1158vPxerflfyGngcgPI5EI5iQlPmE/FE4WNe+TzwBAmKW2VJ8L72cBPAUgfimsERnIRDVfUKXTFUIIIYRoHma2B8BbAfwmgF+od/3KpCeEEEIIIdqN3wHwXqSDX60ZfUFuEtUk5GhV5KQnhBBCiEZhZocBHC7bdCSEcKRs/4MALoQQvmJm9zWiDTKQm0fHJOS4/KXrP96imLcAOHLmlp1ewzc46/VYgzsSsVeJviF/TN+OhC6KflNePup1UBzjmPXGAPCei5915d/a6Y+xvkRM27yfVtbly5k+H0czm49//BaKK/9xp49Oua3nSnzMrNcCRzFOU1pY1or1e1lX6cuPuXJm576oCmONMWsUZybj61aKN5zQCjLhGdKQb/fayUDaSLv57riO8VMrXyQV45brZS30Ra9rTcI65iXSWyb0w+jbRO2gPpqeWnk/gOE3b3PlMOePOfRY3Hbr8tr9sEDzlTTHmZd7rSwAYJa0sE8+tWKd2XviZ4UuimHMcWF3eM05AORZ6zo84qvcTvrLTXEQZ9t1wG+Yo3mUiOdql85RQ/y6UfjEp/z+xDq68JzXaA688ftduXjU67aBxNrz+jf4Awa9L0Mq9m542s8rmyc/hX4au1dJwwsAW72+Nrz4vN/Pca8BgDSpdieNAYotbI/7tQkAMqP+/gr/4I8JS6n3BsW2n/fHlOZLVI6qiCjSMc8+FWv77x78hitnKWZz5uYx364riTWB1tHSGT9/px71c2RmMv5Gt4VjYw/6dcZ2cFaCWLcdxdtmqoitvGwMH1nhkDcAeJuZvQXXPjYOmdkfhxDeVbHyKpHEQoh6ktdvTiGEEKKRhBDeF0LYE0IYA/BOAJ+pp3EM6Aty3ViFZGKsQU0RQgghhBBrQAZy/ahJMrFSJIxW1yd3ijRECCGEEO1NCOFhAA/Xu14ZyK1JW+mTnzt7Xcd3OhfHs3ztrNdKnZ7wsXULpPQZW4w1qlem/e+FmSV/nQOllWNkAsBEyeuiekteW8YxjoFYc/yrZ70m+T3PUTxPAJkefz9Tx7yma3rS63zPXI1jvJ4kqUbfkm/bAEkUv7K4Cadz/piJXq+Vu390pz8pFdOXtGGsp83cfZff3x3HXo10jFRn5sC90SlhgjSa/aSvJZ1nePab8XVZ13b+jD+HYs2GC59G5rv+J39OjmLN5r02MuQS2joWu/eQ9juhSY3ivvKz4BjGS7EuP4yf9hsi3bYvls5diOrIHBhz5cJXfZzgvrvimL4cg9o4VvaEn4u2OY7pi1GvY7YTJ3y7XpHQLW/2emkb9PrhSD+e0rqzXraPyqyXn5+Ndbo0Jlhzn9KG2m7SLed8HbnXe70pEjGNs3fQHJn1c8JG4jCwUdzjHWPUDhrPPJYBYL+Px2ubSD+bOCcskd6dxrPtp3WTNfhAHD+ctfykQc8cOIDCI1/020b9OpLZQ5py1s8DCIv0POf8MRkqFy/HdZQoLn2GloCXvSnxvqJ1o3SR5hHHX34xjiVsA36+Gq2JIz/sx+EIgOLztI7w2sTrV2JsRrHBOZ42x9dPYKlY2E1GBnLzWCkhydg6tkPUETaO1ws2jkVlIuN4vWjBF0HLQ8bxusHGsahIZByvE2wci8pExrFwyEBuEislJFEiEiGEEEKI5iEDuTWpJt1102gn+YcQQgghRK3IQG5Bqkl3LYQQQgghGoMMZLFmXv1PrjvDvOJE7PiVG/K63Bcf9o4TAxnvPHXmMjn9ABjq8o4Qd9zpHY6WZim4OYCBMa/Lff2Yd/II5EiR3xk7H3AiEHbK2/Y3z7ryMDtoAfj08B2u/NySd0jpttjJZVvBOxBOZfz9PXi3d9CYOhkHPcnmfL2ZXd7ZJkyPR+eEeUq2QA5IdturfDmXCPDPTnoF0iRyUhAAtvUmv4HuF71+TIShhIPKvjv9hqs+UYZ1Ux+lAu2/+Iy/Du8fjB2hIrpoHHHCilSyEXIOi5y6UrDzzA6ftIWfZWb3WFwHOz6Rc03uDa+Oz2Fnx/PeeSzMeoec8NwTcR3D3vnT7n1NfEz5/oQzqHXTXBvd46972s/Na9f1TlqRAyE7hvE1AGDB96uN+IQ0kaMfAOvzWuZAc8Ju8UkwUjpeY4dCGs/ZNz2YaKtfj0uf+1u/v0iJMjhJBADr945f4SolJSKnTHaGBYAw7uda4Tk/ZrI7yCkXsdMWJ7EJ036cLZ2PHcHyFRJSlKbj91VY9OtXbhc5+m0ix9UMJeQBkFn0/dr1Aw/46z7hnWEBYPJv/Fwc/h4/Vuce9U7HudH4WXW93q+BYYEcOen55h74R1EdPH5Lj33J17E5flYRgedRhcQhLYoShQghhBBCCFGGviCLFUnFZJYGWQghhBCdjAzk1ZFyohtrQjvWg7aKySyEEEKIzsbMegA8AqAb12zZvwwh/LqZGYB/DeCfASgC+PchhN9bzTVkIK+ClBNdK0edaDSWv65rSshLgZzPatEFr8/qyXlNZqaY0Fbl/TlZkvmFYqzjtR5fD+XWQCCNLnKJxlPCDo5znNIcT5L2s1jyV2ZdayI/CbJ0VE+gwPMk6WK9MQBk87SNta8pLSxvM3oWpC9mLWXqGBRJs5o4h59NlHyA25VKaMDXobIV/bMMgfSXQNz2SE+d6DPWOfI5PClSkyQ6J3F/EYm2rESqz2ibpeZAJfj+EzrWqtqy0v5Uv0fPKvE8mSiZitegRmOG9eSp61YxNqMxn5p7tcLJY1IJaSrBCR1Si1E149fVkdhP51gmmvGJeugYHptUznQlfBu6V445bguxXjrqE74uje/y999aKCzwOuLbUVzw/ZGdT8whnifch1zmsQzEYzNXh/vjPk1RaU2IWQDwQAhhxszyAD5nZn8D4A4AewHcHkIomdmqg6jLQG4QrZ4uugbGmt2AdoKNYyGEEELUlxBCAPBSqsj88n8BwE8C+OchXLO4QwhxCtEqkYHcODpCmrCRv4wLIYQQojUxsyyArwA4COD3QwhfMrMDAH7YzH4QwEUAPxNCOLaa+mUgi0pEeutOMPyFEEII0ZqY2WEAh8s2HQkhHCk/JlzTyd1jZsMAPm5md+GaJnk+hHDIzP5nAB8B8MbVtEEGsliRapKWLJ28Lis49tUt0f6xgz5OZm+WNMhdXvM0unkGTGHB66CKFL6ym8KZAkB2i9cHh0XSVpEuyvoT8Uu7/BSZOubbwTGOgVhz/LoLj7ny4V1vcOVBxBqvuxf9dYdIX3nmmz4u8Lfm4tiUe+E7aSvrIHOJ2JRGsUTz/hiOPVt66tG4Dta1DfoYsDa6O3Fd3weB4kAba6Hn4pinpa9+zp9DMYvDYkIvPbrDl3tIc9pPMbn7E3GQOS5s1Gc0rhKxo8P4KV/OkL40pRXkGMZ7KXbyJR9rNqlRpW2Zm3b6/UOb43NYtzyy1ZdJ14sZH4sVQKxzHPf3Yvspnutsoo4FWidYT/xCIg4yxf0NAz62sPXQs6L4xEAcXzpMUkzbc/5ZAgD2jPny9KQr2h4fo9zyiTHC8Wkf/7w/ZzuNZQCY9/PEDt7u91cYuwCi2NGZnRRP/ZK/35S6OExe9MdsecGXtyUW8G6KN8xtnZv17TpD8wFA5oCfE+EUxU/P+XcTgOi9kBmmdYT9MOZiHXNh3M/f3Gnqox2xLLZviz/m/EM+vvKly34uXnwqHiMvP+E/lG75IR8bPfOmH3TlcNnHVgYAnPDzJjNG8dWn6TkAKD7ln2dmxK+b1u/X1XA1Xr/Dkl8Tlo3hI9GBCUIIk2b2WQDfB+AUgL9a3vVxAH9YTR0pFAdZiDrCxrFoYdg4FkII0RaY2dblL8cws14AbwbwNID/AuD+5cO+G8Az6Roqoy/IQgghhBCindgJ4KPLOuQMgL8IIXzCzD4H4E/M7OdxzYnvPau9gAzkNqDVImJIgyyEEEKIZhFC+AaAexPbJwG8tR7XkIHcHnRERAwhhBBCiHZABrJYM9MnrwdjPxXiwPpdL3gHssWSl74XubwUS+M56UXXCAVNH4oDwtsW7xyGS5PRMeUsPR87bGT6vHPY9KS/v+eWvJNPKhw6O+UdOeOda1679bbonNN53/ZXBe+wso+0zufyCe3zkv+jw6vy5CiRcPyyLPUjJUIJS+T0Q85FAGIHLLqODYxEp4QFih/NyQg4+cBg7JRom8ihbJacuMhpz4Zih9Iw4Z2JMO8dgZJOTNQ26/PPLsyTU0siIL5tu8lviBJaxE4t4PaTY1cYoranoOuUTnhHp0x3fL+2d7/fMEf9zMkKumNnokrJJsLp5/yGlHPkkHceC/OX/CWG4nPC+Lg/po8cwchpkR3yAAAFcqBkJ0x29ARih9hte1a+zkLi2dF4jpzyconXOY9XbmuU5CVRR43JVZIOpdRnxmMidV2eJ+Qcxk63hadj58hchQQVlkhqEmj8Fk9fjI4pZ+ncYrStcJWSY72QcNwkxo/7tXZ0zI+J2av+Wb58V+wsN/wGP57ZMT0ce9yfkHC4iyv167Ulnm92LzkddtG4o7FpvB8AulZO6tIMZCDXDw6HNtakdgghhBBCiDUgA7lOcDi0ahJs1KAtHqu1PY3ULUvuIYQQQohORgZyc6lKW7zKbHbSLQshhBBCrAIZyGLNZLLXdV4pxVdfr9eofbvodbtXZ/0w3LIYD8vebl9H9pTX6HVfiXVgPf1eU1w8Tzq/nNeJTR2LNVCsfT5z1be92/z+TKIDOBEIa46/dPHb0TlLowddeYgSluxd8PrKi92xLmyui/R1rOlLaGGvJSa6TqRsZv0ZaZSTsIaxCqyLdJx83VSd3f7ZoI/KrMfsIf0pAGz2SS9Ys8mJUoC4zyIqaLIBwCrow8NSnOQk6iPWKfN1E3pLXCX9cIaeeCKgf0UCTYJUP3Mf5Llc+VlFz2LQa9DDJvJBAIAZul/WC1O7Mlv2RlVEmnLq5zCaGJuksY0SgZDuNXCSDABYoAQsW0iDPJ3wsaig9a5Kg8zPghPh9FM/syYZQGDd9rRPgoGuKv7YOUDJJ+YpyU1/Qi+fi5MwuXbxeAeAHPkUFH05FGisprrYVtY+h/m4j4ZG/RzPj/iKR67691fvzvgamRHys+D7p8Qx4UqsQbZRWgN5fM/TOESc+CPqVR5nxcSaORO/w5uNDOT2IEr3XAVjDWiHEEIIIUTHIwO5Dagm3TOzSlmGEEIIIcSGRwbyBmUtTnzSNgshhBCik5GBvHGpmxPf3Mx17W5C0RWRW1mehcVirBuzRX/S0rw/JpfQ4JamvVZq4QLpC2n0T06QZhVAgfRnJ/P+pG0Fr6UqGZAnDebdpKnmGMesNwaAx8efdeVDu7a7MnfhfEL9vWS+bVG80oSuNdKGsobx6oTfvZl0kIk6AteRS8TFnZteuY4CtTWlp2X9JMfjJYw1y0ho6Fl/l4pHzJBGMyyQPo9jTSOhY+b7TWmuOe7xdBzH28EaVgDIUVuyfl6F2fh+bcqPgcA65jm634NxzGr00G/zi6RJpWdnm0gXCcQaeu6joTjetk1QH3F85SXSQabGGWu/mYVEn3XTOb1eTxsunPD7E/4BrNu1bV4fHSYpdjQQ9wnrlrmdqbFJczOKWU7rSHKOVPJDSO2PdOorx8kNxYRPxRKteTS+ozIA8Jo+RbrdRX+dYiJkdYli+Rcv+T4L84nnS2/PzLBfR3pI+5vbGftD2Gaaa3ROuHDBlydo3gGwYdKUd3ltv/HcBYDtPiZ5pTj2UfzxxDHVspxq+iiA0yGEB83spwH8HIADALaGEMZXrGAFZCB3LpV0y2Pr1I4NBRvHQgghhGgYPwvgKQAv/dr9PIBPAHh4rRXLQG4c1TjWjTXq4pV0y9IoCyGEEKJdMbM9AN4K4DcB/AIAhBC+urxvzfXLQG4Q1TjWNdlIXU1kDADSIAshhBCicZjZYQCHyzYdCSEcocN+B8B7AQyiAchA3qCsJjLGjdi047pGa89UZY3mn3d5Tdtm81rKsRBrVIdKXve0+7zXMA2cizVdN497reT4JMWIpOP7eyrHYexb8hKKqUysYeshmcVQyWvaXhV82znGMRBrjo+c+bwrv2Lb/a58SyGLaZJwTWZ8nxhpf0NCSlnxNzfFmkUiLnCkJZs858usrwVgfV47ZxxfmbXAiaZFcXFJ58ntClcvw4a9JtN2jPlzchTzNaWfjhrir5MZ2R0dEha8bjeKacxa0JTula5j/aQ/pHK4GusNS9/6pisvPnPJX/ZAIpbwDq99tUv0fFnXyTFwAWCR9NDDW3yZddxz0zB+nqxzZA36pfPxdVnHOkf6adKslr71BWTueB0ds7I+PNIbA/FYnPeaVL63pI6319drg6T7HLs9OiXQdTBxITrGHZ+Kg9xLWv1EHG/Xrq7eSMsdOM7ztI+/axxrGYhjQ0/69RyzXvybO7gbxRdpLPIYKfmVI8zEAmKOc5y75xaqw+/PvngmroPiHGdvvcmVFx99Jjon30d+CCX/LLLDFPd5U0KDPOTfcaUZ//wzm/18tqFBlM6TRLeL1rhZP0fCeCzpZV8F66bnOUjjey4xvum9uWwMs0F8/RpmDwK4EEL4ipndd6Pj1sLqVNFCiCRsHK8XbByLyrBxvF6wcSwqExnH60RkHIvKsKPjOhEZx6IikXHcXrwBwNvM7DiAPwPwgJn9cT0voNeqEEIIIYRoG0II7wsh7AkhjAF4J4DPhBDeVc9rSGLR4qwlXnGjkAZZCCGEEK2Gmf0MrumSdwD4hpl9MoTwntXUJQO59albvGIhhBBCiE4ihPAwlsO6hRB+D8Dv1aNeGchizXSNXnftGj4dJyPYca8X5L/zkV2uvEDhWPaHyo5+88E7Ag13xY5fmazXA08WveNAv3knkL8vxg5JfeSPMkBebA/efdJfM+FrcuabXj+5r+Qr2btAyQoQO6GxU95PXfisK/+rnX4/AEyCEoXMT0fHRLB+MEtB8mfIUSbhsBM5nFGCknA5dmpBF/2RhBy7IqelnjjQPCdCyYzs8/vJOTBMJ/R3fP95ajsnNAHiIPjsPMWOT/nY0S+ql+7F+uKxGZaoTyolY0gw/7njrpzp8WNz5suxY9+m+0hDvUj9WiRnoxfiBBbhCtWx4Ps9SngwnJgjI37uYYHWgIQWtviUd47KbPFOp8UTXsea3xMn8YmcHWcmfTnl6EZJWtjhzDK+36MEFwDC2Yt+w6hfR3H2xficcTqHk+d0caKYuO02Qg6UNL+jBETsgAkAM77PwuV4XEXwmNhJ90t9mk0lEiFnsXCJktws0lgFgEXqIx7PC7VrrMOcb+vS5Xjd7HulT2xjI37MF4/7sWl7qT8AoNevi9ZHz2rSO0cWnjkdVZHf6p+3bd/pD0glV2G6yLmZE4N0J9bAhPNfs5EGWQghhBBCiDL0Bbm5rCmZSLP0yZJ8CCGEEKKTkYHcROqQTET6ZCGEEEKIOiMDWayZ+bPX9XOnp+J4pflveQ3XNOnt8iS4nSvFw/IcJU4YJn3taDbWdIVA1yFlb54Sady8GMcwvq3Ha7YeKnld2NTJ+AN+Nufr/dac11Oey/t2XeyO2z5Pbd1R8rov1hz/y7NekwwArxwl/WQuIZAmQvD9aqSXtSHS1+gAHAAAIABJREFU1yYSGoQFH5w+zHp9bXb/PfE5s6RJ5AD/nHwkFWuVEhqULpFG9ap/luiPda2RfnKJtHScwAOxBtP6vZYwXL3sT+B7S12XtN0hlSiEtbCcCGWBng0nxQDQc882V1467hOF9B5ItPWi10KGedKpT3ISiLjPbIe/bvEb33bl3M1ePx4l+ABi3fpl0jAm+tl66RzSqGa2ea13KrkKrtDz5CQXFxPxeA/c6duRGnvl+xN6cuujxBAnjrlimE0kvTjjk6VkXvFyOoDWvFR63qERKpNG9YofMyG1zJBeOLOXkudsogREQKypn/D9zlr3cJGeCwDb4p9nZvcu2p+4LumFUUjolF2liT7j5E+kW+57Q6wfDlcoqQvd39wzvl39g8fjpuzlJDa0nr/sblfOJbTukZaf/D1sdGt0SqQPX4x9ghyDm6JNtmVb4sDmIg2yEHWEjWMhhBBCtB/6gtz6rKRTHlvHdgghhBBCbAhkILc4K+mUq3Dwu9F5a3Luk+5ZCCGEEJ2MDOSNSV2d+zK56zq2BcR6rELBK3ku0qhjUUJfKY6zWKRqL+X8MUPzcVxFltPNmD8nTzrPJ+Mq0DfrdX8Tvf6clKQim/fb9oJ1rf63yVxXrHRaMq8dmyS9NMc4jvTGAB4ff9ZvKHCM40TMU6sQ45JjnvbGmnPW6YYlipPL7UCsW7aeuF5/QEJfWqHtgWMnFwswbj9rjFn7nLoua1D5HK5zKaHP43OYVIxjen5RrOhAYzMVF3jc64WXLvhxVUroL7ve6vWzxrGwSdcLjoGawAYpXitpGBMqz3j89lAdM7HmmuPRRhrUEmlyUzGN+XlHsbMTItxZags/T35Wqety/Nkde13RLnm9MQBgmDTUHNO30rgDYg1qzi+Uod/rSY3XCAChJ6GhL4f15ECky4/ia5N+NnD8YgCY8bpsGx2Nj2G4T7pp/lIsaY41DABGzzNc9fdSuhLrxTODvg+sx5e7d/h2ZXbFml3b4vXh4cIFfwCNkXCJYngDsM0Uc53H93wiT0ElzTH7EKTqSMTUXwkz6wHwCIBuXLNl/zKE8Os1VVIBGcjtTTVh4lKM1bkdYhk2jkXrEhnHQggh2oUFAA+EEGbMLA/gc2b2NyGEL9brAjKQ25hqwsSlWK00QwghhBCi2YQQAoCX/jSTX/4vDkW1BmQgb0xW++UZgDTIQgghhGgcZnYYwOGyTUdCCEfomCyArwA4COD3QwhfqmcbZCBvQFb75VkIIYQQotEsG8NHKhxTBHCPmQ0D+LiZ3RVCeKJebbDAgcKr5NChQ+/Xl0QBAHOf/fB3BpH1xwHAI5E/H8NOS+ywAkTOUeHCyfgYZuIiXZcdsuj34XzsOBEF0h/d6YqZXbf4/QlnqlAgh6M8OXUk7jdKPsGOMfM++UYyCQg5ww1810+58g/uPBSd8qaS76OvZ30dN1EWgFLiL1rdlKBlC/nWfCEfO3R0U0j2JXLdnKfy4fnYbWsclPSB2rYJ/tm88l2x01rm4JjfwI5AE4nEEcQTH/IOKPms74DentiJaetB76Q4f9k7ZH3lxR3ROS8b8QkaJqa8M9XYnT5xQm4o7rPcbp9cJfc997ly6ZvfiM558SP+unu/3/ez9XvnojAdO+SUrvq+DwVfR2nW99nipbjtk+f8/W7e7fuw7+UJjTk5AhXO+zmfHfLOREvnEglpiCun/Lgb2Bafc/F538/dvX4s9g37c/rviBOjZIa9w/Dc1/zzLczFDndZSkI08NZb/QHsQMmOcECUOKJ0ipKP7IuTXjA2drPfsJkczDhRDpBOBuQu7O/XRnbGx/Ca3k3OgLmEZzY7v5573u+nMWTDceIMdPtnVfjPH/WXOLg/PmeU+mRqwpdH6Dopp7YoiQ/1KzmpFk/ESW0yw36sLh0j58/EZfMv82MgTJNz5KAfZ6XLlLQJAOb9ujj4ob9N+ubeCDP7NQCzIYR/V8t5K6FEIUIIIYQQom0ws63LX45hZr0A3gzg6XpeQxKLDmOtMY6rQX85EEIIIUQT2Qngo8s65AyAvwghfKKeF5CB3HnUNcaxEEIIIUQrEUL4BoB7G3kNGchtRhVfiMfWqSnXuVSmY0rFluXA+ZxsgRMpFBJBx1mny0kfUnBweg4Az3WyPg0AZkjry8Hrp8f9/lRCB9IHB9aOpTTXnFyjQpz9JKSlY83xx88ejU559c77Xfli8Pd7T5H0lqVYJjZN+Qxe3e2D0U8WKBA9gF1LXoM6l/H1TlGdV0Pczzf3+Wf13Jwfi1sHKRnJ8EhUB2YTOvQyONEEgCi5xI4dXl83PenH1dBoXEe2z9/v4in/7AZCImFHn++DS5e8nvKAlxKiNB/rxbM3kba5z5+U2R4nVphd8PcX5q5Gx5RjPbGeNks65dJln0jDKHlObzxkEEpe29y9zZ8T3RsA0LjKjND8piQnpflTURXZQX/MyKjXTmb647VpK/z95YbpeY/Ts+GEJYiTTWRoWZ2/EL/Oe0h3zwkbjMopjyROJlE47+8lP0DJJhLrGSewiN4BKQ0y66GHKKlLFT4k7MuBC+SXkkj8E70nLpyJjym/RkorXc37iVlI9EE5/N5IvWuiJEV+4QxXaJ71JzTYnOiHLpvbnUgONeTXDeslnxnWJOfifq9rfLY6IQO5/VjxC7FiHAshhBBCrA056QkhhBBCCFGGviB3HmtKAlIN0jgLIYQQopORgdxhNCUJSCW9FWul5kj3R5q1sBDHTY20VVxnNRo2kFaM65xLXLd3ZR1zmE/oL7lt5us10lyHhL40irXJ+0n3lqrjmnPvdTjGMeuNAeBXz37WlX9m1xtd+Z6S15L1dsUxfecW/f0NbvXP5rbTsXZub5/vx9kFX8fcgr+X5/Kxdu7Q3V4bm3/K98mWffysYg2ybfIxugONoWr+5NazyccJzmS9uq57KH5W+f1eZDs67Pu56wnSTgLoHfX1vDx/wV/nTh9XtZSKR3zJx1rNXvZ1hJl4fA8OcFxv/2xYf1gcp/kOABT32HqoDtIohsW4z3K9rHWlp5NPvN4ornmYpT5Z8PMqMxDrp8OSb4t1UdsTmuv8Nn9Mps+3rXfItyuzObGm0v1kh/y82nxnQpPK7g5TFH+W4nwn4yCTJjXqkxw5CCSagXnqZ/YPSV2Xtb1XKC6w+VUx7LgproPfC6zjHRyKz2FdMreV4fcIEOmjMzsohvFIrO1HP7WF75/fK6n3Lmv1n3vWFW2Y9MOTiblJsD/A0sk4hnHXVq8PL53269ULn/Bj5OYfTsT53pZwNGgyMpCbzCrCso01qCmiHqQcJ4QQQgjRVshAbj41hWWTE54QQgghRGORgdwhrEeCkJeQBlkIIYQQnYwM5M6heQlChq5rOW1kd7Q7zJFmqcDaKtLBpTRdlUjlpWcNlyViPpYzkIjhTLowG6J4niypyHcDBd5GcSV7+n2dqbak4nOWkyVd80vXXoGvZ31/cIxjINYc/96Zf3Dl7x75blcuzse/ya5kST96zu//Qm98bw/Mep3fpYzXqF3J+17aUoif9xINs8VFr3srzPnrXvnb0xh8I+mQSQ9vHEc0NTZp7E2f932S6/L7Z853oWfIj/kw78ulGT+Gikuk8wQQCl63WVhYWYNrvTQOEccfzrImNTGvpmcoxukS6ZSXfNvnj8c69SK1tW8vXSfRzcUr/pjx434ebbrq50R+YiquhPS0xYv+/jlOMgAULtCzYVcGmgK5xNgsTvpntXjOl/MjfN04pq/1+jkxf5q07dujUyJK5y77OikedUoLzGOzcM6vG9Yba1I5jrNtoTi4vCbymgkgLJLWva8/OsbxwtOw23zeiCjmfCVdbwqOv0xrgA3QfgDoon7dtn3l/UD8nuB40nM0VlMaZI4NPUB9xutbbw/C5cQ8KaNwmfqoitcz6/Bvfgc1YyFeE3jdqAYzOw5gGkARQCGEcGh5+/8O4KeWt/+3EMJ7a64cMpDbkRtFqRhb53aIFImFfl2oYByLmMg4XifYOBaVYeN4vWDjWFRBIsnJesDGsahMJeO4Tbg/hPCdjF1mdj+AtwN4RQhhwcy23fjUlZGB3GbcKEqFtMlCCCGE2OD8JIAPhBAWACCEcKHC8TdEBnLn0PD4xy8hDbIQQgghGoWZHQZwuGzTkRDCETosAPiUmQUA/2F5/60A3mhmvwlgHsAvhRAeW00bZCB3CE2JfyyEEEIIUWeWjV02iJl/FEI4vSyj+LSZPY1rdu0IgNcBeDWAvzCzm0MINWt/ZCCvgTpFjhirQ1Oay4lj3/lnyMYBwKOkF7tu8fvJUSLptEaOfGHGO5ukNLjh0hm/4eyLvsyOcOygBKD0Zf/DM3P3Xb6K215FJ8RaSev2jhJhiYLmpxwMr1JQ/EHvCBJm/H4bSgSepz67KXgnkHuKsdMWJwJhp7x/evnvXfk/jt4X1dFLGsRLJf9s+kPs5fFkl2/LeMbXccG8c82/HIsTZxx9cpcr37bFj5ETz/s+fJn3RwQABEqcwU4+4dJkfBKNo9lZfy8XL3lnmk3j3vkIAG57pXeOCtyHF2MHpc33+mdVXPR9tPBVP/67bicHUwDZm8h5aJiOOXM6Omf7Tp9cIDPqk6tYv3e4HHh9vEQWTvhnM3/KrwFT53wdo7fGSU66e/w5S/OUsGOzbxeAyAktt2dlHXrhQvzX2a5d5PxIiUJSGlyjN23XqB8z/+MRP3a3BXImA9Cb9fc7dqd3jnzm8/Hz3b7NP6ttDxzw7eJEGQvx2Jz/5FFXvvKiH9+buvw1SvPx/ffeRck2ONFRYu3FHDkR33ybL5OjW7ia0NNe9Q6E4Zwfz9H9AwA55ha/eHTF/Zk9sXek9fs5v/TYt/z+7vg9mb1tzG/g9+atd/r97MQHANPUB5ToZ/bvjrlybiR2/s3ftdeXd/n1O3f3wegcG/L9GDZT0g8eVymHvFSymAqEEE4v//+CmX0cwGsAnALwV8sG8ZfNrARgFED80qiADOS1sebIEdIOCyGEEEJUj5n1A8iEEKaX//29AH4DwAyA+wF81sxuBdAFYPzGNd0YGcgtwnrGMV4r0iALIYQQoolsB/Bxu5ZyPAfgP4UQ/tbMugB8xMyeALAI4N2rkVe8VKloDZoXx1gIIYQQok0IITwP4BWJ7YsA3lWPa8hAFmvG9t1+vdCbSLZRIP3RnNessdYqlBJ6tGnShk6RnCgVNP3cSV/mQPMUs9jG7oiqyOzc54/p9lo6yyW0z3S/pace9efsIQ12T6wvtc07/AbSMUe65cVYo8nPogT/I3qgFKu9e7t833MiENYc/+j4w1EdLx8Zc+U3997kytsT0jlWJU8YaUVJmZ7tjit5qtvr6fYv+OXtRPDP7pYnT0V1dN1CY6KXkmIkkkAESlAxOe+1r3tHvC5wYHOs85x61N/f0rzXKJZC/KzOft7f36Zt/tnNXfC92nUgofvjxAEjpKe89XYwly74v1ZuGvfz2ea8vnT2mzTfAeRJojg34XWt2+72fTR7Mtatn7jg+3nHJq+3XPzCt6NzMgO+zxbP+Lbmhvx1Fqfi685f9vNocdaXJyfitWhwwNdz9rKfm6/c6tezTWPxGMmN+LZ//H94rejtieQiM1f8+N1GY7U0mUjyQeT3+37estOPM+O1NxvrWo0TsPT7+7eUH8Yw9T0n1yDtv416HTcA2HaaR8MJX40KZF9P7eBkQSO0VifILfhxZvtujg+avOSKpaeeceUw9UVfnoznVeGkf57db32dK/f/FOmYL8ey3NLxE65cnPRtz04lxkyeNNWsj85VNjXDbOId1mRWkbJMCHEj2DgWQgghRPshA1kIIYQQQogyJLHY4KzGOVBaaSGEEEJ0MjKQm89LGfDGmnT9NTsHhoXr2j/rT8QeZbp6V9xtS7FMIeRIb9Xv4y5aos7AmuMsDXfWvbHOF4BRjOboHI5VmcnHMgs+h+tMweeQ7o3vt/wZfOcYikndTTrW6VgqiLlFf86VrL8uxzhmvTEAfPPycVd+1479rjyQkBvuIG3vVMY/qyXST89NxHFEB8hPeXrO6y/5sp/+8h68+XVehxwWKRanUfztuTg+bemKP2awKz6mnFxv3AHzU/5+F+b8/WUsdsJemKdzZny5Z8iPs9LVhK5134DfwHOgl/anyLFG04+zXMI9INNHMYsp7rX1kH56UzxnerP+WWWyvl+zW+Lf/dbntc6Zy/5ZZYZ8v4eTsT9ENu+v0zPo2963ED///hG/bWvB64Vz3RQHOyUXn6c5QvP38kIc1zy7QOOGno3lKsdwLs36tpem6f6ukr6W40IDyFz192uV1mIg1q3yMflVmC+LFFuZY+EDscb46gy1i9aeOdqfqoPbnrouxYIOrFvuozV/Jp7PJRojYd7fb+TJwO0EEKb9s8rSnLDBeE2wPprkfC/TsV46YjXPs8G0Xos2GC9lwEvFQ16n0G9jDa5/QyENcvvAxrEQQgjxEjKQW5uGh35TohIhhBBCCI8MZDFfq5EsDbIQQgghOhkZyBuclyQeQgghhBDtgpkdBzANoAigEEI4ZGb3APgQrslTCwD+txDCl1dTvwxksXaWrjsThJnL8f4XnnbFcNNBv78aJzZ2hLjskxWErkTCjnEKgt5LST7IYSWceTa+LgenZ6cG1hwXE0lOBikrQhX3G9iJY/LcitcJs7ETRCBnxy3kf/bq7sm4qVu9U0egy14q+X7mJCBA7JT3y+c+68of3np/dM7JvL/fc+b7pJvcSzbfGyfO2PsJf4OjW73j4jcmvSMJO4oBQPbOA65sFAA/s5R4vkV/3bMP+7F564Dv0wsvxMl09n2vv9/NtDJf+Ur8fAdu932W27XZN2vcB/TP3Rsn/Sg89qQ/Zv7jrpxKJDFC/Rolz+n2fbY0HT+rOd9F6B7w91+84p3Fpk7GrhhzRf/85ij5Rv7z8fgePuiTEVx8yo+JwRH/rE4e932aum6WHCiLiaQuXO88JbHhsZry4cru9M5RryVHv4Ov9IkmACDD3VbaEldcgcwuf45d9mPC+uki3bGzoPWTE9dWSq6RWvM5gdRXycbh5CO3xomeAtc7QGsxOwsidm4O+25dcT+6E25ClEAqvHCMGpZKjDLiipmDY34/rT2518YJSrKX6P3L61XeP5tw4ULcDnK6tW7fR4Unno9Pedn+aJurY9DPzTATOzZGDqPVc38IoXxF+TcA/s8Qwt+Y2VuWy/etpmIZyK1DSuow1oR2CCGEEEK0IwHAS2GuNgE4s9qKZCC3CCmpw6FDh96/TpEsakIaZCGEEEI0CjM7DOBw2aYjIYQjdFgA8CkzCwD+w/L+nwPw383s3+FaMrzXr7YNMpBbn4ZHshBCCCGEaBWWjV02iJl/FEI4bWbbAHzazJ4G8A4APx9C+P/M7IcA/AGA71lNG2QgizVjo7uv/3tgJNofaJtxMgLSY4WEjjdKjDHltVNhPpEoY2Sr38B6M9YTp3RwM17HmDlwL13E15GKg1zeP0C6jyJIw8Za53DZ/9Uou/+eqIpQ8IHmv5D/b648WSA9HoDbTvs++EKvv7/+4MvbE1I6TgTCmuP3XPSaZAD41V33xRWVUaBEIRNfjRMadEWpQDwPDHtNemYgTjaCBepnLs943WeKTaSfzvcUVyxfw4/N0rS/7tXJWNfZO+n1tEvn/Jzousn/4SlcmojqKIz7MZJ/YI8r21ysy+/b6u8vs53m97BP4tNzPL7u+a/78b3ju/ycn37SP0tOAgLEiUJ27Z5y5U13J5IgLHqd48Bm38/ZLj+uxm6NfSqKi177emXcr01b9sVr0YtPeS3zQsE/7/Hn/JrYOxAnGxmA1/6OHfTtyA7E2ufsiO/n4vOnXTnSDye0sWHeP5vFF/39dR2IMvREdWRu2us3nKMEPSlt/6LfZgfId4XWa9tF+5HwiblEThUJDXIgnW65jw0ABH5vUNIqADBev8lPITz1RHzO9u10Xbr/7aQ5TmifjdoWrvgxU/rcI748Fa9nmVGf7GvpGa9t5yQ+AFB8+kW/oYd8N2iNKJ2P51XhpPezSOQXigghnF7+/wUz+ziA1wB4N4CfXT7kPwP4cBVVJUm4AgghhBBCCNGamFm/mQ2+9G8A3wvgCVzTHH/38mEPADiWrqEy+oLc2swDiF3PhRBCCCE2LtsBfNzMgGu27H8KIfytmc0A+F0zy+GaDXV4hTpW5P9n782jNLvO8t5nn2+sea6unqsHzbMtyZYHxTbGYIyvg2NyIQHjeIEud4ENF5JcAjHm3iwI5IYAgbsS2hgIN8QrxEbBTA4mWBbyICNZstWSrFbPc1dXdc3jN+z7R7ekep53d1d1dXVN/f7W8nLv852zzz777L2/ra+e531vmA3ydTK79S9zfcRTTz31y5dL4rGa5j3XRDuO4ziOs1rEGA8DuCdx/AkAr1+Oe9wwG2RcB7PbKqdpXjPmvTj6mrYzDp+zJ0xKLNXdd3NZtLLJIKCqA5sQXWPqmjmOPYqOXr5E410e4ZiwqXrjMGvYQg/HAQ65vNUyB9Y9xlmrUTRMsx4rNLIuTOMzxynWX6buUxJF1ZaK1fFub+Rr3jHF+soXiqzPS2m0+qqsY9QYxym98S+dfozKb+i5hdua5ziabbdYHe+5s/w+u8e4j8bnuO1dEzZubP2YRATK8burj1nNXijwOaORNYmtw9yHtbrVijaf4PensYMPXhA9PYCuOdZ6Tpzh56uKLLD3oTOmjuIeGVdzrMmtD9hYwtVJiR8+JOeIdjK3STwHADbdI3OgymNkZpzf5fS01WAr9Rq3a+ao1fFOD3O95VYeR/kmnhMTZxI6dWFojNWS1UN2VuyPHMN4N7ifQ8b3bdlpNbnFXfyu4vM8Zqr2VSEUuZ7i6znONyTOt4nRDqu7L7fx+wzbRBubWovbJZZyD/syMGnXL13zzfeI6IfjUavrxTTPkdAvf5BVvTFg4y+flL/Qi544tNm5qf6Wmb9+jsqluzbba1oW8DeMy/Mn2q6aY9Ut14+w9rs2tLAGOWvm9S1rsvfVa9DAGuwgsbGzVrsm5Dqtf2e1cQ2y4ywnKaOf4ziO4zjrihvpF+T1SiqBCOBJRBzHcRzHca4LvkFe46QSiAALyzuup0Z5rUg7HMdxHMdxrge+Qd64rJxGOf+avig0tZmPVekaGiX+rsbenLNxNJXQ0LLgOXFa8r2L/CGq3q5L4lAmroE+X5azZYnjHKuilxWNnsZ4BmB0cKEsmi3VbafqLXMfVSRO8HRmtbBTs6xJHMq4PChayeFgtcCjGS8rZ8PCshPVHD95/iUq39LB8XnrMwv/t1+UgTcMfpYv/s8+vPUNHBcWm6VPdGzWrW4bormek/cwW5ExAiALiXrmf17gzzW2coqGDh4T1RnRDnbbuNdxVrSujTzOsg7WzgLA8Bnuo4bzrCfOpI8qx1hPDwCVUelneb65WX6/uayO2QqPq4E66xxzA9zWXVtt/OVynZ9X+wgynsttFQwd53prdZln8i7nEu+7ryoxe/WaWX62qdOJMVPmfjz7Ms/vhkarW26usK6zcCePkSB62tT4jmO8jtbOsl44l9Atazze0CBx7DX+8GxCf6uxkXO2T+SmNiZxnrWvsaLa74TKVDXUqRjN8+tMxb6XOMiFraLb7rJz0fSRrj156TPVaANGH40xFqaHdh4zhfYW1Ad4nsRp8e7I90RotBrk0LNAbH8dD612nOW07WsA1yA7znKSSHKyIqSMMc4VMZvjFWKhzbFj0c3xSqGbY2dhkpvOlSCRsMO5Mro5dhj/BXn9cjlt8iv0r1A7HMdxHMdxNhS+QV6nXE6b/AqLDUG3FK2ya5Adx3Ecx9nI+AbZWTPxlB3HcRzHcRZDCKEdwO8AuBMX7U4fBvBdAN4HoA5gAMCHYoynL1vJFfAN8sZlIQnGK/Rf853ma84ShjNj3FPzgTG1JQKGa/D2RRj5MHVlk95C9wBgEidoAg+oWTBxjxDUyCcavVRwfu0DNRjq8y9C9zcjJr3RhOdlepYPjhXYoDEQ2BiShzX6VcSWWZJzqsa2aROBqCnvpWEOcF+f2mvqaJZ+LJbZ9NEwx59rAHzAmlggBsswlzCSiImlKON7tsrLbDFn6wjie5FuRi5LGKHUKyM+p3xJjJ6lRGKBcRlHRTYXoWC/Is6Ps+Go9wKb9PLSkFrCf6VLwPTolROBFPK2zzKZIjHyeyj02jrz7Xzj2pgad/n8hmZrhKrM8bgplq5swAOAsEBuIDVy1ip2PtfGeJ1ULfv0VCKpySAXm9UIpYlCkBjfck19gsvZtCRxsjUgzIo5TpM4pYxwakJr6OCyGP0WYxC3ptvEmp+TvtfvgCBrXsIspybE0CR/pC3JPAOAJta7BzUlluW7tcwJagAgNPPcNIY7NX8X7fwODdzWuiRUyvUm1s1W0X9rYi81fyYM4phNmA4X5jcAfC7G+IEQQhFAI4DnY4wfA4AQwkcB/DyAH11K5b5B3qAsJMF4hVXOBug4juM4jnNVhBDaADwM4EMAEGOcA6C77Cak/5ttUfgG2VnsL82v4pIMx3Ecx3GuFyGERwA8Mu/QvhjjvnnlXQDOA/i9EMI9AJ4G8BMxxskQwi8C+CCAUQBvX2obfIN8g7PYX5odx3Ecx3FWgkub4X1XOCUP4HUAPhJjfDKE8BsAfgbAx2KMPwfg50II/wLAjwP4+FLa4Btk59o5deTVf8bhAft5kTVNcVDiz6oObCGtMGADoqd0YFMsfgxGbye0LBxHMx58jsutJxInqcBSdJ4topVLPa9ois3fiFR/lgoaL7GRH5lh3ddktPc9VGBtXJckwfhY/3kq50pWwzc9zP3ccR/fd/gZ+xevtltE5yiJQFRz3PeFg6aOwe/h5z36VdYT3/+mM1QuveMBUwe6e7k8wZrzbMZq36PoJx/+EI8Jk1ihJxFbN9fF1wyMUXlXlXWAADB+jPu5XuN+7n0f1zn7HD/7bVxrAAAgAElEQVQ/AJTftIcPyFzNbr/NXHPXm/+GL3ndTj5B9IWFuxNid5mLrdKHoZMTD8Rxm2xk1wQLe0Mzv7vQIs8GAG2coCGe5HcVWnjMlAd4vAN2HYkzrPMMLfb9xlFuv+rBNWlRaN9u6lB23M66/Dg5Y86Js6K739zHJ6gWNqHJzW3dwm3rkD7r4XGW0hOHNpsYg1B9beqY6paV9h57X/GIxDGeRzFRZ2gWrXOD1foSA4l46rLGZ1tkbG7abK+R7w3z/dW7lT8/+rKtQ95f/QiPkdw9t3MdY7zOAECUeZXfxM8/9ZRdi5o3czzl6c9/i8ovP8NjJOXD2PPOBYT6lpMATsYYn7xU/jQubpDn84cA/gJL3CB7dgHHWU50c+w4juM4zrISYzwL4EQI4ZU0rN8G4IUQwk3zTnsfgG+ZixeJ/4LsOI7jOI7jrDc+AuAPL0WwOAzgnwD4nUub5jqAY1hiBAvAN8jLxlISbqxX3KTnOI7jOM5qEmN8FsD9cvgfLFf9vkFePm7YhBvx3NnXChojEgAaWEuW3SHjWXVvjRKLFgAqHIsyDoj2d7PoIAGEEQkCWpL/fqlK/NJW0dIBiAe+wQdEGxx2sqYLgInrXH/mCb6mTTRupZQmVeJoliS+pdwDDbYOjb88CNY97m60us7772ZNWkUkak+9wHrEF0tWX9osEuPtf8Z6syKsDOXc2SvrwzXGseqNAaD70QNU/nTn36PyZ78u4+rrZ/BT/0g0iAePUFFjgsbJhAZZtJ9DT4ieVjS5DR0jpg6Ri2NmhN//E6c4LjQA3FVmbXNzK8+Rb3yC27HnFjs3G3bwvAmdm6icio9U2Mv64Gwbjwnk5V02JPSlwxeoWD8metpx1l/GKdvvtfMc5zzXx7rP6pM8HgAg1yVtyfP4ze1gjW71gNWX6n1mvs7rTGXSjs3ZCYmF3chrT3WOr2m7y76rXBevAWNf5sk5et72c89e9iYUbrqTT0jFAVYO7qdi6OTnD6pjLtvficJuua9of816BiCIH0LXRORECz5kc0GY2Mjq90hokKN8L9D3G2D8L6pbB4A4weNm7DP8V/6Wt9k1oD7C4/nkX/K72fnDfM30E4dNHSNHuO83/9jN3C6JR516/0HmhMZKbn7vreaa2reOUbn8AK8Jdz3EddbP8vwHgOqZRXiPVhjXIDvOcpJY6J21idkcO47jOM4l/Bfka2N+DOH+VWyH4ziO4ziOs0z4BvkamB9D+HpmpFtr+uYbVUriOI7jOM6NgW+Q1wc3rL7ZcRzHcRxnpfENsnPNzH7ppVf/XdjdaT6P42ywCbfew2Uxk2HOGnIMkijD1AEg6jkJEx6dn7rvJjEgnRMjyCQbpZJmE01AMsVmDDQmTHpiFIEEvM862VxVH0okLBEysVwdmrbmksKLbECZm+N+vaWLzRW7Zu0SMj7N5prunoUDwHePiRlO3GHFMrdLk4AA1pT3gQtfpPLPbnkblbMd8m4BYE7enzQkNIhxCEBsYSNUx12ckGPkeTZcqSEPAEq7+fnDUdZHN56wdrnGJr7vyDCbtLZtZVPP6Dn7R6jWZjYxGVNqLjGvZD5HSYQTCjJ2N3GCAwDGVJrleRypmSgMW1NT1iNrjZgh42FrBMrt7DPH6D7bOEHHzGdtMobGBjYQZpJHoqwuVQDlzTyuindwoog4y+8yEyMcAIRNbKBsHn6K77HJzrNcOxvZQpskrJB1M0abwCFul4QVJ8QcpuubmukAoMrPF3rEHJpae6We2t9+lq8Rc2Aq6QWaZW1NjGd7jRjXxLSmJr14wY4zNSoOnuR2tO20ptvQzt8lW97I/Rx27aJyacQ+b88W/m6pvXSUyvm7bqFyzBKLUV3Gb4nHkBryAKA+Kevm4KicwHWOPi1mQQDFxLxZbXyDvHzMXEeZRf91qtdxHMdxHMcRfIO8TMzXIy83l9t4r5Y22eUejuM4juNsZDbEBnmRG8X+FWjKSuPaZMdxHMdxbjhCCEcBjAOoAajGGO8PIfwrXEwxXQcwAOBDMUYbJHsRbIgNMhaxUbyeUSZWgMvJN/pXuB1JQvE1HVNSoznKGjYNTh41cUQqlvCs1DEyxHXERMD7C+f5nBmpQ4LGh17WHwJA1ID2FUkCIclHQs1OqTgneivV7BU4gUcS0YrFqtQ5afVoqsFuAz9vT4vVLHbt5GPVab7v8cOc5OR4tMkJ9E18c4Tb8Y7281DG57gPhsG6t4Y5rvX+N7HOF7CJQFRz/EunH6Pyz0y+29QBHUeaBKBgE5poSofxA6K3u8DPX6/ZJBDlO/j5C738rjpg50RzL4+B9r1cnj7L2smeOxNxn3XsaaKfhGC6cko0uL0DVI6i2czKiUQhojmuH2FdY2jnOaLzDgDqJwflHNaG1qespjHOSRKIEU6Wk8n7nh6x77s0JGuCSK4nz1qda9u9kihBkkLEadboxkmr0cyJjnNsv/gFpuzaq2MiFOVd6BqYWEbjWUniInrpIElfUnMEnay5xgyvM7GS0CDneWxqwh2TkCZFIydXwZSseUXbZ0iMNUJ1zKnnFdq65Pn0OwAAJmRMVOV7UhKWqBcAAGqD/L5Lb97BJ6in5gQnRgIS33HNkqQqNTabuR/NPqDE77IN/P0NAKElsU4sjrfHGOcvBv9PjPFjABBC+CiAn8cS001vlA3yhuZy8o11vul3HMdxHMdZNmKM838takI6Ieii8A2y4ziO4ziOs96IAP4qhBAB/HaMcR8AhBB+EcAHAYwCePtSK/cN8vrmekbOuCyue3Ycx3Ec53oRQngEwCPzDu17ZQM8j7fEGE+FEHoBfD6E8K0Y4+Mxxp8D8HMhhH8B4McBfHwpbfAN8jrmekbOuBrKP/2TrxUS8SxzonNDc4c5h9DzU/U2SvzWRPxOaEjmkgQsVV3vxLCpIuy+m8t7RJM5ZrVUpi3dHHvVxGMWrTAAhJLE7xStaBxn/SWarKZN9Yav+wHRDrbbmNXaaXGS+/2Ot/LZN73A+kQAgHRR1iia1Gar2eua4H6sT3AfZs1cR+kdD5g6fupW1tNpnGPVHLf+7F+aOh7tfJjKQzmJT2uuAHIaszmy3vJ1Xfyupies5vw/fYbH890V1rbfcqvVbZ8+yNds3sU69NPH+fMdJTu+C5//PJVzD9xH5Thiryndw88XGsQfXWb94eQfPG7qUKl3oZffb8izrnnmmJ3ftTnWpBZbuNLSTaI/BVA9cIrKlQFea7KDrKdtv8PqiWdPJ4S689vRZNs6/bIcC6zjbLyD2xqaEl6OqmqO+eu797ttbPAoMcm/8LbfpvJEJnGQTQ3A/aIxnxznOqs1nhUNDVbDe3yYY/reezfraSfPL+zDaNnOczHXyPfNJ2LwT3/2eSo3vXM3n9Bsx4jG065+/SUqhwL3We5m0fkCRsvf/c84Rntyzd+1l8qlVtHhD8tcTMQwnj4jc2KK1+/6F/+Wq7ip39Qx9UWOqV/aIf6I99gfZOMp+R7Q2NESXz53b4+pAxLr/NJmWDfEes6pS/8/EEJ4FMCDAOYvOH8I4C+wxA1yar13HGeJJDfqjuM4juMsGyGEphBCyyv/BvAuAPtDCDfNO+19AL611Hv4L8iO4ziO4zjOemITgEfDxV/r8wD+S4zxcyGEz4QQbsHFgErHsMQIFq9U6qwCq5XkYzlwDbLjOI7jOKtFjPEwgHsSx//Bct3DN8irx4ZJ8hG//thrhUTcVEgc1HDHG/hzvSaVH16PNbLeLuQSQ7ks+rKSlKsSe1TjJAOIg6qtEq3csQP2vhLnWZ8/DouetMPqsVQLGPr6+UBF9MS5AuI0x3RFjrW+2V6pY8o+b2hj3aqKReMQ6+CKN1m9eJxjmUnu9j18wqyNo1k/JnHcN7OWLrSLvrK719SBgxLTU3Rv+ixjv/gd+J+/yhq977nAetnv38xjNa9xggFUIr+tX7uZ9dTFPh2bVWRdrEG8/VOirwxcZ2mTve9msOZYdb0NJX7+8QGra219z3v5gMTTDvnEvNJ4rRJLWOPINjwksVdhY6vWz3Gf1c5yO8rbc6bPame4HVkrP1/WZzWpcfoc13tv9xXbBQC1o6yXbXq9jEWJi1s7PWrqqAzyPCndxHXUhnguasxjwMa9LbdwP888K74EAOXbeD4/+EaOHz43bMeVcuAAr08338zr1+iAjV9bKvPz7upifaku+anY4MVGrqO4k99N1i1rFYCJL/I6ksnwrR3h58/6rB+mdoL78cLT/HmW57na2cQabcCO36zpKJd7rGekcpD7NWvkxk+8yP2R6rML59i70vHAG6k8+e/+G1/wzQto/Pab6FChk19Obi/P37Bpp7kvRkfssXnEMZ6rob3dntSb0CWvMq5BdpzlRDfHK4TZHDsLopvjlUI3es7CrFaf6ebYWRjdHK8Uujl2FkY3xw7jG2THcRzHcRzHmYdLLK7AddYJ91+PSldC27xRpCGO4ziO4zgpfIN8Za6bTvg6JvjYMNpmx3Ecx3Gc1cA3yM610znP6FJN6M8kyUUoiKlDDXiJRCHGtKaGOzGtAUDMST3qYkoZChcgFNgIZKw0KQ1yk5h61AxYSvzgr32g5sCCGNAqiUD72q8VG8BfiTU22AUxIEV5vtBgjV8IfJ9QYLNgTJj01Ohk3pUkScCE1VybhBVinkMLvwdNAgJYU96nzjxJ5d1tnCQDAJrzfN98qyyrOsz0WQAck/e7o7rwu5oY5L4vNvCYmatIQoNcYmyeOcblHnm+CWs4U6KMq6BJAmqJ2OByLFa47bUJXQMShlJJQBNK3O+hnJhXkgRiobaGnF0j4oy+G3n+sk0uUp9bQJdblbGaMOlp2/MNMhcT3+a185NUnh7gts1N6fy2xq+dW9iYOz3K83l2hm+cqmNojDXkxmA4ZZMHqQmtvXjl7UrDzfZ9zx6eoXJolvWqYO+b287GzbkvizFZ23lhAkooyrjJS1KqSW4XAAQ5J2vj78nWt/LzTz1lk1Q1jvOaVn+CTccT57j1DVPWh1G5wOOqoGOxkPiuaZLEVvpdo+t73vZ7MkHYKuMaZMdxHMdxHMeZh/+CvHrMXCeZRf9iT1yqXtklHI7jOI7jbGR8g7xKPPXUU798Peq9yk2365Udx3Ecx1l3hBDaAfwOgDtxUfH4YVzUY/1HAM0AjgL4xzHGscvVcSV8g+xcO/OTdKhWFgAaRZ9UtIHliYQ2OKi2V8up5CI10UHVRQupmqc5qwvTc6I+X4sN+G502E1yjmqyVU8NIM6xNizkRfsscY9DLqHpkn6Mw6wnjdP2ebUXo/RrHOKA8LFqda1xmnVwmejR4oTVk9bH5Jjo3oIkH8lmrHYuTkqfqT5a9IYpfZkmAlHN8eFRTjQAANtbWLNYn+Eg+LHO40G1hgAwIhK9YuS2qi4QAGanefmeFh3nZCUxJhYgNHHyhXjePq8mBtH3bfSzWSIZhczf+ii/u5rIOrNyYpxNyRjRfm2y60woSEcv5ENIvKtQVM2tPG/Faq7rFekD0STPDfLzlYpWg673qc1y22bHbFvLM6rr5OfPclxnKoq7jrMY+VmqNb5vSMjncxnXrPcNWUJzLYROXkdDC3+vZAldb76d/Q6hpGuv/b7SltTl+eo10X4XFqFUlXUyZransyaZryUua1sL7XZe5Yc0SRX/gdjoxRPfAWr/MAfyCd+J+mj0+1jXgIT2e4ka5N8A8LkY4wdCCEUAjQA+D+Cfxhi/GEL4MIB/BuBjS6ncNciOs5ykTIqO4ziO4ywbIYQ2AA8D+CQAxBjnYowjAG4G8Io78fMAlpx62n9B3nhcjba5/zq2w3Ecx3Ec53qwC8B5AL8XQrgHwNMAfgLA8wDeB+C/A/heANuXegPfIG8wrkbbnNpIL8a457plx3Ecx3GuFyGERwA8Mu/QvhjjvnnlPIDXAfhIjPHJEMJvAPgZXNQh//sQwscAfBaAjee5SHyD7ChXb9ybrx1aQmzhxRCjxCeNy3Af1VY1NNtzVJdsBFpCPm/10ZPiD1Bdb0zEiV0IrUP11gBCKl7lfFKxVs05V44drbpfAKiPSVtScXAFow1VbbNo2DT27sW28LuKLbwuqmLvAz9ZxKO/xudUJHayxjhWvTEAnBgflLayBjlo7N2SXXZnpHHj0h1GwwpgapZ1fDnRcVZkjszVbHxelEWnq3r4FtYkp1Dtr4ktnNAgp7Sf88mLvjJrTsTJlXGWillsK070wXw0XmvK21CQeMsa0zkxr7LCledaTn6SMHF0ATMnZmSQ1Cr2mmITt63YLOto4HapvhiwGtwQRE8s4y6ft/O9JHVkeb4ml7frar4oWl+Na93QIJ8nYhp3il52oXjriWNZ7sr66RTR6JQlZneDHf/1SYkPP8wxrNHG9506bd/3hSGON90r4721W+JCN3WZOqLEnzZ9lktsG/V7X88xOv2EUF29Khc3w/vsia9yEsDJGOMrweo/DeBnYowfA/AuAAgh3AzgPVeo44r4BvnGJiXH6F+FdmwcUolCnDWJbo4dx3Gc9UGM8WwI4UQI4ZYY40sAvg3ACyGE3hjjQAghA/AvcTGixZLwDfINTEqOcR1TYDuO4ziO4ywXHwHwh5ciWBwG8E8AfDCE8GOXPv9jAL+31Mp9g+woC5r8XIPsOI7jOM5qEmN8FsD9cvg3Lv3vmvENskNcrwQmjuM4juM464UbaYO8lNTO/dehHRuOsHnXa4VE0gtI0gtIkotY14QeC+t46+ePSyMSppYJTmphEnaokaBqNamhVUwMZXk+TXqSarsmBmlst+cscI0+XxCzWGjqtHWIwWj/f+T30NdnkwuV24aoPH6OjTFTU9yukRlr4mopcj+eeYxNbG3Bxooejfxu5uR5i2KcefhDJ0wdQ0/wOOq4i5NcjB9go0gxchIQAPi1m/n58608RjQJCABjytv0Pw5S+ae3PMzthDWo/FR+mO9bZKPT4y9tNdc8sGmAmyFGp9pZSXryAN8DAOKxI3xgjo1CcVTmEICZp7lfyw9xFCU1U1Vftu+qfpjHRGE3j+coiTTiqE0uo8k1ClUxIB0/ba7JNvN8rr5wksq5Ph6Hcda+q9qQbctCFDr5XdSGuZ+Le1q4nZ1cBoDQyibitrEDVC7ducle08Hzc/oLco0kYImJMO7qY6vOsmlr2+2cgChL+C/zfTwm8nt3U7llTDLDAIhVngPVl3jND01swMta7XdPkMQ/YZOsmy2JfhbT5dYPisFO1tWwZ4+pA7NiuNNO3HGTuSQf+D5x9DyfcP4sFTv22LHZduAwt23XLiq3vkuMy2p8BNB4O3+nTT92lMrlsU+aayDzJDRxvToO6y+9bKqoj9gxsNrcMBvkpfwy6npcx3Ecx3GcG48bZoO8EVhMjOKVwDXIjuM4juNsZHyDvL64+hjFjuM4juM4zlXhG2Tnmql//Uuv/jvsvdWeIFrf0NbL5drCSS+MhqsoGifVAgOImuRjdsacQyQ0yPE86y2NbrlPslgmtNChxG2NM6L9rVnhX5xlvXDWKRpUaUecvGDqQI4D5xdyrK0bH7F/jNAg+Bqs/7wEot/eyfrDFDc3c78XyjaRQOswv7/ZCuvxZqv8vLWz9r6akGPkeS6PXuC2v65LEnwAKPbJ+5XXGev2Xel9VXP8q6cfp/Lf673D1NHczX1SmeF2vJjQdd43x42bkD4cq/BFwy/b973lR+/iAzpXCzb5wuQ5Pqc0KgkNRD+cvy+xJmgCjmHWOgdNxnFTv6miqYf1w5qMILtHng0AOnntKfYelM9Fo/rcc6aKfDOPIzRIvyaS5yihiz0Dtee+xSckEpTEadUts/a9ctiO5/wWXksb3n4zn6DvN5XA4fOsWx47Ke9G50hCx5x1ShIm0f6G1LopWmCj/VVd63m7BsYK11E/eorbtc3qthXV4Crlc0P2oCbHkWQbuc077TUL+Vn6+d2F4zJ2YRPwxJOs/6+d4jGS295j65CEK/l26fdEkh9NnaIeAszKd2sieVDWvXBSopXGN8jLxArJH/qvc/2O4ziO4zg3PL5BXj6uu/zBTYOO4ziO4zjXH98g3wAs96/broN2HMdxHGcj4xvkG4Pr+us2xVJMxQEWzW2cEK2Yan8XEUsYk1LnTCI26bTEVVSdm9Y5wfGZk6hmL6EfNvfJc7xOo6dOPG8Q/XCclWcpSJ2pONAV1iw2lFlf2NptNdmlVok9Os1asbZBrrO5Q+J9Asg38PMMHGG9YUqDXKvzfbIgMYtFP53rEU0jgIYO0bFKl9RrfI/pCauly7rkmMRiDfmUxpyXUY1zrJrjLw48b+oo7eW4qMVGicU6YjV7bVv5/RWHeSxWz3JbWzcnNPinJZ64jOc4aedV81Y+J7SLnlQ1iglda5xg3XJoFP3lHK8JccTG7DZ1yruK5wfMOUHmfBxlLXvIi7Z/OtFn+jxTojlOzUVlivs1NMh8Ltiv5tAscX5Fx1nosBrOIHrp+lmOrWvflV3Pcs0SSzgTrXdZxmbq8RPxpBdC3+fcAW57rn1h/8P0YR5HTd3S1hm7fkH6pLhNxoxo3UMi/jLyrDm2Qu3Ed1xZtO0trDFXn03sYL08AIQejnUeVQ8vbTfaYMCMq/qcxMqetn0WJ3meZG3cJ+plqI/Y79o4nWjLAoQQ/g8AP4yLMujncDHV9A8D+EkAewD0xBitOH+R+AZ5fbGUZCeAa5dXjsV8OTqO4ziOs2RCCFsBfBTA7THG6RDCHwH4PgBfAvBnAB671nv4BnkdsdQ00K5ddhzHcRxng5EH0BBCqABoBHA6xvgMYLMoLrVyZ+Oz1F+ek7gG2XEcx3Gc60UI4REAj8w7tC/GuO+VQozxVAjh3wI4DmAawF/FGP9qOdvgG+QbgKX+8rxYwh0PvPrvOG21RaFDYk0uQoOrxBHJS6/6Yo1PDCAOit6uT2IJq36408aERINoXTW2cjHhfZTniYMcrzX07uByIRHDOYr2VfVn2s+5AqDHJG5oz17WfeYa7X9hF3ax7i3OsHbwltdxu0a/YuuYGeV3sfNdqmu076r5xJX1hEHlwrkue46oW0q7+d2U77Ca4//0GdZt3v4p1sEdy/M1IyItBIAZ6YKfyrMOUGMco+9WlJpFY/zll6n8PZvvp/JHqlb3969f3kzlvTWJFS3tevpFq9v+QdHgZm97L59wXOLzAijs5PEcx3guGo1mMaGn7eX3V33hCJVzmzlOMABUD7GmuD7DfVjYye8ynrPSw/qh4+YYtUueJZSKiKLT1DlReUG0zgmV1dRJPlhq57aX9rBmM7etL9E4rmPksxzjVv0DAFCbvfKvaJVpiUFft+e3v57nUfteXgNjVaPgAlkj1zv2VV6bWsHvuzYksbQBhLLE237DXj5BNdmwWtfcVol7fM890tDEy5J+zg0NX/HzbO/uBeuIJyVm9zluFwDEE4epXD/B54Q+juE9/SdfN3Ucfpbnze3/u4yrXtGp1yOCjLWpP/4mlct7+Lsn9zDHeQcADJyWeuU78AL7jrJ++S4GEKWfL22G95kTLxFC6ADwPgC7AIwA+G8hhB+IMf7ny11ztbhg0nGWk0Vs9q8Lif8wca6Mbo5XCt0cOwujm+OVQjfHzsLo5nilSCW1ca6Mbo7XGe8EcCTGeD7GWAHwxwDetJw38F+Qr8zVSBP6r2M7HMdxHMdxnIscB/DGEEIjLkosvg3AU8t5A98gX4GrkSasRSPc9cru5xpkx3Ecx3FWixjjkyGETwP4OoAqgGcA7AshfBTAPwfQB+CbIYS/iDH+8FLu4Rvkjc11z+7nOI7jOI6z0sQYPw7g43L431/63zXjG+Q1wPX6pRcrJPuIAyeu/LkY6EIDJxYwRolULGFN6qHnJMxyoVQyx65YRyWhN6xIUhNJSBK27pE6rQY5ZlKvJmNI6ZbVlJXTBCUSeH8R2ueZC+wwmztpp393Oz9ffULbyoacyozV/c1O87EOuU193BrOKuOSKKQgRi/twgGbOGJmRMbZUTYTFXr5We6u2DGTkwQlO6rcz8Von3dcjHv5ImuMKzPcLk0CAlhT3qNn+C+FH+h6m7lmpxiqnsrx895R5+erJvxaYfMWOSBzImV+laQPWTub/zSRQOhi4+fFY2zSCwUxz0mihVxPIhmDGrskuUZosuZXTYKga0/QZBuSWAMA0M5tyfdwMoZYse83X+YBXOjVhCQyn+cSiTUij818ief8xDlrQi00cFta7ubxW56TtiYMd/VJaYsOkVbp97J1sjZs5efPNonJNmevMeZOMeWFNjEUXxgydZjETkoqOZSuz5oYw3xvJN6VrMe1M2wYzXdbk3GsybvQeme5HVnCZF0uctuzrTy/a8+/ROWQSIST6VdtIx8IrYm2z0pCoRInPYlnxUNwxs6r2rlhc2y18Q3y2uC6/NK7FmUfjuM4juM4ax3fIG9sljX+8Su4bMNxHMdxnI2Mb5A3MNc7/rHjOI7jOM5GxDfIzjUTTx179d+hnND9Kc02CQChelsAWSPrzeqiEzO6ZgCqpjMJS0R/GIfP2baMiK4tpVPWOlUPrOU51SxaTa5JYiKJQoL0Rywm+l3qePoYx7xsjlYrWdzP2rBahbWBQ+dZB1iPVgeXiY537GnW+U2OWK3kwQucpKUtcNtzGffhrqrVGz5xahuVG09wOzogSU9utTq40qYFEitcsFrveoWvefwlDoL/ojzu1hF7D00Eoprjfzz0mLnmd3veTuUdgTX3xzPRXFcTy303Jxsx467MWkIAiFMy93p4PgfRD4eb7rD3lTmRf1D6VfSXsavDVJFt4XkUerr5miE7RrK+nVdsB7ZwEh9UvmHqCB3SFo2VrFpSAEVJdJTfxUkf6iOSoETvAQAl1pQ3v5n9EaXDNjFKfjOvi7kH7uMT1P+R8DLEk+wxqQ+OcBVb+FlSFLby3EQ3a2Nzk9ZTYNo2JOuzftfM2nVUxyImRXOs3hYAaJCkTKLTjrOs282GxO+i/PQAACAASURBVKcCIMr4nXmen6/5DYkkJ5pcQz/f0U/lQv8ZU0fveUm2MTVlziESiVLKb+B3NfN3kujq8c+ba6oHOVFIrkPWDdGYV45avfHcID9xq23tirNmN8hXaVzrv45NcZzFs1qJQhzHcRzHWTbW7AYZV2FcWyNmtGvR+/YvYzscx3Ecx3Gca2Atb5DXFdei99WN9XUM+7YsuEnPcRzHcZyNjG+Q1ybrKsFH2H3La4VZG1fRaH9nJX5pVXSPeTss68Nn+cA46+BUFwYAGORrTIRPkUOE9h49A2jkuKhx8BR/rnFic7A6zvOiFZM4kiGlHy5IDGeJvRkrrL/E5KitQ9p2RydrMouN0k4ADd2it6tyueM+1rSd+ZJ9V7MS97f5Vm57w4i0HUDXnD02nyBSwfFjVqd+V5n7oLGJtaHNvVajePogv9/NYK3gxCC/h9lp+7xTs9yWBzZxzM/75vj527basfqvX2YtsMY4Vr0xAHz4/Beo/Eub+Zz+Crf1YCEh/zl5mIphx538ucbbBpDbxHGPTbxWlRkdPWDv28L9Xj/B8yrrZ61wPCPzH0Cc5DGTNfBvCiZ+LQCILjlOSR06dxN64jjK40zjt4ZgNeYaP7x6TDTJ21k/rXrjFNNP8TjTOQIA1Re5rQ0NL3C7VD+dINvG3gXV9cZB0eAmYg/H0Re5jl7R/88k1m+pJ7SLLjvK+r33FhjGZV1sFq9Kg4xlwOjuc1v5e0Hj1ocu66kJou1tfLP0c5P1zGCMx1FtgNueSczmsS/xdyAAfOsQ68EfPMrzKnerxO2XeQgA1eeeoHK+U+Jcq64bQNbG32HVM9zWXBuvo/mt9vkL/Ve3HQ0h3ALgv847tBvAzwNoB/AjAF4ZZD8bY/yLq6r8lXYu5SLHcS6DfsE6axbdHDuO4zjrgxjjSwDuBYAQQg7AKQCPAvgnAH4txvhvr/UeiZRljuM4juM4jrMu+DYAh2KMxxY88yrwX5DXKaupU15P8g/HcRzHcdYXIYRHADwy79C+GOO+y5z+fQA+Na/84yGEDwJ4CsBPxxiXlMfaN8hrA42A0b+Ia9aVTtlxHMdxHGcxXNoMX25D/CohhCKA/wXAv7h06D8A+Fe4aDv6VwB+FcCHl9IG3yCvATQCRipcXOIX4/7r26qr4PTx1/6dMmg0shEi5MX4JkagkDDphTIHVo8TYlBIXIOiGN00KLqY2OLRb9k65sTooxpjTTyQYrsYI9SAlzCoxXE2voQm0ctqOxIB36PUOzzK5pOhIWkHgLsKbPypznK9tTk2m7T1WhPX7AT3a34Lm2sqZ/keADBxhh1GDR1iapF49/WaNUI1t/K7Ghlm40j7Xv588y6bnEB8Pyg2cD9PT9nxncvYgJXluZIJaUdx2OrU99a4z57KsWlJk4AA1pT3s2fYtPf/9vLn52DfFVol4cw4J5uIJ182l8SZRD3zmZE507/bnlPkP36FZh6bcUSMb+XEH8vE+GZMXT1shgVgDIVBjH3GtDQhhmLYJB65bjGpNVrT7fxkSgCQ38bGrjghAzxlOhbDYPlefr6Zb9rEKPlWnr+hVdZiSeCQNCUO8buoj3KfZJvFYJjZuWmSx3Ry2/V9A0DQenRy6vp9KvHXdXl/Yc9e/nzEJldB5HEVxziJS5yT+ZsYZ3GW+3H2G5xIo5y4JjTzu8ltF9O4JDBpfatNJnN3CydTyd3yeipXvvIcf97H8x8AYpX7WQ14YTObNoGL3nQqb2Njfu04m2xr57hPAaA6wvdN2Ccvx7sBfD3GeA4AXvl/AAghfALAny2+KsY3yOsH+sV4jcR+dhzHcRzHWS2+H/PkFSGEzTHGV0JHfQ+A/Uut2DfI65drSUxyTbi0w3Ecx3Gc1SSE0ATg2wH8b/MO/5sQwr24KLE4Kp9dFb5BXqdcS2ISx3Ecx3Gc9UyMcRJAlxz7weWq3zfIa5PUr8P9q9CORRFn52kOhxNaMtUCa6KMkmj2UokzFAnmHpqtHitKAhKTsETPn5myBzXQvEhj44zVKBqGJGFJq9xnMbGTVYMsxNlEog3R7PXfzlrJPQmRV+l2DjSPAi8Rs8+wlm56wGqfy638PLVB1voWd1g9aVXkg9UZVrXlS/wsve+zGr5vfIL1pdu2sk59+izXefq47dOGEtcxV+FrJitWg1yJotM+y9rJsQrrq6tnbZ+ppPqOOvfR8cyOEU0EoprjHxtgTfKv9tlkI2hqtcfm02X1hlm39JvqeHX6ylwFYBPsqPZVyprQAwBCA68rqqetHeAkKAAQWrgt2R7xB2gCh2pCkzvFc141qbWTkkwIQGWUX3BxmDWY9VHWbecSWmD1VMRJ1imr3vjiQRlYqo/WJC9GTWqvMXcRrbAmybh0UNolySdKVmMP1UfPira9wGMinpHkIwDqI9zP+W7RS2tSGwCQtkx9jT0TtVl+3pRWNs5yv+raU07otOMEt7V6iL83in28Ns/ut5rzF77B59z/HZywIxS4Hdntt5o6Cl183/E/5UQ/rQ+zHwgA6hckqckmXp+zTp5XIZ/yzFhPyGrjG+Q1SOrXYdccO47jOI7jrAyeKMRxHMdxHMdx5uG/IK8jVjM5yHzcpOc4juM4zkbGN8jrizWZHCSeOvPavzVGJICsUTSI7aIVm5OYn802NqPR6Y6w/kr1xgCAMdZDR42VrLq4Eavp0jjIdYnhm23tt9csEK/TkNLsSRzUODlqz5nPtI0riQoLpvOtrHurz0gcWQD1cdb1hQbWzxZvZW1ZcY/t9/ok91n+Pta5aVxVAOh96AyVs24eA6HE7Zh9js8HgD238PONnuP/luy5U2ILl2w7xgdYf5jLJTSKwlyNdX27H+B6h1/mdrRutjFun36RlYxVkSjeXbVj6GCB26ZxjlVz/NNnWZMMAI+U38kHdJyNSYxfAEG0kEHnt8Ya7txs6jCxwDfL+9SYxlOL0Pq3sQ8hm0jMCYkla9YV1UurvhpA6GEvQxhh7WSh265focza/dydN3F5VPSXPVb7rW3N7eRz4vQJe98G0cyr1lfj1ie0z0HWsyiaZBNLWrXDADAr5g1Zr6Pqi2E15fGCxIaX81VvfLFeHotxiv0fZuwCRutc6uc+q0+Jt6Up8ZuVaGzrVdHQzyViiUv85awkc1406IGXRABAd5MGjF/Au3LypDlWPcxjtflN4ksZsWtClO+N6gUxlUjM8vqE/d7ItVt/x2rjEov1wwzWsFHPuYRujh3HcRzHWXf4BnmdcMm4d3S12+E4juM4jrPRcYnF+mLVkoPMZy3KPBzHcRzHcZYL3yCvI9ZqcpDcBz706r/juaP2BMl3X/0sp0aPs6JHq1qZguqxauOsaZs9lZA2BNF0yWjPt7OKbeqk/YNK+7ez/irb088nnLda2AU1yKp7nExoJfOsx6o//xyVZ544ai4p38tt1fjD+a2sc83tsDrHuuiD6xe4bbkdEks6ISnJ7xQ97d+9wOVB0SMCKO5hrZzRDorGrfwmiV8LoGHHTiq3Nov+rsCivTKA2uc/z9e85718zRnR0qUosyYzHjtC5S0/epe95vRxKv7gKGt/w+YtfH53Qsd7UuL8tor2VWIcG70xgJZ3/hyVj73+Fiq/dFjixgJ4w0/K855KzIF57P+YjUf8tRxrP9/bdY7KM1NWj/jCGD/frU3cZw3NPK5a+uw4GzjIYzNkvEY8Pm3f9//691iTefIrR6k8OcPjau991sswPch62mN/wrGS73qPaL8PWG1oaGGtayba18K73mKuqf3d16n81Y/xfduLVvur3PaLt1M5Hhets8ZSrlSM5rQqz5OdZi9HSrescz7r4fFcO8TvBQAKP/RDXMeBb/AJ23ZzeTIRe7eFx1nx9W/jz9W7Ms2xhgEgtPPa2v2ul6lc/+v/Ya7J3vJWKufvfQOfMMB92PizHzV1yNNh5t/9FpWLb7jZXFN98SiVc9t7qBwvcB9VvsLfRQCQv0vuLPGlg8afTlA/YeOHL0QI4ScA/AguStI/EWP89UvHPwLgxwDUAPx5jPGfX3Xl8A2y4ywvq6RB1s2xszC6OV4xZHPsLIxujlcK3Rw7i6Buzb8rgW6OnYXRzfF6IoRwJy5ujh/ExRRenwsh/BmA7QDeB+CeGONsCGHJX46+QXYcx3Ecx3HWE7cBeDLGOAUAIYQvAng/gPsB/HKMcRYAYowDl6/iyvgG+RpZK7GJVxLXIDuO4ziOc70IITwC4JF5h/bFGPfNK+8H8IshhC4A0wC+C8BTAG4G8NYQwi/iYvSvfxpj/LultME3yNfOmoxN7DiO4ziOsx65tBned4XPXwwh/AqAvwIwCeBZXNQc5wF0AngjgAcA/FEIYXeM8aq1P75Bdq6ZqZ//lVf/XU34zV7YzxKgPTvYGFKrsOmh1GyD1Rfb2AhS01wLGjUeQKmP6w1FLmdtbDaqHrIJHOI0t7X6zPN8gujtQt4a/bIdbLCqHxdTU5ZovJhW5g6w8Scr8zWVo9YYVBngfmz+yLfzCY1sWAKA3AX+a1RODTjtnCgkdIppDwBKTVTMzzxK5cI7ttlrJCELGrkODZKPYiKBg7TFGHQC91nugftsO9S008PvLjQlAu/L85pnWcikCSB7m5gD1QiUuCbsuJPKcXzQnEMkks2oKW/n0y9R+Xd6xCwI4KHXPcAH1KgqiRbu+CE2LQLAzWLaKj3MyWRqh/jzvnPWCFi4jY1Q1SM8do88Zt/Vttu5Dwp9bAb8wCAb0Eaet9kYmlv5+fpuYpNWvtt+rXa8hcd8h6wb2RY2OdXPsmkRALJeNk9hE7+brD9hBt20nYoPhj+lcigmsk0oMp6zHVynGd+akAl2Hcm2cttTiUJ0HP3Jr3A/j+bY+PWmz/0XU0U98pzf895v8n0r9rsmzvGx4vf9falUfCZD9l3FsJ/LkpAjah0A4gmeJ2EL93PtGV7P8v23mTpCoxoMxcxc5WfLWuw6mm3nsRob+btl7sgBcw3U6LeJk/Zogpr6UWvIC+WrTxQSY/wkgE8CQAjhlwCcBHArgD++tCH+WgihDqAbwPnLVnQZNuwGeQWlD/0rcA/HcRzHcRznEiGE3hjjQAhhBy7qj98IoA7g7QC+EEK4GUARwAK/HqTZsBtkrJD0YalxidezdtklJY7jOI7jrDKfuaRBrgD4sRjjSAjhdwH8bghhPy5Gt/ihpcgrgI29QV7ruHbZcRzHcRxnCcQY35o4NgfgB5ajft8gO9dM6b7X9GSFEStCvjPP2sBCHw+7+oTo05pt0HgNil89z0Hk61ML/wdibYR1nKHIiQT2nxKNH4D7/461j413coKD/JtFj5milfVYWUl0f5P8LAAQp/hYcQ9ryya+JkkS9ljtc130ZvXnWH+XbbLB2+PEpFQiWrnToh27mbWjFxvD2ub6COt6w/RB29aBEW5bh+ijCzxmstut/s6MAE0+ILreOMJJUQAgqH5ygvs5phLDtEiSk1F+llBgbV2cnLJ1HP8Wl1XXWeZxBwCoSTKVk5yMAF2SCGbsgqlCE4Go5viHz3/BXPMPj7AOPQ6K/l2TRBy3/TxykPukq5v1lwN/y+dnOatPbB3jGMXTg9xnOx+0SSCOfY3fVfU5HhPnKuxLeOBOGwd5ZpTvM3SM383mHVbXOvvVo1TOtXId+RpfUztmI1PFIR5XOdHp1597wl4zzn1QG2Qdb66D2x4TMYyD+hBk/IYO0Zsm9PILaur1HgDiBN/nXa8XHa/kgSntsXNk7gTXkbv7dXxCQgus2mfkZb3Wtsv6DsDM19qTz/AtXrLJRZr27qJy6GBPRbZrB5c32WRJJg6/+Fsqz/E8+6vPWQ/Jtz34FSo3fPQfU7lw0Caxye3eygca+Ps6NPN6nvXL+QAwlVgXVxnfIF87S03/3L/M7XAcx3Ecx3GWAd8gXyNLTf+8VO2y4ziO4ziOc33xDfLqsdRfnlcd1047juM4jrOR8Q3yKrHUX57XItl9r8WTze+6x3xemGEdXDwvOlbRTcVjh00dcYw1W6W9rAtTvRoA1E5xZJd8v+haZ1n39vZfsJrcUBStVEsrlxPaOYM8X9i+6zInzjtnVHSbfRwTs+1tovU+f9bUUXwPt/XI/8nasqlZq9FsaWb93fgEx6/ctJnfw9DAwtFzOntY19zYYzWK1UnWyg2f4fL5cdZb3vXmvzF1FPZ2UjmOs467csqOkdI9HOcYE4lA3vPrHLHaQWXmadYpT57jZbZ5q33+wk7RV8rYjFP2mtwmHs9xRrT83ay3DX0cjxwA3vCTrLnVGMeqNwaA1o9+mso/veVhKndF1n4/XGH9PADc/l4eE2cf5/e96Y38vFmTjdc7+Lesly2UWcdb/sDbzTW3fCe/v/oAh0a99YLMicw+f3mE2959E68RcdjGm85t5/bXB0XbPsbjTmO0A0CcYtHt0K+zUHts0F6TK/Das+0HJa61aFRDQoNce449A7Vhjhev8eWRkPWasao+jAShyPOm8cPv5hMKUkeTrM0A8iZmsayTiVjwqjGOzz0tDZM+67JjBFV+V/n7bqdy4fsTMdhNPGlee0MTt7X23GO2jklZn0QLXHw7z+/v2mvjEWOGdcnVP/ksleNsIib7g2/hA6ck9vkUz5nQv9feV9/nGsA6exzHcW4AzObYcRzHcS7hG2THcRzHcRzHmYdLLNYgaz2JiGuQHcdxHMfZyPgGeW3iSUQcx3Ecx3Euw6Wsed8NYCDGeOelY98L4BcA3AbgwRjjU5eOdwH4NIAHAPx+jPHHF6rfN8jOtTP7mmkjzljjVzx7jMunT1yxuuqzB2wdM2zAyfWxIaNy1CYjmGP/DRpvZXNFbZyNFMUtiYQds3xOaG7hz8+J6SOzqqXQKQlIpq9sBAOAOMnnBDWXzLGZLs7YtgcxqGx/Nxtw4rQkBQEQCmywihU+R41fbYMJ01qe+yCU2DyUbWIzHWCTIDSc5/v2XuBy8XU7TR3ZNjYgxWnuk6yXky+EBvtHGjXhRTFhxmnJTgAgyPOWH2JDZWlUDCrtPIaAhEmrnQ05ocf22YIGUQ3W32gTKcRTkvhEEqGYJCCwprxfPf04le/o5Hfzjrw1B4YGNuQ0tXMfxRkxi7FHEwCQL/L4LjRKgo5ZNpMBQBQTZpD5WhdzpLYziRjd0JxI6iKEIs+z0MCGrPqQnVexxs/b3M99VK/Z5y01c5/YRECLyMCr58jz1qek3xMmvXhGEpSI4TBl/NJ1pNApc0AS8GAmkWhCErBgXAyUqTkkaysk4RLykoAoVYeuG5p85KgkBgKAJl4XNFEIqnKfVJITMRBimseEvv+UoVSTuuh6XTtqDeGhyGt81CRN8q5Cu03KhXJiki/M7wP4LQB/MO/YfgDvB/Dbcu4MgI8BuPPS/xbkhtsgr3X5wiX6V7sBjuM4juM4a5UY4+MhhH459iIABPmPhRjjJIAnQgiJEBppbrgNMtaBfGEl4yMv5T8Y1nr/OY7jOI6zfgkhPALgkXmH9sUY961kG27EDbLDrPn/YHAcx3Ec58bh0mZ4RTfEim+Q1yYrmWWv/5prmKfZilMJTapow+Iw601VJ1Y5K3otAFWR7ZaqXMf0KdFewQbOL3Sw/qoqcunCWEIbLNpADF+gYpwS7a9qrwCEOdEGVkVvFxM6wOkr1xulz+KI1X5DgvGHpoX/UKBaSFS4raGJ+zQkNLkm+UBJ9Wc2oL9q9jLRPeYh+kLVfQJAXu5TkID/qh0sy7Mm2hH0moRmU88JZennOenDRJKEWFd9OM8B0w7AahBnZN5o3ojFJLVRrWTieTURiGqOn7/AnoPG7R2mjqyJdbohiAa7VcaujksAxSYeEzkd3ql5lZevPLnGjNXEuwoN0o8lSeiQ0vXq+2uUlyP3yTpsHTom4gyPK9Vkp9BxFFVfm0ISduREQl+fXnhcxao8j86ZZJ+xFlbXPLMC6NgFrCekbJOpLIiOAdU+p+rUc5TE94S2NUZZ4+X5Qi2h29Yxrz4Led/ptUjGkay1qSQ2aJBB0SLJgcQjEyv2XYU1mCjEN8hrkJXMsrde0107juM4juNcL3yD7DiO4ziO46wrQgifAvA2AN0hhJMAPg7gAoDfBNAD4M9DCM/GGL/j0vlHAbQCKIYQ/j6Ad8UYX7hc/b5Bdq5azuGaZcdxHMdxVpMY4/df5qNHL3N+/9XU7xvkG5zlkHNkD7771X+HvNUR1SW+YTadiFc5j4aEfqs+yJrj0MZ1tmyy8RybRln3FPKsFcx3642tzjG76x6uQ2JTxkP7zTWGCdFli2YRZRs3NezleMOoitb3yCE+P6V5k7i3cfwkX1O219QkrvHMUdYXNr+JNW1Tz1nNeV4epzLOGrZyImZ1bhO/z8oxrrcmQ6Zwd0LD1yDauE1bqZiJVnDyDzh+LwA0PMSxlE0c1ZT2WY5VX+Y43/n7buXzE1rgIDrP0MUavnDTHfa+RyVeeP9uLsu4Cp2bTRX7P3aYynf80BEqV4/bd/VwhdumcY5Vc3z3iWdNHR//z2+j8s4qv6t7T/N8Pjdt15X9pW1ULoj88oNffsZcM32A/QDDp3jcfWOc2/6WfokTDeD5w/y8t/fzD1D1mh0jrXtY1/nyE9yHN7+Tg7bPnbb60ky64IWnOZbsPe+xa+D0IR5rX94nseCxgFYWwOvvHqSyhMnFzAjPxZy+CABDp7mfe3by/NY6AaA2ywdbO05RuT7Dz5b7znfDMMyxz402OKV7nRUdeq+sCTqvUvF79TvvtoeoXD/6XOIaqbdZ4g8f4Lma28Lx1i8e1C2dvLutPGdSa1H9uMQ5npU1oJDYNk7J2NNY/yXRQifyBST9S6tMYlg6juM4juM4zo3LRvkFOSUT6F+FdjiO4ziO4zjrnA2xQU7JBDZqdIa1kAnQNciO4ziO42xkNsQG+QZj7SX2qL+m04yqRQIQZzj+sIkbKXEXw44dJm5irjeRu33+NRpXFICqVKPEOQ4SI7KeiiU8JVqqbtZKor3LXhMljqTGq1TBncadBAATS5e1k/osABD6es0xus0k65hzqbjIEq9UdYDV4xwHuiDhLgEga+Sen2YZHM59w2q9N93DY6QyKmlCtYtSmmuJUY2GZi5LDNymD78DE7/zN3QsNIueUDXIiXelsUTrh+WBVW9XKiEOcVtDL4+j0CXjKjVGWkSnXpT3qXrEgu33r+VY93jzAdapjxy0/Xz7e/ldhQaJ4SsxjlVvDAD/15nHqPzXHW+i8vNRYmXngNEcjwntEdULVs9K/HEAA0e53jNT/L6fbeDx/+yZPvxw8xAdG854fJ86wZOgq13WOwAjL3Frz1f5XWw5wPcdHZCxC6CpjWPHbu5kzWZuF/sjAKAhz9rmcwf4fTbKuKoHq5/WuVev8DmFhsTYDPw87T0Ss3oRIW+rEgpe40DXx/n91v/oMyi8/7180bis6YuJg5yKn02f8/PGWsJTIMfihMTPf+l5e83OXXyOfq4xi5sTi69y+GWu8/Bhc0r9HI9vjQUeJdZ91pyInSxenDjF7zs0yXg+cQholtjJI7J+rwF8g7zCLMMvwP3L1JS1i2yO1xVmJ7cyLLQ5diy6OV4pdHPsLIxujlcK3Rw7iyAssMG8TpjNsbMgujleMXRzvEbxDfLKc02/AG9U6YjjOI7jOM5awTfI64+VTEOdZM1JPBzHcRzHcZYR3yCvM1YyDbXjOI7jOM5aJITwuwC+G8BAjPHOS8e+F8AvALgNwIMxxqcuHe8H8CKAly5d/tUY449eqX7fIDvXTP3IN14rpAKvq8GoQY1QYmLL2SQQ8SQnX0BddG5N1nxRP8qB5SuHxRhVZFtP1minQ3jhRS4fP87l+x401xgGbbIBopDQLZ8Xs6OaAWfZOFH75ktQQgv3SRQDXv1CwuhX5r5v3M5tmznJ72p62L7vkPF9Ss18Td9D1tSCqlisgtxnlO/Tmgpwf4wNZpmY8upHjlG50GvHmWryYoXbUR9dWB9f2C0ZaIYlyU3CUFp9gRN0hAKPs/yDdozUT/D4Ds2SoUUNhpvtOHxv1zkqlx7mpCZd3dwuADj7OOuBm8SUFgKPK00CAlhT3juHv0zlT/S8ncrlxBQZk+l6KuOTcp3WYNi1mdu2bSsb3XqeZONjLZH0Y1tks9i2fn6/h45Y4+6td3DCii3DbGL6hiQf6SvacTZ5jufAS5HX0R2/xe0CgL8sc1t+6g5eR41f2OYnQbGbT9J1szrCF8VEHerNKN/NSTDMWAVQ0kQg993NZZnfoVFMqwBiTx8fUOOqGnkBk5QpPvM1/rzI78Ek3wAQm8Rkevq4Oceg34MjbPaNc/L5GV7PAACTkmxDTZfyPZltTXhX5Pu6dlDW1fvvN5dkt76R23qO1404zOtM1n+XqSNGOwYWwe8D+C0AfzDv2H4A7wfw24nzD8UY711s5b5BXmYWYcLrX6GmOI7jOI7jbEhijI9f+mV4/rEXASAkIrJcLb5BXn6uaMK7nH54LcQ3XiyuQXYcx3Ec53oRQngEwCPzDu2LMe67xmp3hRCeATAG4F/GGP/2Sif7BnntsPbiGzuO4ziO46wwlzbD17ohns8ZADtijEMhhNcD+O8hhDtijIkECBfxDbKzvFTm7LFJGX+9otlSjXLV1hHaRdep8YYT9831cOD8bBcHzQ8lDtZf+fKzpo4oWt/snnvMOfYiSXyy63b++NQhPj+l265Wr3hO6GC9XX73TtuMOQms/y3WtKmWEABCXo5JcfQsa9h677a6x1Dmi2pjrEkef8EKSmfGWS86N3vlP6aEzk5zLI6zrjPOcttCO+sCQ551oQBQO8tjtTZRlbJtS75dkpqIVjAUZJmdS4zVzfI8edFHJzTXWT+/8zgyzCeorjORXGVmio/VDrHecCDx+8qmN4rmdIbfb9bKY/Xe0zZ5kCYCUc3xj5z/ApV/csvDpo7uyH3UFnnc1cesGPbAIU44dKsk0hiUcVet2TkyErnPBk5xDC2XAgAAIABJREFUTNfGvH1Xz+7fTOUm0djvL/MYOVOzcWI3y5rw5j7WdeaLdl59cITXuLkJ7rNiM4+RVBj36phmCuFyJlM1VUcxkU+JrpmxfVYb5fmbm0xMPsImwYineDwbvXAqAY8mZZJ1BUWev2HWroHI8TXVZ16g8siT9prOd7FHJrvtZr5PC3sMwra9po44K0lqXuIEHoOf5HY0bbJzpOHh3XwfTSg1ZxPw1M8e5AP6na99OmrX3jgh69civmqvhhjjLIDZS/9+OoRwCMDNAJ663DV29juOs3RWKVGI4ziO4zhpQgg9IYTcpX/vBnATUv9VNQ//BXnluVwc4/4VbofjOI7jOM66JITwKQBvA9AdQjgJ4OMALgD4TQA9AP48hPBsjPE7ADwM4P8OIVRwMVP9j8YYr5jW1DfIK8zl4hjrpnktm/ZcK+04juM4zmoSY/z+y3z0aOLczwD4zNXU7xvktcu6Me2FTf2v/jsOnrQnqEZrnOOGmviPKVSXPCHxHhNxNOOEaNZUgynxlrNOGxMzdy/H3kQHx40MJRvTVvXDcUraqjEyyxK/FkBo6zHHCNHTpvSlGuRmboiPNLTbauMc92NN9IfdN3N81qkTVqVVbOPnHz3B/52X5awMZXqadauq/SzkRSs5Ln0KIE5x24LEH46i483ftBkTf83jtfFe1X6yljBLBOTNmrnv4yhfE27q589HrCekeog1ebkejnEbuzrMNfHMWb5PmfvZ9MeU6BMBvDDGg6DvHMdKznJ2XGVNopmXsOahgXWv56atxn60yGNRu1U1x79++nFTx0e3vJXKXaJJfvIJiYEL4Jui9e06we/7qMyj5rr9ivxqA4/FMnj+ljI7J1ozft4hOecd0zw2d3Za3XbXbn6fx77J727PO+37nf4qd+zYII+R3Ah/HmMi7vM/ZL9DnE5obueT2Tqqx1lfmm1jf4iOVQDIuvk+8azoVsUfEt5g421DfCZhB+t6TVxkwASHzoryO5XGX+5kfTkAhEZ+N7lDrNFtz7N+HADCTtFHa9tk/YpjHLMdADAh42aCx0TXd0vc48RYhcRprxzmmMbZVslJACBoW2fkfYrWO15I5AYYHbbHVhnXIDvOcqLmOmfNoptjx3Ecx3kF/wV57aDa5P5VaofjOI7jOM4NjW+Q1wiqTV7LmuT1Iv1wHMdxHMdZCr5BXj+sG02y4ziO4zjOesY3yM41E/KvGSHC9tvM53UxoYUCGyesqc0aVIxJT00BkZMVAABmJKC5GPnUtJVts6YeFMXk0cIJHUJJHEqpwPOzYhZs5aQnpg7AxlMW40jsFKOEGkkA00cjZzlIfKxbY0y+ge87eJTbVirzuzo+wAYeAGjIcT9P13JX/DzFQJ37PRNf0K4Ja0iqned+znr4XdVPcqKU2pw1E9XOcB2hkdsep+w4q4/xOJob5D5s6llY61yfkT4Z4ufLtth3FSfVCMNtU7NcilubeK4VbuM50Dp22lwz+Ld8H01QUWxik+L+kpiPcDHG0nzGZDprEhA15AHAvz/NWUze3XcflX9gq01oUDzFY2JADHYT0mVdVfu+N0du7HbJcVGzwwodsvZ8s8TzOQe+z7lhaxiuv8zXPB3ZYNj0FZuAZnqaTYeNjZL4KPB9awmT3tjfsKGs0CSGK3mZWSLvkbqd6qfZcKfGVgCIFe6z/N17pE6pVM3PAMIWHntqmA5Ndv2KkwuYxWQtDg32vtq20MNrvqQBunhOm7imxTAaJ/X7LOF3meVzwk038edH2XBXP2nNgvVBXhPy28W4XE2s362S6KgmhkpNdNSccIjnUwNndfEN8trFNcmO4ziO4zirwEbeIK/rhBwLaZIX4npqll3q4TiO4zjORmbDbpAXm5BjA+OaZcdxHMdxNiQhhJ8A8CO4GPb/EzHGXw8hfC+AXwBwG4AHY4xPLbX+DbtBXirL8Mtr/zI1RbncL+Ir3Q5D/czLr/47tHbZE04comLcuos/VxFbSserSQ70nFxC1aUaLk3QoMk1EgHuNbmCSYTSLfrKekKfNce6sDgjAd5bbBIIoy9TzbUmX7nA+loAQJkDvnds5Wcp9abCoPOxNtG5Vma4n/varBZYE4FMX2AN25atVmNeF+FmboA1mJrAIDRLwHsAuT7RE8r7VE1jsSWR9KNVNOclSQqQt30WcpLUpCpaQdEGx4SGr7BT2l6Q+4qGEQCyBlmmZDwHnRNtdpw1NLMmtXqEtYPTg/YrolDm9hcauZzTZiXsAdqLpzJ+F22Rz9AkIIDVHP/l2Wf4hG7rh+gs87uZmuM+66hx+XDRvu8zgefmXTJ2B/K2rbd1ckbbpiF+3+WM+3C4bvWYTdM2act8QrAdrQl3Wrp43QiZaJAr9nl1blanuazX5Ap2XsU6X2PU8Ym1N8q8qR8TPbzMkVzHMVvHKK81ocLjPaq3BQCmxDOiSS8k+Uj9wilTRShKAim5b+p5zXea+kr0mkSCKbTI98aYJOVKJQZZoB1Zt6wbrZpMCQjyHRblOw/T4u3Q/gGARlvvlQgh3ImLm+MHAcwB+FwI4c8A7AfwfgC/fVUVJvANsuWafnm9Xr9QX+4X8ZVuh+M4juM4zipzG4AnY4xTABBC+CKA98cY/82l8jXfwDPpOY7jOI7jOOuJ/QDeGkLoCiE0AvguANuX8wb+C/LG5WolGYvGtc2O4ziO41wvQgiPAHhk3qF9McZ9rxRijC+GEH4FwF8BmATwLICFY4heBb5B3qBcrSTjWojf2v/qv2uqEwMw+QzrwJrfdZxP0PitzQltlcQRrZ9nTV9oSmiaROuZ3bSXPzca5UT8yz75D9IJ1nTFUwftNUI8wueEVo6bGTX+JWDjSg5JvErVtAX7x6A4wbqvxrtY45XbkYj7LLq+wrBo+DpYOzn35ZdMFbku1s4VvsR91na3bevMUX6eXVv5XRR6WZMZWiQmKoDqkweoHA/zGKlLDOPSTTb+dNYnca7LogNMjTMhHOc5kN1zF7frvMQIBRDPsYZcx3McEt06gDgtetIe1v/XDhzmdsh4AICWPu73I4/x+935oOj2AZQ/8HY+ILFXNSb5B78s2mAA1bN8Ta6T52J9jLWUTz5hx6qJcyya4z37XzTXfKrrbVR++BbWj+6c5bH5uRNbTB1tdZ4jz5b5eYeD/Y7eKzr8aYmE+/p38vvN75D5DyC75XYq7/jDL1O56R89ZK6pHxFdbo3nkY6h1DqSe+gBPqDaWPVLJGKyxxMcfzfczHMCk3acocBtHfvX/5XKVfFDtM5+01QRVKcscZFx4by9r8Yw3nMnf67Pm+gzPaf2Ej9/ttV6KOK5s3zNV56mclVjtH+N3z8AxHGOJ53t4u+vcBv3e+6WO0wd2vap//AnVC5sSfTZ3z3P9W7ltSi083de9fGvmCriJI/FS5vhfeZEPueTAD4JACGEXwKwcND5q8A3yI7jOI7jOM66IoTQG2McCCHswEVj3huXs37fIDuO4ziO4zjrjc+EELoAVAD8WIxxJITwPQB+E0APgD8PITwbY/yOpVTuG+QV4Hom7VgNXIPsOI7jOM5qEmM0OehjjI8CeHQ56vcN8sqwoZN2hF2vaXvD4AXzeeNtnIc9aPxWjQtbqVqtZ47LWUV0YKm4ks0a51i0YiVuR1V0VABQ0FjJTRyfF+02Pq3RqIl+Og5KzOKENjQMSz9KO2ovst4WsP0apzUer8TZTPWZ6EdRknis8ixZs11CQiNf076X44jGORsndnqYn69c5zGTb5e2J3TbuS4eI7mdrFuNc/JeAFQPsAY1TovWW/ooFBLxtiXubbZZYoF3st4wdPYC46zLrh8SXb7cN+vbae+ruuSKzLMW0fI3WP30wEEez9tuZ835sa9JfGYAt3znOJVV6448j4npAzIOAQwcZU1i12au48ChHiqXUcPXyhx/tniKdboa41j1xgDw/UOPUfn/O8DnnM7LnMiAd+W4T45PcZ+9mOc5s7tm58SjolPulbjOD4nmOgzYWOFo5LGq8Yjrh46aS+qDXE9tWGKyz4n/Q58fQO4uft/oSnhE5jM3s7BOeVh0+HOihQaAKl/T8t6bqWz00wBCp4zXWdbYhx238ucVW0eckdju6vfQdTQVv1fi1tcGuQ8rR63fJb+J+zU08HifPM7fX6UHbaxsJXTzPIoHv2XOqT7L3yVZO3szGr77br5gwsa+NzRzHaHIz5K/6xZE/V48zRrstYCHeXPWHoswQq1Z9ItghTD/0eEsiG6OVwzZHDsLo5vjlUI3x84iSJj0VgKzOXYWRDfHK4VujtcqvkF2HMdxHMdxnHm4xGINsV60yhtZLuI4juM4juMb5LXFhtYqO47jOI7jrAd8g+xcO02vGW6yu+40H4cjEiReBPxRE4XkrBHKaJYkAHwUMwYAqN0kDogBq8S6xlx/InFGuwTsb2SDTujYxJ/PWUNSbJZrGsXkUk5orpvYxIRpNjFlXR38uZrpAAQ5Z/ax/VTOOsV8AyBOsaGudp7vm9/G/TF32vZ7doGPnX+Rn7e5wxpjyq38fjUJQE1MTPHkCVOHmuWUOCJGmQGrFy/fy6bLoHWmkgII1Rc4Vn2xlxPFxNFF6FrVUKrGINh3pTr0bI8kU0no40PGc6/Qx2bJ6nP2eesDnCggaFvlb2DDp2xCljNTfGzbVn43t+b5Hl0nrBFqADyupua47ZoEBLCmvB8cfIzK37f5DVR+f6udzx0zPNduq/L8fWujNSrPzPJ6dWGGO6n0ACd0qL50xtQRJWnP1DC3ozlhWtPENzMv89iMZlhFPYDCy4f4wNHj5pz5pBI9advjBZkDYjAFYAzBOveiXJP1J4ysM2IQHpJEVinPyAwn2wh77uPPZbzHOb4HAPP9VHzLvVTWOQQkfCSBv8Fa7xZznH6PIPHdOc4JWEIPG4aHvmYTPb18lr8XH/6Tt1C59qeftvdtFfP6pPS7XlC340yN6GsB3yCvEIuUT/SvQFMcx3Ecx3GcK+Ab5OVn5v777/8FOdYP4OhC8onEdQuyGrpll4E4juM4jrOR8Q3yMvPUU0/9sh5bysb3KnDdsuM4juM4NxQhhJ8A8CO4qKj8RIzx10MIv3Dp2Cs6lp+NMf7FUur3DbJzzYSW17SuMaHBDd2cOCFqUPg51pJFTVYBGI2aBomP46wbAxK6pzxrx4LEW66+bHV/xU2SCERjfFZFg5tliBJ8PpTlGgkin9KXmuD08vy14xxUPeu1iTNU55VrFY1XQresgfWTyUTmkW+1GtVM7tPSyWMiV7TvN98kx4IEkZcuCi1Wk5rbwRrysI11nZlckx20WlHVxxsdZArR/eX6RD/eqbrmRHKVMdZ6hw6J6bplh7kmU/1ki1zz/7P35lF6XtWZ73Peb6h5UFWpNEslS5YtWxbygJnsthlCIIEkEIaQNJCGXCf35q7u5Gb1JemsbrqTTjck6XRyM17dQIAOgZAAC2fAzGAcjEGeDbZlW5YsWSoNJZVKNXxV33DuH1W26nn2UX2lUqlUVdq/tVjovMM55z3vPuc9/urZe7fIGDVazeLdYweo/LYTrO0+Wrb6+KtPsq6xNi4JShr4/T98RvTyAB5q4ve98j7u+4lxnjP7E/rEYQmNvKLK12wat7apiUBUc/zpI/dR+T81X2frkD/YlWWKDI/aeTVa4b49U+BrbhTN8dgBa3cNJdHxRhkAKyhG9SBrXfufZtvMZYm1R2i+Qd6vrCtR16/Eelbt59jfmowiNc/iKK9F2QZJuKPP2ykJegBgQPxOdO1V/Txg+h8r8r3SexJ1hDy/m9gszzvM8w4AwmrxZ2njdxWe5HvCersmmO/GoKxxokluaLEa7Gv6OIlLPCwa9MQ3IfRyQhJjA+pnk0hadL4a5BDCDkxuhG8GMAHgrhDCP06d/p8xxt8/rwoT+AZ5cZGSZ9Sj7yL0w5kjujl2HMdxHGfe2Q7gvhjjKACEEL4F4K3z2YBvkBcRKXlGPS6yfMNxHMdxHGex8RiA3wkhdAMYA/BjAPYAGADwf4YQ3jNV/rUYo83tPQt8g7z0mcuvzheEa54dx3Ecx7lYhBDuAHDHtEO7Y4y7XyjEGB8PIXwYwJcBjAB4CEAVwJ8D+G1Mqix/G8D/APC+ufTBN8hLnLn86jzf1L5xVv8e1thYwuXvPUrl4nveIxXU18HFUdbfBdVaqbYMAM6w7q2edqx4zTW2jg7RIIt2EA2sLQsNLVYLeOVOKsYSx7PMulkrm+yrjFFh/VaucyQRW1fGpHTfJ7nKEsdEBYCsVeLgHitLmfVpE6et/i4e5HsO7mcNat82q/0dPsLtjpxmDV9TK7/vxkQc0cpejntbuvMpKo8NqsatiM5rWftY3c/a7pCT58snNIsaF1U1uY+y/ccxq9M39MvzlR+216huc1jipFbkvMZZBfDO2zgu7OAP2L5fukPixgJAxlrP0MT3BNG239Jntf03neF3Ua2yrrFS5TFtrdn53V1hLey+It9z18G15p7XF3ieaJxj1Rxf8wy/OwB4y5qbqHxbjbXeX8rZuM8/3cw/YK0oc7v93+cxO6MCawB9LVzH4RPcbtezJ8w95UEeo81vYRuINbFntRkAcYT7WjksMjLRJOsaAgCxxPVmV/bx+YGEP4CUB+9kfXyuwGtia+lbtl3xVcltEk1ywpfBrN+DA1xWrWyDnVdRfFUq9z1A5WyVxNcHEEfFj0bipRs/k1Ii/vIZvqd2lG1C/W463yqx0gHEEr/v6n17uA6NeQzYb7iuiUPyLT4pYwogSL6Aqc3wbnMhX/MRAB8BgBDCfwNwKMb44ksOIfx/AP7xHLfXpX7Ue8dxZk/CUcZZnOjm2HEcx1k6hBB6p/5/Iyb1x38TQlgz7ZK3YFKKMSf8F+SFoQTg6kvdCcdxHMdxnGXCZ6c0yGUAvxxjHAwh/HEIYRcmJRb7AfziXCv3DfICsGfPng9dbJ3wQiYMcQ2y4ziO4ziXkhjjrYlj756v+n2DvHzwhCGO4ziO4zjzgG+QFwHz9Otv3zx0ZU6Ea6c5tiSc5XLr2NEtDteJuDKecGIaEwckdQrQpAkA4rAkX2iWRAnqXDEqbQAIa8WJoSDOM+N1+gXrlKfJRWKJg7cDAIoSSF3iKxunvCHr5GIcCoVcmz0fy6zLrYkvZHGtOP6dtEk/1HlmrMqJNKoTMycfAYCqOA+VJ7iOkAgqn1vNySaam9jppWGA7Wr8sH1XLTey004sicNdMbFkFvhYdYDbzbeK3UnSl1Q7kEQKYYVNthHFiUeviWLPYaUkIgBw6N79VG5tZzsrnbbP2zho5wm108TP8oN9veaaUxm/z/WR2x2M/H6/22T14msi9+1I4DWgI+HY99woOwKtKPEc0CQg6pAHAJ8/wk5LG9byj1iJNEcYHOZ6+2tcvrGHncfyeWubhV5+njF5/vwamwimMsTvangPrzWxFqRsqkD7rWxX2TiPc6zwTcaxFcDECX6/hTFxMEsl5BGHwXyjrE1lbidbb+07nuB1MWy+gi/QxE+AdZDWa2RdDbpWA0ALr0W5q/r4fOI7GSQxiHF0G5DvZtE6cprkQGV2kA0r2HE1DorzHACMSiKYM/yuQp7nLgBAE6GIE33QRCG5RB2ppC2XGN8gLw4u+Ndfj4fsOI7jOI4zP/gGefmwYPGQXcrhOI7jOM5y5kI2yBd7Q9Z3keqt1++L1e5FZTHEQ3Ycx3Ecx1kOzHmDfLE3ZBdr812v3y5VmAON07Rv/QftedVcjrI22OiHU7ow1TCd4IQVSfGc6tpaRZ/VwJq9eEyCyAPA2BkqBulr6JJkBCkt9OAPpF15vsQ9Br1mWLRjKb2x6MCGDvE1XT1WCxuKrA3LGmc+PzFqFZeNbXwsF7g8dMJq9gaG+F0EuafYILrHktWplx7goPiZSDLjLIbZauN4jGLNPm9I6Senowk6Rm2A//IP2Z7zK+WacRGDA6geZU1irof1lnFCbHXQat1HRIO7+kq294EDVtfac+U6PpCJpryBtZHX9P3Q1PH8QU56sL6P7fnY8zxXG2H7sUHM9zpJNvJQo31Xj+f52PYK22JZHkWTgABWc/z/HP42lX95rXGsxz/KmqY9e1Unv6vWPmtT+SvXU3n9Pfz+Q4tdNwu9PE9yo1pvSjHN5K7ZRuVMfDt0zhhfDwDZBk7iE/pYCxyG2e4mu8ZrevNJvsYk5OnmBDaTF/HzhfVX8vnU2iv64NDMthojj2HIWX8ITSBVe44T7oRE0h7jv3JGxkTXnpM2MUw8KZprbSewgdcO2W+eJobRBCVxyPog1J56mptpljVekgdFTWoEIJ6U9elfm0sWnMWninYcx3Ecx3GcS4hvkB3HcRzHcRxnGu6kt3DMpH3um2ulC5kg5AXcSc9xHMdxnOWMb5AXiJm0zxeoe770CUJOntVPxlM2xnGUeJZGL6sxIRuthi0Z53c6nV3mUBiUe+rETk5p57RvcWiAz6f00qqH7j/EZdFTx56EDm5cYk82aBxJGbPj/bYOGefWXtaxZi32eUMj6+nyEuNUdXCDp2wdzaKXrUbWvXVvsvqzyjP8x6yJsugaRZMc2jieLQCUR7iOxla+Z6Sf6yy2WJ1n9bDEFm4UTXLZ3pPSJXPDoicOiT/cySGNR52KExtETwjR/VUPse6z0MNaQgDYej3bc76H7WrNxsTznpIY3BLnOch41Ko27nV3J9vAM8+yfrQ5z/rShkSMVK32mMRnPRVs36+o8vPd2sxrxPAoz5kv5SS+K6xqVzXHfyqaZAD4WM+rqTwoZnXyII9hTyPH0gaAKHb04BjHJ16zT9YZACcfZz1472vE72LMattNuxort0nWIolpnJwN6oeia3xKCyzzJDQUZizHM4l48upTIH4ZyCe2QNKX2sHH+bza4gobfxnD8u1RvfRG8V0BzBjVTvC4lx7hudqk2n8AteM8BvmX7eQLurmvuVdYjb1qm8e/9iCViy+/ytwSWmU91jGaxbc2drabY/UIIfwqgF/ApNk9CuDfAHglgN8HUARwP4D3xzgrDxSDb5CXAHV+Je5bwK449Ug5CzqO4ziOM2+EENYB+LcArokxjoUQPgPgZwH8FwCvjTHuDSH8FoD3AvjIXNrwDfLS4Jy/EnvUDcdxHMdxLkPyAJpCCGUAzQBGAEzEGPdOnf8KgN+Ab5AvWxYsQcgLXHJJh+M4juM4y5YQwh0A7ph2aHeMcfcLhRjj8yGE3wfwHIAxAF8G8BkAvxtCuCnGuAfA2wBsmGsffIO8xFkMCULCui1nC6o1A5BbwVo5tIteWHVhgM13384axdjI+sqkhk10ySYGZpG1dLV9T5kqwgBre+lZYWNkAkCsjPOB9X1cztfRYCOhOW4Srdi4xAFu7wRWiq5N4k0f38c6sZWQeKYACr2s2asOSsxP6Wpbq9WGtnTx+2zr4r4eeFzsAcBjkfu2uiKaNZEtx9M2bur4MHeucQ3bYscujXGcw9hT3NfyCdEfSizhmgbKBZAVWHVZ6LLXTCc0FBFFpz16iMcx38jni4PHTT2qfY7PH6By+TT3IzRyLFYAGDvBY7LiFo61O/7d/eae3IZEzO3piBa4fYuVHQ0+yceuvpbjQD/02Boq31Ku4pEGfr8rRJe9vYt1n1tPWn3l5yU2cmmc6xytsK711soEetvZ+AaHWe2mMY5VbwwAP3/iG1T+pbW3UPm1IqjONdt5FUTXefsmfp9Zq11HurbzWlQ9WkdznIprpTpd8eUInXYNNNrftVKxrl9jNja4ytVyu3bw+dSa39bB5SHRTzeLTVTt9wqhjkxuwsZgr8fEM6zbzx23scHzW3pnrKPhKtbohp6E342sVyiwPVe/+S1zTxxjG8lWc725Dtaxp9beeIz10aFTxjnhd6FrYOUJ/qZPbYZ34xyEEFYA+EkAmwEMAvg7AD8H4GcA/M8QQgMmN811AtWfG98gLw6WZXa/OZNKerFEMJvjhUI3x05ddHO8UOiHwamPbo4XCt0cO7PAJNtZIHRz7NRFN8cL1u78rIGvA/BsjPE4AIQQPgfglTHGvwZw69Sx1wPYdu4qZsY3yIsAz+7nOI7jOI4za54D8PIQQjMmJRavBbAnhNAbYzw29QvyBwD8zlwb8A3yEuVSxD9+AdcgO47jOI5zqYgx3hdC+HsADwCoAHgQk5KM/xpCeBMmBUN/HmP8+lzb8A3y0uXSxz92HMdxHMe5BMQYPwjgg3L430/974LxDfLSIKVR7rsE/UgSus869sSU08OIBHAf5EDkqMwiWL04bcUBcVrSZCQA4jDrB7NR0ROq1rkx8YO8XpMXhwXVHKccR86Io0gvO0KFAtcJwDrllbjvcZATsoSWRJB16UtDE5fznQmHs2ZeEib6eVyLPexscSThCLWywkkO1BFqvGKXnSvA46iJQZTQYHXqxWZ+vuK17OhVGxSnxGD1dw1XJgLnT0edYBJUT8mzdIszzahNAtHQyeNc6OUxym+2DjyVAzwH8uu5neIpft7cDnFSBXDgC+wYs0Ic/3Lt9l3VTkgylaJoTiVhyVP3WCeu4xW2+bWneExaAo/zQCJRyCMNfKxlgDWoY7Ba2N7Ix06WeM4/I/N9Rdk6ZPXX+B61VE0CAlinvL84fA+fX389lSdOWEexrJ3H/dABHtert0kCFwCVQe7d+BCPmSZx0dwzANC9S9dNSZ6k9pzQIMdRccLTdXKsvuNb6JU5MCHzTByoASC228RVhDoLAibJReidOQhCaOuZuQ0AjW/cxf06fNTWs1qer58dV+Npu24YEslDppPbwUk+4vET57jyLGY967AOlVmX6L+L4mSvSakSyZVymoBoEeAb5CVASqPsumTHcRzHcZyLg2+Qly4LHv/4BVza4TiO4zjOcsY3yEuUxRD/2HEcx3EcZzniG2Tngqnt+fLZQme3vUCTfmy6hs+rHi2ROAMTrHsKa0SP1SgaJwDx5BEuH3iGLyiJ/iyR5KSw1d+1AAAgAElEQVTyj1+mcv6VrPsMV+60fRU0QUkUPTEqVtcajz3HdYgmOdTRmk1WwjrG5k7Wek+csDqwpvaZk1589W6Ot3zDSpvAIt/Aetqelfy8J55pMfeEjNudUN1ymXWNsWY1mpUJ1g5qrM04xuXma20/qgNiVxXpV0IbmhPpenELv6vqo09QOTRZzXnDFu5LHGNbNPppAPkNrH2Mw9z32mnWDuZOiy8AgOt+nHV/2doruI1qQts/xH0xzyP68G2vszaydi+P68P7WH/5WCO//9eMJRIQifq3MeO+3vg6Tl4AAK8YEl3+S1lfeuOTvGb0f99q3W/sYf3oqzq5zpMH7VqkiUBUc7zr0INU/vXabaaO7qfYvn+ii8f1sS/ZBDwl0Vzf/E6ei6FJnq9s16Izd+2jcq0sSW2aeE5MDFsNcvvL2L6D2FAcsf4AcZzfeWEr62fRvYqvH+B3BwAYZBuoPfg97kdnwndDklqU7trDp4t8vrDDapSDJOgoP/oslY9/337jVt0uGlxZ43PX8twMXfZbq0r9eITH5OhfH6Ry709b34bsuuuoPPFFTqDV8L7XmHuMlluTqYj/T+haaarItSXexSUmlTfHcRzHcRzHcS5bfIPsOI7jOI7jONNwicUi5FImAZkN7qTnOI7jOM5yxjfIi5MllQQkHjsbrzH0rrMXHBdt2MqNM1c4YeMsxrEzVA5FjrWajD+sWuZh0XGK3i6sZk0bABtXspHbjeX6uexNnONx1orGBqtZVP1wlDGJopcOs3j+lu2si0vFosxWaF+4r72RdbwdfQntoHRFJH1oarVxr9s28fOMHmYdY1V0j6HT6v46ruN3pbE5UzrH0MLvJqdjIuWGotXCqiYx65JYyhrDt2CX3dz61XxgQt7vCqsvRYP8N7ToAHOqH14pbQDA3kNUrPWzvrZ6gGOxAkDWwXOgNsBzM1shuu3D1jZPH2ul8uoi2/eRKo/hpi4bI/XoKa7jVI31tPmNEn8aQDjG9VREczx2gMfszLDVi+fzPDdb+0Rz32jj1eaa2QZUy66a4w8d/pap45be7VR+c43nSCp2+KYuicFeSwRprsPxA/wuTo7y+1ct+Hi0f5jetZrtamKA52pl3N4zMcrzZM1LD/MFur6nNKyifR2/92kq51cmfoeSNT9O8PMFkW3XDiRiGrfJGK3mtahaS3zjxnmeZF1s36FZ1uZWG7M95HlMqt9/wFwznfEHD5ljDeK70frmq+Wm+rGjUZRxVf+elJ9RW4c9VocQwkcBvAnAsRjjjqljbwfwnwFsB3BzjHHP1PECgL8EcAMm976fiDH+95nqd4mF4ziXJbo5dhzHcZYUHwPwBjn2GIC3Arhbjr8dQEOM8ToANwL4xRBC30yV+y/I88Q8yyL65qkex3Ecx3GcZUeM8W7d5MYYHweAYNNCRgAtIYQ8gCYAEwBsaJ9p+AZ5/pg3WcR8JQC5WFrmpST/cBzHcRxnaRFCuAPAHdMO7Y4x7r6AKv8ewE8COAKgGcCvxhhPznSDb5CXN0tKy+w4juM4jjO1Gb6QDbFyM4AqgLUAVgD4dgjhqzHGfee6wTfIi5P5SiPdNw911Ge6M1C0iRRM8hBxuFNi1TpC4Qw7m8RGcfRSJwEAGOG/ntQOs8NRLHE7uQabFGD8GXa4yW3vp3JoZkeKFFEdFkbFWTDl9HBGnJKaxDnwiCQsabZJL5Bjh5ysU5JRjCTaFQey0MSOfU05diTJd9klJJbYBnJreIxaE3/VKm5mB42skW2kOpSwCSHXLckIVrHTpTrgxUoiCcYZcZ5Rh52EYyMq/LyhnZ83jrFzYGhNvCv1ZIzSjjrkpVCnvKJorBOOnKGN6816OYB/HBAnLwBxVBKwVMWhVJ43s9MKLR18zchRvmiNJM/pvsI6NdUkcUbLGNtqdpUkJAKA5ue5r6d4njWUuNzXcspUUehlm89fuZ7rHLF9DbI+Ze3cjiYBUYc8ALjn2ONUbtrAiTOGB8QJF8CK8vk75SmnR9lGyuKEpzNzONg2xbcXg/0Jx2RhrMTPs6ZTnC51XU0hjmzVYZ5XWXsiSdME23PpuCQGGZV5Vkk4/4rDXX6LOshaGzF1FNnOoszvkPpuSAKlIN+08gT3Vd/L5DFxXm+UcW6ZRUKPknVUJZoS382Uo/n88rMA7ooxlgEcCyH8C4CbAPgGeSkxX2mk50uq4TiO4ziOs4R5DsBrAPyvEEILgJcD+MOZbvAN8vJmvn6JJly24TiO4zjOpSSE8CkAtwPoCSEcAvBBACcB/DGAlQD+KYTwUIzxRwH8KYC/CiH8AEAA8Fcxxkdmqt83yJa5bir75rkfF8x8/RLtOI7jOI6zmIgxvuscpz6fuHYYk6HeZo1vkIW5biovZzlD2HnD2X8322DfcWiAD7Ry0oOQE+3cOAd3n6xDnE0rIp5KJdvQxAnX75Q6JBnDtpeYKlpvfSMfGBX9tGpDy1bUVXvgX7idVaJH67YJHEIvJ8IIbT18Qc9aLj/3lKkDq7mOsY/fQ+WUNjTXzmNWep41e33X8Lv5/Fdtwo7TIkF8WYX1aH1bTfgdxB+wJrP/KdYOZpIEYeM1NsD90HdY29x6ag+ff4w1fJqIAAAa29gm8k2s6asmEhqUzvADdwztpXJxSyffoMlnAAzeeZDbbZBkFK+yztZje1hT37iLtf6qMc9tsnaWtYj9rmK7yiW07QN/+G3uW59ou0usJfzh/axrBoA1XTyPnozczqskscSBR2QMAdwfbaKE6Wz85HfMscoYj/3oKZ4EMbJu+/AJ28ZYZLtZfw/rlB8cs0ldbt/ESS4OHeDn+Yku9inQJCCA1Rxvuv9JKn+my77fR4a5L+vG2GYqR+poRQFc/VLR7RZ5DEcOiUa3OaHtF9eU9T/Ba36csPdoQg6MqO+GaH9X27UIbTzOLb8k63lj4rsxwfOmuJ8lqqFF7lFtNGATYQzy/N34YfkWAYjSTu0A20zpHzjph7otAED5NL+btndeR+UNf/RqvmHY+hiUv/hNbucwz8WwxiYDC11rqBxVgywJW9Q/CEDaj+gSs/h65DiO4ziO4ziXEN8gO47jOI7jOM40XGKxwFys5B0LiTvpOY7jOI6znPEN8sKz7JJ31PZ878V/Z1dtsxcUREwmgrSocSRTcZAlviMkTiok5CsAoMz1xCNHuDzKsSizRo41DADVPQ9ROXRxDMjca9/ENxSKNhalao7zMu3OJGLNDj7DB/qu5vKRA3z9qNUShgHWjlXG+A9GpWN2+q+4hse1gUMJY++/sM71ath2T47z+956A2vQc61Wg1uRIWhq5nc3NiqaxUQM59PH+f01rmLd28QoG0nvm6y+tPTQCSoHGaLxIftHt2qZjzXs4EEr7+M6CyusTr+hnTWYwxIXuEHqAKxGs/QIj3O+nfsVx1jnDACF199C5ayPNYu1R1m3DgBDJ3ica1V+F/ki2/9LflxiegPIbeYx2vgnvAZoHVteZ/0SWu5lvX8QnXrLz77C3FN7Zj+VWyVms65NXc/acc+vYQ1qEB33mn1WH5+1siFdvY3H5LEviV9GsPG2Nc6xao7fcfJb5p4PrL2Nyj8h77ug62oiFu3YZ9kGYoX71tjJtpsKhd90kywkBX6WUE6s+SKyza4X/WwLz6N4OOGHId+e2lM/4CbaEjF9JVbw+N1P8D2iwc5vtJpzjWFceoDX4uLmRCz0POvOa6d4bW18ZR+fPyK+PQCyozJPJnhcq9/4GpXjabt+1yTmfOH2K/mCFb3mHrTJGCRiQxMp7bfuExYBLrFwnPlEPziO4ziO4yw5/Bfk+WO24eH6LnI/HMdxHMdxnAvAN8jzxGzDw81XOLhLqWVebhIRx3Ecx3Gc6fgGeemyaLTMYeU0XWrVxrNEo+SUHxJdX0qWoFq4U1YLSExYTWo82s9VHjpqrplO2L/fHitq3zUWp23XUGKts9FapQJayvPHEmvL4gmOmwoAUeJVopN1jjmJrdsIqzdEHYXIql6OXzs8ZMXfuXGJmyr/GZfrsveEIuveWsuiYZPXH8dtR1duZU1qrpN1jp1buc6JJ8ZR2MQaxMbtrGusHudxbyzZMSu2sM0H0Rjn14oWfvAMsvWsyayOs66x0MR15tdYvXTlcX6/qjlGnusMTRJvHED1+xxbFas4lmw8Y+OV5go89g2tiTk/jbFnrL60Kc/2+8VG1ra/Z5BtZPgeIJfndsfG+HkqVX7+2rOs0weA2gkes2w1x7CtHuR+5bqKKO1ju6kMsU0UenkNOPm4te+u7VLHIM+RUmT96aYu65ewoszXaIxj1RsDwIcPsy75N/dL33JcZ0xogRtewrGxa8e4b7URG/tddcrVfr4nv30T31CzmmvjqzIiscDLsq42twF5WVuHOUZ1WCftpnSvciy/6mE+L9+E0GnnZuhmuyoO8LqZrbOxwUMnr0XZSbbV0Mq65azLjrt+S6tP8hzIXSXPvxrGJyYb5DlffeyHXMdaqQNAaJQxyPO4xwaxuyMHgI2sbTb5EGZBCOGjAN4E4FiMccfUsbcD+M8AtgO4Oca4Z9r1OwH8vwDaMfm1e2mM8Zwfcd8gLzzzlf65bx7qWJwkHEWcmTGbY6cuujleKHRz7NRHN8cLhW6Onfro5njB0M2xUx91GF8oZHN8AXwMwJ8A+MS0Y48BeCsmN8IvEkLIA/hrAO+OMT4cQugGkPAOPYtvkBeY+Ur/fDln7nMcx3Ec5/Imxnh3CKFPjj0OACGYaEmvB/BIjPHhqetsGBDBN8hLl/n6Jfq8WSzSDsdxHMdxlh8hhDsA3DHt0O4Y4+4LqHIbgBhC+BKAlQA+HWP83Zlu8A3yEmW+fol2HMdxHMdZTExthi9kQ6zkAdwC4KUARgF8LYRwf4zxazPd4DgXRP7H3vfiv+PYGXvBBDtT1B78Op8Xx744apMCICeOEeJcUvshOxJMVsS6xWwVO06EBtasGQc8ANkrX8X3rO7jdu+5y7YrhK2S5KNhFsFHuiW5yKljXJZEKdlLOMEDADOurT/OY6bB+gEgnmYHjVo/O8b0vmYLl0fEUQYAMvnTVo0dsKr7nje3FG/kegs72AGlVZ4lWyPjA6Bw5Q4qhw4OaB+KnODiG7eTRA0AcPPLOZnM2DEZM2gZKIqT2tg39lK56dWcPKfWbx0slbad/G5yL73eXNPUxDYf2lv5gmZJfKOOMgC++x/5Xdwc/oHK1RN2Pq9/NzttxWGer0ESHnxnt00Mc3QvP9//dS0nMZkY5jqGTtg509zMNtLWrQmHrCa1eor9cUpPcVKP/qdZl775Lbbd4T08R3Kj/P57X2OTIFSPcl814czN75Q1r2btTFk3xmuCJgEBrFNe+3/8MpU3d/A8mqhZSeZXevl9j5fUOZLHOZdZvfjdVXZc3XYn29VYwlF5OONjP3LXX3C7kvio8z07TR2V+x+nsnEOnAW5nTx/g86j7kTiDHH0K7767VSu3f05e8/q9VTMrl/D99zzFT6/Xb4rADJxGq89x/Oq8sNnqZzrs+to7SDbVWWA68w2PWrv2c9rnjp7Bv0mHEk40Pbz2otX/Zy55gI5BODuGOMJAAgh/DOAGwCcc4PsiUIcx3Ecx3Gc5cyXAFwXQmiecti7DUDil7Wz+C/IDjGb+MquQXYcx3Ec51ISQvgUgNsB9IQQDgH4IICTAP4YkzrjfwohPBRj/NEY46kQwh8A+D6ACOCfY4z/NFP9vkF2lEUTX9lxHMdxHCdFjPFd5zj1+XNc/9eYDPU2K3yD7Mwv1URYQY1rrMlENFFIKmi8aOPMFTERJ1XqCY2iuRUds9FwAkBbJ5c11mYqMYr2f0SSLYi2zpRnQ1GeJSbGTOttZm1kSGiQodqxFv5jQmhjjWZt0CaSUA2qOd+S+AOF9CXouGpfE3pataMQpB9ih8OZ7efEKdbKTYzyNVnOjnMIfKyhUWxRn63BamPLoqdsnJDnT9hIHGddq+ry9V2mNOedRdbthqLoSVdYPa3VmPPzxwr3vQrbbrO+K3k81XXnBu38zmTcQyb9GLMxjOOEXCPVqn421hLjXlNNtbabSOAg1KqaxOX8Y/hWjoxSuZBKuCQ2oZrjZ09zMqWWop2bMXJfGxrZriojPBezzM6RqnRNI92nUs3k48zvSsfQ2DtgbVXnUTJJ1cyJb2a1fqt9iw8NmjnpBwCgwnaj/jy1AU6+kTUl1tFxmc+y1mRd/I0LK+T7BgCiQTbJo+byvZoNlyom8wy4Btlx5pPU5t5xHMdxnCXF4tuyLwFmo9NdwvRd6g44juM4juNcSnyDPDeWrU43lXxE/4NguT674ziO4zgO4BtkZ3bM/B8E08VhCV2nIS+aRNUoq5YygeotY/Ie0ZKpiE11u0nts96jokVpN5eoV2JiakxnUwYQcjxGsd49Nq2mReNNz+IaUx63uk5DPZlJUi9eT4deR7eeaibyPUFuefOX3oM7f/QTM9chetNUq6rRjCqwVG1kWS9I6Forapv1n9dqJ1WDXUdbmSAm3mWYBxlRTey1JkNip6q176ocq5ZFMZiIrRvyM+uHDRU7ZinzrYt2TbuRsInzRtdR2Hi0GudYNccjExzzFrDjWpNxn6jUX6/H5Xkj5N0l1q+KHIuqOZ4NFV1X5vDyjPh5FnXm6qhXU/eovWrsf/XtSPmQaL0653U8EmtCVMG42m7qW6v+PAlb5AsS71L14osA3yA7SiqFdd8l6MfSJOUs5yxK6m2OHcdxnMsX3yA7RCqFdUp24TiO4ziOs1zxDbIzG+hXZdcgO47jOI6znPENslOX1K/KjuM4juM4l4oQwkcBvAnAsRjjjqljXQD+FpPS0P0A3jGVRe/nAHwAQABwBsD/HmN8eKb6fYPsXDDVb3z6xX+H7TclLmDBftiyY+YKJUA6AECdR8S5JNu01d4zOkzFePggn9ekGGvWmiriEzJ/Nl/J97RIIoWEYxA6e7isDgzqxAcbJB5NEuC9q5vPt3fZdhulb4f4+ePAoL1HAsvHEo9R6Z/3ULmwucNUURvlgPfZWu6r1gkAcZiTHsQhfnfqTJJbZ98Vnn6M69ggdfYfovJNvRIQH8DevSupvGktB+cfH7NLZq3K79w4cX1lLxVzrdYZpfNGtufaiCTGkXcHANl6TvoQJZEAmpuoGBLeZdt/5xo+MCGJBhLJF6qPPs0H1GmvyGN0484Tpg7tSrGHx7AyxBesf4e1s6GvH+VuiBNX7hUvNffkruN5VXjqGSo33yDjPmKd1tpvXcF1XrON7xlMzCtJgtC9a4TKZ+7aR+XjB9pMFadH2UaufimP+9hn7zH3NLyE58lXermszo/G0RHAzoMPUfm317yayiNFflcZrH3fNsbjekaS+Azm7D3q2Ne4Tt5vN49Hec+Tpo4ozq79f3WAyh191ul44GmeN02t3PdiK6/fLTfLWgygeowTKBXfwvfUnnvO3BMk8Ufo4flcO8VrYm5dwtFPv2k3vJzKg//h01ROpAkx87m4ndcZrN1o7xmT9XpcviOneU6ERLKRsGpNqjf1+BiAPwEw3aHk1wF8Lcb4oRDCr0+VPwDgWQC3TW2W3whgN4CXzVS5JwpxHMdxHMdxlhQxxrsBnJTDPwng41P//jiAn5q69jsxxhf+q+O7ANbXq99/QV6iXMpkJa5BdhzHcRznYhFCuAPAHdMO7Y4x7p7FratijEem/t0PYFXimvcD+GK9inyDvHRZtslKHMdxHMe5fJnaDM9mQzxTHTGEQJqREMKrMblBvqXe/Utmg7zI0jv3XeoOLCqaW178Z7ZykzldG+yncmhOKp/OcvqoPaY65lbW3MYzCZ2jlIMEVjcRi7vtf2iG0hiXO1ijGkdYa5bSIGdrWLds9NQpDfI4axRNAPgi6+TQbnVwId9A5fIhHtfKUdGNAchaeYwq/dzXoQPc1+41VqNaO8Ma5HCSx2jigDwbgMaOFipX+09zncOS9GOF1eSGLtaphoOs64zj3K+RMzw+ALBt23Eqj50Wm0kkrAiSgaQyzvrKoUMS8D+zsbI7t4pNiBnVTlhdqyYOqJ3mcVVLjKJJBoD4HI9jtnEDXzDCOm4AqJ7Svoo2VOSzKVl+rcz3hKI+MI9pHLNa0UKLjPuYvJti4nPRLbr8/awF1SQolcO23Wyc16JsWOZRkx1nM38LbBM10f6eHLV1lCNfkxU1QY21q9oxtpvxEttzQyPPX00CAljN8X888g0q39rLOvZqQuu+rsD60ibp6umEjYzyvsY8X22E53P+avsX8/JjbN/lMs+ZiVO24Y7VvOYPH2c7yjfw85X36V/4gVynrOmiuU1qcFf28oE2Xs/yP/1WPj9k28UgHyt/+u/59HG2/46Exr7czzaf9cgan0gmYxJX2aWVke8qgLklcUlzNISwJsZ4JISwBsCLziYhhJ0A/hLAG2OMA/UqWjIbZCyiX0w9LrDjOI7jOM6i404A7wXwoan//wIAhBA2AvgcgHfHGPee+/azLKUN8rJljr+O912ErjiO4ziO4yx6QgifAnA7gJ4QwiEAH8TkxvgzIYT3AzgA4B1Tl/8nAN0A/ixMprquxBgTYbfO4hvkxcF5/zp+Pr9iz7c8ZbH8ku84juM4zuVJjPFd5zj12sS1vwDgF86nft8gXx5cXHnKNO1QLE/Y82XR8ZVEg6p6rITGKY6wJhUFFjlFbQMAxrmeKDFeMTZzbGUAQAvHHzYBXE8ldGBCHOD4u7EqsSpbEprs1PPMVMeQlVPFFtawZZs4BmqhNRWvlTV6oYn1wx1FjiMbNNYyAIg2MLTwuBa3WK1kkJi+OdGjZWNS58qE5rpBhG9t7Xxe3lWlanWAp4+x9nO8xEtk6p5MNMXrr2FbVQ1u1mh1nqqvzLVzu9la0ScCiCf4ebI1Em9btMEaZxWAiZuqWsKwgmP+AlYvXBtlfXhNYt6WBtmmAKDQJPrhQdH1zuI/53Uqmhi+Gm98FkTVQWqMZwCxItfk5PnGrL4ydPIcj6Os7c7LeOSshwRU7T9yiJ+3sbMKRXW6lSprYysjPGcmKvZdaZxj1Rx/+9gPqbwqsZ7t62D7LUis5AHYd1XTMVCJqr6bqn3+rFF0+hIrO+UPoHrwSmXmOOcp7XcsSV8kjr3GmweAOMhxj03c8jO8rsQT7C8BAHFUfGZy3PfuteKnsHadqQMH2TaD9rWF11UAVj9ckjrE/wcr2JcHgIkVvhjwOMiO4ziO4ziOM43Ft2V3ZkvpPGQWfRexH47jOI7jOMsK3yAvUfbs2fOh2V47l6gbM+mWXYPsOI7jOM5yxjfIzrmYP91yTmPJSkxbkS+Fth7E08cwI6ngqvWoiC6sLLq3VHzHEY5xqlrnqBpOwMa81POq16raOuKEaMmCaAOlrxElo6EOiXr5pkTcSZUCis6vVhK9neovAYSiHFMN25lEDMwwc5xQo/JLjXuj/PecxuYUHdxVv30tnvtvD3FXG3kAYk00i4lm83m2q0weN8qY1koRWTPXmzXL8zfacTWork81x6rtT7wrSGxoowNM6XiNFvTcXQSAXMFqNGFi3PJpNc3SIyfRuIt15zrOuYLGCq8vZA6toqGXuVm4ajWqz7O+X3WdoZnrSDytHXspTwxzeTza9W1Y1oBCM9tdajobbXsm2v6EBlfJZAXTOMcpzfHREfZvaGnn5xmX95+zqyQqMpJZKz9/1sIGUDs8gNxmjrccCnyPzucUqktWP4SGUS4XS9bvJivOPCmi+r8godPVb5yeV58LACHKvCqzjYwPc9+P//1RrHwbx//PtcuYJWKQG3Stqaf/7z8ErN3Ix+byTb/I+Ab58uB85Bgv0HcR+jEr6m6OFzOJAPALwiw2Aw6jm+OFQjfHTn10c7xQ6ObYqY9ujhcK3Rw79dHN8YKhm+NFim+QLwPOR47xAp4MxXEcx3GcyxXfIM+NufwiOxN981jXfHHOZ3QNsuM4juM4yxnfIM+BufwiOxOL8dfa+X5Gx3Ecx3Gc+SKE8FEAbwJwLMa4Y+pYF4C/xeQPj/sBvCPGeGrq3O0A/hBAAcCJGONtM9XvG2TnwpkWODwOWf1wHJRjY+L4pjecPqVHEM9wwgoMi85NzwOIw9LOKUk2Ig454cDTpg6s5AQWGgA9nhCNYsLRIA5KQPcKO3XESiK5ijg5xIo4PQzLs4wnkqs0ctKL0HcFl7sTus6SOAd28/M27ZA6M6unzUYkSHwLOzFlGzfYdju5L6FJ2hlnR5HQYR2DwhU7+ICOaxdrFJ87tc/Usbmb7WpgiPuuTk4A0CDJQ/KrWQ+edUmSgHHr6Tf0XU7A0rSO+15Yt97cE08/TuUgSV6MLapDHoDKXk5ik1Pnx4Q951bx88Qj3Hd1DBs43GLq6FzJNqIeZkUxzcpzdk3QKP7qgBUPPmvv0Xkla0K1n9+/SfgAYOIE22K24Xm+IOVAulacTiWhQ/vLeIx2rT5qqojy+tQpr+kmqyfV57m7ysmDqlLHeEIef5skfllX4HmkSUDUIQ8AfuvIN6n84dWvpnIt4brRIi/4yL3slFYo8rvpLdrEGUMP86CtulocahP+ALqmrW3hb0u+i+dZrrvN1JF18hwJbZxwJ1vHSZsAAA3iR9LD4xz3PsrnJxJ2Jo7omtSmc7t881bYdbQ2etDWO528TXISGni9juogLUlOkswhsQ+AjwH4EwCfmHbs1wF8Lcb4oRDCr0+VPxBC6ATwZwDeEGN8LoRgsy8Ji89t0HEcx3Ecx3FmIMZ4NwBNZ/uTAD4+9e+PA/ipqX//LIDPxRifm7q3bjQA/wV5iTBTXOKFxjXIjuM4juNcLEIIdwC4Y9qh3THG3bO4dVWM8cjUv/sBvPCnlW0ACiGEbwJoA/BHMcZPJO5/Ed8gLx3mLy6x4ziO4zjOImVqMzybDfFMdcQQXgy6nQdwI4DXAmgCcG8I4bsxxr3nut83yM6FM13rWbOavdDMGq14SLSfEjQ/DiRij4Sn7rIAACAASURBVFalXtHkxiGrQVbiGdEPj7BuN7tyq73nAPc1bOZrKs/0m3tUwxa6WQsZNMD7LPRZqsGOJ/mebMO6unVghUiuUoHZVbdcEL1ZWYSQLVZ/F1R/pjrufta9AkBYyf1XDVtIJXFR5JqwchOfL41QcdfO1LvjcmMb6/yynE2skOX5WH4ra73RZsdIaQfbSLZKRLg9VrMYellzGbrkHk36oTp2ANlh/iuj0UYmdIFREr/kRjXZCM/nlZtYowwAOTGrxp1d5hrq13qrr61J3zVtQth2na3oFN9j5lEna4GzK/tMFYUx0emLth+NknwEsD4CMq5hiP0lJgasNnawn+td/xOSOEITSQDIb+c5sO1Ofhf6dmMiYccZSVDSJFOgIPdoEhDAao4/0P8NKl+1wmrsW3L8B9Pf/BF5w5LEKLdR1hkAHXl+3/s/x98R1TEDVsu+Yi1/N3Il1vGWD1r7zo7yWpN/WzuVq08m/F2EsP4EH5DkInEgEW+6WdbvPC9o5X5+44Xj9eN8Z2vlu6H+PwDiUdEt63dCdfkpvfFs1vjZcTSEsCbGeCSEsAbAC0ZwCMBAjHEEwEgI4W4ALwFwzg2ya5AdZx5JOa05juM4jrMg3AngvVP/fi+AL0z9+wsAbgkh5EMIzQBeBuDxxP0v4r8gLw5mE1e5bwH64TiO4ziOs+gJIXwKwO0AekIIhwB8EMCHAHwmhPB+AAcAvAMAYoyPhxDuAvAIgBqAv4wxPjZT/b5BXgTMJubwfMZKvlCHP9dCO47jOI5zKYkxvuscp157jut/D8DvzbZ+3yBfnsyvw9/0eMI5q4Mz2qJ2G3txOiERRzTqMYkZGZrsfj+KRi10SDxW0WelNHxQvXATx7fMrea4oilCr+gnVaNbTPy3imoUVQusdKywx7RefQ8pzVc9rbe8h1CzcYE1vrSJPZuKEzuicZ0lTq7eI1ppAIhV6dsEa0VjmcttH/pV9L//T+lYrcoSmdIo20TIrL4yl+fnbRM9aUhpvYXqAGsWVZefG0lo7EuiSRzkWMGqdY8SSzrVjrkm9a6EOC62qvNuNkI+sbtY4nY1bjAAxNNiIypvSo3ZRJ3n034MaAQpe00YFg1qSl8pumWjJx3hflXG6w9anJB+pN6VvIsxeRmqwK2GhPY5x8dOS9cGRMmcS+iYNc6xao6fPGX9Eta1saa+cozXuJDndnKDVgtcPcpzsVLlb0DBjIBdA2oVKU/wfM81J96V9C2WxIcksW6GFtEPF2X+yrtUvXGK0MTrV2mQn7cxERu9pp+FaNc8e5M8j056Ddo9m+/GIsA1yI7jXJbo5thxHMdxXsB/QV46zEanPFv65qkex3Ecx3GcZYdvkJcIs9Epz5Z6G+16GmXXIDuO4ziOs5zxDbKTwpOSOI7jOI5z2eIb5MuTenKNvvOqbbrTWZaQtaeOnSdBnImME0DSE0gcMNQhoSJOAWcSTj2j4ghU4TqCOval4iA3SOIAdVhIoQ4LmuRBn2UugdfVIQ8wAd6jOjWJcxE6Uw4qsqzos0wknInqBZbX8wknvVAQh0p1hszXcXQEUGzmcVSHnRT5Ij9frIjzlDp+VRLJdBolMUpR+p6aQwV1IJS+ypwxcwhAPCPOY+KkF4fF/lN9U2dXKVcTDmcVabZBnPKqp7kfWY91MIxlGVd1Yko5tuo80ncjSU+Sb1/fn87n1FpUZ87HcX7+iVH7aR4ryfvWx5tFu8NiR3lxwKoknPTG5dCoJAKpQeqAdepqEXcnTQKiDnkA8PwZTWKRcESeTmLtjbLGZ9L3QiExF+Ua8+q0nBh2dSA0jNs1MHSJw3czOxSaGrvtfDadPcLJRkpn2IbM/EfCjGbjPKfrk36PdC3W8rmOXWIWX4+ci049ucZ8hpRzHMdxHMdZavgG2Ukx4y/MLr9wHMdxHGc54xtkxzCfDoGO4ziO4zjzTQjhowDeBOBYjHHH1LG/BXDV1CWdAAZjjLvmUr9vkJ0LpvLlr7/476xrjzk/eu8RKje/XBJnqHYwpeNV/eTzXKfR5AJAE2tSQ7cEmhcNZzxpkwKEa3bygePcbhwTbaTqMQGbsEC1zq1t9h7tmyaBWLOWrz9l+x41ocGGzXxBe0LTNyTtiA4OV1zF5VSSE9GsxQe/x3Vu2Wrv0THS990kfU3pq0XDVv32ndyu2FXbBmszxU38vJ2itw1d7eae0MhjUHnyOWmXbWJi73FTR8PLZExaZdwHjtp2O2VMVH+oeuKEfWcr+Xm+8GFOtvD6G20Ch+b3vZHKha4ubkfsrn3F86YOnTe563me5UY4wUPsP2bqyO/cQuXagcNUHvrvf2vuaXvzNj4ggstsQy+VB+88aNtt5OdrPsljFhpswqHcrh18TS+3U9jK82rNS/lZAGBNJ48zZIyy619t7okj/M5/5K6/4PNiMjGhuW9cJ0kvKrJeSx1Zq9XGHrmX1+Lf/BEuaxKQSfhY7xefpvLWTl4Df+0+2+7zOb7mfSv7qVxsTiQKkcQgnW9lO0Ne2kl8ezQRSHz2h1TO3XaLucdocCUplVlHN260dYimPOthDfKanxW/hN6VporGXp5rNdExx31fte2apFzsdxNkPavd94ipIlstNvAW20yCjwH4EwCfeLF/Mb7zxXZD+B8ATtvbZodvkB3HcRzHcZwlRYzx7hBCX+pcCCEAeAeA18y1fs+k5ziO4ziO4ywnbgVwNMb41Fwr8F+QHQD1k4NMx530HMdxHMe5WIQQ7gBwx7RDu2OMu8+jincB+NSF9ME3yM4LzDk5SGibFpNW9VkJahp7UTXIqTaaOOhnkHZqI1aTmqmWuVli584mvqPG9C2ydi4VR9LokMc4lqzGFg6lWUxDjcc8zs+ruk8ANpawxqpMxZ1MxEElVHOcir1a0Bi+UmcqZnO9GJiziZGZkzixDRIXOc/nc82271lPhzlGdba12oNNbFehRbTvK7jOXGdCEicavdDRyecTcZ+NgFTHqMC2mXqz1WdY63o618NNJKT9Jr6wxmOWy2slG/O1dobtN6fzTEn5GKg9i91VSom4z6J9jjJHgoxprmDXiFqZ29UYxikNsrF5jS/eLX4ZKXvXuScac7QkbLfMNlAZ477PJs53rpvbrY3Iu5D1O2ux8acLRVmfVLNaL24wrOb46UG23dVd1rdhPLANqOY45Oy3J1+QY+3sI6LxxCNYgw4AQdfRFvEzSa2BqWPni/quSBzzbDVrjs0aCRjfndoJXq/M+0+Qa575WWLVziszNyc3w+ezIX6REEIewFsB3DiX+1/AN8iXkPP51XYB6LvUHVgWpJz0HMdxHMdZKF4H4IkYo/UyPg98g3xpWTQpnT05iOM4juM4S4UQwqcA3A6gJ4RwCMAHY4wfAfAzuEB5BeAbZOcs9dJPv8hi2dQ7juM4jnN5EmN81zmO//x81O8bZAfAhSUHeeqTZ/VGhbzVV54aZd3TritH6tZp4ig2zaxEya2w+rvQKTFrRT9s4uY+9Jit44HvU7n2PMewLR+1GuSsKLFVD3Ps5MoT/FeflGZPNVqhwLq3XMHqHONxjnkaJ1gHlr9Z4qSWRNcMIK7m2JqhyNrXOMLvN/RIPOYU27bzPWutVjDul7FvZQ1uUH1lp43fGQdYkxiHhsw10ynesh21wxxfePhbXEfTNra7LKF1D438LrJ2ifkp7yXrakXtJMewtTG5B7gR1ZsCCBI7Nz5/gMtH2FZrg9wmABTe+14qv/Kuv6FywxaehwCAFplXakfS19wbOG4yAOQqrGMMzTp/9/H5nZ1Ak8SGln7kVvDzt4/bWKuhi9vJ+jbxBZ3dVOx4OVD95rf4nvWsFw7dfE/UOOcA0MbthnUcWzcOSFz3Nhtv27B6A9dxOOGs385963yPxHVXP4UE5T1PUjl/9Xq+IOH/EBp4Testsi3mNq7m8qDV8arvgsY5TmmO33Lybiq/e+3LqfzaI2zPLR12Xg0cYz+DTf/0AJVrIsHNt1tZ3aiEz25a9wSVi7tsDOMoY5Ct41jZE/c/Q+VC4t1pzPXcK1/GdXzha3LHs8hvW8d1yLvLXdXH5US7lR88y3W0yrqh2v5Nvagd4vjK2u5iYDFvkPUXzb6L1dAl1AL3XYI2Fz26OV5K6OZ4odDNsVMf3RwvWLsn7UbVqYNujhcI3Rw79blUGx3dHDv10c3xQqGb48XKot0g6y+aF1kje0m0wK77dRzHcRzHWXws2g2yMzsuxa/frkF2HMdxHGc54xvkpc+iiYThOI7jOI6zHPAN8qVl1pEjZqBvHvpxQWzYPvjiv7PEb9md/RKsfK04dmnQeE3oAQAtmuRDgrnnEglK1ClPkeQEMZVspKdTyuxsUxCniFSSgGwLO+TkTZD8REIDdYTQhByi8wvdklgCACRIvDpTxWrCQWdCEpBoMpURdkAKqxJJEYQoAfDjcEIvPSbOjnl+Pg3nH5ok8D4SjnytktSjmXWsY3f+wNSRyYo4vo/HI99pnXpyXZIYRJIExDI7MY3ts4H2c+ue5wOafCOVgOeMOMQOs/OrOuVpQgsAiHsf5nsi933ioHXkzKtNqJOWJvA4dczUAXFkiyvZaSs+L+FLEwkNwlp2FounxYFUE9YANuFISexugHXpmrwAAOIJsd84i7VoaJCKsf0Unx8Up8zRhCNzq9h8m8x5TeACAMPcTuX+x/m8JvGpJBI4VPj5yo+xB1rWyM+rDsUAMPQwj3tHnm2ietTq8qP05fkcfzc0CYg65AHA/zr8XSp/oO8l3NdEfpKODraJsvhcRkmuEvJ2zIryqvLd8v1KOLplfeIsJ/ZdFLsKPdZRGSPs6Fd7jNe4oR/y5StWJpIWSaIQ7WtYYb81JpGXOtVr35eIn5FvkC8hFxI54gVcx+w4juM4jjO/+AZ56TMfv0KfFy7pcBzHcRxnOeMb5CXOfPwK7TiO4ziOs1QIIWwA8AkAqzCpwtsdY/yjEMIuAH+ByeAFFQD/R4zxe3NpwzfIzgVzZO/ZoPab32JFXYV1rGHK1okGWTWLKVQs1rGCy6qLBIAi66DicxxoHcc4eH3+VTeZKirf5kQh2fqeGbsZx8tGhxwPHTzH1TOgOkbRLccB1haaMQUQeqSvDaILk+efbEfGsczawdjPWtlaZ2I8RMesST8w0G9uCX1Xcztl0X5KoPk4JJpNABCtsxlD0XW2vO4KW8WznLAhtIq+OBXjVfV1q3hMavt5zFp67BzJXvISc4wYSSRSEE1q2MKJE/Ly/uOo1RNjPY/Bljdzco3czhvsPfr+VAvdKHrLRFIbc40k7QnrWH8ZNm4zVcRRHpMgtpoTDedkPWJnklxG+5HbZGNlh808ZmH9lXxB2WrM0Ww189OpPcjf7/F7nzbXVId5DWj5JU7AUnvKaurDOk6Ekt8uiVF07U2so/1/xQlYymW295pocmPN2veqq1mnvv9zXK5UbZzrLPDzvm8l212xmevQJCCA1Rzv2M+a+3et4UQaAJCXb807j3Vxv+T6Awmt+7BcdMe/4gO1o9YPIyuLr8ZRjhWcbd3M51PfFfFVqTzDY5blxf+lM+HLofNVfYKGrT5+4lmei0XRtmereAyD6pyB9DoxMxUAvxZjfCCE0Abg/hDCVwD8LoD/EmP8Ygjhx6bKt59v5YBvkB1nXkk56TmO4ziOM3/EGI8AODL17zMhhMcBrMPkr8kv/GrXAeBwuob6+AbZcRzHcRzHWZKEEPoAXA/gPgC/AuBLIYTfx+QP/q+ca72XzQa5TkKNvgXsyoJxsZKIuJOe4ziO4zgXixDCHQDumHZod4xxd+K6VgCfBfArMcahEMJ/BfCrMcbPhhDeAeAjAF43lz5cNhtkzJBQYxmHSlvwJCK1ERs3VPWztX7W9YXZaJA17q/EZjRxgwEEjYMr2qk4wvEuw0Qi5qnEsNU4qrUzorc9U0IoivY1z3ozfd6osUgBo2uNEtM4TnC/QrdoshOEvOi+NLYyALS1c1l0vUHPJxuSekXXacqAieFqbEK0kVF1zoDVPisSFzusX484KFru1TKOGo84pUEWfXRoY11ftn4VX19KzBF93mHRHKdi3DaJfQ+yZlHHLDQnYo9KXGtj7yltf7O0m5h7RKrvij6LtpuwGY17HSui/T2Z0Nirtl116/oe2hLaYfFtMHXkE/atMcfH2VZDJ8+r/Er7u0bWLu008vtMzs16Y596v0JHH4/ZxCmJ/Z5plHJL1sxrXKHIdlaA2B2AQoGPqeY45EST3WHnlbquqOb4U0fuM/fcvmoHlTe2zrxNGhq1cYGbRIdt1o3Emm9izmvMbok3Hs8kfAqK0lepsqlXxjCh+9V+6DWpdSTXzDaRu6qPLxhje68esNr+iYP8PZ7aDJsNMfUlhAImN8efjDF+burwewH8u6l//x2Av5ypjpmYxc7EcZzZYjbHzqJFN8eO4zjO0iBMZmT6CIDHY4x/MO3UYQC3Tf37NQCemmsbl9MvyJeMiyV1mAV9l6BNx3Ecx3Gci8mrALwbwKMhhIemjv0HAP8bgD8KIeQBlMAyjfPCN8gLw4JLHYCZpSMXsml3DbLjOI7jOJeKGOM9ABL6RADAjfPRhm+QL18uyabdcRzHcRxnseMb5OXNTGmo++arkXLlrJQ9lir2AnVIUGcDKaecxwIkYUWhjkNWoh11FjTJN4aHTRVRr5mQYO4T4gWhbaTaqahTT8IVoCJjVJF2pB/qBAHAOhypo1PKOTLluEd1zEJjLfWGnCROSTkOqYOKcfSTOlutU6IZ11ZNeiKOUglHmepBdnTLbZBkG+YOWCc9tTsl5einz6uOX00SrB8wTlqI0rsGccocTzgHtrGDkTp/Ju/RviWcW7mORGxw7as62KnDZcIu44hoyEdl/ibsO5YkyUFJHJ3UDmPCia3evNLxAYAg9Wgd+nwpJy5da3SMUu+hnpNePVsFMPA0217HanamqpXrO+2FbOZkIppsBACCJAqpVfiafIHPDxwTR08AHR3cV00Cog55APDNo49ROXfFTnPNdNaHMXPsUJT5Wu9bBCCKg3to4ndX3cuJrkI+sRaLHRVu4iQ21a8/Lm3avkPqTTr3arPFOnNCHP2ybuv8WqjWdxhdaHyDvIyZKQ31Mo7c4TiO4ziOc0H4BvnyZaZfl2fEpRmO4ziO4yxnfIN8mTLTr8uO4ziO4ziXM75Bdi6YNZvOJhvIVlgdWG7zOiqHHTfIBbMwQ01Y0dnL5YLVaMYouq+nHuB7VJM6IskZAMSSaIHHWCuZX8vJClJ64kySAFSf5wQGoWrvqZ0W7dwu1pIZTVsloSVsEO1v/z4+fyyRor5RxrGdtb7V7+6hcu6VCc3yCGtB46ZtfL4selMA8ZCEqtR3o/rKJquLi0f7+UBKozeNygNPmmMn75dmv8M611riXWU51s6tew+3O/bN/VQurre60NwAtxPH+HmNNhhAbt1KvmaIx330e8eo3NAnmmQAxRtv5/LP/BRfkLd9jY/KIKntaVKf3rWmDlPng9/jsiRByDQ5R4qSJP7ZYvWlanthy/XcbkXsbHDA1iF9Cc2s464dZJ1nitC7gcqlu3hexQmrUS0dZ9sr7uf5PH73E+ae/KqHqZzbuc1cww1bHWhTK8/F4eP8/JUK92u8ZNfztS2ckGbFWn6/qi9OdaXzrVv4QDvrWDf9E6/vAFDmZvHOY11UTiUBUc3xtfseofLaVq7jje1XmzogPjO3f/0QlfM9dm0KeR6DTOZV/sZrqVz5PmulAaA2JvYqY/jE99inYtet9nut87f29LNUzr3pp80thZIkvhH/hzgq83nrZlNHtm3xpeXwDfIkc5YbzJK+i1i34ziO4ziOM4/4BhkXX27gDnGO4ziO4zhLB98gO8RsEoi4k57jOI7jOMsZ3yA7ynknEBkfPmtGLWcS8XjLEhc0FSd0Oqn4vEqVdXFxNjpmE7+UdWAxEUu4VmIRVyYa5KwjESNS69XYqoKJcYxUfGUpj1sdr0HjAtfpR5I6Y5Z8V3nWPps4yKl76sVjDedKmDRDHVqWvoeC1QFmeR5XVeDWErE6sxzrRUMd+9XY2lM3zViO49Y21a40Rnd1XGLNjqbi80q7aiPJmL7yLlTrLTFPTbxmwApMizLSRbHvfGJ+a9817nOq7/p8+q60rM8CmNjCxtch9f41ZrGgcWRDInxxYZTbCS08rqGYmCNFHjfVhpq+JtaIYiuPY75B7E5uaRi17yrfxTaSk3W1pusdYPSzJj6vzOdaYkmMEl95LipX1RwfHj7J7bKLCQCgJDZRGuS+trIUGAAQGs9zO5aKn6/h5Bu53UxiSyfjfNfx3TCxxAFAcghEnXviU6Ka5KnOzdiuEkJoBHA3gAZM7mX/Psb4wRDCJwHcBKAM4HsAfjHGWD53TefGN8hLhAtJDX2e9C1AG8uX2STScBzHcRznQhgH8JoY43AIoQDgnhDCFwF8EsC/nrrmbwD8AoA/n0sDvkFeOixIamjXSzuO4ziOs5iJMUYAL/x0XZj6X4wx/vML14QQvgdg/Vzb8A2yo9SN6OEaZMdxHMdxLhYhhDsA3DHt0O4Y4265JgfgfgBbAfxpjPG+aecKAN4N4N/NtQ++QXaIuUT0OHz0rAir5bkT9oL8QSoWVooAq2jjs9bTIUfVTiU0yKEoMX1PD3Id4xLzNNFmTaSD1ZMidMtO23YLqm1m+VO5X+swVaAqEq3cgUTMYkU0XKGZnz/rlLi5iXjEOgahleMgZ+tX8fVdq20dY6xHQ4Mog1qsaC90SN80Hq329djzto42josaT7JWUPWkuWu3GG1cVwvHDq6d5GcJhfoqxrCF47U2HrWxdEN7C5WzrVfwBdKvbECeBUDoZm0kVnZTUSOchpaEQmtMYn8PHOWyxMGebJfbMTGrJZZ2aORnBYAoPgRhHf/IE3RunjiKsG0XX9PEdlQ7KTahGmUAaBYbmeDYycb+t92AeOCHfEzWFdXYY4XMkQShjdfAwg6Oi1w7IO8BAHROdPL7z29MvKtOfl50c/z42WiQW27m913ex7YYK6xrbcSEWTdz3dyP8kG2u1xz4l3pIfG7iOA6mn/0akzcu5eOhTw/z4ECfyeGRjmGNQCsD2wTGudYNcd/dfg7po5berdTuaFd9OOZ/V7FEut2jTx4Bb/vWLZ+G1kL2+Lhb/I3Yd06/l4NfOY0un5K8hRIPPVs80Zu5BSvkQBQkzUuWyl9Ff8ITAzV9RGZ2gzvxgzESQeAXSGETgCfDyHsiDG+ECD6zwDcHWP89kx1zIRvkBeG+Yiz3DcP/VgazMZJb5GScvxaEM7TwcGB3dgtELo5duqjm+OFQjfHTn10c7xQ6ObYqY9ujheMek7Z50mMcTCE8A0AbwDwWAjhgwBWAvjFC6nXN8gLwHzEWXZtsOM4juM4DhBCWAmgPLU5bgLwIwA+HEL4BQA/CuC10fyp+fzwDfJlyoVExXANsuM4juM4l5A1AD4+pUPOAHwmxviPIYQKgAMA7g2TISk/F2P8rbk04Bvky5cFiYrhOI7jOI4zn8QYHwFwfeL4vO1rfYO8dJgPHfN0+uaroqHaWceAsVPWpIoTrDdS5zijns0ngvOrLlmdtlIOOXqPOAMGTbQwi7/G1CbYISWbqK+lqpzgvlZG5Ik1eDuAWlkSRZTq6GWLCSdFE8FftK+pBA7q7FjkPzJocoIkOu55Gfd8fadMvcYkgmnrMFXEYXHSaqzzB5JE8pFq/xBfUqyvh4+aPMQ4f9ZJrAHUTdgRE3ppTUgSx3WeyT2JxAKhk50sY3iML0jZSEXmnvbNJAqxmuugiX7UcTPHXqqh2TpTGZtRp9xUohC1I3HaMnZXTNhQi9iezqth61BZjyBjFtqa7DXj8jzyLCG1BqgjpyQ5MU55OWsj1WM8J3KdkiilxHaXFRPJdDrZZTQ7Kskm8nYuBjmmiXGCzN9R9gUHABTFR3FYHq+pZts9FHXs2d41CYg65AHAPccep3Jt65V8QSrHxzDXWx3m70Jh/7NUrvQnxN5S7+pXsF2NPiVj2JDKSCN2pDaS+NYaZ+YWGUNdA1P+H5X51SXPB75BXiLMh455Oq5pdhzHcRzHSeMb5MuXOf8i7dIMx3Ecx3GWM75BvkyZ71+kHcdxHMdxlgu+QXYumEac1Q7tP9RlzvecYj3h5ttFg6oaJ9UwAjbZxMnjXO6wQfJjSbJtdEqCgzybf/Uf/hlKVWReGt+9+ObXmHuU/POH+J5nD53jymntDrBGL7eNg7XHMenYuE36EUf4msrffbxuu9lqTtiBXk56UP7+D6icT7Rr9LPPPsXnEzEwS199lMqFdazr1CQX2VpJeABg6LNPUPnEIdY9dnRzAoCef3+bqSNr2c8HVLdbsfpKE/vaJLGR8wkNXzzENlE9wgl3Sj9gHSgANL+Kx378YU4mM9bP7dYqkhQDQM/r+d2Yftz3oLknf/01fI9qroVs+yvMsag63cPPUbHyIMcfzj3ztKkjaMIh8UuoPsmaTQConuDkEsVbOL5ybGa7q9z3gKkjd1UflWvPSRIf1X4DmHiGEzQ0vpHbLT/Kfc2tthr7/BZJyjPIY1h6wCYXKQ7I87767VQOiQRLpo63iPZZfQyaNCWNJbTx+px/G2vOY8natxKflZjULSwwblrH8x8A8t2shb3jX4luPaXBlfXp9q/znCgN8rzSJCCA1Ryv+w7Ps8cOv8Tcs2Idr/nqh4Iaa5Ibrl9r2z0pCVg28DqZ7X+GyqNfkbUZQPNrJGnRBkkUcrzf3JN1ynrdJFr+M/wtrp2xa9FiZOlmZHAcx3Ecx3Gci8BS+gX5QqM49M1TPxzHcRzHcZxlzJLZIF+oZnYhojZcSPKNpYQ76TmO4ziOs5xZMhvkJcJlmXzjhjcNvvjvykmrSc0aWclTfcLqnuj6Lqu/Q1ubPTaNMDZiDzaxLqr2rGgSRQtaPWXjSj79OGtyr30t6/5qj7EmN9m31VYvO51U9aV07AAAIABJREFUjONYYh3rxL17qVw+yeebX5XQow2x7qtw83Uz9gMA0CW6To2D3CDxWjeJXg2wGlvR5MbHJdYugIbr1nAV3RL3tkFiKa/i6wGg7fZBKndsWi8XSKxdwMT5zVaKNlJ03DFLaJCbRMe4kfWHuTWb+Hwq3vZRjuGc72G9fOvLbCxh1WA2ruR7GjX26IS1s9pXvyRd476NP8maRgAovEti8+8X7adormv7WV8OAPHJmefN4H2sa+7MW32tiSYtz5uts/OuvP8U9+2Y+DIMH+A6VlmfChN/uEnmyEY7F3PHWT8bD/PzHP+++EPUUhpNPrbxwzupXNxsbSRbx+tX7e7P8QWiuTb+IABqz7E+XONvQ3S8xj8CQLaOx6T6JGvK1e4AGC137rZb+LzEuS7uEq0sYOLt1o6K9l3nCGC0vvketrRWWSKDOqYARryqmuMd+x82t3x05NVUvrWHbUS/imGtaNIB5DdtoHJ1L2uOv/kEr4lXFe38vvJWHrOQl/VtrR3n7DRr7EO7fK/l+53fZP2M4qlBc6weIYSPAngTgGMxxh1Tx34PwJsxGcD6GQD/JsY4OHXuNwC8H0AVwL+NMX4pWfEUrkF2HOfyJJUEw3Ecx1kqfAzAG+TYVwDsiDHuBLAXwG8AQAjhGgA/A+DaqXv+bCpN9TnxX5DPg1lIKPoWqCuO4ziO4ziXLTHGu0MIfXLsy9OK3wXwtql//ySAT8cYxwE8G0J4GsDNAO49V/2+QT4/ZpRQpHTOy1GXfDnKSBzHcRzHWRhCCHcAuGPaod0xxt3nWc37APzt1L/XYXLD/AKHpo6dE98gX3wuS12y4ziO4zjOXJjaDJ/vhvhFQgi/CaAC4JNzrcM3yM4FUyudDZSuyQkAIN/IgdRzK9kBJ7Ro4hB2kgAAjLLDWeiWpB9FqQMA2jk4fdYnjiCS4KAh4fSws+0RPtDITi2DXzxC5cq4lfU3d3Og+RP7uY72nlSiBXYeKTTzGDbfwM5Dccg6KWZt8oeLHnFaGrfONGgRR7YCO2hokgQMDtg6xDEGndzXsIqTjwAA2uT9NnGAf7RIMoKEo1ttcJjr6GTHEQzL+c1bTR3lp9lpK0iikKzFOpfURvj95VXWVpRnSWif48F9XJZkBSHlxDTEDmehlccoyvMi2nmV3XKr9IMdWVu2brbtanIJcRY0iSQSzxs2Sb3icNX1enamCupwCSB0iCOnJqg5ahMa5FdxX4yD3Wq2zSjrDgAEdfbUd6P2DyC/heeeOu6uup1tNY5Lco4EcT/bDPJ27Q2d0tfVMo4VcapOJLExY7SS+x4HxQ5nk+hJ22hpssfUWVvtTmwmDlqHs6yPfyDMynJPYl5Fmc8hz2txaOR+xJJ9V7Vhnr+aBEQd8gDgfce/QeU/zPiaN//NfiqPDdskJ73XcjuNr9xC5dfdxE57xc0zO78DMN+A0JpIyiWOuVG/4fKtTXzhgab5+0N7COHnMem899oYX1z4ngcw3Ytx/dSxc+Ib5PklFau57xL0w3Ecx3Ec57IihPAGAP83gNtijNP/C/dOAH8TQvgDAGsBXAngezPV5RvkeSQVq/lC4y8vRg2zS0Ycx3Ecx7mUhBA+BeB2AD0hhEMAPojJqBUNAL4SQgCA78YYfynG+IMQwmcA/BCT0otfjjHaPOHT8A3y4sc1zI7jOI7jONOIMb4rcfgjM1z/OwB+Z7b1+wb54rPsU2RP11vFmtXB5UQqlXWIJrFRfiBvF70pYHTJUTRNodFq2Iy+Tq9R/ZkkeACAnCQSqB1nbWTn6yRqfEI/ffRO1hf29LFOrNBldX9ZpwxaTZITdIm2sGr/QzjouJ4+Za4xlGXMVOsrY1Z7nBOYAEAc5zqyrX3ShtVomjqk3aAat4Q29NAX+Z61Lxddb4XPN7TbxCFZM49z1iE205DQV57i9xlPS/IJtbM20c4CqB0UKZyMUUqzVz3GdpXbwEkhKs+wBjdrsMt9ftfLqBzWcqKBsCKhF883zHiN/igTWm2yDfM8gye4r9u38XnVnwKAal0lqU313vvNLaFJfBWCJIpQfbEkQJjsnMzXM6x9rZ2YRcKD/mNSpyQ56bJrYCjyGNQOHObyKTsnspPc/+x6TrATx0S3mxjn0CPrRhtrg4OuEQkdM3okEdB6ft8h5UOiSUyaEt+FaaQSw4S1rLmOR7ldjNvEVpr4J6vM+CNjMu9PdZgtvFbmMdEkIIDVHP/KUdYkv30r+0x8+Zj1mVnxXdYHv/F6/k42vZrnVVhl64gnxa/kGNtZai2qPMZrra5FkDGMozYRThxO+eJcWnyDfJFZCimyHcdxHMdxnLNc9hvk89T49l3ErjiO4ziO4ziLgMt+g4zz0Pheol9zL1SiMe+4JtpxHMdxnOWMb5AXORcq0VgIGnae1SBWR622qtDLZlbZzxqn0Mj60mzAavjiqGjFND5tj8TMRCK2ZmuLuYbauPtr5lh2RR/XOcaxg8fuZX3W/9/emcfJUV33/ne6Z9fMaNegEYIR+24WWWzGxjZegolfsEm8P2MS88lzCE7i3fi9kNhJsBPjeImd4IB3x7EDjpfYCSIGHGw2CQkkLIEEEhKgfbSNZiTNTJ/3R5Wgz+9ezVR316w638+nP9O13FO3bt26daf6d84BgMEDVk+4o9vqwnr3WY3bjH1hDOOm/fY4xWm2DQfXW31p35NhTOPGo2wbNb7jNLtDLLYuxRZFH8UOPsna0N0PgJEWanfS08Z0b9i7xy7X0dBE+nGZEyZAOvYPbL+RBTbWLsfF1Z2hJrtnlT3/9ktI+90Yxh7FVFLlbaP4u12k++O4yAjj4nLcUDmmKyhT6CH9KMWObmCbMZ3nVhuje3DZo/YYC44J68oxqQdIL011H3yS4vUibEc9aNtd2shPIaJb133U50nHO7CJ4kAD2LfB3hPtZ9l7T554xpqcE+rFdQf1G/I72P9YGBu88WSrbdbdVi9cPP04W4+WSKxs8jPY/5NHzHLTRV1BGaExr3TfYrtM5yKRWMqlnbYd6978JrvDXtJpR+Ig65Mr7AoaR4O4ueBI8IAuGzIiFw4ufSpY10C+CwWO6x3x3Rh80tqpO+/0IY+L6aHGvn69jSfOfSR8WoVxjllzPO9Xa83yQx1h3+w80V6L+nd+xiwPrl1iC/SF94j0kU8Fx49vDp/PdWdRTPlGGmvouSIxn4LY82iMiajpHcepFp4cO47jOI4z8fAJsuM4juM4juOU4RKLGhiPSTxGA9cgO47jOI4zmfEJcm14Eg8Auv9FfWAxlM6h2GE1izLN5n8PNKtAmJd9D2mlSFsnbZGc8qxz4riavVZrVTj7osCE7iE94TMbzWLdLKtxqwOg+62Watsqq8c6s9PqbZvnhvq7urm2roWpdL7zO81y2zlAae16W6aTNKis8WK9MQA0cYxqWqagn4WXXoDBxVbXyPEs684nzXFj5H/KetL2cjxmqoeuXxOY6LvPal0bd9l21r1h7E2OaVsatBKZ3iX2+tdPCyU0vc9bG9OPJ831BqsdVACYbuNn9/3I6kkLLfY49V2bguPu+ZXVArZfYrXuB1aS1j8in275+PVmua7rVFuPjuODMoMr7rErqF8J9asixVYGALSSfnKT1f7K0aRpRORe5P5LfUQe+nVgo3ERNQJpfeXoUHON/dRvWMvdbWPrNhfCPiKzQp2q2T5jpl3RGo5ncsDqdjnccGlTqH0uzKCY5KeeYpd5nI3oh4vzaNzYY2PB63aK+w2EGtSDpFNnP5PYM2CmHVvlmMi1KT/kMceg9JTVD8ssG49Xn7Xjt+4NY0ezDnvg4ZV2B/J/0f5Qxzyw2V6rxnPseC2doR9GX4/tmxznmDXHi7aQnhjAlYWFZvkbt/+jPe45i2yBKe2Qdtv3Bpcts2VmU9+cRrH/AZTYd2Eaae73RcZeJqIHz4KIFAEsAfCcql4hIq8C8HcAGgAsBfD7qhp52A2PSyyc8QcP2hMInhyPFjw5Hi14cjyh4IQPo8X08AHjDE0wOR4teHLsDA9PjkcJnhw7w8OT4wnK+wGsAgARKQD4BoC3quoZAJ4B8O5qDfsb5MrgkGtdY1QPx3Ecx3GcIxYRORrAG5Ckj/4zADMBHFTVQyleFwP4GIZIPz0UPkGuAA65Nh7iE4+FDtplJY7jOI7jjBQici2Aa8tW3aKqt9Bufw/gwwAOaZK2A6gTkYWqugTAVQAiGq9s+AR54uM6aMdxHMdxJg3pZJgnxC8gIlcA2KqqS0Xk0rSMishbAXxORBoB3AmgOnEzfIJcK+Mhy13XGB/fBPWvnxtq9mSmdS4odM61O9SRY0hzxGGjhRJJsMNZWyT0+kHrLIYWSnDAGtRIAgf2hJFW69TTcBEl3xgIfQHO3GAdyqZdbG0UZliHBgCQ6fZ8pJ32aSYb8+lcAchM0pdxAouYBpdjz7OjIyUFGNhI1wVAiXTYxR3WqUcix9U9ZIccNjjhQSyo/K519oeU2Z3WsXNwu22jvk2hM1X3FttHWvZaJ6e6HeFxu3fYazGVEmNwUgyZHSYoeXq5deJqarD9aM62sMzqp6wT5lltNknPbx6122dNCR2SjqNlaaEOoBFN/T5KUCLUjkpOp7GkAMPY1AOUPKeHklEAADmtoc22WcwBixFKJBE4h3ISDACYYh3otNv279K28J4QSoTCSU34jhBOlAMEfb5/N9nYEiYc4jIFbjNK6hJN1sBJWnaRk16vHfOFrz8ADNAcJeaUx3DfY7vkUBgbV8K+ymN+pJ1pn1If6d+pWoUpoWMjX9BSt61H3bHhS805p9vrN/0B63TLSUDYIQ8AfrjJOu59o3i53aGXnN0bw+RZ2mPvG8niE9RP995wST8i40qJE/8Mz8UA3igilyP5Fb1dRL6tqu8EcAkAiMhrAZw0hI0h8QlyDYyHLHfjYILuOI7jOI4zaqjqx5Doi5G+Qf6gqr5TROao6tb0DfJHkOiTq8InyBOfUX+L7ZIOx3Ecx3HGIR9K5RcFAF9R1V9Ua8gnyBOc8fAW23Ecx3EcZyxQ1XsA3JN+/xCAD+VhVzSmF5qELFy48MbYm8/DrXeys+//vfWFTiQzQi2wdlvtlMymoPkcnJ51cUCgl+XA+ro31P1xGd1KAe1JF1dYcGxgovS8TdDAOjc9QJpFINAXsgZVSY/IgeljNpTqGiRXyRJkneOTsv4SAHpIx8jaX9ouc2wgfgDQ/aQlYw1jRJ8mHRQ4f49NJKB91mZp3bOBjcKJ9voNPrHeLBePscdg7SSQJD4xx7nvl3aHplCPx9dPFiywx+HkBH2R/s0JWObZxALaG+ppS+ufM8vFk+m4e0h/WQrH+oNLbezYhvMoMUgk6UUQp5yuTaY45nzfsI6ZNbjcLwHIiSfaFTQGaF/EH4ISR3AZTj5S2mKTgAAI+jNrNGP3RCwBRzm6yY4zpS1h3OdAy3403TOUjAMABp+wCVgKnXbcZJsx5Fx7T/R/79/s9uLwiTN0gJLJULINaR66fQCgMMs+W1grW7zo/KBMaeXjZnngKfLDiEhl6xfaftW/xPqQSJO93zf9TziOHnWhvRb1p9kkJ6XuUNteoGcnjxP173y/WR6gJCAAgjG9/aM/M8u7rjvPHnNuJOnHVuvvUJhjtdD8LAIQaJADzXmzffZEn3mk/W754D9HBp/R5Uh6g3w4KULXKNfDmczEJhSO4ziO40wojpgJ8uGkCO7k5jiO4ziO45RzxEyQ82YsEnSMF1yS4jiO4zjOZMYnyNXjCTpiRLR2hQVWf4WjjrbLpPsLYlUCkGmk6+OYl72ktwSC+KvSZvV4bGPgfhtDEgB232+1jzPeYjWaQRxVIIxx+uorzbKuecTuvz/USurWrdbGdBufVndZ7aScflZYjx02Lq5upuU9FBMTgEyzMV5ZG9v731aPN+WPKA40gEBkUm91joGuF6GmOKgH6ZaLLwmPq6RdrzvzZLtDh9X1CoDBe//HrNt38w/Mcs8WW/cD+8Mhs32W1eC2v9bqawefIx1rRAtcnGP1h4OPPxHsE5Q5xfbF/vtXmGWpj/RNouF8ChFK2sH+FevCMq98qVlW1gezXn4e3e8A9GkbKxpTrKZ++62/Mcszr7AxnQEA66lu7Kdw6pnhcdeutvvMJrukSZYpYbxemd5JK6jHz+wIygzec69ZLp5h++aWb1udeox+ius+//OvtMe4+7+DMsWTrS5/4De2zQozKDb8QCS++Me/Z5e32bjfMzvt9T/QE94j006lGM6b7Zi/f1eoa92/1z5L5r7d9ufCUfaZcPBH4fnvsd0IhTp77zXPCY87+ItVZnn1Q1anWxBrY968UE/cu4Z8CtZbrf89q8N74rKFdp/mV9p7c3CtfT7JOYsCGxzneNd1dsyf9qWlZvmRznMDE8e/Y+j3foXTTg/W6Tpbd7C2fR894yL3VczPYKyJRNZ2HKdqXIM8YeDJseM4juMcwt8go2q5RNcIVMVxHMdxHMcZY3yCnFCxXGLhwoU3Hqk6ZJeWOI7jOI4zmfEJcm24DtlxHMdxHGeUEZHbAFwBYKuqnpGu+1sAvw3gIICnALxHVXeJyCIAtxwqCuBGVf3hUPZ9guzUTpkjFzuPAUDpWRsEv7DTBiLnZBuxIOLKCStI9B9L+sBB8IPg+43WCWTXr8JkDD277A8EU5+2yRnqXvUyWyCSBEO7n7crOKHBnrDNdKd1/OCg8QNPUj04GQcA3UHJNg6S89QUShwCALvI2ZGOWzeDrk03JV8BIglarMNhaXfYzoM7KOg/J1NpsENVrM247ZUdOTeSg9KJXYGJlg6bSKGZ+hUnLAEAmULJFyiZSHE+OZhGkstIp3XsEj4OnwsAtFnHvuJR1pGzcNopZlmfDZOrDKxab8u02brf+Z+hw9nlJ9i+x301SD4R6Zt8LxbmWWe5KR3kuBs5/9KzW4J15RRPDp2JBpY/aZZ3PGSdIRun2ONOexMlTgGgu+x9xfUoXkgOpgjvX91mHTfnvNme/4Fl4bVS7jY9dH9H7iscbe+bYpdNLiLk/BtLOER7YOo+2zcLnfPC4xJ8nPpt9vo3Re4J3WvvPU7AIpT4qO6k0Mb02dQ3yflXIk7lSs+Wsy8hR0ZyXNaDtB3hPdC72Do3n9wQOpU3LKC6cfKkPuuAJ3NtYiAAQKN9TnIiEHbKO/d5chgH8MNbX26WX/fgdWZ54PtfCo/LCZTYEZnHhIijcsw5PwNfB/AlAN8sW7cYwMdUdUBEPg3gYwA+AmAlgIXp+rkAHhWRn6jqABs9hDvpVc9+uA7ZcRzHcRxn1FHVXwLopnV3lk16HwBwdLq+t2x9E4Bh00j7G+QqWbJkyU21JBmZyPpll5U4juM4jjNSiMi1AK4tW3WLqt5yuP0PwzUA/rXM5vkAbgNwLIB3DfX2GPAJ8lji+mXHcRzHcRwinQxXOiF+ARG5AcAAgO+U2XwQwOkiciqAb4jIz1U11M2l+AS5NvbX8Ba5K8d6jCkyb+6L31tagu263ertZI7V2wWRgyM6OO0jPRpr51jjFKFA+lJm5jtmhes4EQhr1hroRwBOegIAG9YOeVyZNTtcN43Or8Hq7epn07lQkgwgbCN9njSbGdqMqT9jvlkurd8Q7KN7SQtZZ5VchVlWOxtbx1pfabbtHCSnQES7Tjo3JS1s771hcob6Gbau/d2kNwwl5tBB24NbTrNB8KWJ+kwkVnbvHY/ZXejSNJ0fJhYYWHGfrQcleaifudnu/zRp4RHqowvz7XFevej+oAz2ky6ZtINKWvDSBlsPABDS/7N+vPnlx9ntLWFigdJ2StDA+v/IvViYZjWaazbb++q0LquX1/2RZ2cv9SvS5KKbEsMAKBw1I7RTvv1Mm9SkMabJJV1+/8/vMculPaHWu0A+IaWN9vxAyzoY6eB0H/VvprFmI/kPtIc+JKXeoROhlCLNzJLUpjlU92Z77QLte2wfGr+5rwIAeBxhu7RdIgmXUG+nVi2vsv35xEvCa8Vot9VpS58d8waXLQvL9NDYS43ISUBYbwwAV3bbRE59U/7KmoxpzmMDY/lm8kOJJRTjNqsFEbkaifPeq1U1kFKo6ioR6QFwBoAwQ1iKT5BrYMmSJTdVW7YWeYbjOI7jOI5jEZHXA/gwgFeoam/Z+gUANqZOescCOAXA+qFs+QTZcRzHcRzHmVCIyL8AuBTALBF5FsCfI4la0QhgsSRp4B9Q1T8E8DIAHxWRfgAlAO9T1fDnnjJ8gjx21CLPGFNcO+04juM4zliiqm+LrL71MPt+C8C3KrEvEXnGEUUaTeKUJUuWXD3WdZmo9P3Xl17sRAMRDe6Udru8wcaEBPfB/lB/xxrcQBsY05KRTpXjaAaxVWNxGCnWLIr2f8rSww+GZUijVug6dmibMd0ya5t7SefWSNubQu03t+OB7/zEbo80mTTYNhjotnWr77TXYXBXeK2K7VZfJo22zQa2hDGrC62k+yOdbqnH1qOuIzxfjpXMca6FYmkPPm3jcwNA8YROu4Ljdca0dqRT77tnva3rNNumpYOhjfoO0i23WN3jgTWktwVQN8Oeb2GqtdG7wsZabb3Iav+BMD5v4WiKx/ySlwZlBn70Y1umg/S1dO10m417DoR6aQxwjG7bv/ufDm3UzbdxYwsz7Diz/8EwlnDzFWfZMufYOOb6/FNmefDBUJ7I8XkLc6zWv/+JUHNdnEr3zU7b7ge32/Zo/W0bwxpAEGuWfQoKJ58YFBlc+Rtbt7UUC567YmQIbDjVxuNlLT9rf7lPxSh0Ul+MzUNYy77JvuzTA7YexZO7AhO6x94DPAbEtLDsR1Nauy7Yp5zCgmPClfw8mk73SF1EL11P67ZanwHtiWidGfbNiPg7mGr83nXBOpli+3Nz5yVmuftdp4Vlmqkd6f4udJLPTCxuP2n5W2/+8dCVHwWO+DjIqY54/VjXw5kkRJKcOI7jOI4zsTjiJ8iO4ziO4ziOU45rkCtkIif4yAvXIDuO4ziOM5nxCXLleIIPQtetGXr7ZhsDsbSLtFQDYdzjwZ1Wj1TXYfPdl/ZZnVv/82EgzWKr/YGk4bwhqxmNvyxHkVaqibSi08OYvozutbFIhfRpsVirwnpDiiXNulcgEk95P2l9SRZXN89qOAGgf6OtK//GVHfWCXYZgO6m82uz12pg5dN2e1P4w1VhitXfsQa3OMeeb+8S0lIi1G0Orn7GLCv1GZnSiLpLL7LrOkgvzrrAOqslTSpnh9GmPdZHJBaflXWaxZfbeKTSbjV78svFgQ2O+yxzrVa0/eWkt9zVDab//hVm+eC6J81y/dpQx6sHrB58cL3V3LIWOhbftNBq26SwcKHd4aC9J4rnA/osxdLlcaPd9uf6zm3BcVmjOfiTf6OKWdmjNNQF2l9ud91jbTZccHJwWN1NWtip9t5svOZVtsCBSGBg8uWQuRSPdnqoMS922v5cONZeb/bDkMi4gk7S2NK1CXxMYrDmtmfX8GUIffous1zaZ/0fSktWo/4lx5t1Qbx89kuJxO1nile82dZjP+l8d1KMXyD0Z9lGunRuUwDSOt0eh7c3U5tNC+P2M6Wl1kemcNrpZnlw+V3QJ1fb41CcY9Ycz/iW1bUDwP2zF5nlXxft2PPuS8IY7L3r7Bm2nT3+3ju6xMIZd/Dk2BmeWLKR0YAnxxMJnhyPFlmcmBxLMDkeLZrG30PbicOTY2d4eHI8WvDkeLziE2THcRzHcRzHKcMlFuOAiaZrdomJ4ziO4ziTGZ8gjw9c1+w4juM4jpMBEZkP4JsAOpBItm9R1c+LyO8CuBHAqQAWqWoYzDwjPkF2ambg4RdF+6XeMOlFodUGES8eRY4TlIyheKx1NgIA6bAJDArd1uGo7sSIg91scmKYRXbJQUU3hgHhg+DsvfuCfYZjcJW1W5xvnWl0X5g4Ax227tob2acMmdsZrjxota71p9t9pN060wFAw+zpwTpbhhxyMgTarzt9gVlm5zkAKMyyzo4y2wbW5+O2zg0TR7Dd0j5KJNBKyWaeCx3QsJscYaZQG3GCFiB0yKEEBqy244D4AMKkAAd6zfLA2tDJhZ3h2L2q1G2Ti3CCCwCoO/M4u2LVemvzOHIEAyCLbHINaSCnvGZy/uwNk5zoUyvNcuGUC8xyafNae4xi5FHVTn2kjfruw4+HZQi+B4JkQrEERC3WAam0huraGt5XutU6lRZmkHMvO+VxEqMIMmOuXcHnD0Ca7LUorX8y2McQc9LrozGQrwW3UaTu0mj7iG4hTXmsndkOJ+0hBh4Px29pts6BB9dZZ8liS6SulCypnp2o6ZlQ2hI6DJe67T6FabbPFHZH7glqe3ZuZgfp0rJHAxvot89f7t+6zibCiWrsKRkSJwFhhzwAuHDbQ2b5H+a80iwX28gJuxD6Yaz7me1XZwV7BAwA+ICqPiIibQCWishiACsBvAnAPw1vYmh8gpxQSdrnrloOdBg5RU02HcdxHMdxjhRUdROATen3vSKyCsA8VV0MACK1J+LzCTJeyKaXiQom0ocjkFNUY3MsdcsuB3Ecx3EcZ6QQkWsBXFu26hZVveUw+3YBOAfAg7Ht1eIT5ImL65Ydx3Ecx5l0pJPh6IS4HBFpBXA7gD9R1VzjjvoE2amZulPmv/Bdd+0Nd+BECaxz04j+jIgl0xjOhpJeWPaQbjXQuIU2OMmDsg5ObbD6GIUZpMlsID1WrBDpWoNkE2SD9cYxdK/VtUpzmPSi9JxNriBNVn+mHHg/du36+8N15TSFumVE6mJt2nbuWxzG72x6Kemwt1udX3C+dRG9ZXBcOpeYNpQ0mTKFkrwctLrAwlRK4AGEfa/R6riL08OEBgObSE95NOn0O2yykYHuUPvNxy12kI61OfIj1XNW68naSbRRH2ENKwDttX1Rt5B+dB895zjpDQAM2gQNyslF5tnzBwC0Utuz/j+Dnpb7orSQBjumwZ029BgQJN9oiLT7fmozWsZ7U69zAAAXDklEQVRAZAyos2OeDnNvymDoQ4IDVFe+VbkeERvKumVqw0DHH7EjU+meaKHtreE9ItR/GygRTPHkrvC4fD83ku8C1atA/hIAIFMooRSNPdIeJmniZ0txPunhqR6FaWGCFuX+yzHX+TkyjK4bADBgbXISECDUHP/R1rvN8u+sPtEsD/aH1/u4qyqPOiwi9Ugmx99R1TsqNjAMPkEeH1SigT5E1wjUw3Ecx3EcZ1wjicj4VgCrVPXmkTiGT5DHAZVooA+RgxbacRzHcRxnInIxgHcBWCEiy9N1H0fyG8cXAcwG8B8islxVX1fNAXyCPHGp5q1zLrj22XEcx3GcsUJV78NhFIoAfpjHMXyCXDm1Tky78qhENW+dRwodKItBzBonRLSfbaH+yuwf04Y2kA2OvxuLo1lH3XuYMtJMWsIYfaRZjJwv67pYjxbUK6Zz5HXUZhxrOAqdr7TZMqxJBoB1P7Vtf9xVtMMB0rTxuQDQvVYbK1T3QkdEs8ftyDGqqU3XLAv1pWdeSP2G9XWsJ9+xE9JGcUL3cMxXslmIjMd8vadzjFvSrEZiRyvF9dbNVl8bi09bnGrvicENm21VWfse0RvKLIoVTjrHWEzfIBY4nw/rhSOxo4XiS+vOLXYH1uTG7m/W05LWWSIaTaFxJGiRJorXu2cX7wGhfYIxIKbjZZ+CKXT/cpsOp+OPlYmgfD1j/Xc4GxwbnIj154C9FPeXzy/my8BaYNaPM1Eb9r4Jxp6+iG8LnQ/r5bnu7GOQHMi2czDWxp6BPLYOUGx/6lfR+PkMP3u5TOT5pVvt2FPotGPtuy8JY7JznGPWHM/79Rqz/MRJZwQ2BreFvgpjjU+QK6TWialLIyY5WZwenHEBT44dx3Ec5xCVuw06juM4juM4ziTG3yCPMWOZ8KNaXIPsOI7jOM5kxifIY48n/HAcx3EcxxlH+ATZqZnSlhcdjHRv6PQgjbabFRewAavb1YgzhkyxTg3aS44jEQcNDvAeJDDg4PT7NwY2og50FcLOFMJJAgbJGQOhE54GzoF8buQYBgTOUqVum3xB6iLB2t9CDioHyJmmP+KQMgzaY50vyvvLIQrt9ppLO2m5yemloRi2WWmztbt7qXV6mYodZrl4NgXiByDTqI/UkQNSzCGJnHZKT1iHFK57KZJMp9A1z67YZBO29K+nJDcA6ubZe2JwCzmp0fUt9Qx/7Urrnxu6XgCk6wS7PM22o/aTs1HsHtpJjkBdZ1obuykJSPem0EarvVbSYJ3nBn55f1CkeJqte+AzwI663bbPJEas45f2UEKiiAOtkqNm4Ng5g/oiJ9YAgGbSzHMylaaI4249jTWbIsliTEVCJz7h68dOmNOp7lnGTHZkjDlhUhuUHnzMLOsgOVkXIwlaKLkIO4wPPkPOoQAKM8mp+AT7wAqc9vrCezMYE/baNqs7NuKoy8u99NyI9YnACLUJO7yzw3jMZ4bHODqX3nURZ9+Cvec5EQg75Z385MrAxhd222Qj7wtrNur4BHn04SgYXWNUD8dxHMdxHCeCT5BHGY6CkUdUi9HWMbskxHEcx3GcyYxPkCcHrmN2HMdxHOeIQETmA/gmgA4kCpVbVPXzInIjgPcCOKRT+7iq/qyaY/gEeezJIyNeVw71qJpC24u6plIkaHphDuk6WXNMAdElpkdjXRRrjg9GAuuztpc1x6TpCrRlEYYNih/RQivrdhvIRg/pE4FQx6fUZtu323rNnBPa4HbcTwHuwxLhteK6U5uyTg4AUE8B/kkHN7Ax1OAWZ1gNW5GvHWk4j78sTJIwsMnWtaGVdJ5tpL/bGUmAMIf0lKyVjCWBYL3hLqsFLsyy+nDtC6+37rA6xsEtdvng9vBq1XfZdh7YZa+3HrQa1eK0sO+WNlrNsTTRPrF7gnWtTaQfp+3aG15v7KLEKEr9qod0nbsjOs86qkcL+SnsIy00AH3eJlMJxhVOrhNLlMJJbEjbr5EEJQOrbTsXd9rEGcU2KhPzKeC+x2MEXxcAUrTnU9pMWu4gaVFEg9wx167gcYVt8DgLhHXPkgiGxufCUdPNsvbZ6xskG4pB1/fgxnD8qidtc+EkOh9uo0gSnyDJB6GxsafZ/gisPdR/uY0iviulfbZdi1OpX5FePnqtaPxWstl2dvhj9bqf2TLHXWXtchIQ1hsDwPVb7jbLGTTIAwA+oKqPiEgbgKUisjjd9jlV/bvhTQyNT5DHmDwy4nnyEcdxHMdxjhRUdROATen3vSKyCkDoVVwDnijEcRzHcRzHmZCISBeAcwA8mK66TkQeE5HbRGT6YQsOg79BnhzkIdPIjOudHcdxHMcZKUTkWgDXlq26RVVviezXCuB2AH+iqntE5CsAPolERfhJAJ8FcE01dfAJ8iQgD5mG4ziO4zjOeCCdDAcT4nJEpB7J5Pg7qnpHWm5L2favAvhpLZXwj39y+QC41m24jYlQF7cxPm2Mp7q4jfFpYzzVxW2MnQ0AgiSKxd/T+rll3/8UwPeqrYtrkJ08uXb4XdzGEWwjLztuY/LayMuO25i8NvKy4zYmto2LAbwLwKtEZHn6uRzAZ0RkhYg8BuCVSCbJVeESC8dxHMdxHGfCoKr3IXmLzFQV8ziGv0F2HMdxHMdxnDJ8guzkyZCCerdxxNvIy47bmLw28rLjNiavjbzsuI3JayMXJBUyO47jOI7jOI4Df4PsOI7jOI7jOAafIDuO4ziO4zhOGT5BdhzHcRzHcZwyPMyb4zgjgogcB+BNAOYDGATwJIDvquqeMa3YOEJEZqrqjhptzFHVrXnVyUnwdnWcIxt/g+yMGSJyh4i8M82lXq2NaXnWaaIjIi0i8mER+ZCINInI1SLyYxH5TNZ2FpFHROQTInJ8DfW4HsA/AmgC8FIAjUgmyg+IyKUZbdR8LnkhIkeJyFdE5B9EZKaI3JgGo/++iMzNaOMmEZmVfl8oIk8DeFBEnhGRV2S0MYM+MwE8JCLTRWRGRhsFEblGRP5DRB5Nr/f3sl6X1Mbry75PFZFbReQxEfmuiHRktVMr6bFvEpHVItItIjtEZFW6LvPYkEe7pnZERM4XkTeln/NFJBar9XDla+5nI0Ul7ZDun0c/q3ksOozdJ6sok0tfq5Wcxuc8rs1xInKbiHxKRFpF5KsislJEfiAiXRltjMj1zQufIDtVISJn5WDmfAC/A2BD+gC4UkQaKrSxXUTuEpHfr3aQSicrd4vIt0VkvogsFpHdIvKwiJxTpc1WETm3mjpJkl+e183KWPzrADoALADwHwAWAvhbJAHVv5LRxnQA0wDcLSIPicifikhnxrKHeC+A31LVTwG4DMDpqnoDgNcD+FxGG19H7efyAul1vlJE3igip1RY/OsAfgNgI4C7AfQBuBzA/yD5RyALb1DV7en3vwXwFlU9AcBrAHw2o43tAJaWfZYAmAfgkfR7Fm4FcAyAv0FyLj9N131CRP44o42/Lvv+WQCbAPw2gIcB/FNGG0OS8Rp9H8BOAJeq6gxVnYkke9bOdFtWam5XEXktgDUAbkTSNy4H8BcA1qTbsvB11N7PDtWn6nFERC5OJ3+Pp5P8xQAeFpGNInJhxirk0c9qHotEZK+I7Ek/e0VkL4DjD62vwFTNfS19Lvxl2q67RWSbiDwgIldXUI88xuc8rs3XkdzvPQAeALAawG8B+E8At2W0kce5jBy15s32z5H5QfKT+RoAnwRwWpU2lqV/25GkjPwZgG0AvgbgtRltrABwBYDvANgB4EcA3gqguYJ6PITkxn4bkgfTVen6VwO4P6ONL5d9fxmADUgGno0ALs9o45UAnkXysL4TQFfZtkcy2lie/hUAm/FiKEcB8FhGG4+Ufb8EwJdTW3cDuLaC69KYfp8OYEnZtpWjdS7p/q9AMsm5C8nD7KcAfgXgHgDzK+mr6fcNsXpmsLEKQF36/QFur4w2PoDkAXRm2bp1Wdsi3f8xWn4g/dsIYFUVfWQ5bcvUHhmOsSHDPk9Us22E2nVV+T1btn5BBe2aRz/LYxx5CMCZAC5M7bwsXX8ugF+NUT+rdiz6AoBvAuio9trm1deQPJ+uBnA0gD8D8H8BnAjgGwD+ehTbJI9rM1RfXZbRRs3nMpKfMT24fybuB8AyAGcA+CsAawE8CuCjsQfEEDaCwRrATAB/COAXldoA0Azg9wDcgWSy/N2s51L2PY8b/W4A56bfj0PZ5HAYGw8jedMKAFch+Qfkggrrsbzs+2207dEarksRydvfr2W08X4AjwH4KpI3C+9J188G8MvROpeyvjo7/b4AwA/T768BcGdGG4+Wff8Ubcv6j8cfI5mwvArJW8bPI5m8/wWAb1VwPkcD+AGAmwG0AXg6a9m0/FIAx6ffzy2/HgB+k9HGs0ge8B8A8DTSf14qaY903y8c5vNFAHsylL8TwIdhJz8dAD4C4K4K26XWdl2D9B8gWt8AYO0o9rM8xpHyMXEVbcs6yc6jnwX1rXQsSsucB+AXAK5H8st5Rdc2r77G4xaAh9O/BQCrM9rIY3zO49osBXASgEVI/olamK4/sYK+WvO5jOTHnfScalFVXQngBgA3iMgiJG9u7xORDap6UQYbPRGjO5D8lJj158QX9H2q2ofkp67vi8hUJPKNLOxPfwKdCkBF5HdU9d8l0YUOZrRRTruqPpLW6WkRySplalDVx9Ny/yYiqwDcISIfAaAZbSwRkVZV7VHVaw6tTDVeezPaCPR5qjqI5A3bf2YxoKqfF5G7AJwK4LOqujpdvw3AyzPWI49zAYBielwgebN/bFqXxSLy9xlt/KisLp8oq8sJiLRXDFX9ooisAPB/kDxY6pA8TP4dwKcy1gOq+iyA3xWRNwJYDKAla9mUDyH5SfNAWoe3AoCIzEbydj0LX0UyiQSSt1+zAGwTkaMALK+gLu9BMsk+ENn2tgzl34LkH/N7JdE+K4AtAH6M5J/lzOTQrrchkSF8D8kvR0Ciu38rkp+vs1BzP0M+40j5mPUxtp/RRh797AleUelYlJZZKiKXAbgOwL1IfCMqJY++tk9EXqaq96X9rDutX0kks1a95vEZL16bg0gmpG8DKr42HwbwEwAlJM/aj6XSy6lIJHZZyONcRo6xnqH7Z2J+cJg3EUgmrK+owM4iAC9Nv5+G5K1UJklCWuaDOZzLSwD8F4CfAzgFyZu9XQAeB3BRRhu9SN6YrkAyeZueri8gu6RgCYCjaN3RSCYce2s4v28eujYVlDkFicSklda/fpT7Wax/vKHCc7kNyQTlHQD+FcDN6foWZHxrk+5/HIAPpv3jZiS/dLRXUP78Q/unx/5LJA+jTwOYmtHG9SiThSD51eSMKtr1wlruu7z6CJK3etF7DBl/Bk/rcVmtfbX8fMrbtcLzOQ3JJOqL6eejqFKCltp7WXptMknO0jI1jyMA3gigJbL+eAAfzmijAck/QL+bLr8dwJcA/BGA+ow2TH+vsg0bAPxvAJely+9C8qvH+7LWI8e6nIVEvrITwH0ATkrXzwZw/Wj1kbScAJhVtvzNKtr13WXt+g4kEonM1zctV9O4OpIfTzXtVIWIvF1Vv1ujjT9Hov2tQ/LG5nwk8oTXAPgvVf2rmitaIyLyHlX9Wob9jqVVz6tqf+oU83JVvSODjcsAbFPVR2n9VADXZWkPEfkxr0KiSfwFAKjqGzPY+GMkb1pWATgbwPtV9UfptkdU9dzhbORBXv0jdVZ6L5LJy6NI5BqDItIMYI6qPpPBxvVItO6/ROI0tQzJP1FXAnifqt6TwcbjAF6iqgMicguAfQBuRzIpe4mqvimDjd1puacA/AuA7+uLjn+ZiLTrIiR67MztmlcfkSQywn5V7a3kHMrKX4/kgVxrPXKxUysi8pCqLkq/vzet0w8BvBbAT1T1pgw2ah5H8kBEvoOkj7UguVdakcjfXg0Aqnp1Bhvc33+gL/4aVG09piBp01cj+Uf73RntlNflu2ldKrr3hrGf9VmTRx/h5wSQSL8qeU4catdmALtRRbvmMa6OKGM9Q/fPkftB8ra1iGTg2oMX3641I7uGaSqAm5DoXLuRaI9Xpeum5VDHYR2FxtMHyQDzbQCXItG3XookwsArkPHNfnpdWtPvXUjeSL3/kP2J1D/yrkv6vQXAPen3Y7K2Ccq0nCDtHbI7YC1D8qvEa5G8Fd+G5KfIdwNoG612HWd9pOZ65GEHibPx3wD4FoC30bYvZ7RRrv19GC9q56cgoyNnTu1a87h6qC8hmURtKbt/KnEYzqO/11yPvOoyjP1Mz5o8+gjyeU7kcX1rHldH8uNh3pzcEZGfZ9x1QFUHNXl79JSmCSQ00RKXMtrII/TOY4f5rEDihFETFbRHHjbOQ+I8cQOA3Zr8B96nqveq6r0ZbRRUtQcAVHU9ksHzt0TkZpRpvkeBPPrHkFR4bQ75bDQieRsGVd0AIAindRhWish70u+PisjCtA4nAejPaENVtaSqd6rq7wPoRPKz5uuR/GychTzaNZc+IiLtIvI3IvItEXk7bfvyaNUjJztfS/e9HcDbROR2EWlMt12QtR6SxF6eieQt3La0TvsADGQxkEObAvmEzytIErazDcnkZ2q6vhHZ75k8+nse9cilLjk9a2ruI8jpOZFTu9Y6ro4Y7qTnVIWIHO4nR0HyE2UWDopIS/qgPq/M9lRkf1B3qeqny1eo6mYAnxaRaw5ThukA8Dokg385AuDXWQzk0R552FDVEoDPicgP0r9bUPl9vkVEzlbV5anNHhG5AomW98wKbdVCHv0jr776z0gcsB5EEo7o06nt2UgdbTLwBwA+LyKfQOL1fb+IbETi0PUHGW2YyZqq9iNxEvqxiGR1KsujXfPqI19DEmXhdgDXiMibAbxdVQ8g26Qyr3rkYed4VX1z+v3fReQGAL9InbGyMhXJxEWQOAzPVdVNkiTGqWSiXkubAvmMq7cieQNdRDIR+4EkyXEuAPC9jDby6O951COvutT8rEEOfSSn50Qe7ZrHuDpiuAbZqQoRGUTiDRy7IS9Q1eYMNhrTQZvXzwIwV1VXZLBxJ5L4tt9Q1S3pug4ksSZfo6qXZbBxK5KQMvdFtn1XVd8eKcb75dEeNduI2HwDgItV9eMVlDkayVvGzZFtF6vqryqtRzXk0T/S/XNpVxE5HUlUjpWaRuWoBhFpRxJurg7As4f6bcayJ6lqxVnAyEYe910ufURElqvq2WXLNyDRIr4RwGIdRvubYz1qtiNJtIjT08nHoXVXI4kY0Kqq7KeQmXQC1qGq6zLsW1ObpmVqHlfTMp0AoKrPS5I06TIkUoKHMpavub/nUY+86pLHs2YI25n7SKRsxc+JtFwe7ZrLuDoS+ATZqQoRWQngSlVdE9m2UVXnj1I9piPxFP9fAOakqw+F3rlJVfk/9ZGqR83tMV7adLLh7Tp+GclJ5WgjIp9BElf7Llr/egBfVNUTR6keNbfpeBlXHWcs8QmyUxUichUSh4AgTqWkcYTHoFpcj0xewTkdq+b2mAhtOhHxdh2/jJdJ5UgzymPRiLbpaJ6L44wlPkF2cme8DKCSJCw5ZhzUo+b2GC9tOtnwdh2/TKZrM8nGonFxLo4z0vgE2cmd0RxAReSxw21CEoS98TDbR4082sMfSiODt+v4ZaJdm8k0Fk2Ec3GckcajWDhVMcwAWnNotArIwyu4ZvJoj3HUppMKb9fxyyS7NpNmLMI4ORfHGUt8guxUy3gZQH+KxPFkOW8QkXtGsR55tMd4adPJhrfr+GUyXZvJNBaNl3NxnDHDJ8hOtYyLAVSTgO2H21Z1yJwqyKM9xkWbTkK8Xccvk+baTKaxaBydi+OMGa5BdhzHcRzHcZwyPNW04ziO4ziO45ThE2THcRzHcRzHKcMnyI7jOI7jOI5Thk+QHcdxHMdxHKeM/w+bFFMouFmKuQAAAABJRU5ErkJggg==\n" + "
" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } - ] - }, - { - "cell_type": "code", + ], "source": [ "#@title Dendrogram (Drug) \n", "Z_exc_drug = hierarchy.linkage(data_exc_drug, 'complete')\n", @@ -5157,44 +5175,44 @@ "plt.title('Heirarchical Clustering INH Drug')\n", "plt.show()\n", "\n" - ], + ] + }, + { + "cell_type": "code", + "execution_count": null, "metadata": { "colab": { "base_uri": "https://localhost:8080/" }, - "id": "8GhVEPVsxCxH", - "outputId": "144757cb-b413-4a91-97c5-68e590abe2ec" + "id": "pHCvYGF9xmYV", + "outputId": "9e039cd1-f885-4342-fb8a-5d31df5fb020" }, - "execution_count": null, "outputs": [ { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ - "
" - ], - "image/png": "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\n" + "
" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } - ] - }, - { - "cell_type": "code", + ], "source": [ "#@title Dendrogram (aCSF) \n", "\n", @@ -5211,44 +5229,44 @@ "plt.title('Heirarchical Clustering Inh aCSF')\n", "\n", "plt.show()" - ], + ] + }, + { + "cell_type": "code", + "execution_count": null, "metadata": { "colab": { "base_uri": "https://localhost:8080/" }, - "id": "pHCvYGF9xmYV", - "outputId": "9e039cd1-f885-4342-fb8a-5d31df5fb020" + "id": "ldgQxXbAkAy6", + "outputId": "6b813e62-e0b0-4678-925c-7336f254d13b" }, - "execution_count": null, "outputs": [ { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ - "
" - ], - "image/png": "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\n" + "
" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ - "
" - ], - "image/png": "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\n" + "
" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } - ] - }, - { - "cell_type": "code", + ], "source": [ "features = ['Vm_avg','dvdt_p','dvdt_n','resistance','thr','adaptation',\n", "'isi','peak','peak_adaptation','ap_width','hyp_value','fist_spike','up_down_ratio',\n", @@ -5261,44 +5279,44 @@ "df_exc = pd.DataFrame(data= data_exc_pca_acsf, columns=features,)\n", "sns.clustermap(data=df_exc)\n", "plt.show()\n" - ], + ] + }, + { + "cell_type": "code", + "execution_count": null, "metadata": { "colab": { "base_uri": "https://localhost:8080/" }, - "id": "ldgQxXbAkAy6", - "outputId": "6b813e62-e0b0-4678-925c-7336f254d13b" + "id": "KAccRdGi4nNk", + "outputId": "3a336f49-8146-4fc4-f7b4-66cb1b617651" }, - "execution_count": null, "outputs": [ { - "output_type": "display_data", "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAsgAAALICAYAAABiqwZ2AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzde5yedX3n/9dnJjM5QsIhJCGJDKKCFQV0St1qBUEsba3UQz1Vf1K1U9dq1Z9dF+22otvuYrUHbP25nQVau4uIVaknEFiFZamCDopyPoqSAAlCQhLIJJmZz++Puenexszhnsz1ve+55vXkkQcz133d3/c1yT0zn/nO9/p8IzORJEmSNK6r3RcgSZIkdRILZEmSJKmJBbIkSZLUxAJZkiRJamKBLEmSJDWxQJYkSZKaWCBLkiRJTSyQJUmSpCYWyJIkSVKTBa2cvOen93bUtns9hz412n0NkiRJqpeWCmTGRiu6DEmSJKkztFYgj45UdBnS7Ojv7z8LWNTu65DUcYaHhobOafdFSJobWiqQ0wJZnW/R0NDQ2e2+CEmdpb+//+x2X4OkuaPFGeQ9FV2GJEmS1BlcYiFJkiQ1cYmFJEmS1MQlFpIkSVITl1hImlfsdDJv9Xmj3rxk9xLNiAWypPnGTifSPOEPRZqp1tYgj7nEQpIkSfXmDLIkSZLUpNY36UXE6cC5QDdwXmaes9fjZwIfAzY2Dv1dZp5X9CIlSZLUUWo7gxwR3cAngdOADcB3I+LLmXnrXqdenJnvLH6BkiTNY4VumC1xc6Y3AtZQawXyyNwpkIETgbsz816AiPgscAawd4EsqaAO6CLR7m4GfjOVxtXihllvBKynFjcK6awlFhExAAw0HRrMzMHG22uB+5se2wD80j6GeVVEvAi4E3hvZt6/j3MkzZ5afFOcKb+ZSlLnm9NLLBrF8OCUJ07sK8BFmbkrIn4f+DRwyqxcnCRJkuakOV0gT2EjsL7p/XX835vxAMjMR5rePQ/4iwLXJUmSpA5W5wL5u8DTI+JIxgvj1wFvaD4hItZk5oONd18O3Fb2EiVJktRpalsgZ+ZIRLwTuJzxNm8XZOYtEfERYCgzvwz8YUS8HBgBHgXObNsFS5IkqSPUtkAGyMxLgUv3OvanTW9/APhA6euSJElS56p1gSxJkiS1qs59kNWBCvTArbrHrT1sJUmquRZnkEcrugzNI3O6B649bOeODtiQZCLt3qhkX/zBT7XlxIxmwiUWkupqTv8wVlIHFuzSbJrTXwv8/GwPZ5AlSZKkJq5BliRJkpq0VCCnM8iSJEmqOdcgq5YqvCmjypsxvBFDkqQO0OISC2eQNWfMuZsyvBFDkqTO4E16klRYB7ags/WcJDVxBlmSyuvY33B0UPF+TAcU7Rbp0jzlDLIkqVnHFu+ldUCBLqlNWuti4QyyJEmSas4ZZEmSJKmJa5AlSZKkJs4gS5IkSU1cgyxJkiQ1cQZZkiRJatLiDPJYVdchSZIkdQRv0pMkSdoPFW+wU+VOl26GM4HWCuSxrOgyJEmaWJt2+GvXFtwWLXPPnNxgx81wJtbaEotRl1hIktpiThYgM2HRIrVfi0ssLJAlSZJUb96kJ0mSJDVpsUB2DbLUbJbXRc7mekfXMEqSNEMtLrGwQJb20pHrIl3DKEnSzDmDLEmSJDWxQJYkSZKatFggV3UZkiRJUmewQJYkSZKatFQgj1kgS5IkqeZa3EkvqroOSZIkqSO0OINsgSxJUrNZ7ocO9kSX2q61AnmOzSBHxOnAuUA3cF5mnrPX4wuBfwKeBzwCvDYz7yt9nZKkOa0j+6GDPdGlmartDHJEdAOfBE4DNgDfjYgvZ+atTae9FdiSmU+LiNcBHwVeW/5qJUmS1CnqPIN8InB3Zt4LEBGfBc4AmgvkM4CzG29/Hvi7iIjMtOGzJEnSPDWnC+SIGAAGmg4NZuZg4+21wP1Nj20AfmmvIf7tnMwciYjHgEOAn1ZzxZIkSep0LRbIXVVdx4w0iuHBKU+UJEmSpqmlAnm0w2aQp7ARWN/0/rrGsX2dsyEiFgDLGb9ZT5IkSfPUnJ5BnsJ3gadHxJGMF8KvA96w1zlfBt4MfBt4NfBN1x9LkjrRDNvJzaRlnK3hNO/N6TXIk2msKX4ncDnjbd4uyMxbIuIjwFBmfhk4H/gfEXE38CjjRbQkSZ2oSDs5W8NJrS6xGJtTM8hk5qXApXsd+9Omt4eB3y59XZIkSepcLRbIc2cGWZJK8NfeklQ/rS2xsECW2mIGRZgFWDn+2luSaqbWSyykGqm8CLMAkyRpXGsFcjqDLEmSpHpzBlmSVJTrtiV1utYKZJxBliTtN9dtS+poLRXIIy6xkCRJUs05gyxJkiQ1sUCWJEmSmlggS5IkSU1aW4McFsiSJEmqtxZnkCVJkqR6a61AdgZZkiRJNdfiEouqLkOSJEnqDN6kJ0mSJDVxBlmSJElq0uIa5KouQ5IkSeoMrc0gV3UVkiRJUodwBlmSJElq0lKBPFbVVUiSJEkdwhlkSZIkqYlrkCVJkqQmziBLkiRJTVrcKESSJEnt0N/ffxawaBaH7Ovv7z97lsYaHhoaOmeWxmq7FpdYZFXXIUmSpMktGhoaOrvdF7Evs1hodwRnkCVJkqQmLW417QyyJEmS6s0ZZEmSJKlJiwWyM8iSJEmqN2/SkyRJkpq4xEKSJElq4hILSZIkqYkFsiRJktRk3q5BjoiDgYuBPuA+4DWZuWUf540CNzXe/UlmvrzUNUqSJKm8+TyDfBbwjcw8JyLOarz/H/dx3s7MPL7spUmSJKld5nOBfAZwcuPtTwNXs+8CWZIkSfNIawVydlaBHBEDwEDTocHMHJzm01dl5oONtx8CVk1w3qKIGAJGgHMy819mdrWSJEmaC1qcQR6r6jpmpFEMT1gQR8T/Albv46E/3mucjJhwH+0jMnNjRDwV+GZE3JSZ98z4oiVJktTRan2TXma+ZKLHImJTRKzJzAcjYg2weYIxNjb+f29EXA2cAFggS5Ik1VRXKyePkh31Zz99GXhz4+03A1/a+4SIOCgiFjbePhR4AXDr/gZLkiSpc7W4Brmzlljsp3OAz0XEW4EfA68BiIh+4O2Z+TbgmcDfR8QY4z9MnJOZFsiSJEk1Nm+7WGTmI8Cp+zg+BLyt8fa3gGcXvjRJkiS10XyeQZYkSZJ+zrydQZYkSZL2xRlkSZIkqcmc7oMsSZIkzbY5vZOeJEmSNNta3CjEGWRJkiTVm2uQJUmSpCYtFchjFsiSJEmqOWeQJUmSpCZ2sZAkSZKaOIMsSZIkNbFAliRJkppYIEuSJElNIlvY/OOph57QUTuF3PvT70e7r0GSJEn10uIM8mhV16E5aPvbT6/8B6ZWfoDbHw9dU+ZnrfX/6ReL5Gz91LVFcroWlPn36eopk7P4uYdUnnHvxXsqzwBYuGikSM4BBw8Xydn1eEvfrmZsycG7i+Sc86M1lWe8e8UjlWcAXPXwqiI5/b2PFck5+uoPF8nZ/s73Fsm5+4bqv66V9EsPfHHSb9gRcQHwMmBzZh7bOHY28HvAw43TPpiZl042jkssJEmSVBf/CPwd8E97Hf/rzPz4dAdprUAes0CWJElSZ8rMayKib3/H6WoptMP+kyRJkqbhnRHxw4i4ICIOmupkl1gU1N/ffxawqN3XMVuu6j+03ZcgSZLmkYgYAAaaDg1m5uAUT/sU8J+BbPz/L4G3TPYEl1iUtWhoaOjsdl/EbNn+9tM/1O5rkCRJ80ejGJ6qIN77OZuefDsi/jvw1ame4wyyZmznXTsrz1j87AMrzwAYrv5DASAf2FgkZ89wS6unZuzyh6q/Ex+gv2t7kZxnPL/6TgkLundVngHQu7BMF4ux0Xp12+wu9Du+NVn9a+2BTWW+fn5rYZnX9GvfsbJIzujt3yqS031gd5Gcnm47kEXEmsx8sPHuK4Cbp3rOnJ5B7u/vP7vd19CivnZfgCRJUl1FxEXAycChEbEB+BBwckQcz/gSi/uA359qnDk9gzzXlivMwYJekiRpzsjM1+/j8PmtjtNSgTzWYQWyJEmSNNtaK5A7bInFHDRcp1nkS5cvbfclSJIkzbqWCuQ9uze29W6M/v7+s+fasopmQ0ND57T7GmbT5lNPsouFJEmqnTK3ukuSJElzRPV9ZlRby37rWZVnjD2waeqTZsEDO3uK5Kz71j1FcpaU6YbEMRuGi+T8mDLLeW68oPo5g3UjZX4R172jzG6jz3rm5iI5e4bLtMS66sZ1RXKOLLAb7DNf+EjlGQCfeNnzi+Q8/plvF8npvbtMO86Fr3pJkZyj+75fJKdunEGWJElSLTS2kt4cEVP2Op6MBbIkSZLq4h+B0/d3EAtkSZIk1UJmXgM8ur/juAa5Tfr7+88CCm1qWo1r3nxiuy9BkiTNIxExAAw0HRrMzMHZzrFAbp9Fc7llHcATf/sO27xJkqRiGsXwrBfEe3OJhSRJktTEGeQmhZc99BXKqUw8u7/yjO5VGyrPAHjqwd8qkrPgsDIvrz0PlGm/9uwXl2kj9Zlr1xbJ6S7QGW13oXmJbd1lcp4VZdrJlfKU2Fkk5/vdSyrP2PSD6jMAVnddXyTnwTsPLJLzlNVPFMkZ+/6NRXJGfrLfy3HnJQvkn1Vs2UOdtpyWJEnqBBFxEXAycGhEbAA+lJnntzqOBbIkSZJqITNfPxvjuAZZkiRJauIMcvsMz/VlFv/n4+9o9yVIkiTNOgvkNhkaGjqn3dewv3ZefYFt3iRJUu24xEKSJElq0tYZ5Bm0Veur6FI0A7FwceUZuX1b5RkAdz16UJGcFXc/UCTn7lsPLZLz4yjTtm6ot0zbuo8f93DlGRt+UKZV1ZVjy4vkbL6vzMez7MAyr4GnPHVLkZwf3ld9C7ZlB5X5O9tye5lSYsGC0SI5uXusSM6dn95VJGd07IAiOaVM1WA2Ik4HzgW6gfMyc0a/sW/3EouW2qpNd83ufvQz7pvBcyRJktRmEdENfBI4DdgAfDcivpyZt7Y6VrsL5KrMqJ/xXL9pTpIkaR47Ebg7M+8FiIjPAmcALRfIrkGWJElSHawF7m96f0PjWMvqOoPcVoW3rG6ba//2fe2+BEmSNI9ExAAw0HRoMDMHZzvHArkaxbasbqfhb19kmzdJklRMoxieqCDeCKxven9d41jLLJA1Y7FideUZuXBh5RkAx6x8tEjO0l9eVSTn2U8v0/1jyWV7iuRsGS3TKeH711X/mu6mzB3yT+nKIjkHHFymU0KW+XDYM1xm5eHCAh/PZRsPrz4EWFDo3+a0dWW6AO16sMwHtHz5ziI5u4bnVan3XeDpEXEk44Xx64A3zGSgefW3JkmSpHrKzJGIeCdwOeNt3i7IzFtmMpYFsiRJkmohMy8FLt3fcSyQf9bwLLV665uFMSRJktQGFshNhoaGZrTbyt7spyxJkjR32QdZkiRJamKBLEmSJDWxQJYkSZKauAa5GrN1s19H+9f/8bF2X4IkSdLPiIgVwHnAsUACbwF2Av+N8Z2OR4B3ZOZ3JhrDArkCs3WzX6fbddtV7qQnSZI6zbnA1zPz1RHRCywBPgd8ODMvi4hfB/4COHmiASyQJUmSVAsRsRx4EXAmQGbuBnZHRAJPbsu6HJh0a0YLZEmSJM0JETEADDQdGszMwab3jwQeBv4hIo4DbgDeDbwHuDwiPs74PXi/PFmOBbIkSZLmhEYxPDjJKQuA5wLvyszrI+Jc4CzGZ43fm5lfiIjXAOcDL5lskLlkuje/9VV8HZIkSeo8G4ANmXl94/3PM14gv5DxmWSAf2b8Jr4JzakCebo3v82HDhKdYMNvV38v4mEnd1eeAbD61QcXyel6zrOL5HQf8QtFcm778j8XyXlh72NFcjaxpPKMAxeMVJ4BcFcsLJKzcFmZj2d4e5lvV9dvXF0kZyFZecZTxnZXngHQ//+UeQ1suqynSM7SdbuK5ECZf5+D15b59+kUmflQRNwfEUdn5h3AqcCtwFOBk4CrgVOAuyYbZ04VyJIkSdIU3gVc2OhgcS/wu8CXgHMjYgEwzM+uY/45FsiSJEmqjcy8Eejf6/C1wPOmO4Y76UmSJElNnEGuof7+/rMY3ymmUhdzSNURkiRJxVkg19OioaGhs6sOuefYX3UnPUmSVDsusZAkSZKazNsZ5FLLENqkr0TIAauGqw/pOqD6DKBr/doiOfSWeclFz+IiOV3Vd6oCoLd3tEhO987qP6Dx3U6rd8jIWJGc7oVlctheJmbFWJmWWNuj+haW61duqzwDYNetBb4XACt/qbdIzshPy3yO7tlZpo1p7+5Cn6MdIiIWAdcACxmvcz+fmR+KiFOBjzE+ObwDODMz755onHlbIFNoGUI72AdakiTNU7uAUzJzR0T0ANdGxGXAp4AzMvO2iHgH8J+AMycaZD4XyJIkSaqRzEzGZ4gBehp/svHnwMbx5cADk41jgVxP092Se79cunxp1RGSJEn/JiIG+NlNPgYzc3Cvc7qBG4CnAZ/MzOsj4m3ApRGxE9gGPH+yHAvkGprultz7a/OpJ9nFQpIkFdMohgenOGcUOD4iVgCXRMSxwHuBX28Uy/8B+CvgbRONYRcLSZIk1U5mbgWuAn4NOC4zr288dDHwy5M91xlkzdiSE1ZUntH9/F+sPANg9FvfKZLT9cQTRXLGust8ai/JMndHf2HXQUVyXhS7Ks/YPVrmzvX+dZuK5NTN81/7eJGcB64o05mlhEfuLdM15/DnlFnWd91Xe4rkPNFV5mvBuvt3FskpZdJ1EUBErAT2ZObWiFgMnAZ8FFgeEc/IzDsbx26bbBwLZEmSJNXFGuDTjXXIXcDnMvOrEfF7wBciYgzYArxlskEskCVJklQLmflD4IR9HL8EuGS647gGWZIkSWpS1xnk6bQ56ytwHftUl138rj650O5zkiRJBdWyQJ5Om7M27zZXi138dvzRGbZ5kyRJteMSC0mSJKlJLWeQVUbXutWVZ+SmhyrPAOh+1jOK5NBV6GfSQjknv27H1CfNgoM/u7BIzoKu6tvWZeUJ4xb0lmnBVztjZf7eRvdU/znau3Ck8gyAyx9bVSTn1EseKZLT82+7EVfrcIaL5IyOORc6E/6tSZIkqRYi4uiIuLHpz7aIeE9E/HZE3BIRYxHRP9U4ziBLkiSpFjLzDuB4gEYv5I2Mt3dbArwS+PvpjGOBLEmSpDo6FbgnM3/85IGImNYTXWIhSZKkOSEiBiJiqOnPwCSnvw64aCY5M55BnqVevn37+XxJkiTNE5k5CAxOdV5E9AIvBz4wk5z9WWKx371829yLWJIkSfX0a8D3MnPTTJ7sEgtJkiTVzeuZ4fIKmN836U1nO+qq9LUpV5IkqdYiYilwGvD7TcdeAfwtsBL4WkTcmJm/OtEY87ZAns521FWZTmE+S2u8K3XNG5/X7kuQJEn6GZn5OHDIXscuYbzd27TM2wJ5DtjvNd5Ve+Jvfv9D7b4GSZKk2eYaZEmSJKmJBbIkSZLUxAJZkiRJauIaZEmSJNVGRNwHbAdGgZHM7I+I/wycAYwBm4EzM/OBicZwBlmSJEl18+LMPD4z+xvvfywzn5OZxwNfBf50sidbIEuSJKnWMnNb07tLgZzsfAtkSZIkzQkRMRARQ01/BvZxWgJXRMQNzY9HxJ9HxP3A7zDFDLJrkNtjOrv49RW4DkmSpDkjMweBwSlOe2FmboyIw4ArI+L2zLwmM/8Y+OOI+ADwTmDC/RwskNtgOrv4tXEbbEmSpDkrMzc2/r85Ii4BTgSuaTrlQuBSLJBVhT033F15Ru9pJ1aeAcCSpUVixu64s0hOV6GPZ/c926Y+aRb8pGtdkZx1Y7sqz9id3ZVnAHzlJ2uL5PzG2glvAp+TxrZX/xoAOGT9aOUZS45dUnkGwC9e8niRnAe3LCuSs7WrTGn0eKGvBau7hovkdIqIWAp0Zeb2xtsvBT4SEU/PzLsap50B3D7ZOBbIkiRJqotVwCURAeN17mcy8+sR8YWIOJrxNm8/Bt4+2SAWyJIkSaqFzLwXOG4fx1/Vyjh2sZAkSZKaOIM8x/X3958FLGpH9jeeubwdsZIkSZWyQJ77Fg0NDZ3djuDH3nTqhHd/SpIkzVUusZAkSZKaOIOsGetefWD1IYsWV58B8PiOIjGxosDfGcBhZVp8jRXqHrRn/G7kym3Lnsozeibf3XTWPHt0Z5Gc7p4yH8+eQq+1HCnz8Tz+SG/lGQsfKfMaOKBMV0mOetajRXK+cV2ZtpKl2q8tW7S7SE7dWCB3runstgfuuCdJkgRARKwH/onxdm8JDGbmuRFxMXB047QVwNbMPH6icSyQO9R0dtsDd9yTJElqMgK8LzO/FxEHADdExJWZ+donT4iIvwQem2wQC2RJkiTVQmY+CDzYeHt7RNwGrAVuBYjxHUReA5wy2TgWyHPfdJdizLqrX7y+HbGSJGmeiogBYKDp0GBmDk5wbh9wAnB90+FfATY1bTu9TxbIc9x0l2JUYcd/eIVt3iRJUjGNYnifBXGziFgGfAF4T2Zua3ro9cBFUz3fAlmSJEm1ERE9jBfHF2bmF5uOLwBeCTxvqjEskDVjG79SfYuapddcXXkGwPITqm+5BLDnwUKtt4ZuL5Kz8c4yuyn+6tM3FMm5/+4VlWcsWbin8gyARUvK5Kz/zp1Fcu565i8Uyfne/zq0SM7KJdV/Ldj2rTKbrK4+YtvUJ82CG761ukjOKf1lvt6U2omid22hdqkdorHG+Hzgtsz8q70efglwe2ZO+Y/sRiGSJEmqixcAbwJOiYgbG39+vfHY65jG8gpwBlmSJEk1kZnXAvvcXSozz5zuOM4gS5IkSU3mzAxyf3//WUCZBVWalgtZ2e5LkCRJmnVzpkAGFg0NDZ3d7ovQ/3XHMb9mmzdJklQ7LrGQJEmSmsylGWR1mMNPzsozdtxcfQbA6JZdRXK6DyzzKZfDo0VyVq3fXiQnusu8DrqiTE4Jl249rEjOgyeV+dzZsblIDFcuLvM5+lhW33prSXRXngHwnl07iuQ8deXWIjlLXvvLRXJGb7mjSM7YT8t8ne4UEXEB8DJgc2Ye2zj228DZwDOBEzNzaKpxnEGWJElSXfwjcPpex25mfIOQa6Y7iDPIkiRJqoXMvCYi+vY6dhvA+B4i02OBXEgdu3Bc1V9mxylJkiSAiBgABpoODWbm4GznWCCXU7suHNvffrpdLCRJUjGNYnjWC+K9uQZZkiRJauIMsmbsli8vqTxjzeqRyjMADnzGwUVydly7qUjO4meUWc3z0429RXLWPmdbkZzNu6vvLHAYOyvPADhhz3CRnI13Li+Ss3xFmb+3rZT5mvOyndV3mHiop0wXi65CXWZGRsrM6e362nVFcnpf/JwiOd3PO6RITt04gyxJkqRaiIiLgG8DR0fEhoh4a0S8IiI2AP8O+FpEXD7VOM4gt2A/b7Trm8VLkSRJ0l4y8/UTPHRJK+NYILdmxjfa9ff3z+h5kiRJKqvdBfJwC4VjX4XXUWtVtZj7O54y20NKkiS1XVsL5KGhoXOme64zsPulkhZz1x3+Stu8SZKk2vEmPUmSJKlJu5dYaA476MDq2y4tPmhP5RkAe+79aZGc7lrtpQhP7OopkvPYfWXayfUyVnlGV1eZllgRZXK6C308dXNvb/Ut2LYV+rfpWlAmZ/Gy3UVycqzQ5+hBZdqLsm1rmZyasUAup5X11rOtr025kiRJRUXEfcB2YBQYycz+iLgYOLpxygpga2YeP9EYFsiFtLLeera5fluSJM0zL87Mf/v1cGa+9sm3I+Ivgccme7IFsiRJkuaFiAjgNcApk51ngTw/VLK840JWzvaQkiRJE4qIAWCg6dBgZg7udVoCV8T4zRh/v9fjvwJsysy7JsuxQJ4Hqlrecccxv2abN0mSVEyj2N27IN7bCzNzY0QcBlwZEbdn5jWNx14PXDRVjm3eJEmSVBuZubHx/82MbzF9IkBELABeCVw81RjOIGvGenpGK8/oPazMz3A3faNMu52lPWXa1i2+u0xO39GPFMn5P7evK5KzjOpf02NjUXlGSQcd9niRnD3D1bdFA3jaWJmWggdX/1LjsJHqMwAWLC7TFm3FX76jSM7D7/j/iuQsfKxQ+7Wd1bdk7SQRsRToysztjbdfCnyk8fBLgNszc8NU41ggS5IkqS5WAZeM34vHAuAzmfn1xmOvYxrLK558oiRJkjTnZea9wHETPHbmdMdxDbIkSZLUZC7NILdzJ7on9bU5X5IkSRWbMwVyO3eie1IHFOiSJEmqmEssJEmSpCZzZgZZkiRJmkpE3AdsB0aBkczsj4iDGe9/3AfcB7wmM7dMNIYzyJIkSaqbF2fm8ZnZ33j/LOAbmfl04BuN9yfkDHIF+vv7zwIWtfs6qvY5ymyuIUmStJ/OAE5uvP1p4GrgP050sgVyNRYNDQ2d3e6LqNq9z37ph9p9DZIkaf6IiAFgoOnQYGYO7nVaAldERAJ/33h8VWY+2Hj8IcY3FJmQBbIkSZLmhEaxu3dBvLcXZubGiDgMuDIibt9rjGwUzxNyDbIkSZJqIzM3Nv6/GbgEOBHYFBFrABr/3zzZGBbIkiRJqoWIWBoRBzz5NvBS4Gbgy8CbG6e9GfjSZOO4xEKSJEl1sQq4JCJgvM79TGZ+PSK+C3wuIt4K/Bh4zWSDWCBLkiSpFjLzXuC4fRx/BDh1uuO4xEKSJElqYoEsSZIkNbFAliRJkpq4Brk1w/39/WdP47y+iq9DkiRJFbFAbsHQ0NA50zlvmkX0nPfwI8sqzzjw0UcqzwDYnmU+Fbbt7imSc9jYcJGcFXt2FskpZVdU/0u10bEyv7gbHusukrPx/hVFcg5bub1IzuOT7x0waxYUiPlxb1QfAsV+F33bq/6pSM7KNWVeA7FkSZEcllb/vbqOXGIhSZKkWoiI9RFxVUTcGhG3RMS7mx57V0Tc3jj+F5ON4wyyJEmS6mIEeF9mfq+xYcgNEXEl4/2RzwCOy8xdjW2oJ2SBLEmSpFrIzAeBBxtvb4+I24C1wO8B52TmrsZjk241bYFcQ/39/WcBi6rO+SRPqTpCkiTp30TEADDQdGgwMwcnOLcPOAG4HvgY8CsR8efAMPBHmfndiWoKNrYAACAASURBVHIskOtp0dDQ0NlVh1x/+Cs/VHWGJEnSkxrF8D4L4mYRsQz4AvCezNwWEQuAg4HnA7/I+LbTT83Mfd6V6U16kiRJqo2I6GG8OL4wM7/YOLwB+GKO+w4wBhw60RjOIGvGbo3qW9Q85fEtlWcArOjaUyTnR7G4SM6K0TItvrY/srBITpmmS3AQ1b8OFvaMVJ4BsKdQO7nVq7cVyRkbLdOyrMxnDiza96TVrCrT7BEe31SmfeUBy8p8RNsfrXyFIgAHbynz/S1WrS6S0ykiIoDzgdsy86+aHvoX4MXAVRHxDKAX+OlE41ggS5IkqS5eALwJuCkibmwc+yBwAXBBRNwM7AbePNHyCrBArsp0d9yrSl8bsyVJktoiM68FJvqV0xunO44FcgWmu+NeVebLTn6SJElVsECeR2a7/dsfcMxsDSVJktQxLJDnl1lt//YPa99omzdJklQ7FsiasQPGqr8L+1/vOZyTn7Oh8pwlvWW6WBy4q7dITnfXWJGchYvLdGRga5mY3q7RyjOe2N3DgYt3VZ6z+tDtlWfUUZnPHOjJ6pP6h2FLd32+zY8W6szSvaDQq2C4TFeOWHNkkZy6qc9njppNdJNgX+Hr2G8limOppBLFsQT1Ko6lVkTECuA84FjGO4W+JTO/3coYfvbU0EQ3CXrzniRJmgfOBb6ema+OiF6g5Y0bLJAlSZJUCxGxHHgRcCZAZu5mvO9xSyyQ55dZ7c98FkfP1lCSJElTiogBYKDp0GBmDja9fyTwMPAPEXEccAPw7sx8vJUcC+R5ZLb7M39+ze/YxUKSJBXTKIYHJzllAfBc4F2ZeX1EnAucBfxJKzllbgmVJEmSqrcB2JCZ1zfe/zzjBXNLnEHWjP3Gf1lbecZDf7O58gyAYz7/5iI5T/+XzxbJGfnJY0VyFhx1WJGclz33hCI5D3/kssozNj14YOUZUK4F3+ieMvMs0VV9W0mAP3humc45j91bfcvHRQeUeQ08vGFZkZzlB+8sknPob60qkvOlvy7T0WbV6FeK5JRyyqY3Tfp4Zj4UEfdHxNGZeQdwKnBrqzkWyJIkSaqTdwEXNjpY3Av8bqsDWCBLkiSpNjLzRqB/f8ZwDbIkSZLUxBnkaejv7z8LWNTu6+g0/+cPTmn3JUiSJM06C+TpWTQ0NHR2uy+i0+z8h/fb5k2SJNWOSywkSZKkJs4ga8bykUerzxiLyjMARi/7YpGcPff+tEjOhuuXFsk56jefWSQnH7i/SM6i5dW3xXrWm8q0kLrnbzYWyTl4dUubU83Y7ie6i+R0LyvzbXFB71jlGb3Lq88AeMZ/fU2RnMfPOa9IzshdDxXJWTV6SJGcBVGmRWLdOIMsSZKkWoiIRRHxnYj4QUTcEhEfbhx/Z0TcHREZEYdONc6cm0Fu0w1zfYXzJEmS1LpdwCmZuSMieoBrI+Iy4F+BrwJXT2eQOVcg04Yb5vr7+4vmSZIkqXWZmcCOxrs9jT+Zmd8HiJje0s25WCDPO53aZu6a1x3f7kuQJEnzSEQMAANNhwYzc3Cvc7qBG4CnAZ/MzOtbzbFAnhs6ss3cEx9/m23eJElSMY1ieHCKc0aB4yNiBXBJRBybmTe3kuNNepIkSaqdzNwKXAWc3upznUGenuE2r0Pua2N2Wy1YOFokJ5YfUCZnUZlWVYuX7CmSkxvKtF9j4cIiMaO7qp8ziGOfW3kGwJGverBIzvAtZT5HS7V561raUyRnwcJdlWf0rl9ceQbA2HVXFcnZfGeZ9pVrnruzSM7uQnOUXVnmc7RTRMRKYE9mbo2IxcBpwEdbHccCeRqGhobOaWe+NwlKkiRNyxrg0411yF3A5zLzqxHxh8D7gdXADyPi0sx820SDWCBLkiSpFjLzh8AJ+zj+CeAT0x3HNciSJElSE2eQ54Z2r4HeJ9u8SZKkOrJAngPavQZ6IrZ5kyRJdWSBrBm76G+GC6Ss4TW/9WjlKbu/dUflGQA9z1xdJOfwF60vkvO1D24skrNkbHuRnJP+sMDf2+Yyf2dEmRV0oyW+DBQ09niZDjALDxmrPOPyLx1SeQbAQWOPF8kZZnmRnHuvLpPz8IIynVmeMlL9a62OXIOsjlaiOJYkSfUQEesj4qqIuDUibomId89kHGeQJUmSVBcjwPsy83sRcQBwQ0RcmZm3tjKIM8iSJEmqhcx8MDO/13h7O3AbsLbVcZxBniP6+/vPAha1+zqa/XuOafclSJKkeSQiBoCBpkODmTk4wbl9jPdEvr7VnGIF8iwWeH2zMMZctGhoaOjsdl9Es/PXvdEuFpIkqZhGMbzPgrhZRCwDvgC8JzO3tZpTcgZ5Vgq8TuwHLEmSpM4QET2MF8cXZuYXZzKGSyw0Y6v3jFaeMfb47sozAKK3Xsvxc0+ZVlUv+oUNRXJ+dFuZdlVdx51YeUbec2flGQDdv/KCIjl5/VeL5JQy9kT1X9cAxgp8aevJrD4E6I0yf2cH95bpKbhl18IiOcvGynzf6Yn51eYtIgI4H7gtM/9qpuPUrkDuxLW6s6Sv3RcgSZLU4V4AvAm4KSJubBz7YGZe2sogtSuQ6cC1urPBpSWSJEmTy8xrgdjfcer1e2VJkiRpP9VxBrmuhjttFvnDPL3dlyBJkjTrLJDniKGhoXPafQ17+9qq19vmTZIk1Y5LLCRJkqQmziBrxp5/4gOVZ9xw6arKMwBW9O4qktP7r9uL5Cxe8miRnJ1PHFAk55m/saNIDr3VN8CJY59beQbAyFe+UiRn1456fRvpPrRMi6/YUX0rxhc+b2PlGQDfuuHwIjlf6eopkvOGRY8XyblntLdIzuI9Zf7e6sYZZEmSJNVCRKyPiKsi4taIuCUi3t04fnxEXBcRN0bEUERM2vi+Xj/6S5IkaT4bAd6Xmd+LiAOAGyLiSuAvgA9n5mUR8euN90+eaBALZEmSJNVCZj4IPNh4e3tE3AasBRI4sHHacmDSdaIWyLOsxjv5/ZzL1yxt9yVIkqR5JCIGgIGmQ4OZOTjBuX3ACcD1wHuAyyPi44wvMf7lyXIskGdfLXfy25dHfvMk27xJkqRiGsXwPgviZhGxDPgC8J7M3BYRfwa8NzO/EBGvAc4HXjLR8+digTzVhhl9ha5DkiRJHSYiehgvji/MzC82Dr8ZeHfj7X8GzptsjDlXIE+1YUan7TZXZ129+73V+ZQOWlim/dpBBz9RJKeU7p6xIjmH/8mkv6GaNSP/+7oiOd3PmPSm5lkx+r0rKs8AuOsLZZoUrXtG9e3KAB7/aZmWWDk8UiRn7InRyjO2/rjMar9nHlymreTKrWWW9S1ZvrtIzoJtRWLoIcsEdYiICMZnh2/LzL9qeugB4CTgauAU4K7JxplzBbIkSZI0gRcAbwJuiogbG8c+CPwecG5ELACG+dl1zD/HAnkKM7jprq+iS5EkSdIkMvNaYKJfcT9vuuNYIE+tpZvuXOIhSZI0t1kgzxGd2D7uyiOWtfsSJEmSZp0F8tzRce3jtrzqZNu8SZKk2rFA1ow9esfCyjNGRsvciR9dZe7y3fjg8iI5Bywqcxf2od3dRXLoLfOlavTma6oPWX5o9RnA015apjPLtpsLvQYK6VrcUyQnh6vvNHPfwysqzwA4ZNHOIjmHH/5YkZwHHijzdXpboQrsoELfR+vGAnn2TdWneab6KhhTkiSpViJiBeN9jo9lfIvptwCvBH4T2A3cA/xuZm6daAwL5Fk2VZ/mmfLmP0mSpGk5F/h6Zr46InqBJcCVwAcycyQiPgp8APiPEw3gvLskSZJqISKWAy9ifLMQMnN3Zm7NzCsy88mdgK4D1k02jjPIc0dVSzdm7GIOafclSJKkeSQiBvjZTT4GM3Ow6f0jgYeBf4iI44AbgHdn5uNN57wFuHiyHAvkOaKqpRv7455jf9UuFpIkqZhGMTw4ySkLgOcC78rM6yPiXOAs4E8AIuKPgRHgwsly6lggz/ZMa98sjiVJkqTqbAA2ZOb1jfc/z3iBTEScCbwMODUzJ21fVbsCebZnWjttWUMneXTLksoz/uuC0cozAD7RU33LJYBFC0amPmkW7NpTpvXW5e+8tUjOS94w0a6hsytvuanyjHjWsyvPAHj4+jK3mOza2VskZ/GyMq0Lc7TM14KuA6tvJ/fMozZXngHwhfvXFsl5zQFl2rytP2LCxgaz6qb7q/8eCtCbZV7TnSIzH4qI+yPi6My8AzgVuDUiTgfeD5yUmVP2waxdgSxJkqR57V3AhY0OFvcCvwt8F1gIXBkRANdl5tsnGsACWZIkSbWRmTcC/XsdflorY9jmTZIkSWriDPIs6u/vPwtY1O7rKOVTrG/3JUiSJM06C+TZtWhoaOjsdl9EKd9d+wrbvEmSpNpxiYUkSZLUxBlkzdjKlTsqz3jpI6sqzwC4+b4y7XYO691ZJGf3aJk2b89csaVITqw8rEjO8LfurTzjgLf+SeUZAEtWfKVIzoojhovkbNtQpp1c99oyO4SOPbq98oxlzy7THvGV+UCRnEVPKVOy3Hz5QUVyjsoyrQsf7aq+pWAdOYMsSZKkWoiIRRHxnYj4QUTcEhEfbhw/MiKuj4i7I+LiRgu4CTmDPLVWdubrq/A6JEmSNLldwCmZuSMieoBrI+Iy4P8F/jozPxsR/w14K/CpiQaxQJ5CKzvzueueJElS+zS2kH5yDWhP408CpwBvaBz/NHA2Fsiday63hvs8ZdZpSZIkAUTEADDQdGgwMwf3OqcbuIHxzUE+CdwDbM3MkcYpG4BJ90i3QG6/Odsa7r7jT7PNmyRJKqZRDA9Occ4ocHxErAAuAY5pNceb9CRJklQ7mbkVuAr4d8CKiHhyYngdsHGy5zqDPLtauaHvSX0VXEcRB6yvvkXNYQ+NVZ4BcGDXniI5y5btKpITjxeJ4ZAjnigTtGdk6nNmwfDD1c8ZLN3yUOUZACvOPKFIzsP/7QdFckrJnWU+Rxmr/mtb14qllWcAXLSxTJvMV2x/uEjOkt4y3w8WZ5EYdu+eX3OhEbES2JOZWyNiMXAa8FHGC+VXA58F3gx8abJxLJBnUSs39D3JG/skSZJmzRrg0411yF3A5zLzqxFxK/DZiPgz4PvA+ZMNYoEsSZKkWsjMHwI/9yu0zLwXOHG648yveXdJkiRpCs4gt99M1i13hMvXlFnfJkmSVJIFcpvNZN1yp3jkN0+yzZskSaodC2TN2IdvWl15xp+/ckvlGQDdzzqqSM69f3lfkZyjfm24SM7Gb5b5LcJ3b44iOYeOrKo845CTPl55BsDx33hXkZzRv72pSE4pd100WiTnaS+rvoVBLF5YeQbAG9ZN2i1rztm1s0xp1N1TpkvTvzt5x9Qn6ee4BlmSJEm1EBEXRMTmiLh5H4+9LyIyIg6dahwLZEmSJNXFPwKn730wItYDLwV+Mp1BLJAlSZJUC5l5DfDoPh76a+D9wLTWN7kGuWL9/f1nAYvafR1V+GXKrNuVJEkCiIgBYKDp0GBmDk7xnDOAjZn5g4jp3dNigVy9RUNDQ2e3+yKq8Id9r7WLhSRJKqZRDE9aEDeLiCXABxlfXjFtLrGQJElSXR0FHAn8ICLuA9YB34uISVtxOYOsGTs8eyrP6DpsReUZAHH0sUVyDlp1a5GcnhOfWyTn2q89VCSn+lfauOGofs5gx2iZj2bnRz5SJGfDpkOK5Kxb9ViRnNVP2VYkJ5ZW/7UtlpVpw7j7iTKlxH0Plvl+sHTBSJGcPU+UmaNccf/OIjmlLG/x/My8CTjsyfcbRXJ/Zv50sufVukDukPW/fW3OlyRJmhci4iLgZODQiNgAfCgzz291nFoXyHTA+t+5uo20JEnSXJOZr5/i8b7pjOMaZEmSJKlJ3WeQO8FwXWeRX80x7b4ESZKkWbc/BXKrhV/ffmTNWUNDQ+e0+xqqcs4Rb7TNmyRJqp0ZF8itFn51nUWVJElSvbjEQjP2vOE9lWeMbtxSeQZA167hIjkP/KTVBjUz8+P/9KMiOevHyrQse9ohZV4Hh55Q/Wv6kR+U+Tvb9P0yDXz61pf5txnZXeaWmSVH1ufWnNF7NxbJOWD97iI5f7SpTFvJ8xYcVCTn4eGFRXJuuG3Sdr9zzq8XyqnPVwJJkiTNaxGxKCK+ExE/iIhbIuLDjeMREX8eEXdGxG0R8YeTjdOWGeSC/Yn7CmRIkiSpM+wCTsnMHRHRA1wbEZcBzwTWA8dk5lhEHDbZIO1aYlGkP7HrniVJkuaPzExgR+PdnsafBP498IbMHGuct3myceb9GuQO2W1vTvqvHNXuS5AkSfNIRAwAA02HBjNzcK9zuoEbgKcBn8zM6yPiKOC1EfEK4GHgDzPzroly5n2BTAfstjdXXbnqtbZ5kyRJxTSK4cEpzhkFjo+IFcAlEXEssBAYzsz+iHglcAHwKxON4U16kiRJqp3M3ApcBZwObAC+2HjoEuA5kz3XGWTN2FMO2lZ5xp4HdlWeAbDgrtuK5KxaU/3fGcCXNq0pk8PDRXIuOaW7SM6mb1afseWxJdWHAMd+pMwSqC3n31Akp1Sbt9w9ViSH0dHKI7rWrqw8A2Dhoq1Fcv7iO2VWQy5ZUubr9NOWlGmPt2nLsiI5nSIiVgJ7MnNrRCwGTgM+CvwL8GLgR8BJwJ2TjWOBLEmSpLpYA3y6sQ65C/hcZn41Iq4FLoyI9zJ+E9/bJhvEAlmSJEm1kJk/BE7Yx/GtwG9Md5y6F8jD02j11tfKgHa9+L8upMyv7yRJkkqqdYE8NDR0zlTnzKBXsl0vGu445tfsYiFJkmrHLhaSJElSk1rPIKta395+SOUZv7WiTBeLWLa0SM7uJ8p8yv2wu8zf2zuHq38NANBV5q7yLY8trDxj+0hP5RkAD3/q+0VyIuo1z5JjWSSn64jDK8+IY46tPAPgM2+9rkjOiw+bdOOzWbNruMzX6cVL9xTJ0czU6yubJEmS5q2IWBQR34mIH0TELRHx4b0e/0RE7Jjo+U9yBlmSJEl1sQs4JTN3REQPcG1EXJaZ10VEP3DQdAaxQJ5ep4tmfRVdhyRJkvZDZibjfY4Behp/stEX+WPAG4BXTDXOvC+Qp9PpotkMul5MNtacbhn3To5p9yVIkqR5JCIGgIGmQ4OZObjXOd3ADcDTgE9m5vUR8W7gy5n5YERMmTPvC+Q2m9Mt4/5x7Rtt8yZJkoppFMODU5wzChwfESuASyLiRcBvAydPN8eb9CRJklQ7jd3zrgJezPhs8t0RcR+wJCLunuy5ziBrxg4aHas8Y8GaAyrPAKC3+vZeAD96ZEWRnJd0lfnUXtO1s0jO5m+WaYe0sGfqX7vtr52jZf5tDnnF2iI5N31yypvBZ8WqlduL5ORImTZvcUj1LRJj9VMrzwA46cCvFMlZctDuIjn33DGte7j225E9W4vkjGb1X9c6SUSsBPZk5taIWAycBnw0M1c3nbMjM5822TgWyK1r9aa+yfTN0jiSJEmCNcCnG+uQu4DPZeZXWx3EArlFrd7UN5nZvOFPkiRpvsvMHwInTHHOsqnGcQ2yJEmS1MQZ5PaazeUaxf0Jz2j3JUiSJM06C+Q2ms3lGu3wpdVvsM2bJEmqHZdYSJIkSU2cQdaMHbGw+vZOG748UnkGwFc/d3+RnEMW9BTJ6S6SAs+58GVFcn74hpZvQJ6RNYc/VnnGw/cvqTwD4N7zthTJuaLnwCI5b6JMm7cFh5XZ3HTk2hsqz9jw4e9XngFw845Di+SctGZDkZy7est8nd7zSJl2ctu7Sn1H6AwRsR74J2AVkIzvtHduRHwM+E1gN3AP8LuNPsn75AyyJEmS6mIEeF9m/gLwfOAPIuIXgCuBYzPzOcCdwAcmG8QCWZIkSbWQmQ9m5vcab28HbgPWZuYVmfnkr6WvA9ZNNo4FsiRJkmonIvoY74l8/V4PvQW4bLLnugZ5lvX3958FlFnE1mbncXi7L0GSJM0jETEADDQdGszMwX2ctwz4AvCezNzWdPyPGV+GceFkORbIs2/R0NDQ2e2+iBJuPOLltnmTJEnFNIrhnyuIm0VED+PF8YWZ+cWm42cCLwNOzcycbAwLZEmSJNVCRARwPnBbZv5V0/HTgfcDJ2XmE1ONU6sCuUOWN/S1Ob+YO3YfUHnG0YVaO41FkRgWTf4D66xZPjpaJGfs2/+7SM7O0TJtirY9urjyjJ4YqzwD4I7hMu3Xfv/ojUVynthSpvXWyObhIjkLDl1YecbaU8p8vXnfZWVaCh5154oiObsKfT9YSJl/n4VjZdqldpAXAG8CboqIGxvHPgh8AlgIXDleQ3NdZr59okFqVSDTAcsb5vLW0ZIkSXNZZl4L7OvHnEtbGccuFpIkSVKTus0gd4Lh+TKL/B84ut2XIEmSNOsskGfZ0NDQOe2+hlIuXvM7drGQJEm14xILSZIkqYkzyJqx007cUHnGZd9dX3kGwG8ufbRIzrY9ZZqsrFn/WJGcOOjYIjm/9F9WFsnZ9j+/W3nGo7dV3ykD4DsLy3TLWHP7oUVyDl9V5jXdvaJMt4xtP6y+s8Cfbyrzb/OnI2W6JGwe7S2S88q1DxXJ+drDq4vkHDJSpltG3TiDLEmSpNqIiPsi4qaIuDEihvZ67H0RkREx6U+QziBLkiSpbl6cmT9tPhAR64GXAj+Z6slzskCeZEOQvsKXIkmSpLnhrxnfTe9LU504JwtkJtgQZF/t1Tpkd71aumLt0nZfgiRJmkciYgAYaDo0mJmDe52WwBURkcDfZ+ZgRJwBbMzMHzR20pvUXC2QW9H23fXq6tEzTrLNmyRJKqZRDO9dEO/thZm5MSIOY3xr6dsZ3276pdPN8SY9SZIk1UZmbmz8fzNwCXAScCTwg4i4D1gHfC8iJmwlMh9mkFWVAj9e3dxbplXVL+wq09pp5SE7iuTccX+Z9k4rDy/Thi9Wri2S091bfZu3A3p3V54B8PqxMjlPPa3Ma/rRH5aZz+k6oMyKvEUHb68840+6H648A2D5S8u0K3vsijLt15YeVea1tvwbRWJYkmW+j3aKiFgKdGXm9sbbLwU+kpmHNZ1zH9C/9018zSyQJUmSVBergEsa64wXAJ/JzK+3OogFsiRJkmohM+8FjpvinL6pxnENsiRJktTEGeRpsl3cz7tivW3eJElS/dStQB7eRy/kvlka23Zxe3n0FbZ5kyRJ9VOrAnloaOicvY/ta/MQSZIkaSK1KpBVVs+qxZVnvJ7qWyEBXMXyIjkv3l6vdjux7hlFch75g78pkrNg4dS7K+2v7bt7K88AOOrIR4rkbL2lZrey7B4pEtOzuvrWkt3LynwsXUeX+TqwfGGZz51vnlumReJSynw/GI6afY5OQ0R0A0OM75z3shhvafFnwG8Do8CnMvMTk41hgSxJkqQ6eTdwG3Bg4/0zgfXAMZk51thhb1Lz78cKSZIk1VJErAN+Aziv6fC/Z3yzkDH4tx32JmWBLEmSpLr4G+D98DNrWI4CXhsRQxFxWUQ8fapBLJAlSZI0J0TEQKPQffLPQNNjLwM2Z+YNez1tITCcmf3AfwcumCqn5Brk5hZsfW3K3R99szCGJEmSZigzB4HBCR5+AfDyiPh1xveuODAi/iewAfhi45xLgH+YKqdYgdzcgq1k67V9tX6bCdvFSZIkda7M/ADwAYCIOBn4o8x8Y0ScA7wY+BFwEnDnVGPZxaJCdd9976r+Q9t9CZIkSVM5B7gwIt4L7ADeNtUTLJCrVevd97a//XR30pMkSR0nM68Grm68vZXxzhbT5k16kiRJUhMLZEmSJKmJBbIkSZLUxAJZkiRJauJNepqxPZt2Vp5xHssrzwDY2bWrSM7qXQdOfdIsWBO7i+Ts+ttPFMlZsHBs6pNmQc/yrDxjrPoIAK758ZoiOS864sEiObuf6C6SM/LwcJGcEn48VObrzTNeuKlIzo7Lf1wkZ0v3uiI5o0VSoKfQ15y6sUCevplsONJXwXVIkiRpHyLiAuDJHfWObRy7GDi6ccoKYGtmHj/ZOBbI0zSTDUfcXESSJKmofwT+DvinJw9k5muffDsi/hJ4bKpBLJAlSZJUC5l5TUT07euxiAjgNcApU41jgdxh5tLue1esX9ruS5AkSfNIRAwAA02HBjNzcJpP/xVgU2beNdWJHVkgT6NI7Ct0Ke0wZ3bfe/QVJ7mTniRJKqZRDE+3IN7b64GLpnNiRxbITFEkurZXkiRJ0xURC4BXAs+bzvmdWiBrDlhw6MLKM1ZmmZfoT6NMw52Dx0aK5PR2l/l4evqPKZIzfN9NRXJGn6g+Y+mCMq+Bl72q+jaMAFu/HUVySlmw/oAiObtu21Z5xv27yiyDO3rpkiI5T2zpKZLTXagt2mihT52etM9bw0uA2zNzw3ROtkCulq3hJEmSComIi4CTgUMjYgPwocw8H3gd01xeARbIlbI1nCRJUjmZ+foJjp/ZyjhuNS1JkiQ1cQa588xkWUZbfPOEg9t9CZIkSbPOArnDzGRZRrts+72X2uZNkiTVjkssJEmSpCbOIGvGRjbvqjxjIWX64Lx/zeYiOYtXl2m/9sQD3UVyvvJni4vkvPzi3y2Sc//vf7byjOHRMv82X/p8mRZfR4yV2fhz3arHiuTs+VH17dcAelZX/+331F9dVnkGwMB/vq9IzkfXlmmR+BvHbCySs/mH1bdKBbjv0RVFcjpFRCwCrgEWMl7nfj4zPxQR5wP9QAB3Amdm5o6JxnEGWZIkSXWxCzglM48DjgdOj4jnA+/NzOMy8znAT4B3TjaIM8iSJEmqhcxM4MmZ4Z7Gn8zMbQAREcBiYNIdVJxBliRJ/397dx4nV1Xmf/zzTWdjC2EJCgQSUAERWYOioIIMowKCqKgIbqgZERFFB2FmUMT5ueugoGhkURAXXAAVRZmRXbYQtiiogMgiEECWkJD9+f1xbpHqTi/p7nNPdVV/369Xv7rrdtd9bnXfrnrq3Oc8x6xjSOqSdDMwD7gkIq6rtp8FPARsWODdRwAAIABJREFUA5zS3z48gtwiM2bMOA4oU7xXk99tWqbG0czMzAxA0kxgZtOmWRExq/lnImI5sKOkycD5kraLiLkR8R5JXaTk+K3AWX3FcYLcOhNnz559YqsPYjj+eeCr3ObNzMzMiqmS4VkD/mD62SckXQq8FphbbVsu6UfAsThBtjpM3G/n2mN8aD848zOP1B7n/Ps34aCp/6g9ztj1x9ceA2DMw2Vme0/TM0XiaFyZ2d7jxtffZWTqc55k3iPr1B7nBSzkRW+r/zx4/MoFtccAWLakTEXgmDXLxFk6r/6/zdJL/sKa+2xVe5xvH70BH/r647XHefQfZbpyTF6ysEiciDJdmsZTpnvSSCFpCrC0So7XAPYBvijp+RFxZ1WDfABwR3/76agEuc3KFqa3+gDaQYnkGCiSHJsBRZJjoEhybCNbieQYKJIcmw3CxsD3qlKKMcB5wEXAlZImkdq83QIc0d9OOipBpo3KFtplOWkzMzOzdhERtwI79fKt3QezH3exMDMzMzNr0mkjyO1kUbuPIl8x8xWtPgQzMzOz7Jwgt8js2bM/3+pjGK6Fsz7qLhZmZmbWcVxiYWZmZmbWxCPINmQ//XT9HSbGFnoL94971y0S576/rVEkzq0TyrQP+ujeDxWJs+xnPyoS5+mn6m+C0+/aphkd8bMyT+/fmrlpkTjzznuwSJxFD5T5C639ksn1B1lR5rHsu7hMG8ZJz3mySJw11ivTAeaO+6YUiTOpa0mROJ3GI8hmZmZm1hEknSlpnqS5Tdu+JOkOSbdKOr9aYa9fTpDNzMzMrFN8l7RyXrNLgO0iYnvgL8DxA+3ECbKZmZmZdYSIuAL4Z49tv4uIRu3MtcDUgfbjGuRetNmKfC3zYbZp9SGYmZnZKCJpJjCzadOsiJg1iF0cDvx4oB9ygty7tlmRr5XO3vQwt3kzMzOzYqpkeDAJ8bMk/SewDDh3oJ91gmxmZmZmHU3Su4H9gb0jYsAWL+2aIPe1Ct30wscxqt03tv4WQmcuuL32GADnjp9eJE6pov8DVjxdJM7cS9YrEmfHo9YsEueRhYtqjzFxzPLaYwB8dEWZVlXnf2NFkTi7l+mIxSP3rVMkzlo71n8eLLnhrtpjAGwcGxSJs2xJmWfQR+9Zq0icXWaUaV349IPjisQZySS9FjgWeFVELFyd+7RlgtzXKnTtvnSzmZmZmQ2dpB8CewIbSrof+BSpa8UE4BJJANdGxAf6209bJsirY5gT7aZnPBQzMzMzKyAiDull8xmD3U/HJsgMY6KdR6LNzMzMRq9OTpBHjE5tG3cQW7f6EMzMzMyyc4JcRke2jft/0w51mzczMzPrOF5Jz8zMzMysiUeQe9dXG7mhmp5xXyPGmqHaY6w/fu3aYwAsX1HmvWL9v7FkgykLisSZtEWZVmJjpu5WJM6aXX+pPcbC5YWedsv8aXjDofOLxHnkkiJh2GTbp4rEGbP+JrXH+OdV9bctBFgYXUXirP+CMo/nLzeUaVunvxYJwz/nr1EmUCHTVuNnJHUBs4EHImJ/SR8CPgI8D5gSEY8OtA8nyL3oq43cUHnSn5mZmVkxRwO3A5Oq21cDvwIuW90duMTCzMzMzDqCpKnAfsDpjW0RcVNE3DOY/ThBNjMzM7NOcTJp1bxhLfPpEosyctc0jwiHsk2rD8HMzMxGEUkzgZlNm2ZFxKzqe/sD8yLiRkl7DieOE+QCctc0jxT/s/lhbvNmZmZmxVTJ8Kw+vr07cICkfUnrT0yS9P2IOGywcTotQW4eqZ3ewuMYFQ5cd179MZjI5f/cqPY4UGi295gyfSxWLC8T51uzpxaJc/RrFheJc1/UP9t7nKL2GAC7fWK9InFYtrxMHP5eJkyhwsMV/6y/+8f6e0xk7Cvr7wCzwQ1zao8B8PBFZdbbmr98XJE4XQvKPBesPWFJkTgjQUQcDxwPUI0gf3woyTF0WILcPFLbiSUNo1GZ5NjMrPOUSI7N2oGkD5Pqkp8L3Crp1xHxvv7u06oEeaCa3OmFjsPMzMzMOkxEXEbV1i0ivg58fTD3b0mCPFBNrkd/zczMzKxVOqrEIocZM2YcRyrstgGcx/qtPgQzMzOz7Jwgr2ri7NmzT2z1QbSDu1/8r+5iYWZmZh3HC4WYmZmZmTXxCLIN2ZxHp9QeY8Mo00LqkgkTisTZrFD7tasfeU6ROEe9vf5WVQD3ffWxInFmbLSs9hh/f2hy7TEANG2LInGe+vpvisSB8UWidE3qKhJnyV31/+90bVfm/+bhixYUibP4mTIpy/UTy8TZZVGZ14PFy+tvX1nS9oXieATZzMzMzDqCpDMlzZM0t2nbDpKukXSbpF9KmjTQfpwgm5mZmVmn+C7w2h7bTgeOi4gXA+cD/z7QTjq5xGKgXst9mZ75OMzMzMysgIi4QtL0Hpu3Aq6ovr4E+C1wQn/76dgEeaBey30Zag/m0dge7ji2bvUhmJmZ2SgiaSYws2nTrIiYNcDd/ggcCFwAHAxsNlCcjk2QW2DUtYf76caHus2bmZmZFVMlwwMlxD0dDnxd0gnAL4AlA93BCbKZmZmZdayIuAP4VwBJWwH7DXQfJ8g2ZFP1TO0xfjmhTNXKns/U394LYIJWFImz9rgB3xxnMf/ap4rE2fyEPYrEefLbV9YeY9zDUXsMADZ4bpEwS57urJeRsVPLtOEbu3n9Lb7i/gdrjwGw/taLi8T555+LhOH1T5d5Xpszdt0icSYvL/O6M5JJ2igi5kkaA/wX8K2B7uMuFmZmZmbWEST9ELgG2FrS/ZLeCxwi6S/AHcA/gLMG2k9nvfXPw90vzMzMzNpQRBzSx7e+Npj9OEHuoXT3CzMzMzMbWZwg12Q0tH07lc1bfQhmZmZm2TlBrk/Ht327dpM3us2bmZmZdRxP0jMzMzMza+IR5Hx6Tu6b3qLjKGbC2OW1x9h1Ue0hANh8vTJtfa6Zv0GROM9bWqaV2KTXblokTjz6SJE4i54aV3+M6Ko9BsDSc39YJM5t904pEueFz32sSJwVTywoEqdr8+fUHmPMdtvVHgPgotNvLRJnj40eLhLnvmfWLhLn0QlFwrDWivpbCo4kks4E9gfmRcR2TduPAo4ElgMXRcSx/e3HCXImPSf3edKemZmZWXHfBU4Fzm5skLQXaanpHSJisaSNBtqJSyzMzMzMrCNExBXAP3tsPgL4fEQsrn5m3kD7cYJsZmZmZp1sK+AVkq6TdLmkXQe6g0ss6jPUBUfaxncoU39qZmZmBiBpJjCzadOsiJg1wN3GAusDuwG7AudJ2jIi+pyw4wS5JkNdcKSd3LT5gW7zZmZmZsVUyfBACXFP9wM/rxLi6yWtADYE+pwB7gTZhmzOinVqj3HxhPm1xwD45OIy/wqvWK9MN4bTny7TLeOS08t0y/jw1rcXifPHBzepPcZ6Y5bUHgPg/v8tc07v+LwynQUWLyjzeOZcMKlInBfP+HvtMbrm3ld7DICD3ld/Rw6AuafX/5oDsOcRRcLwqsXPFImz7O6e5bij0gXAXsClkrYCxgOP9ncHJ8hmZmZm1hEk/RDYE9hQ0v3Ap4AzgTMlzQWWAO/qr7wCnCCbmZmZWYeIiEP6+NZhg9mPu1iYmZmZmTXxCHJNZsyYcRwwsdXHUacj2KbVh2BmZmaWnRPk+kycPXv2ia0+iDqdMfUwd7EwMzOzjuMSCzMzMzOzJh5BtiF74fL6W9SMizJtfdaZUqZV1ZixK4rEmfZkmfe+d3YtLxKnlHW0rPYY4wv9ztZYu0w7uUm7Ty4S55HfPV0kzroTyvzeutau/3907KZlWtYtf+CxInE+rjLPnxc9WCY10hrji8RZ8miZ31unacsEuU3qe6e3+gDMzMzMRhtJXcBs4IGI2L9p+9eBwyNi7YH20ZYJMm1Q39vpy0ybmZmZjVBHA7cDz15GkTQDWG91d+AaZDMzMzPrCJKmAvsBpzdt6wK+BBy7uvtp1xHkdrCo00eRv860Vh+CmZmZjSKSZgIzmzbNiohZTbdPJiXCzZOYPgT8IiIelLRacZwg12T27Nmfb/Ux1O0PG7/Jbd7MzMysmCoZntXb9yTtD8yLiBsl7Vlt2wQ4mLT89GpzgmxmZmZmnWB34ABJ+5KaOUwC/ggsBu6sRo/XlHRnRDy/vx05QbYhW3+d+tu8/WPxGrXHAPj442XibKsybevWLDS74OWLVu9S1XBdfcumReIsWs1Lb8MxZWmZ39k2/1omzlNXP1EkTqe9XD10U/3POTFnae0xANZYq0gYzt6wzBPbzReWaY+3y8yuInHGb1SmReJIEBHHA8cDVCPIH2/uYlFtf3qg5Bg8Sc/MzMzMrJvOektuZmZmZqNeRFwGXNbL9gF7IINHkM3MzMzMuvEIch/aZLW+lvo+G7X6EMzMzMyyG6kJ8kA9hKcXOIYRv1pfq92x1b5u82ZmZmYdZ0QmyAP1EO70BTjMzMzMrHVGZIJchyGUTEyv6VA6xpQXLKg9xkF3Re0xAMYu3KBInOcvXlEkzgrK/N42H7uwSJy7lpfpI7X+imW1x1irq/4YAF2vP7BInLjq7CJxSpm8XplzesJa9Z8Hk3afXHsMgAWz638tAJh86ieLxHnsNacUibP4tnlF4sSSImFGFEmTSUtNbwcEcHhEXCPpKOBIYDlwUUT0ufT0qEmQGWTJhEepzczMzNrS14CLI+LNksaTFgfZCzgQ2CEiFkvqdyLVaEqQzczMzKyDSVoXeCXwboCIWAIskXQE8PmIWFxt73cI323ezMzMzKxTbAE8Apwl6SZJp0taC9gKeIWk6yRdLmnX/nbiEeS+DdRJY9T77XMKrS9qZmZmBkiaCcxs2jQrImY13R4L7AwcFRHXSfoacFy1fX1gN2BX4DxJW0ZEr5N2nCD3YaBOGgaP7fcqt3kzMzOzYqpkeFY/P3I/cH9EXFfd/ikpQb4f+HmVEF8vaQWwIWm0eRVOkG3I7r55/dpjbDrtidpjAKzzdJEw3D+uTFXTrsvLzMQ/Z2yZtXR2K9P8g/XGLa49xpNLJ9QeA4B7/1okzPi1lxeJs2RhV5E4k7daWiTOuM0m1R7jsf+bX3sMgA3fuFmROE8fe1KROOeP3bRInF2vWbNInElRpnNOKa8e4PsR8ZCk+yRtHRF/BvYG/gTcBewFXCppK2A88Ghf+3GCbGZmZmad5Cjg3KqDxd3Ae4AFwJmS5gJLgHf1VV4BTpDNzMzMrINExM3AjF6+ddjq7qNdE+ShTKCbXsNxmJmZmVmHacsEeSgT6AabUA9h5b1R5zTK1J2ZmZmZldSWCXIhg1p5bzS6YdOD3MXCzMzMOo4XCjEzMzMza+IRZBuy68bUv1DIoZs+XnsMgEn39DmRNat7xqlInBsp0z7oqDV7bR+Z3S9XTCkSZ73F9VdVraUyLZceOfnaInG6xpVpv1bKMw+U+R/V+Pp7S64zrUwLvhX399kpK6sDbi5zrn1x+ZIicbbeqczz5+InOut/tBSPIJuZmZlZx5DUVS0z/auh7sMJspmZmZl1kqOB24ezAyfIZmZmZtYRJE0F9gNOH85+RlMN8mB7J09vvuG2b6t6N9u0+hDMzMxsFJE0E5jZtGlWRMxqun0ycCywznDijJoEebC9k3tJpt32rYdTNzvMbd7MzMysmCoZntXb9yTtD8yLiBsl7TmcOC6xMDMzM7NOsDtwgKR7gB8Br5b0/aHsaNSMIFt+e4x5qvYYt11Zpr3XFROXFomzw/LxReJMWFEkDJc/Vebvs+WyMg+oRAu25VGmjdjc+8r8bXZ83sNF4pSiQsNG8+bU/1zQ1VWmfeVmJ3+2SJxzr/1EkTj3PFjmf3Tp/DIn28P3D6vSYMTZtJ/vRcTxwPEA1QjyxyPisKHE8QiymZmZmVkTjyCbmZmZWUeJiMuAy4Z6f48gm5mZmZk18Qhy33q2hZve8wdGe+u309mk1YdgZmZmlp0T5D70bAvXRw/lUd367eZpB7jNm5mZmXUcl1iYmZmZmTXxCLIN2Q+0Vu0xjtnqwdpjALzob1OLxPlzV/1txAA2VZl/7e0Wl3k8t08o83jWX1J/jDFlOkhx2cQygXYsEqWcZ54cVyTOc2bU31ry+t9tWHsMgCmfPb5InEnTCvyDAgsf7CoSZ/HTZZ7XxnYV6vs5QkiaCFwBTCDluT+NiEFf8XaCbGZmZmadYjHw6oh4WtI44CpJv4mIawezEyfIZmZmZtYRIiKAp6ub46qPQa+a4wR59fXsagG9dLZYHZ3S/eLVvKDVh2BmZmajiKSZwMymTbMiYlaPn+kCbgSeD3wjIq4bbBwnyKupZ1cL6LOzxeroiO4Xx04/xF0szMzMrJgqGZ41wM8sB3aUNBk4X9J2ETF3MHHcxcLMzMzMOk5EPAFcCrx2sPf1CLIN2QuX1j/Tt9SM8kfHDLo8aUiWDr4Makiev6TMrOUHx3bWU8iCqP/xlBqV+NgW/ygSp2t8kTAsXlAmzqRNFheJ0zW1/g4Tu/7LI7XHSMo8Tz9w66QicX6/RpkOMGs+OLlInPXXWFQkzkghaQqwNCKekLQGsA/whcHup7Ne3czMzMxsNNsY+F5VhzwGOC8ifjXYnThBNjMzM7OOEBG3AjsNdz+uQTYzMzMza+IR5OHprfXb6pg+mB8eqW3hjmSbVh+CmZmZWXZOkIeht9Zvq2MISfWIbAt31qaHuc2bmZmZdRyXWJiZmZmZNfEIsg3ZHeOW1x5ji4fKtMEZU6iAZSll2q/9ZUKZtks7LlpWJM76y8u8l5/ctaT2GEtXlHksZ929WZE479z0gSJxSlkyv8zfZ+LS+v93nr6z9hAAxIoyzwOPLSzT5u1FY+tvYQqwwZpPD/xDGSxd5rHQofBvzczMzMw6gqTNJF0q6U+S/ijp6Gr7iZIekHRz9bFvf/vxCLKZmZmZdYplwMciYo6kdYAbJV1Sfe9/IuLLq7MTJ8hmZmZm1hEi4kHgwerr+ZJuBzYd7H6cILfGYNvDTW98MZJavu3NC1p9CGZmZjaKSJoJzGzaNCsiZvXxs9NJi4ZcB+wOfEjSO4HZpFHmx/uK4wS5BQbbHq5HMj1iWr59YvohbvNmZmZmxVTJcK8JcTNJawM/Az4SEU9JOg34DBDV568Ah/d1f0/SMzMzM7OOIWkcKTk+NyJ+DhARD0fE8ohYAXwHeEl/+/AIsg3ZGlH/+6sllGm3s0Bl2q8tjPpb4wGUiQJTJjxTJM7fl6xVJM4Ty8fXHmNiob/OAWP7vHKY1YrlKhKnlGVLCo0bjav/5XfS9mVe4mNJmXN680VPFokz94mNisRZd4OFReIsXTS6Uj1JAs4Abo+IrzZt37iqTwY4CJjb335G12/NzMzMzDrZ7sA7gNsk3Vxt+w/gEEk7kkos7gH+rb+dOEE2MzMzs44QEVcBvV3a+vVg9uMEuT00d72Y3sLjMDMzM+t4TpDbQHPXi0G2hzMzMzOzQXIXCzMzMzOzJk6QzczMzMyaOEE2MzMzs44hqUvSTZJ+Vd3eW9IcSTdLukrS8wfahxNkMzMzM+skRwO3N90+DTg0InYEfgD810A78CS99rNopEzU25+tW30IZmZmZs+SNBXYD/h/wDHV5gAmVV+vC/xjoP04QW4zzR0tWu3EaYd+qtXHYGZmZqOHpJnAzKZNsyJiVtPtk4FjgXWatr0P+LWkZ4CngN0GiuMSCzMzMzNrCxExKyJmNH08mxxL2h+YFxE39rjbR4F9I2IqcBbwVQbgEWQzMzMz6wS7AwdI2heYCEySdBGwTURcV/3Mj4GLB9qRR5DNzMzMrO1FxPERMTUipgNvA34PHAisK2mr6sf2ofsEvl55BNnMzMzMOlJELJP0fuBnklYAjwOHD3Q/J8hmZmZm1lEi4jLgsurr84HzB3N/l1iYmZmZmTVxgmxmZmZm1sQJspmZmZlZEyfIZmZmZmZNnCCbmZmZmTWLCH/4o9gHMNNxRmacTnosjjOy43TSY3Ecx+m0x9KJcYby4RFkK23mwD/iOC2K00mPxXFGdpxOeiyO4zilYjhOQU6QzczMzMyaOEE2MzMzM2viBNlKm+U4IzZOJz0WxxnZcTrpsTiO45SK4TgFqSqSNjMzMzMzPIJsZmZmZtaNE2QzMzMzsyZOkM3MzMzMmjhBttpIGiPpLa0+jjpIGi9pe0kvljS+1cczHJK6JG0iafPGR6uPaagkqZdtEzLuf1L1ef3ePnLFaYVOOg9KKfU8IGmapH+pvl5D0jqZ9z9G0stz7rOfWB11npU4B6rf2aV17Luk6nGc2+rjWF1jW30A1rkiYoWkY4HzSsST9EbgC8BGgKqPiIhJmePsB3wLuKuKsYWkf4uI32SMMR/oOYP2SWA28LGIuDtTnKOATwEPAyuqzQFsn2P/vcR7OTCdpueeiDg7Y4gzgMOb4q0NXAjsnWn/PwD2B24k/Z6aE/IAtswUB0lbAacBz4mI7SRtDxwQEf+dK0ZTrNrPA0nPAT4LbBIRr5O0LfCyiDgjV4ymWFOBU4A9SI/jSuDoiLg/Y4zanweqOO8nLaawPvA8YGoVN9c53Xiu/gawU6599qbu80zSbaz6vPmsiMj6vFbqHIiI5ZJWSFo3Ip7Mue9mknYHTgSmkZ6jG6+hWZ7XqscxTdL4iFiSY591chcLq5WkzwOPAj8GFjS2R8Q/a4h1J/D6iLg99757xLkD2D8i7qxuPw+4KCK2yRjjM8D9pIRMwNtIL45zgCMiYs9Mce4EXhoRj+XY3wCxziE9hpuB5dXmiIgPZ4xxErBhRHxQ0nrARcB3IuKsXDFKkXQ58O/AtyNip2rb3IjYroZYtZ8Hkn4DnAX8Z0TsIGkscFNEvLiGWJeQ/nfOqTYdBhwaEftkjFH780C135uBlwDXNZ0Ht+X+vUn6MnAN8POoKTGo+zyTNK368sjqc+PvfyhARByXOV6Rc6Da94WkNzCX0P21NOfz5x3AR0kDAI3naHL+vSSdDbwQ+AXdH8dXc8XIxSPIVre3Vp+PbNqWdaStycN1J8eV+Y0nxMrdwPzMMQ6IiB2abs+SdHNEfELSf2SMcx9pZLqEGcC2db34AkTEJyV9UdK3gF2Az0fEz3LHqUZabo6IBZIOA3YGTo6IezOGWTMiru9RNbIs4/6blTgPNoyI8yQdDxARyyQtH+hOQzSlx5ui70r6SOYYJZ4HABZHxJLGeVC9sajjf+jfgGOAZZIWUc8VuFrPs4j4O4CkfRpvJirHSZoDZE2QKXcOAPy8+qjTk7lHvxsknRMR7wAOAP6HVOKbtVQoNyfIVquI2KJguNmSfgxcACxuOobcTyqzJf2aVDoSwMHADVWJR654C6v67Z9Wt98MLKq+HvaLo6Rjqi/vBi6TdBHdf2d1vJufCzwXeDD3jhu/+8p1wAnA9UBIemMN58BpwA6SdgA+BpxOGq16VcYYj1YjUgEg6c3U8LurlDgPFkjagJWPZzfqS5Yeq964/LC6fQiQe9SyxPMAwOXVm+I1JO0DfBD4ZaZ9PysiSiQrpZ5vJGn3iLi6uvFy6plzVfs5IOn/ImJv0uDCJ4a7vz5i7Fx9eamkL5ES8ea/z5wMYXaRtAlwL6n8acRzgmy1K1B32jAJWAj8a9O2IP+77omkGrpGMvQIsAbw+ozxDgW+Bnyz2ue1wGGS1gA+lGH/jRfDe6uP8dUH1DM6BbAh8CdJ19P9yfeADPt+fY/bNwHjyPs3abYsIkLSgcCpEXGGpPdmjnEkaZWpbSQ9APyN6lJxDXo7D3I7hnRZ9XmSrgamkN741eFw0ovw/5D+/n8A3pM5RonnAUijnu8FbiON8v6a9IYsO0mbsrL+FICIuCJjiBLnGaTf15mS1iWNhD9O09yEjEqcAxtXr6EHSPoR3ec95Epev9Lj9ozmEMCrM8T4FvB/wBakuTQNor6rysPiGmSrVYm600Ecy/ER8bl2iCNpSkQ8kuuY+olzcET8ZKBtmWL1OroaEZfnjlW3qj74YlLS9UpgHnBLzrpQSbtExI2S1gLGRMR8SftHxK9yxegl5toAEfF0TfsfC2xNelH8c0QsrSOODZ6kL5BK4v5E9+fqHG9ge8aq9TxrirNuFadUGVl21ZWj95ImnM7u8e2IiBzJayPWlj0ngPe2bZgxTouII3Ltr05OkK1Wkm6n5rrTQRzLnIjYeeCfbH0cSX8B7iFNbvxZRDyR49h6ibPKsdb5e1LqZLBrdfP6iJiXef8TSS8mLyKN7gAQEVlHjyQ9F3g7cENEXKnUqmrPnFdGqprJd0bE3Or224CPRsRLc8VoirUdqUSk0aru0Sr2HzPGOBI4t3EuV5MoD4mIb2aMcWxEfFHSKfRyJSTHG/O+9p0zRo94f+stXq7OAk1x/gxsHxGLB/zhoceo/Tyr4kwA3sSqVy5PyhxnC+CoXuLU8abihIj4TD/ff9Fwf499vB7cGBG7DGe/7colFla32upOh2CVHrkjNU5EbCXpJaTuFf8p6U/AjyLi+8M+OkDS64B9gU0lfb3pW5OoaSJYVVP9JeAy0u/oFEn/HhE/7feOg3MOcAfwGuAkUklC9ombEfEQ8NWm2/cCucuG3gz8VNLbgVcA76R7+VBOs4BjIuJSAEl7At8BcvbGfX9EfKNxIyIeV2phli1BZuXfuudIW06Nfe8ObEt6Ewup/vRPNcRrvtw9sYpTR8/tu0llSbUlyJQ5zyC1dnyS1I2hzsdzAam15C9Z2bauFv0lx5VzSJOFB03SNqRBhXV7zOeYRNNAw2jjEWSrhaRfkkY91gF2JE2Yyl13OthjapsR5B7725CUjB0aEV2Z9rkDqWXQp4FPNn1rPnBpRDyeI06PmLcA+zRGjSVNAf63R7eO4ca4KSJ2knRrRGwvaRxwZUTslmn/V0XEHlq1T3VdPbe3Ir0I3wscFBHP5Nx/U5xbev4dets2zBi3kUYoG5P0uoBbI+JFuWI0xaq9dEjStcAeEbGsup31XBuxsrmXAAAcrElEQVQgdrZRvaYR8U2BHUh1os3P1TnbiNV+nlX7rKUdYi9xrqvjis5QNJ77hnjfA4E3kDpM/KLpW/NJAzN/yHCIbccjyFaXL5OShi+Q/vEaGttaoW1GkJVWbDuIlf2Pzyf1Qs0iIm4BbpF0bsE60DE9SioeI//M8sZjeaK6nPsQaeGYLCJij+pzbTP+tepiB+sDXcB1krIvdlC5W9IJdO8bnK3usHIx8GNJ365u/1u1rQ7HAz2T4d62Dcd6pBG2Rk/3tattWTV1GID0/zKDvK/djRHxG+meHEH+CbslzjOAP0h6cUTcVsO+m31N0qeA35G/68NgDflvFREXAhdKellEXJPxmNqaE2SrRWPilaRxPSdhVZ0Ysmtu69PHtiwvjoXi3EIaOTypjies5iRMq67OXFcSdrGk37Ky9dZbSTPyc5pV1baeQHqxX5vuI+TtYP8WxDycdDWhMev+SvLP+v8EKSluTNC5hMzdGAqXDn0euElpCWCRJmuemDkGdO8wsIw0N+EtuXYeEd8DkHR0RHyt+XuSjs4Vp1LiPIM0oe3dVf32YlZe4cn9vPZi4B2kLg/NKwNmmzhX2EGS/gg8Q3rzuj1p3kOW0r524xILq4WkI0j9OrckLcPZsA5wdUQcVkPMIhPOSsSRpDonNqrvFacOI72Q5G6o34j7JlLtJqTL0efXEaedSZoUEU9J6rXONGpYhbJTVKVDO5Lqz2svHaomazYusV9X1aa3pT6e14Z82b6Vmp7fuolqIZGMce4kTUJv+bLJkq4dbnmP0mJUO0o6iPRG/RjgitwlMO3CI8hWlx8AvwE+R/fVi+bnfoGX9DLSJI8pWrkABqRRoyw1uyXjVDaUdCyrdmPIMjIRfa849QnVs+JUI+7PgOwr2zVUXTI+C2wSEa+TtC3wsog4o66YNfgB6cXpRtJoVPMQf9Z+oZJOjoiPNM0Z6CbnXAGl1QdPZGWf3caoXrbH01Q69INCpUOLSROQJwJbSdoqMvUN7vEcs4rItLiGpENIHVm2kNRcYrEOK8tHhhujyHnWeHNJfavZ9TQXmExq81grrVwwpNdtmWrfx1Wf9wd+EhFP9naFcbRwgmy1iNR38knSClZ1G0+6lD6W7ktXPkXehQhKxQE4lzQ7fn/gA8C7SE3oc5NqXnGq8MS27wJnAf9Z3f4L6ffYNglyROxffS6xCmXjysGXC8Q6A/goKfGva4nphumSPkfqMtH8BjPnm4v3AUcDU0l93ncDriHf5fVSy/D+gZTkb0j3co75wK2ZYpQ6z4q9uaxMBu6QdAM1TUJXal25JmnQZD1WPqZJpImVOf1SqTXrIuAD1UTqRQPcp2O5xMI6hqRpuS+htSpOY5Z6oxtDte2GiNh1oPsOMs4uwJlAtxWnWjTJZNgav6PmS8ONy4atPrahqFou7UF6cb8yIi6oKU6v9ac9tw0zRrEZ/5KuAj5FWknv9aQFXcZERLZ69KqOf1fg2uqy9DbAZyPijQPctS1JuiYiXjbMfdR+nq3mcQy7Z3C1n9oXP6rqwD8CbAI8wMoE+SngOxFxasZYa5D6Or8CWEJ643d6RIyENq3FOUG2ttfXZbuGjJfvisSpYl0bEbtVk9q+DvwD+GlEPC9XjB7xal9xStI5EfGOgbYNM8ZlpAUCLomInSXtBnwhInp9IRvJJH0TeD7dJzXeFRFH9n2vIceqvf5U0udJpUg/p+YZ/01vMG+LanVDZV7woOnN2M3ASyNisaQ/Rua2dSq0+M1qHMewz4eRUudcx9yUukk6KiJOqTnGeaTE+9xq09uBdSMi26TQduISC+sEjct2byQtStKYcXsI8HAbxgH47ypp/RhwCuly2kdz7VzSYRHx/Z51jo16s1z1jT10SxyUlh3OvULTMaTuFVtKuhqYQv7yl1JeDbywMVlT0veA3CuO1V5/2qQxety88EVdM/4XSxoD/FXSh0gjb2tnjnG/pMmkbjOXSHocqOPKUpHFb1bDkEfTCp9nq3VIw7pz4X7opJ2eUpXATaf7qn05FyjaLiK2bbp9qdIiVaOSE2Rre7GypdxXIqL5xfeXkrKtqFUqThXrV9WXTwJ79fy+pOMj4nPDCLFW9bn2OkdJxwP/Aawh6anGZtIlvFmZw/2J1DN6IamG8gJSHXI7uhPYnJVJ12bVtpxK1J8CEBGrnMc1OppUt/lh4DOk/6F35QwQEQdVX56o1OptXZr6OktaL1PXjOdHxMGSDoyI70n6Aak9Wjspdp6tpmFdOo8C/dB7knQOqSf+zays4Q/yruA5R9JuEXFtFfOl1Lsq5YjmEgvrGNXkgv0i4u7q9hbAryPihe0YZ4BjyHKJUNLEiCgyCUPS5yLi+Jpj9HaJcHJEHFxn3DpIupxU43p9tWlX0ovVk9Ca1SiHS9J+rFoqcFLmGF2kspqP59zvEI4j1//o9RHxEklXkFpnPgRcn3PC4WoeR1u2fOtNm5ZY3E5qKVdn+8/bga1JK3dCeoP+Z1L/7Yh6+uOPWB5Btk7yUeAySXeTRiinATPbOE5/cvXemSvpYdKI1JXAVXXVIUfE8dUs7BfQPUHK0har0kmXCIstcFLVap8CvJDUraULWJDzUrGkb5FGdfciLRDyZlYm/9lExHJJe+Te7xDk+h/tbfGbEzLtuxulvs4vIY1M3hDd+zoPe65AifNsNbW8b/EQzCWV9tU5Ye61Ne677XgE2TqKpAnANtXNOyJicX8/P9Lj9BM/2wiIpM1Js5Z3J61C9kQdXR/6aosVmXo7VzG+D5za4xLhkRHxzlwxSpG0FvBMRKyQtBXpfPtN1NDftyoRehtpFcgZwDuBrXKO+Dc6sjR9Xpv0eF6RK0ZTrNNILbB+AixobI+In/d5p/zHkGsEuSsi6m6L1/j//CTwe1Jy/yrSSp5nZoxR+3nWFKu5A8xV0eaLElVlPDuS3lTW0lLOuvMIsnUMSbeSZvyfFxF3DfTzIz3OQIeRZSfSVFJi/ApgB9IksKty7LsXR7OyLdZejbZYmWPsAvxBUrdLhFVLrna7RHgF8Ipq9PB3wA2kThaH1hEsIu5sSsbOknQTkDNxeab6vFDSJsBjwMYZ999sYrX/5jdfwcoljtvJ3yRdTOrn/fsaL7H/O7BTRDwGIGkDUu1wtgQZipxnvXWA+TdJ/1JHB5iCTmz1AYw2TpCtk7yelECcJ2kF6QXlvIi4t/+7jdg4/flJpv3cS0q8PhsRH8i0z74siohFkpA0ISLukLR15hiddIlQEbFQ0nuBb0bEFyXdUlOshZLGAzdL+iLpMm7WBWOAX1VdH74EzCElrKdnjgFARLynv+9nmOS6OnKVWGxDWvziSOBMpXaTP4qI3G9kH6P7CnTzq205lTjPoEAHmNIiY29lWz0usbCOJOkFpDq9QyMi9zLQtcepJv4dxaotfbJeTpO0A+ky5CtJo61/BS6PGpZmlnQ+acGGj5BewB4HxkXEvrljdYJqZO2DpMUu3hsRf1RTX9/MsaaRWhWOJ9XYrwt8I+cVkupN0eLG16RR3kWly5Oq+MMqf6gmAv4xIrbp52fWj4isLcyqqwlfI+PzjVa2etwReDFwIenNy4HArRHx7hxxqli1n2dVnF+RSqv+3hT31Ih4fc44JbSipZwlHkG2jlI9Eb61+lgOHNumcS4gLc37S2BF5n0/KyJukXQXcBepzOIwUu1h9gS5j7ZYv8kdp4McTbr0fH6VHG8JXFpTrDdEWs1sEfBpeHYFr5wrnF0D7AxQJcWLJc1pbCtsWKO71UTAP0vavK8rRzmTY6UV295KukIyG8i5cEOjVVnjeaDhwowxGkqcZ5Ae0+2SricllS8BZqvqwdxOdbutaClniUeQrWNIug4YB5xHKnm4u13jqNCyvNWkmQmkWsMrScsZ17KMtgqspDeaSDolIo7KtK/aVjirOiNsSlpY5+2sTE4nAd/qbxS2Ljkm0FVt13YiTZpqngiY+yrPPcBNpOebX0TEgv7vMXLVeZ712Ge/K2e6XMFWhxNka3tNlwjXYOVEoGdFplXhSsWpYr2d1A7td9S4LK+kKRHxSD/ff1dEfC9TrG4vjtVl6tt6tGWz1ZQpyWuscLYH3RefmAQsj4i9h7P/Ksa7gHeTuhbcwMoEeT7w3ZKdJZqOachJWaNUpK8kLHfyJWlSRDzVz/ez1FNXV3VWSQhydJkpcZ6Z5eYSC+sEjUtPW5O6JFxIehF+PXn7rJaKA6kW8B2kWt1GiUX2ZXn7S44rRwPDSpC16kp6jQSpjpX0bHBqX+GseoP1PUlvioif5dhnBsOZ5NooFXlfiasf/SXHlYOBHBMOmxdWmQi8ibRARA5FVtLrpU63G9fr2mB4BNk6RnXJc7+ImF/dXge4KCJe2W5xJN1JWjWppQ3tc17+VIGV9EaTnL2wq/31t0hEjv0fDZxFSoq+Q0oyj4uI3+WMU8WaAryfVSe5Hp5h33NJ7Qk/Q2qN1k3pEfE6ShSa9n19RLwk8z5rPc+qGJ8hJeTnkN6QHwpsHBHFFt+x9ucRZOskz6H7CklLqm3tGGcuMBmYl3m/g5XzHfR/9Gjef2VEXJBx/6NNrjZiVK3kPsXKRSJOkZR1kQjg8Ij4mqTXABuQrpCcQyojyu1C0qX8/yVNos3pA6SEazLp6lGzVvRazvI/Kmn9pptjSCUx6+bYd1OMEucZwAERsUPT7dOqFolOkG21OUG2TnI2cH3VTgzgDcB32zTOZOAOSTfQ2lWTsiVhwDfo3rz/A5L2afPm/bWRdHBE/KSfbTln/h9L/YtENM6lfYGzq84cOc+vZmtGxCfq2HHVf/gqSbP7a4dYnduX1HEMPUNl2s+NpGRbwFLgHuC9mfbdUOI8A1gg6VDgR6THdAhNEynNVodLLKyjSNqZ1K4M4IqIuKmd4kj6BimB7LXHaenZ15JOjYgPZdrXHXRv3j+G1Ev2hTn232n6mPGftayiab9/APZslPRUizlcFhEvzxjjLFI3iy1IqzZ2VTF2yRWjKdZ/A3+IiF/n3vcgjqGWv1Uvcf4jIoa9IqWktwAXR8RTkk4glcB8JufE4BLnWbXf6aQ3kLuTEuSrgY9ExD0541hnc4JsNoJUdZpvIy3Bex7ww7qS/CreBqQlTBsvJFcBJzVGeDLH6pjm/XWS9DrSKOtbSKs0Nkwi1aVnrQmtYp5NL4tEVB9ZOrRUb4h2BO6OiCeqc2/TiMg2Sasp1nxgLdLVl6W0YFGFjG3ytiQley8jTdi9Bvho7vaSkm6NiO0l7UGqr/4y8Mmc7SZLnGdmubjEwmwEqZrof61KHt9GWlp2DdKo8g8j4i+ZQ/4IuII0Yx1SbeWPgX/JHAc6qHl/zf5BWgziANJl74b5pNXH6tDXIhHDXpxA0jYRcQcpOQbYsr7KimSELKqQa/TpB6TypMZCO28jPR/k7pPeqNXeD/hORFxUjcTnVNt51kzSRFJ5yItIHTmAPJM0bfTwCLLZCCdpJ1KN3vaRedlsSXMjYrse2+paztjN+wdB0riIWFp9vR6wWR2jrXWTNCsiZlZ9dnuKHH12e4l5DumN35VVcl5crhKLxshuj2239JiENmzVFZ4HgH1I5RXPANfnjlOCpJ8Ad5B6L59EeuN/e0Qc3dIDs7biBNlsBJI0FngdabRob+Ay0ghy1uVfJX2V1MP5vGrTm4GXRMTH+76XlSDpMtIo8ljSSPI8Ul1t9lHkqi3asaw64pY9eS1B0l6kOQKvAJ5HWonuiuoKTa4Yih4voI1FRKqvfx4Rb8wQ5wvA46yccPZWYD3gS5BvSWtJa5KWsr4tIv4qaWPgxTnb8JU6zxrlLU1lI+NIb5Z2yxnHOpsTZLMRRNI+pBnX+5IS1x8BF0ZNy8s21Wo2Lq92sXK2d9aaTUm7AacALwTGN2K5eX/vml7k30caPf5Ub6OJmWL9jlRa83FSG7N3AY/k7AQh6WDSJLD5kv6LlZPA6ppI20Va0Gcv0mN6JjIuay3pzOZL9pLWJv2vZl0VTtLf+vl2RMSWOePVqcR5VsW5PiJeUvWs/yDwEGk0vG1+V9Z6rkE2G1mOJ9UcfiwiHq87WESsU/U/fQHdR3TqKHc4lTQi/hNSj9V3AlvVEKdTjK1G8d4C/GfNsTaIiDMkHV397S+vWgzmdEJE/KSaBPYvpBHQb5G/lhZJ/0d643cNqR/yrhGRu6f4/ZK+GREfrEpgLiItgJJVRGyRe58tVOI8A5hV/U3+C/gFsDZwQg1xrIONafUBmNlKEfHqiDi9RHIMUI1OXg5cTOpmcTE1NtOPiDuBrohYHhFnkS7pWu9OAn4L3BkRN1TdDP5aU6yl1ecHJe1X1b2v398dhqB5EtisiLiIdCWhDreSFvDZDtge2K6a7JpNpFXZnpb0LdJiJ1+pzumsJN0o6YOSJufedwuUOM9oPIdGxBURsWVEbBQR3258X9K7cse0zuMSC7NRTNJtpMvQ10bEjpK2AT6bo3ayl1hXkEYOTydd8nwQeHc7TgLqNJL2J420bkYqg5kEfDoifpExRvFJYErLwL+bdEn/uRExIcM+m/83RBqZvJ705jL7UtOSng+8h1R7PJu0XPfvetY/t4MS59lqHkeRHtXW3pwgm41ikm6IiF0l3Qy8NCIWS/pjRLyohljTSBPNxpHala0LfLMaVbaKpGMj4ouSTqGXVmER8eEWHNawlZgE1hTrQ6QJeruQVoS7kjRJ6/cZ9t3fKHHU1Uqs6iO9P3AaaTT+LOBruSbpjSa5elRbZ3MNstnodn916fYC4BJJjwN/ryNQY4EQ0sjhp+uI0SE+AXyR1C+21lKbvpLwhpzJeEQslDQP2INUKrKM+kpGJgJfBW6MiGU5dxwR78m5v9UhaXvgcFJnm58B55J+j79nZX/pEavkebaaPDJoA3KCbDaKRURj8YETqz6161JdKs6lKuPo78Uxe1eGNvewpE1Il9X3JF3Gr8vs6vPuwLasXLnvYOBPOQNJ+hRpcubWpNHPccD3q9hZRcSXJe0AfKBalOTKiLglZ4ymFe52I53f15CWM+6v68RQ4twIPEEqTfpEo40ccJ2k7L+7mhQ7z1ZTvSvVWEdwiYWZ1aoqrQA4svp8TvX5MNIl6ePKH9XIJekoUmuqLUk1u89+i5raekm6FtijMdpaR9/YqoxnJ2BO4/J2jW3rPgzMBBr1wAeRJgaekjHGtaQV7n5YbXobcFRkXJq5irMt6fc2jaZBrYg4KWecEgqdZ2OAN0fEef38zKkR8aFcMa0zOUE2syJ6q/vzZJm+STotIo4oFOvPwMsa9axVi6xrI2LrjDEavWnnRMTOktYCrqkpQb6V9HgWVLezxyq4wt3FpBHkOazsBEJEfCVnnBJKnGfVfmdHxIyc+7TRxyUWZlaKJO0eEVdXN16OW032qVRyXPk8cFNVZiPglaS2f1ko1Tn8StK3gcmS3k+qqc3eN7gRkqZksvo692X130g6ju4r3P266iuebYU7YGpEdEo7xFrPsyb/K+njpFKOZxdZ8oRGGwyPIJtZEZJ2Ac4k1TmLNAHt8IiY09IDMwAkPZeVi3ZcFxEPZd7/bcAxwL+S/v6/jYhLcsZoinUMaZW286tNbwC+GxEnZ4zRXGvceCFtJOHZSmEkzQJOiYjbcuyv1ar6+ncAtwNrAv+IiCsyx+itDrytVh201nOCbGZFSVoXICKebPWx2ErV5e6eKypmS1wkfQ84NSLqWDmtt3g7kzo9QKpzzbqktaS3kJbOfkrSCaxcOjvLG76mya1jSX+Xu4HFrKxFb7vJrdXCREcDU4GbSRMcr4mIV7f0wMx64QTZzIqRtB/wIronYW032ajTlEhcJN0BPJ/URrD5snfOuuB+V2XLeYm9UYNcLZ39GeDLwCdzTdJrmtzaq6a2iW2j8MJELwem031i49m541jncg2ymRVRLcm7JrAXqWXVm0krkFnrHc3KxGWvRuKSOcZrMu+vNzeSRl0FbE4q4xEwGbgX2CJjrOals78TERdJ+u9cO2/HBHg1LIqIRZKQNCEi7pCUdYIegKRzgOeR3uw1/k4BOEG21eYE2cxKeXk14nZrRHxa0leA37T6oAwokLiUSPgiYgsASd8Bzo+IX1e3X0eqQ87pgWrS4T7AFyRNwJNOB1JqYaIZwLbtuBy3jRxOkM2slGeqzwuriTqPARu38HhspWIrKhayW0S8v3EjIn4j6YuZY7yFtHT2lyPiiWrp7H/PHKOjlFiYqDIXeC7wYA37tlHCNchmVkQ1kekUYG/SAgtBujT9yZYemHUj6VVUiUtELKm2rRcRtS57nZOk3wJXklbqAzgUeGVElCjzsBaR9EvS88o6pCW4rydNbAQgIg5o0aFZG3KCbGbFVZejJzZ3spC0T11tv2x42m1Bl2qy3qdIfXYDuAI4yX1wO1v15k7AF4Bjm78FfCH3KofW2Zwgm9mI0G5J2GjS2yqI7UzSKRFxVKuPw+rR23NJXcuaW+dyDbKZjRS5VzqzfDptJGX3Vh+A5SfpCOCDwJbVcuMN6wBXt+aorF05QTazkaLTkjAzK+sHpM44nwOOa9o+3+U1NlhOkM3MbCAe3bcRr5rT8CRwSKuPxdqfE2QzK0KSevYlrXruNmaZ31P+qEY3SZOqpZJ7XYGuadRt74KHVYITfjPrlyfpmVkRks6MiMObbq8NXBgRnZZ8tQ1Jv4qI/SX9jZUr0DVERGzZokPLQtIk0uOY32P7uyPiu605KjNrB06QzawISScBG0bEByWtB1xE6oN8VosPzTqMpF2BM0mTswQ8ARweETe29MDMrG04QTazYqrVzCYBuwCfj4iftfiQDJC0O3BzRCyQdBiwM3ByRNzb4kMbkqqDwZERcWV1ew/gm27zZWary+vGm1mtJL2x8QFcB+wG3AREtc1a7zTSEuA7AB8D7gLOae0hDcvyRnIMEBFXActaeDxm1mY8gmxmtZLUXwlFNNclW2s0FlaQ9EnggYg4o50XbpF0MrAG8ENSbfVbgUVUS09HxJzWHZ2ZtQMnyGZmo5yky4GLgfeQlmeeB9wSES9u6YENkaRLqy8bL3Bi5STEiIhXt+TAzKxtuM2bmRUhaSLwXuBFwMTGdo8gjwhvBd4OvDciHpK0OfClFh/TcFzW43YARMRJ5Q/FzNqRa5DNrJRzgOcCrwEuB6YC8/u9hxUREQ9FxFcbdbsRcW9EnN3q4xqGp5s+lgGvA6a38oDMrL24xMLMipB0U0TsJOnWiNhe0jjgyojYrdXHNlpJuioi9pA0n+5LfTdKESa16NCykjQB+G1E7NnqYzGz9uASCzMrZWn1+QlJ2wEPARu18HhGvYjYo/q8TquPpWZrkq5YmJmtFifIZlbKrGqBkBOAXwBrA59s7SFZJ5J0GytHxLuAKYDrj81stbnEwszMOoqkaU03lwEPR4T7IJvZanOCbGZFSHoO8Flgk4h4naRtgZdFxBktPjQzM7Nu3MXCzEr5LvBbYJPq9l+Aj7TsaMzMzPrgBNnMStkwIs4DVgBUl7yXt/aQzMzMVuUE2cxKWSBpA6rJU5J2A55s7SGZmZmtyl0szKyUY0jdK7aUdDWps8CbW3tIZmZmq3KCbGal/Ak4H1hIWkHvAlIdspmZ2YjiLhZmVoSk84CngHOrTW8HJkfEwa07KjMzs1U5QTazIiT9KSK2HWibmZlZq3mSnpmVMqeamAeApJcCs1t4PGZmZr3yCLKZFSHpdmBr4N5q0+bAn0krnUVEbN+qYzMzM2vmBNnMiuix/O8qIuLvpY7FzMysP06QzczMzMyauAbZzMzMzKyJE2QzMzMzsyZOkM3MzMzMmjhBNjMzMzNr8v8BZIeVBEnHX2QAAAAASUVORK5CYII=", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" }, { - "output_type": "display_data", "data": { + "image/png": "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", "text/plain": [ "
" - ], - "image/png": "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\n" + ] }, "metadata": { "needs_background": "light" - } + }, + "output_type": "display_data" } - ] - }, - { - "cell_type": "code", + ], "source": [ "features = ['Vm_avg','dvdt_p','dvdt_n','resistance','thr','adaptation',\n", "'isi','peak','peak_adaptation','ap_width','hyp_value','fist_spike','up_down_ratio',\n", @@ -5311,41 +5329,32 @@ "df_exc = pd.DataFrame(data= data_exc_drug, columns=features,)\n", "sns.clustermap(data=df_exc)\n", "plt.show()\n" - ], - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "KAccRdGi4nNk", - "outputId": "3a336f49-8146-4fc4-f7b4-66cb1b617651" - }, - "execution_count": null, - "outputs": [ - { - "output_type": "display_data", - "data": { - "text/plain": [ - "
" - ], - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAsgAAALICAYAAABiqwZ2AAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADh0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uMy4yLjIsIGh0dHA6Ly9tYXRwbG90bGliLm9yZy+WH4yJAAAgAElEQVR4nOzde5yedX3n/9dnJjM5QsIhJCGJDKKCFQV0St1qBUEsba3UQz1Vf1K1U9dq1Z9dF+22otvuYrUHbP25nQVau4uIVaknEFiFZamCDopyPoqSAAlCQhLIJJmZz++Puenexszhnsz1ve+55vXkkQcz133d3/c1yT0zn/nO9/p8IzORJEmSNK6r3RcgSZIkdRILZEmSJKmJBbIkSZLUxAJZkiRJamKBLEmSJDWxQJYkSZKaWCBLkiRJTSyQJUmSpCYWyJIkSVKTBa2cvOen93bUtns9hz412n0NkiRJqpeWCmTGRiu6DEmSJKkztFYgj45UdBnS7Ojv7z8LWNTu65DUcYaHhobOafdFSJobWiqQ0wJZnW/R0NDQ2e2+CEmdpb+//+x2X4OkuaPFGeQ9FV2GJEmS1BlcYiFJkiQ1cYmFJEmS1MQlFpIkSVITl1hImlfsdDJv9Xmj3rxk9xLNiAWypPnGTifSPOEPRZqp1tYgj7nEQpIkSfXmDLIkSZLUpNY36UXE6cC5QDdwXmaes9fjZwIfAzY2Dv1dZp5X9CIlSZLUUWo7gxwR3cAngdOADcB3I+LLmXnrXqdenJnvLH6BkiTNY4VumC1xc6Y3AtZQawXyyNwpkIETgbsz816AiPgscAawd4EsqaAO6CLR7m4GfjOVxtXihllvBKynFjcK6awlFhExAAw0HRrMzMHG22uB+5se2wD80j6GeVVEvAi4E3hvZt6/j3MkzZ5afFOcKb+ZSlLnm9NLLBrF8OCUJ07sK8BFmbkrIn4f+DRwyqxcnCRJkuakOV0gT2EjsL7p/XX835vxAMjMR5rePQ/4iwLXJUmSpA5W5wL5u8DTI+JIxgvj1wFvaD4hItZk5oONd18O3Fb2EiVJktRpalsgZ+ZIRLwTuJzxNm8XZOYtEfERYCgzvwz8YUS8HBgBHgXObNsFS5IkqSPUtkAGyMxLgUv3OvanTW9/APhA6euSJElS56p1gSxJkiS1qs59kNWBCvTArbrHrT1sJUmquRZnkEcrugzNI3O6B649bOeODtiQZCLt3qhkX/zBT7XlxIxmwiUWkupqTv8wVlIHFuzSbJrTXwv8/GwPZ5AlSZKkJq5BliRJkpq0VCCnM8iSJEmqOdcgq5YqvCmjypsxvBFDkqQO0OISC2eQNWfMuZsyvBFDkqTO4E16klRYB7ags/WcJDVxBlmSyuvY33B0UPF+TAcU7Rbp0jzlDLIkqVnHFu+ldUCBLqlNWuti4QyyJEmSas4ZZEmSJKmJa5AlSZKkJs4gS5IkSU1cgyxJkiQ1cQZZkiRJatLiDPJYVdchSZIkdQRv0pMkSdoPFW+wU+VOl26GM4HWCuSxrOgyJEmaWJt2+GvXFtwWLXPPnNxgx81wJtbaEotRl1hIktpiThYgM2HRIrVfi0ssLJAlSZJUb96kJ0mSJDVpsUB2DbLUbJbXRc7mekfXMEqSNEMtLrGwQJb20pHrIl3DKEnSzDmDLEmSJDWxQJYkSZKatFggV3UZkiRJUmewQJYkSZKatFQgj1kgS5IkqeZa3EkvqroOSZIkqSO0OINsgSxJUrNZ7ocO9kSX2q61AnmOzSBHxOnAuUA3cF5mnrPX4wuBfwKeBzwCvDYz7yt9nZKkOa0j+6GDPdGlmartDHJEdAOfBE4DNgDfjYgvZ+atTae9FdiSmU+LiNcBHwVeW/5qJUmS1CnqPIN8InB3Zt4LEBGfBc4AmgvkM4CzG29/Hvi7iIjMtOGzJEnSPDWnC+SIGAAGmg4NZuZg4+21wP1Nj20AfmmvIf7tnMwciYjHgEOAn1ZzxZIkSep0LRbIXVVdx4w0iuHBKU+UJEmSpqmlAnm0w2aQp7ARWN/0/rrGsX2dsyEiFgDLGb9ZT5IkSfPUnJ5BnsJ3gadHxJGMF8KvA96w1zlfBt4MfBt4NfBN1x9LkjrRDNvJzaRlnK3hNO/N6TXIk2msKX4ncDnjbd4uyMxbIuIjwFBmfhk4H/gfEXE38CjjRbQkSZ2oSDs5W8NJrS6xGJtTM8hk5qXApXsd+9Omt4eB3y59XZIkSepcLRbIc2cGWZJK8NfeklQ/rS2xsECW2mIGRZgFWDn+2luSaqbWSyykGqm8CLMAkyRpXGsFcjqDLEmSpHpzBlmSVJTrtiV1utYKZJxBliTtN9dtS+poLRXIIy6xkCRJUs05gyxJkiQ1sUCWJEmSmlggS5IkSU1aW4McFsiSJEmqtxZnkCVJkqR6a61AdgZZkiRJNdfiEouqLkOSJEnqDN6kJ0mSJDVxBlmSJElq0uIa5KouQ5IkSeoMrc0gV3UVkiRJUodwBlmSJElq0lKBPFbVVUiSJEkdwhlkSZIkqYlrkCVJkqQmziBLkiRJTVrcKESSJEnt0N/ffxawaBaH7Ovv7z97lsYaHhoaOmeWxmq7FpdYZFXXIUmSpMktGhoaOrvdF7Evs1hodwRnkCVJkqQmLW417QyyJEmS6s0ZZEmSJKlJiwWyM8iSJEmqN2/SkyRJkpq4xEKSJElq4hILSZIkqYkFsiRJktRk3q5BjoiDgYuBPuA+4DWZuWUf540CNzXe/UlmvrzUNUqSJKm8+TyDfBbwjcw8JyLOarz/H/dx3s7MPL7spUmSJKld5nOBfAZwcuPtTwNXs+8CWZIkSfNIawVydlaBHBEDwEDTocHMHJzm01dl5oONtx8CVk1w3qKIGAJGgHMy819mdrWSJEmaC1qcQR6r6jpmpFEMT1gQR8T/Albv46E/3mucjJhwH+0jMnNjRDwV+GZE3JSZ98z4oiVJktTRan2TXma+ZKLHImJTRKzJzAcjYg2weYIxNjb+f29EXA2cAFggS5Ik1VRXKyePkh31Zz99GXhz4+03A1/a+4SIOCgiFjbePhR4AXDr/gZLkiSpc7W4Brmzlljsp3OAz0XEW4EfA68BiIh+4O2Z+TbgmcDfR8QY4z9MnJOZFsiSJEk1Nm+7WGTmI8Cp+zg+BLyt8fa3gGcXvjRJkiS10XyeQZYkSZJ+zrydQZYkSZL2xRlkSZIkqcmc7oMsSZIkzbY5vZOeJEmSNNta3CjEGWRJkiTVm2uQJUmSpCYtFchjFsiSJEmqOWeQJUmSpCZ2sZAkSZKaOIMsSZIkNbFAliRJkppYIEuSJElNIlvY/OOph57QUTuF3PvT70e7r0GSJEn10uIM8mhV16E5aPvbT6/8B6ZWfoDbHw9dU+ZnrfX/6ReL5Gz91LVFcroWlPn36eopk7P4uYdUnnHvxXsqzwBYuGikSM4BBw8Xydn1eEvfrmZsycG7i+Sc86M1lWe8e8UjlWcAXPXwqiI5/b2PFck5+uoPF8nZ/s73Fsm5+4bqv66V9EsPfHHSb9gRcQHwMmBzZh7bOHY28HvAw43TPpiZl042jkssJEmSVBf/CPwd8E97Hf/rzPz4dAdprUAes0CWJElSZ8rMayKib3/H6WoptMP+kyRJkqbhnRHxw4i4ICIOmupkl1gU1N/ffxawqN3XMVuu6j+03ZcgSZLmkYgYAAaaDg1m5uAUT/sU8J+BbPz/L4G3TPYEl1iUtWhoaOjsdl/EbNn+9tM/1O5rkCRJ80ejGJ6qIN77OZuefDsi/jvw1ame4wyyZmznXTsrz1j87AMrzwAYrv5DASAf2FgkZ89wS6unZuzyh6q/Ex+gv2t7kZxnPL/6TgkLundVngHQu7BMF4ux0Xp12+wu9Du+NVn9a+2BTWW+fn5rYZnX9GvfsbJIzujt3yqS031gd5Gcnm47kEXEmsx8sPHuK4Cbp3rOnJ5B7u/vP7vd19CivnZfgCRJUl1FxEXAycChEbEB+BBwckQcz/gSi/uA359qnDk9gzzXlivMwYJekiRpzsjM1+/j8PmtjtNSgTzWYQWyJEmSNNtaK5A7bInFHDRcp1nkS5cvbfclSJIkzbqWCuQ9uze29W6M/v7+s+fasopmQ0ND57T7GmbT5lNPsouFJEmqnTK3ukuSJElzRPV9ZlRby37rWZVnjD2waeqTZsEDO3uK5Kz71j1FcpaU6YbEMRuGi+T8mDLLeW68oPo5g3UjZX4R172jzG6jz3rm5iI5e4bLtMS66sZ1RXKOLLAb7DNf+EjlGQCfeNnzi+Q8/plvF8npvbtMO86Fr3pJkZyj+75fJKdunEGWJElSLTS2kt4cEVP2Op6MBbIkSZLq4h+B0/d3EAtkSZIk1UJmXgM8ur/juAa5Tfr7+88CCm1qWo1r3nxiuy9BkiTNIxExAAw0HRrMzMHZzrFAbp9Fc7llHcATf/sO27xJkqRiGsXwrBfEe3OJhSRJktTEGeQmhZc99BXKqUw8u7/yjO5VGyrPAHjqwd8qkrPgsDIvrz0PlGm/9uwXl2kj9Zlr1xbJ6S7QGW13oXmJbd1lcp4VZdrJlfKU2Fkk5/vdSyrP2PSD6jMAVnddXyTnwTsPLJLzlNVPFMkZ+/6NRXJGfrLfy3HnJQvkn1Vs2UOdtpyWJEnqBBFxEXAycGhEbAA+lJnntzqOBbIkSZJqITNfPxvjuAZZkiRJauIMcvsMz/VlFv/n4+9o9yVIkiTNOgvkNhkaGjqn3dewv3ZefYFt3iRJUu24xEKSJElq0tYZ5Bm0Veur6FI0A7FwceUZuX1b5RkAdz16UJGcFXc/UCTn7lsPLZLz4yjTtm6ot0zbuo8f93DlGRt+UKZV1ZVjy4vkbL6vzMez7MAyr4GnPHVLkZwf3ld9C7ZlB5X5O9tye5lSYsGC0SI5uXusSM6dn95VJGd07IAiOaVM1WA2Ik4HzgW6gfMyc0a/sW/3EouW2qpNd83ufvQz7pvBcyRJktRmEdENfBI4DdgAfDcivpyZt7Y6VrsL5KrMqJ/xXL9pTpIkaR47Ebg7M+8FiIjPAmcALRfIrkGWJElSHawF7m96f0PjWMvqOoPcVoW3rG6ba//2fe2+BEmSNI9ExAAw0HRoMDMHZzvHArkaxbasbqfhb19kmzdJklRMoxieqCDeCKxven9d41jLLJA1Y7FideUZuXBh5RkAx6x8tEjO0l9eVSTn2U8v0/1jyWV7iuRsGS3TKeH711X/mu6mzB3yT+nKIjkHHFymU0KW+XDYM1xm5eHCAh/PZRsPrz4EWFDo3+a0dWW6AO16sMwHtHz5ziI5u4bnVan3XeDpEXEk44Xx64A3zGSgefW3JkmSpHrKzJGIeCdwOeNt3i7IzFtmMpYFsiRJkmohMy8FLt3fcSyQf9bwLLV665uFMSRJktQGFshNhoaGZrTbyt7spyxJkjR32QdZkiRJamKBLEmSJDWxQJYkSZKauAa5GrN1s19H+9f/8bF2X4IkSdLPiIgVwHnAsUACbwF2Av+N8Z2OR4B3ZOZ3JhrDArkCs3WzX6fbddtV7qQnSZI6zbnA1zPz1RHRCywBPgd8ODMvi4hfB/4COHmiASyQJUmSVAsRsRx4EXAmQGbuBnZHRAJPbsu6HJh0a0YLZEmSJM0JETEADDQdGszMwab3jwQeBv4hIo4DbgDeDbwHuDwiPs74PXi/PFmOBbIkSZLmhEYxPDjJKQuA5wLvyszrI+Jc4CzGZ43fm5lfiIjXAOcDL5lskLlkuje/9VV8HZIkSeo8G4ANmXl94/3PM14gv5DxmWSAf2b8Jr4JzakCebo3v82HDhKdYMNvV38v4mEnd1eeAbD61QcXyel6zrOL5HQf8QtFcm778j8XyXlh72NFcjaxpPKMAxeMVJ4BcFcsLJKzcFmZj2d4e5lvV9dvXF0kZyFZecZTxnZXngHQ//+UeQ1suqynSM7SdbuK5ECZf5+D15b59+kUmflQRNwfEUdn5h3AqcCtwFOBk4CrgVOAuyYbZ04VyJIkSdIU3gVc2OhgcS/wu8CXgHMjYgEwzM+uY/45FsiSJEmqjcy8Eejf6/C1wPOmO4Y76UmSJElNnEGuof7+/rMY3ymmUhdzSNURkiRJxVkg19OioaGhs6sOuefYX3UnPUmSVDsusZAkSZKazNsZ5FLLENqkr0TIAauGqw/pOqD6DKBr/doiOfSWeclFz+IiOV3Vd6oCoLd3tEhO987qP6Dx3U6rd8jIWJGc7oVlctheJmbFWJmWWNuj+haW61duqzwDYNetBb4XACt/qbdIzshPy3yO7tlZpo1p7+5Cn6MdIiIWAdcACxmvcz+fmR+KiFOBjzE+ObwDODMz755onHlbIFNoGUI72AdakiTNU7uAUzJzR0T0ANdGxGXAp4AzMvO2iHgH8J+AMycaZD4XyJIkSaqRzEzGZ4gBehp/svHnwMbx5cADk41jgVxP092Se79cunxp1RGSJEn/JiIG+NlNPgYzc3Cvc7qBG4CnAZ/MzOsj4m3ApRGxE9gGPH+yHAvkGprultz7a/OpJ9nFQpIkFdMohgenOGcUOD4iVgCXRMSxwHuBX28Uy/8B+CvgbRONYRcLSZIk1U5mbgWuAn4NOC4zr288dDHwy5M91xlkzdiSE1ZUntH9/F+sPANg9FvfKZLT9cQTRXLGust8ai/JMndHf2HXQUVyXhS7Ks/YPVrmzvX+dZuK5NTN81/7eJGcB64o05mlhEfuLdM15/DnlFnWd91Xe4rkPNFV5mvBuvt3FskpZdJ1EUBErAT2ZObWiFgMnAZ8FFgeEc/IzDsbx26bbBwLZEmSJNXFGuDTjXXIXcDnMvOrEfF7wBciYgzYArxlskEskCVJklQLmflD4IR9HL8EuGS647gGWZIkSWpS1xnk6bQ56ytwHftUl138rj650O5zkiRJBdWyQJ5Om7M27zZXi138dvzRGbZ5kyRJteMSC0mSJKlJLWeQVUbXutWVZ+SmhyrPAOh+1jOK5NBV6GfSQjknv27H1CfNgoM/u7BIzoKu6tvWZeUJ4xb0lmnBVztjZf7eRvdU/znau3Ck8gyAyx9bVSTn1EseKZLT82+7EVfrcIaL5IyOORc6E/6tSZIkqRYi4uiIuLHpz7aIeE9E/HZE3BIRYxHRP9U4ziBLkiSpFjLzDuB4gEYv5I2Mt3dbArwS+PvpjGOBLEmSpDo6FbgnM3/85IGImNYTXWIhSZKkOSEiBiJiqOnPwCSnvw64aCY5M55BnqVevn37+XxJkiTNE5k5CAxOdV5E9AIvBz4wk5z9WWKx371829yLWJIkSfX0a8D3MnPTTJ7sEgtJkiTVzeuZ4fIKmN836U1nO+qq9LUpV5IkqdYiYilwGvD7TcdeAfwtsBL4WkTcmJm/OtEY87ZAns521FWZTmE+S2u8K3XNG5/X7kuQJEn6GZn5OHDIXscuYbzd27TM2wJ5DtjvNd5Ve+Jvfv9D7b4GSZKk2eYaZEmSJKmJBbIkSZLUxAJZkiRJauIaZEmSJNVGRNwHbAdGgZHM7I+I/wycAYwBm4EzM/OBicZwBlmSJEl18+LMPD4z+xvvfywzn5OZxwNfBf50sidbIEuSJKnWMnNb07tLgZzsfAtkSZIkzQkRMRARQ01/BvZxWgJXRMQNzY9HxJ9HxP3A7zDFDLJrkNtjOrv49RW4DkmSpDkjMweBwSlOe2FmboyIw4ArI+L2zLwmM/8Y+OOI+ADwTmDC/RwskNtgOrv4tXEbbEmSpDkrMzc2/r85Ii4BTgSuaTrlQuBSLJBVhT033F15Ru9pJ1aeAcCSpUVixu64s0hOV6GPZ/c926Y+aRb8pGtdkZx1Y7sqz9id3ZVnAHzlJ2uL5PzG2glvAp+TxrZX/xoAOGT9aOUZS45dUnkGwC9e8niRnAe3LCuSs7WrTGn0eKGvBau7hovkdIqIWAp0Zeb2xtsvBT4SEU/PzLsap50B3D7ZOBbIkiRJqotVwCURAeN17mcy8+sR8YWIOJrxNm8/Bt4+2SAWyJIkSaqFzLwXOG4fx1/Vyjh2sZAkSZKaOIM8x/X3958FLGpH9jeeubwdsZIkSZWyQJ77Fg0NDZ3djuDH3nTqhHd/SpIkzVUusZAkSZKaOIOsGetefWD1IYsWV58B8PiOIjGxosDfGcBhZVp8jRXqHrRn/G7kym3Lnsozeibf3XTWPHt0Z5Gc7p4yH8+eQq+1HCnz8Tz+SG/lGQsfKfMaOKBMV0mOetajRXK+cV2ZtpKl2q8tW7S7SE7dWCB3runstgfuuCdJkgRARKwH/onxdm8JDGbmuRFxMXB047QVwNbMPH6icSyQO9R0dtsDd9yTJElqMgK8LzO/FxEHADdExJWZ+donT4iIvwQem2wQC2RJkiTVQmY+CDzYeHt7RNwGrAVuBYjxHUReA5wy2TgWyHPfdJdizLqrX7y+HbGSJGmeiogBYKDp0GBmDk5wbh9wAnB90+FfATY1bTu9TxbIc9x0l2JUYcd/eIVt3iRJUjGNYnifBXGziFgGfAF4T2Zua3ro9cBFUz3fAlmSJEm1ERE9jBfHF2bmF5uOLwBeCTxvqjEskDVjG79SfYuapddcXXkGwPITqm+5BLDnwUKtt4ZuL5Kz8c4yuyn+6tM3FMm5/+4VlWcsWbin8gyARUvK5Kz/zp1Fcu565i8Uyfne/zq0SM7KJdV/Ldj2rTKbrK4+YtvUJ82CG761ukjOKf1lvt6U2omid22hdqkdorHG+Hzgtsz8q70efglwe2ZO+Y/sRiGSJEmqixcAbwJOiYgbG39+vfHY65jG8gpwBlmSJEk1kZnXAvvcXSozz5zuOM4gS5IkSU3mzAxyf3//WUCZBVWalgtZ2e5LkCRJmnVzpkAGFg0NDZ3d7ovQ/3XHMb9mmzdJklQ7LrGQJEmSmsylGWR1mMNPzsozdtxcfQbA6JZdRXK6DyzzKZfDo0VyVq3fXiQnusu8DrqiTE4Jl249rEjOgyeV+dzZsblIDFcuLvM5+lhW33prSXRXngHwnl07iuQ8deXWIjlLXvvLRXJGb7mjSM7YT8t8ne4UEXEB8DJgc2Ye2zj228DZwDOBEzNzaKpxnEGWJElSXfwjcPpex25mfIOQa6Y7iDPIkiRJqoXMvCYi+vY6dhvA+B4i02OBXEgdu3Bc1V9mxylJkiSAiBgABpoODWbm4GznWCCXU7suHNvffrpdLCRJUjGNYnjWC+K9uQZZkiRJauIMsmbsli8vqTxjzeqRyjMADnzGwUVydly7qUjO4meUWc3z0429RXLWPmdbkZzNu6vvLHAYOyvPADhhz3CRnI13Li+Ss3xFmb+3rZT5mvOyndV3mHiop0wXi65CXWZGRsrM6e362nVFcnpf/JwiOd3PO6RITt04gyxJkqRaiIiLgG8DR0fEhoh4a0S8IiI2AP8O+FpEXD7VOM4gt2A/b7Trm8VLkSRJ0l4y8/UTPHRJK+NYILdmxjfa9ff3z+h5kiRJKqvdBfJwC4VjX4XXUWtVtZj7O54y20NKkiS1XVsL5KGhoXOme64zsPulkhZz1x3+Stu8SZKk2vEmPUmSJKlJu5dYaA476MDq2y4tPmhP5RkAe+79aZGc7lrtpQhP7OopkvPYfWXayfUyVnlGV1eZllgRZXK6C308dXNvb/Ut2LYV+rfpWlAmZ/Gy3UVycqzQ5+hBZdqLsm1rmZyasUAup5X11rOtr025kiRJRUXEfcB2YBQYycz+iLgYOLpxygpga2YeP9EYFsiFtLLeera5fluSJM0zL87Mf/v1cGa+9sm3I+Ivgccme7IFsiRJkuaFiAjgNcApk51ngTw/VLK840JWzvaQkiRJE4qIAWCg6dBgZg7udVoCV8T4zRh/v9fjvwJsysy7JsuxQJ4Hqlrecccxv2abN0mSVEyj2N27IN7bCzNzY0QcBlwZEbdn5jWNx14PXDRVjm3eJEmSVBuZubHx/82MbzF9IkBELABeCVw81RjOIGvGenpGK8/oPazMz3A3faNMu52lPWXa1i2+u0xO39GPFMn5P7evK5KzjOpf02NjUXlGSQcd9niRnD3D1bdFA3jaWJmWggdX/1LjsJHqMwAWLC7TFm3FX76jSM7D7/j/iuQsfKxQ+7Wd1bdk7SQRsRToysztjbdfCnyk8fBLgNszc8NU41ggS5IkqS5WAZeM34vHAuAzmfn1xmOvYxrLK558oiRJkjTnZea9wHETPHbmdMdxDbIkSZLUZC7NILdzJ7on9bU5X5IkSRWbMwVyO3eie1IHFOiSJEmqmEssJEmSpCZzZgZZkiRJmkpE3AdsB0aBkczsj4iDGe9/3AfcB7wmM7dMNIYzyJIkSaqbF2fm8ZnZ33j/LOAbmfl04BuN9yfkDHIF+vv7zwIWtfs6qvY5ymyuIUmStJ/OAE5uvP1p4GrgP050sgVyNRYNDQ2d3e6LqNq9z37ph9p9DZIkaf6IiAFgoOnQYGYO7nVaAldERAJ/33h8VWY+2Hj8IcY3FJmQBbIkSZLmhEaxu3dBvLcXZubGiDgMuDIibt9rjGwUzxNyDbIkSZJqIzM3Nv6/GbgEOBHYFBFrABr/3zzZGBbIkiRJqoWIWBoRBzz5NvBS4Gbgy8CbG6e9GfjSZOO4xEKSJEl1sQq4JCJgvM79TGZ+PSK+C3wuIt4K/Bh4zWSDWCBLkiSpFjLzXuC4fRx/BDh1uuO4xEKSJElqYoEsSZIkNbFAliRJkpq4Brk1w/39/WdP47y+iq9DkiRJFbFAbsHQ0NA50zlvmkX0nPfwI8sqzzjw0UcqzwDYnmU+Fbbt7imSc9jYcJGcFXt2FskpZVdU/0u10bEyv7gbHusukrPx/hVFcg5bub1IzuOT7x0waxYUiPlxb1QfAsV+F33bq/6pSM7KNWVeA7FkSZEcllb/vbqOXGIhSZKkWoiI9RFxVUTcGhG3RMS7mx57V0Tc3jj+F5ON4wyyJEmS6mIEeF9mfq+xYcgNEXEl4/2RzwCOy8xdjW2oJ2SBLEmSpFrIzAeBBxtvb4+I24C1wO8B52TmrsZjk241bYFcQ/39/WcBi6rO+SRPqTpCkiTp30TEADDQdGgwMwcnOLcPOAG4HvgY8CsR8efAMPBHmfndiWoKNrYAACAASURBVHIskOtp0dDQ0NlVh1x/+Cs/VHWGJEnSkxrF8D4L4mYRsQz4AvCezNwWEQuAg4HnA7/I+LbTT83Mfd6V6U16kiRJqo2I6GG8OL4wM7/YOLwB+GKO+w4wBhw60RjOIGvGbo3qW9Q85fEtlWcArOjaUyTnR7G4SM6K0TItvrY/srBITpmmS3AQ1b8OFvaMVJ4BsKdQO7nVq7cVyRkbLdOyrMxnDiza96TVrCrT7BEe31SmfeUBy8p8RNsfrXyFIgAHbynz/S1WrS6S0ykiIoDzgdsy86+aHvoX4MXAVRHxDKAX+OlE41ggS5IkqS5eALwJuCkibmwc+yBwAXBBRNwM7AbePNHyCrBArsp0d9yrSl8bsyVJktoiM68FJvqV0xunO44FcgWmu+NeVebLTn6SJElVsECeR2a7/dsfcMxsDSVJktQxLJDnl1lt//YPa99omzdJklQ7FsiasQPGqr8L+1/vOZyTn7Oh8pwlvWW6WBy4q7dITnfXWJGchYvLdGRga5mY3q7RyjOe2N3DgYt3VZ6z+tDtlWfUUZnPHOjJ6pP6h2FLd32+zY8W6szSvaDQq2C4TFeOWHNkkZy6qc9njppNdJNgX+Hr2G8limOppBLFsQT1Ko6lVkTECuA84FjGO4W+JTO/3coYfvbU0EQ3CXrzniRJmgfOBb6ema+OiF6g5Y0bLJAlSZJUCxGxHHgRcCZAZu5mvO9xSyyQ55dZ7c98FkfP1lCSJElTiogBYKDp0GBmDja9fyTwMPAPEXEccAPw7sx8vJUcC+R5ZLb7M39+ze/YxUKSJBXTKIYHJzllAfBc4F2ZeX1EnAucBfxJKzllbgmVJEmSqrcB2JCZ1zfe/zzjBXNLnEHWjP3Gf1lbecZDf7O58gyAYz7/5iI5T/+XzxbJGfnJY0VyFhx1WJGclz33hCI5D3/kssozNj14YOUZUK4F3+ieMvMs0VV9W0mAP3humc45j91bfcvHRQeUeQ08vGFZkZzlB+8sknPob60qkvOlvy7T0WbV6FeK5JRyyqY3Tfp4Zj4UEfdHxNGZeQdwKnBrqzkWyJIkSaqTdwEXNjpY3Av8bqsDWCBLkiSpNjLzRqB/f8ZwDbIkSZLUxBnkaejv7z8LWNTu6+g0/+cPTmn3JUiSJM06C+TpWTQ0NHR2uy+i0+z8h/fb5k2SJNWOSywkSZKkJs4ga8bykUerzxiLyjMARi/7YpGcPff+tEjOhuuXFsk56jefWSQnH7i/SM6i5dW3xXrWm8q0kLrnbzYWyTl4dUubU83Y7ie6i+R0LyvzbXFB71jlGb3Lq88AeMZ/fU2RnMfPOa9IzshdDxXJWTV6SJGcBVGmRWLdOIMsSZKkWoiIRRHxnYj4QUTcEhEfbhx/Z0TcHREZEYdONc6cm0Fu0w1zfYXzJEmS1LpdwCmZuSMieoBrI+Iy4F+BrwJXT2eQOVcg04Yb5vr7+4vmSZIkqXWZmcCOxrs9jT+Zmd8HiJje0s25WCDPO53aZu6a1x3f7kuQJEnzSEQMAANNhwYzc3Cvc7qBG4CnAZ/MzOtbzbFAnhs6ss3cEx9/m23eJElSMY1ieHCKc0aB4yNiBXBJRBybmTe3kuNNepIkSaqdzNwKXAWc3upznUGenuE2r0Pua2N2Wy1YOFokJ5YfUCZnUZlWVYuX7CmSkxvKtF9j4cIiMaO7qp8ziGOfW3kGwJGverBIzvAtZT5HS7V561raUyRnwcJdlWf0rl9ceQbA2HVXFcnZfGeZ9pVrnruzSM7uQnOUXVnmc7RTRMRKYE9mbo2IxcBpwEdbHccCeRqGhobOaWe+NwlKkiRNyxrg0411yF3A5zLzqxHxh8D7gdXADyPi0sx820SDWCBLkiSpFjLzh8AJ+zj+CeAT0x3HNciSJElSE2eQ54Z2r4HeJ9u8SZKkOrJAngPavQZ6IrZ5kyRJdWSBrBm76G+GC6Ss4TW/9WjlKbu/dUflGQA9z1xdJOfwF60vkvO1D24skrNkbHuRnJP+sMDf2+Yyf2dEmRV0oyW+DBQ09niZDjALDxmrPOPyLx1SeQbAQWOPF8kZZnmRnHuvLpPz8IIynVmeMlL9a62OXIOsjlaiOJYkSfUQEesj4qqIuDUibomId89kHGeQJUmSVBcjwPsy83sRcQBwQ0RcmZm3tjKIM8iSJEmqhcx8MDO/13h7O3AbsLbVcZxBniP6+/vPAha1+zqa/XuOafclSJKkeSQiBoCBpkODmTk4wbl9jPdEvr7VnGIF8iwWeH2zMMZctGhoaOjsdl9Es/PXvdEuFpIkqZhGMbzPgrhZRCwDvgC8JzO3tZpTcgZ5Vgq8TuwHLEmSpM4QET2MF8cXZuYXZzKGSyw0Y6v3jFaeMfb47sozAKK3Xsvxc0+ZVlUv+oUNRXJ+dFuZdlVdx51YeUbec2flGQDdv/KCIjl5/VeL5JQy9kT1X9cAxgp8aevJrD4E6I0yf2cH95bpKbhl18IiOcvGynzf6Yn51eYtIgI4H7gtM/9qpuPUrkDuxLW6s6Sv3RcgSZLU4V4AvAm4KSJubBz7YGZe2sogtSuQ6cC1urPBpSWSJEmTy8xrgdjfcer1e2VJkiRpP9VxBrmuhjttFvnDPL3dlyBJkjTrLJDniKGhoXPafQ17+9qq19vmTZIk1Y5LLCRJkqQmziBrxp5/4gOVZ9xw6arKMwBW9O4qktP7r9uL5Cxe8miRnJ1PHFAk55m/saNIDr3VN8CJY59beQbAyFe+UiRn1456fRvpPrRMi6/YUX0rxhc+b2PlGQDfuuHwIjlf6eopkvOGRY8XyblntLdIzuI9Zf7e6sYZZEmSJNVCRKyPiKsi4taIuCUi3t04fnxEXBcRN0bEUERM2vi+Xj/6S5IkaT4bAd6Xmd+LiAOAGyLiSuAvgA9n5mUR8euN90+eaBALZEmSJNVCZj4IPNh4e3tE3AasBRI4sHHacmDSdaIWyLOsxjv5/ZzL1yxt9yVIkqR5JCIGgIGmQ4OZOTjBuX3ACcD1wHuAyyPi44wvMf7lyXIskGdfLXfy25dHfvMk27xJkqRiGsXwPgviZhGxDPgC8J7M3BYRfwa8NzO/EBGvAc4HXjLR8+digTzVhhl9ha5DkiRJHSYiehgvji/MzC82Dr8ZeHfj7X8GzptsjDlXIE+1YUan7TZXZ129+73V+ZQOWlim/dpBBz9RJKeU7p6xIjmH/8mkv6GaNSP/+7oiOd3PmPSm5lkx+r0rKs8AuOsLZZoUrXtG9e3KAB7/aZmWWDk8UiRn7InRyjO2/rjMar9nHlymreTKrWWW9S1ZvrtIzoJtRWLoIcsEdYiICMZnh2/LzL9qeugB4CTgauAU4K7JxplzBbIkSZI0gRcAbwJuiogbG8c+CPwecG5ELACG+dl1zD/HAnkKM7jprq+iS5EkSdIkMvNaYKJfcT9vuuNYIE+tpZvuXOIhSZI0t1kgzxGd2D7uyiOWtfsSJEmSZp0F8tzRce3jtrzqZNu8SZKk2rFA1ow9esfCyjNGRsvciR9dZe7y3fjg8iI5Bywqcxf2od3dRXLoLfOlavTma6oPWX5o9RnA015apjPLtpsLvQYK6VrcUyQnh6vvNHPfwysqzwA4ZNHOIjmHH/5YkZwHHijzdXpboQrsoELfR+vGAnn2TdWneab6KhhTkiSpViJiBeN9jo9lfIvptwCvBH4T2A3cA/xuZm6daAwL5Fk2VZ/mmfLmP0mSpGk5F/h6Zr46InqBJcCVwAcycyQiPgp8APiPEw3gvLskSZJqISKWAy9ifLMQMnN3Zm7NzCsy88mdgK4D1k02jjPIc0dVSzdm7GIOafclSJKkeSQiBvjZTT4GM3Ow6f0jgYeBf4iI44AbgHdn5uNN57wFuHiyHAvkOaKqpRv7455jf9UuFpIkqZhGMTw4ySkLgOcC78rM6yPiXOAs4E8AIuKPgRHgwsly6lggz/ZMa98sjiVJkqTqbAA2ZOb1jfc/z3iBTEScCbwMODUzJ21fVbsCebZnWjttWUMneXTLksoz/uuC0cozAD7RU33LJYBFC0amPmkW7NpTpvXW5e+8tUjOS94w0a6hsytvuanyjHjWsyvPAHj4+jK3mOza2VskZ/GyMq0Lc7TM14KuA6tvJ/fMozZXngHwhfvXFsl5zQFl2rytP2LCxgaz6qb7q/8eCtCbZV7TnSIzH4qI+yPi6My8AzgVuDUiTgfeD5yUmVP2waxdgSxJkqR57V3AhY0OFvcCvwt8F1gIXBkRANdl5tsnGsACWZIkSbWRmTcC/XsdflorY9jmTZIkSWriDPIs6u/vPwtY1O7rKOVTrG/3JUiSJM06C+TZtWhoaOjsdl9EKd9d+wrbvEmSpNpxiYUkSZLUxBlkzdjKlTsqz3jpI6sqzwC4+b4y7XYO691ZJGf3aJk2b89csaVITqw8rEjO8LfurTzjgLf+SeUZAEtWfKVIzoojhovkbNtQpp1c99oyO4SOPbq98oxlzy7THvGV+UCRnEVPKVOy3Hz5QUVyjsoyrQsf7aq+pWAdOYMsSZKkWoiIRRHxnYj4QUTcEhEfbhw/MiKuj4i7I+LiRgu4CTmDPLVWdubrq/A6JEmSNLldwCmZuSMieoBrI+Iy4P8F/jozPxsR/w14K/CpiQaxQJ5CKzvzueueJElS+zS2kH5yDWhP408CpwBvaBz/NHA2Fsiday63hvs8ZdZpSZIkAUTEADDQdGgwMwf3OqcbuIHxzUE+CdwDbM3MkcYpG4BJ90i3QG6/Odsa7r7jT7PNmyRJKqZRDA9Occ4ocHxErAAuAY5pNceb9CRJklQ7mbkVuAr4d8CKiHhyYngdsHGy5zqDPLtauaHvSX0VXEcRB6yvvkXNYQ+NVZ4BcGDXniI5y5btKpITjxeJ4ZAjnigTtGdk6nNmwfDD1c8ZLN3yUOUZACvOPKFIzsP/7QdFckrJnWU+Rxmr/mtb14qllWcAXLSxTJvMV2x/uEjOkt4y3w8WZ5EYdu+eX3OhEbES2JOZWyNiMXAa8FHGC+VXA58F3gx8abJxLJBnUSs39D3JG/skSZJmzRrg0411yF3A5zLzqxFxK/DZiPgz4PvA+ZMNYoEsSZKkWsjMHwI/9yu0zLwXOHG648yveXdJkiRpCs4gt99M1i13hMvXlFnfJkmSVJIFcpvNZN1yp3jkN0+yzZskSaodC2TN2IdvWl15xp+/ckvlGQDdzzqqSM69f3lfkZyjfm24SM7Gb5b5LcJ3b44iOYeOrKo845CTPl55BsDx33hXkZzRv72pSE4pd100WiTnaS+rvoVBLF5YeQbAG9ZN2i1rztm1s0xp1N1TpkvTvzt5x9Qn6ee4BlmSJEm1EBEXRMTmiLh5H4+9LyIyIg6dahwLZEmSJNXFPwKn730wItYDLwV+Mp1BLJAlSZJUC5l5DfDoPh76a+D9wLTWN7kGuWL9/f1nAYvafR1V+GXKrNuVJEkCiIgBYKDp0GBmDk7xnDOAjZn5g4jp3dNigVy9RUNDQ2e3+yKq8Id9r7WLhSRJKqZRDE9aEDeLiCXABxlfXjFtLrGQJElSXR0FHAn8ICLuA9YB34uISVtxOYOsGTs8eyrP6DpsReUZAHH0sUVyDlp1a5GcnhOfWyTn2q89VCSn+lfauOGofs5gx2iZj2bnRz5SJGfDpkOK5Kxb9ViRnNVP2VYkJ5ZW/7UtlpVpw7j7iTKlxH0Plvl+sHTBSJGcPU+UmaNccf/OIjmlLG/x/My8CTjsyfcbRXJ/Zv50sufVukDukPW/fW3OlyRJmhci4iLgZODQiNgAfCgzz291nFoXyHTA+t+5uo20JEnSXJOZr5/i8b7pjOMaZEmSJKlJ3WeQO8FwXWeRX80x7b4ESZKkWbc/BXKrhV/ffmTNWUNDQ+e0+xqqcs4Rb7TNmyRJqp0ZF8itFn51nUWVJElSvbjEQjP2vOE9lWeMbtxSeQZA167hIjkP/KTVBjUz8+P/9KMiOevHyrQse9ohZV4Hh55Q/Wv6kR+U+Tvb9P0yDXz61pf5txnZXeaWmSVH1ufWnNF7NxbJOWD97iI5f7SpTFvJ8xYcVCTn4eGFRXJuuG3Sdr9zzq8XyqnPVwJJkiTNaxGxKCK+ExE/iIhbIuLDjeMREX8eEXdGxG0R8YeTjdOWGeSC/Yn7CmRIkiSpM+wCTsnMHRHRA1wbEZcBzwTWA8dk5lhEHDbZIO1aYlGkP7HrniVJkuaPzExgR+PdnsafBP498IbMHGuct3myceb9GuQO2W1vTvqvHNXuS5AkSfNIRAwAA02HBjNzcK9zuoEbgKcBn8zM6yPiKOC1EfEK4GHgDzPzroly5n2BTAfstjdXXbnqtbZ5kyRJxTSK4cEpzhkFjo+IFcAlEXEssBAYzsz+iHglcAHwKxON4U16kiRJqp3M3ApcBZwObAC+2HjoEuA5kz3XGWTN2FMO2lZ5xp4HdlWeAbDgrtuK5KxaU/3fGcCXNq0pk8PDRXIuOaW7SM6mb1afseWxJdWHAMd+pMwSqC3n31Akp1Sbt9w9ViSH0dHKI7rWrqw8A2Dhoq1Fcv7iO2VWQy5ZUubr9NOWlGmPt2nLsiI5nSIiVgJ7MnNrRCwGTgM+CvwL8GLgR8BJwJ2TjWOBLEmSpLpYA3y6sQ65C/hcZn41Iq4FLoyI9zJ+E9/bJhvEAlmSJEm1kJk/BE7Yx/GtwG9Md5y6F8jD02j11tfKgHa9+L8upMyv7yRJkkqqdYE8NDR0zlTnzKBXsl0vGu445tfsYiFJkmrHLhaSJElSk1rPIKta395+SOUZv7WiTBeLWLa0SM7uJ8p8yv2wu8zf2zuHq38NANBV5q7yLY8trDxj+0hP5RkAD3/q+0VyIuo1z5JjWSSn64jDK8+IY46tPAPgM2+9rkjOiw+bdOOzWbNruMzX6cVL9xTJ0czU6yubJEmS5q2IWBQR34mIH0TELRHx4b0e/0RE7Jjo+U9yBlmSJEl1sQs4JTN3REQPcG1EXJaZ10VEP3DQdAaxQJ5ep4tmfRVdhyRJkvZDZibjfY4Behp/stEX+WPAG4BXTDXOvC+Qp9PpotkMul5MNtacbhn3To5p9yVIkqR5JCIGgIGmQ4OZObjXOd3ADcDTgE9m5vUR8W7gy5n5YERMmTPvC+Q2m9Mt4/5x7Rtt8yZJkoppFMODU5wzChwfESuASyLiRcBvAydPN8eb9CRJklQ7jd3zrgJezPhs8t0RcR+wJCLunuy5ziBrxg4aHas8Y8GaAyrPAKC3+vZeAD96ZEWRnJd0lfnUXtO1s0jO5m+WaYe0sGfqX7vtr52jZf5tDnnF2iI5N31yypvBZ8WqlduL5ORImTZvcUj1LRJj9VMrzwA46cCvFMlZctDuIjn33DGte7j225E9W4vkjGb1X9c6SUSsBPZk5taIWAycBnw0M1c3nbMjM5822TgWyK1r9aa+yfTN0jiSJEmCNcCnG+uQu4DPZeZXWx3EArlFrd7UN5nZvOFPkiRpvsvMHwInTHHOsqnGcQ2yJEmS1MQZ5PaazeUaxf0Jz2j3JUiSJM06C+Q2ms3lGu3wpdVvsM2bJEmqHZdYSJIkSU2cQdaMHbGw+vZOG748UnkGwFc/d3+RnEMW9BTJ6S6SAs+58GVFcn74hpZvQJ6RNYc/VnnGw/cvqTwD4N7zthTJuaLnwCI5b6JMm7cFh5XZ3HTk2hsqz9jw4e9XngFw845Di+SctGZDkZy7est8nd7zSJl2ctu7Sn1H6AwRsR74J2AVkIzvtHduRHwM+E1gN3AP8LuNPsn75AyyJEmS6mIEeF9m/gLwfOAPIuIXgCuBYzPzOcCdwAcmG8QCWZIkSbWQmQ9m5vcab28HbgPWZuYVmfnkr6WvA9ZNNo4FsiRJkmonIvoY74l8/V4PvQW4bLLnugZ5lvX3958FlFnE1mbncXi7L0GSJM0jETEADDQdGszMwX2ctwz4AvCezNzWdPyPGV+GceFkORbIs2/R0NDQ2e2+iBJuPOLltnmTJEnFNIrhnyuIm0VED+PF8YWZ+cWm42cCLwNOzcycbAwLZEmSJNVCRARwPnBbZv5V0/HTgfcDJ2XmE1ONU6sCuUOWN/S1Ob+YO3YfUHnG0YVaO41FkRgWTf4D66xZPjpaJGfs2/+7SM7O0TJtirY9urjyjJ4YqzwD4I7hMu3Xfv/ojUVynthSpvXWyObhIjkLDl1YecbaU8p8vXnfZWVaCh5154oiObsKfT9YSJl/n4VjZdqldpAXAG8CboqIGxvHPgh8AlgIXDleQ3NdZr59okFqVSDTAcsb5vLW0ZIkSXNZZl4L7OvHnEtbGccuFpIkSVKTus0gd4Lh+TKL/B84ut2XIEmSNOsskGfZ0NDQOe2+hlIuXvM7drGQJEm14xILSZIkqYkzyJqx007cUHnGZd9dX3kGwG8ufbRIzrY9ZZqsrFn/WJGcOOjYIjm/9F9WFsnZ9j+/W3nGo7dV3ykD4DsLy3TLWHP7oUVyDl9V5jXdvaJMt4xtP6y+s8Cfbyrzb/OnI2W6JGwe7S2S88q1DxXJ+drDq4vkHDJSpltG3TiDLEmSpNqIiPsi4qaIuDEihvZ67H0RkREx6U+QziBLkiSpbl6cmT9tPhAR64GXAj+Z6slzskCeZEOQvsKXIkmSpLnhrxnfTe9LU504JwtkJtgQZF/t1Tpkd71aumLt0nZfgiRJmkciYgAYaDo0mJmDe52WwBURkcDfZ+ZgRJwBbMzMHzR20pvUXC2QW9H23fXq6tEzTrLNmyRJKqZRDO9dEO/thZm5MSIOY3xr6dsZ3276pdPN8SY9SZIk1UZmbmz8fzNwCXAScCTwg4i4D1gHfC8iJmwlMh9mkFWVAj9e3dxbplXVL+wq09pp5SE7iuTccX+Z9k4rDy/Thi9Wri2S091bfZu3A3p3V54B8PqxMjlPPa3Ma/rRH5aZz+k6oMyKvEUHb68840+6H648A2D5S8u0K3vsijLt15YeVea1tvwbRWJYkmW+j3aKiFgKdGXm9sbbLwU+kpmHNZ1zH9C/9018zSyQJUmSVBergEsa64wXAJ/JzK+3OogFsiRJkmohM+8FjpvinL6pxnENsiRJktTEGeRpsl3cz7tivW3eJElS/dStQB7eRy/kvlka23Zxe3n0FbZ5kyRJ9VOrAnloaOicvY/ta/MQSZIkaSK1KpBVVs+qxZVnvJ7qWyEBXMXyIjkv3l6vdjux7hlFch75g78pkrNg4dS7K+2v7bt7K88AOOrIR4rkbL2lZrey7B4pEtOzuvrWkt3LynwsXUeX+TqwfGGZz51vnlumReJSynw/GI6afY5OQ0R0A0OM75z3shhvafFnwG8Do8CnMvMTk41hgSxJkqQ6eTdwG3Bg4/0zgfXAMZk51thhb1Lz78cKSZIk1VJErAN+Aziv6fC/Z3yzkDH4tx32JmWBLEmSpLr4G+D98DNrWI4CXhsRQxFxWUQ8fapBLJAlSZI0J0TEQKPQffLPQNNjLwM2Z+YNez1tITCcmf3AfwcumCqn5Brk5hZsfW3K3R99szCGJEmSZigzB4HBCR5+AfDyiPh1xveuODAi/iewAfhi45xLgH+YKqdYgdzcgq1k67V9tX6bCdvFSZIkda7M/ADwAYCIOBn4o8x8Y0ScA7wY+BFwEnDnVGPZxaJCdd9976r+Q9t9CZIkSVM5B7gwIt4L7ADeNtUTLJCrVevd97a//XR30pMkSR0nM68Grm68vZXxzhbT5k16kiRJUhMLZEmSJKmJBbIkSZLUxAJZkiRJauJNepqxPZt2Vp5xHssrzwDY2bWrSM7qXQdOfdIsWBO7i+Ts+ttPFMlZsHBs6pNmQc/yrDxjrPoIAK758ZoiOS864sEiObuf6C6SM/LwcJGcEn48VObrzTNeuKlIzo7Lf1wkZ0v3uiI5o0VSoKfQ15y6sUCevplsONJXwXVIkiRpHyLiAuDJHfWObRy7GDi6ccoKYGtmHj/ZOBbI0zSTDUfcXESSJKmofwT+DvinJw9k5muffDsi/hJ4bKpBLJAlSZJUC5l5TUT07euxiAjgNcApU41jgdxh5tLue1esX9ruS5AkSfNIRAwAA02HBjNzcJpP/xVgU2beNdWJHVkgT6NI7Ct0Ke0wZ3bfe/QVJ7mTniRJKqZRDE+3IN7b64GLpnNiRxbITFEkurZXkiRJ0xURC4BXAs+bzvmdWiBrDlhw6MLKM1ZmmZfoT6NMw52Dx0aK5PR2l/l4evqPKZIzfN9NRXJGn6g+Y+mCMq+Bl72q+jaMAFu/HUVySlmw/oAiObtu21Z5xv27yiyDO3rpkiI5T2zpKZLTXagt2mihT52etM9bw0uA2zNzw3ROtkCulq3hJEmSComIi4CTgUMjYgPwocw8H3gd01xeARbIlbI1nCRJUjmZ+foJjp/ZyjhuNS1JkiQ1cQa588xkWUZbfPOEg9t9CZIkSbPOArnDzGRZRrts+72X2uZNkiTVjkssJEmSpCbOIGvGRjbvqjxjIWX64Lx/zeYiOYtXl2m/9sQD3UVyvvJni4vkvPzi3y2Sc//vf7byjOHRMv82X/p8mRZfR4yV2fhz3arHiuTs+VH17dcAelZX/+331F9dVnkGwMB/vq9IzkfXlmmR+BvHbCySs/mH1bdKBbjv0RVFcjpFRCwCrgEWMl7nfj4zPxQR5wP9QAB3Amdm5o6JxnEGWZIkSXWxCzglM48DjgdOj4jnA+/NzOMy8znAT4B3TjaIM8iSJEmqhcxM4MmZ4Z7Gn8zMbQAREcBiYNIdVJxBliRJ/397dx4nV1Xmf/zzTWdjC2EJCgQSUAERWYOioIIMowKCqKgIbqgZERFFB2FmUMT5ueugoGhkURAXXAAVRZmRXbYQtiiogMgiEECWkJD9+f1xbpHqTi/p7nNPdVV/369Xv7rrdtd9bnXfrnrq3Oc8x6xjSOqSdDMwD7gkIq6rtp8FPARsWODdRwAAIABJREFUA5zS3z48gtwiM2bMOA4oU7xXk99tWqbG0czMzAxA0kxgZtOmWRExq/lnImI5sKOkycD5kraLiLkR8R5JXaTk+K3AWX3FcYLcOhNnz559YqsPYjj+eeCr3ObNzMzMiqmS4VkD/mD62SckXQq8FphbbVsu6UfAsThBtjpM3G/n2mN8aD848zOP1B7n/Ps34aCp/6g9ztj1x9ceA2DMw2Vme0/TM0XiaFyZ2d7jxtffZWTqc55k3iPr1B7nBSzkRW+r/zx4/MoFtccAWLakTEXgmDXLxFk6r/6/zdJL/sKa+2xVe5xvH70BH/r647XHefQfZbpyTF6ysEiciDJdmsZTpnvSSCFpCrC0So7XAPYBvijp+RFxZ1WDfABwR3/76agEuc3KFqa3+gDaQYnkGCiSHJsBRZJjoEhybCNbieQYKJIcmw3CxsD3qlKKMcB5wEXAlZImkdq83QIc0d9OOipBpo3KFtplOWkzMzOzdhERtwI79fKt3QezH3exMDMzMzNr0mkjyO1kUbuPIl8x8xWtPgQzMzOz7Jwgt8js2bM/3+pjGK6Fsz7qLhZmZmbWcVxiYWZmZmbWxCPINmQ//XT9HSbGFnoL94971y0S576/rVEkzq0TyrQP+ujeDxWJs+xnPyoS5+mn6m+C0+/aphkd8bMyT+/fmrlpkTjzznuwSJxFD5T5C639ksn1B1lR5rHsu7hMG8ZJz3mySJw11ivTAeaO+6YUiTOpa0mROJ3GI8hmZmZm1hEknSlpnqS5Tdu+JOkOSbdKOr9aYa9fTpDNzMzMrFN8l7RyXrNLgO0iYnvgL8DxA+3ECbKZmZmZdYSIuAL4Z49tv4uIRu3MtcDUgfbjGuRetNmKfC3zYbZp9SGYmZnZKCJpJjCzadOsiJg1iF0cDvx4oB9ygty7tlmRr5XO3vQwt3kzMzOzYqpkeDAJ8bMk/SewDDh3oJ91gmxmZmZmHU3Su4H9gb0jYsAWL+2aIPe1Ct30wscxqt03tv4WQmcuuL32GADnjp9eJE6pov8DVjxdJM7cS9YrEmfHo9YsEueRhYtqjzFxzPLaYwB8dEWZVlXnf2NFkTi7l+mIxSP3rVMkzlo71n8eLLnhrtpjAGwcGxSJs2xJmWfQR+9Zq0icXWaUaV349IPjisQZySS9FjgWeFVELFyd+7RlgtzXKnTtvnSzmZmZmQ2dpB8CewIbSrof+BSpa8UE4BJJANdGxAf6209bJsirY5gT7aZnPBQzMzMzKyAiDull8xmD3U/HJsgMY6KdR6LNzMzMRq9OTpBHjE5tG3cQW7f6EMzMzMyyc4JcRke2jft/0w51mzczMzPrOF5Jz8zMzMysiUeQe9dXG7mhmp5xXyPGmqHaY6w/fu3aYwAsX1HmvWL9v7FkgykLisSZtEWZVmJjpu5WJM6aXX+pPcbC5YWedsv8aXjDofOLxHnkkiJh2GTbp4rEGbP+JrXH+OdV9bctBFgYXUXirP+CMo/nLzeUaVunvxYJwz/nr1EmUCHTVuNnJHUBs4EHImJ/SR8CPgI8D5gSEY8OtA8nyL3oq43cUHnSn5mZmVkxRwO3A5Oq21cDvwIuW90duMTCzMzMzDqCpKnAfsDpjW0RcVNE3DOY/ThBNjMzM7NOcTJp1bxhLfPpEosyctc0jwiHsk2rD8HMzMxGEUkzgZlNm2ZFxKzqe/sD8yLiRkl7DieOE+QCctc0jxT/s/lhbvNmZmZmxVTJ8Kw+vr07cICkfUnrT0yS9P2IOGywcTotQW4eqZ3ewuMYFQ5cd179MZjI5f/cqPY4UGi295gyfSxWLC8T51uzpxaJc/RrFheJc1/UP9t7nKL2GAC7fWK9InFYtrxMHP5eJkyhwsMV/6y/+8f6e0xk7Cvr7wCzwQ1zao8B8PBFZdbbmr98XJE4XQvKPBesPWFJkTgjQUQcDxwPUI0gf3woyTF0WILcPFLbiSUNo1GZ5NjMrPOUSI7N2oGkD5Pqkp8L3Crp1xHxvv7u06oEeaCa3OmFjsPMzMzMOkxEXEbV1i0ivg58fTD3b0mCPFBNrkd/zczMzKxVOqrEIocZM2YcRyrstgGcx/qtPgQzMzOz7Jwgr2ri7NmzT2z1QbSDu1/8r+5iYWZmZh3HC4WYmZmZmTXxCLIN2ZxHp9QeY8Mo00LqkgkTisTZrFD7tasfeU6ROEe9vf5WVQD3ffWxInFmbLSs9hh/f2hy7TEANG2LInGe+vpvisSB8UWidE3qKhJnyV31/+90bVfm/+bhixYUibP4mTIpy/UTy8TZZVGZ14PFy+tvX1nS9oXieATZzMzMzDqCpDMlzZM0t2nbDpKukXSbpF9KmjTQfpwgm5mZmVmn+C7w2h7bTgeOi4gXA+cD/z7QTjq5xGKgXst9mZ75OMzMzMysgIi4QtL0Hpu3Aq6ovr4E+C1wQn/76dgEeaBey30Zag/m0dge7ji2bvUhmJmZ2SgiaSYws2nTrIiYNcDd/ggcCFwAHAxsNlCcjk2QW2DUtYf76caHus2bmZmZFVMlwwMlxD0dDnxd0gnAL4AlA93BCbKZmZmZdayIuAP4VwBJWwH7DXQfJ8g2ZFP1TO0xfjmhTNXKns/U394LYIJWFImz9rgB3xxnMf/ap4rE2fyEPYrEefLbV9YeY9zDUXsMADZ4bpEwS57urJeRsVPLtOEbu3n9Lb7i/gdrjwGw/taLi8T555+LhOH1T5d5Xpszdt0icSYvL/O6M5JJ2igi5kkaA/wX8K2B7uMuFmZmZmbWEST9ELgG2FrS/ZLeCxwi6S/AHcA/gLMG2k9nvfXPw90vzMzMzNpQRBzSx7e+Npj9OEHuoXT3CzMzMzMbWZwg12Q0tH07lc1bfQhmZmZm2TlBrk/Ht327dpM3us2bmZmZdRxP0jMzMzMza+IR5Hx6Tu6b3qLjKGbC2OW1x9h1Ue0hANh8vTJtfa6Zv0GROM9bWqaV2KTXblokTjz6SJE4i54aV3+M6Ko9BsDSc39YJM5t904pEueFz32sSJwVTywoEqdr8+fUHmPMdtvVHgPgotNvLRJnj40eLhLnvmfWLhLn0QlFwrDWivpbCo4kks4E9gfmRcR2TduPAo4ElgMXRcSx/e3HCXImPSf3edKemZmZWXHfBU4Fzm5skLQXaanpHSJisaSNBtqJSyzMzMzMrCNExBXAP3tsPgL4fEQsrn5m3kD7cYJsZmZmZp1sK+AVkq6TdLmkXQe6g0ss6jPUBUfaxncoU39qZmZmBiBpJjCzadOsiJg1wN3GAusDuwG7AudJ2jIi+pyw4wS5JkNdcKSd3LT5gW7zZmZmZsVUyfBACXFP9wM/rxLi6yWtADYE+pwB7gTZhmzOinVqj3HxhPm1xwD45OIy/wqvWK9MN4bTny7TLeOS08t0y/jw1rcXifPHBzepPcZ6Y5bUHgPg/v8tc07v+LwynQUWLyjzeOZcMKlInBfP+HvtMbrm3ld7DICD3ld/Rw6AuafX/5oDsOcRRcLwqsXPFImz7O6e5bij0gXAXsClkrYCxgOP9ncHJ8hmZmZm1hEk/RDYE9hQ0v3Ap4AzgTMlzQWWAO/qr7wCnCCbmZmZWYeIiEP6+NZhg9mPu1iYmZmZmTXxCHJNZsyYcRwwsdXHUacj2KbVh2BmZmaWnRPk+kycPXv2ia0+iDqdMfUwd7EwMzOzjuMSCzMzMzOzJh5BtiF74fL6W9SMizJtfdaZUqZV1ZixK4rEmfZkmfe+d3YtLxKnlHW0rPYY4wv9ztZYu0w7uUm7Ty4S55HfPV0kzroTyvzeutau/3907KZlWtYtf+CxInE+rjLPnxc9WCY10hrji8RZ8miZ31unacsEuU3qe6e3+gDMzMzMRhtJXcBs4IGI2L9p+9eBwyNi7YH20ZYJMm1Q39vpy0ybmZmZjVBHA7cDz15GkTQDWG91d+AaZDMzMzPrCJKmAvsBpzdt6wK+BBy7uvtp1xHkdrCo00eRv860Vh+CmZmZjSKSZgIzmzbNiohZTbdPJiXCzZOYPgT8IiIelLRacZwg12T27Nmfb/Ux1O0PG7/Jbd7MzMysmCoZntXb9yTtD8yLiBsl7Vlt2wQ4mLT89GpzgmxmZmZmnWB34ABJ+5KaOUwC/ggsBu6sRo/XlHRnRDy/vx05QbYhW3+d+tu8/WPxGrXHAPj442XibKsybevWLDS74OWLVu9S1XBdfcumReIsWs1Lb8MxZWmZ39k2/1omzlNXP1EkTqe9XD10U/3POTFnae0xANZYq0gYzt6wzBPbzReWaY+3y8yuInHGb1SmReJIEBHHA8cDVCPIH2/uYlFtf3qg5Bg8Sc/MzMzMrJvOektuZmZmZqNeRFwGXNbL9gF7IINHkM3MzMzMuvEIch/aZLW+lvo+G7X6EMzMzMyyG6kJ8kA9hKcXOIYRv1pfq92x1b5u82ZmZmYdZ0QmyAP1EO70BTjMzMzMrHVGZIJchyGUTEyv6VA6xpQXLKg9xkF3Re0xAMYu3KBInOcvXlEkzgrK/N42H7uwSJy7lpfpI7X+imW1x1irq/4YAF2vP7BInLjq7CJxSpm8XplzesJa9Z8Hk3afXHsMgAWz638tAJh86ieLxHnsNacUibP4tnlF4sSSImFGFEmTSUtNbwcEcHhEXCPpKOBIYDlwUUT0ufT0qEmQGWTJhEepzczMzNrS14CLI+LNksaTFgfZCzgQ2CEiFkvqdyLVaEqQzczMzKyDSVoXeCXwboCIWAIskXQE8PmIWFxt73cI323ezMzMzKxTbAE8Apwl6SZJp0taC9gKeIWk6yRdLmnX/nbiEeS+DdRJY9T77XMKrS9qZmZmBkiaCcxs2jQrImY13R4L7AwcFRHXSfoacFy1fX1gN2BX4DxJW0ZEr5N2nCD3YaBOGgaP7fcqt3kzMzOzYqpkeFY/P3I/cH9EXFfd/ikpQb4f+HmVEF8vaQWwIWm0eRVOkG3I7r55/dpjbDrtidpjAKzzdJEw3D+uTFXTrsvLzMQ/Z2yZtXR2K9P8g/XGLa49xpNLJ9QeA4B7/1okzPi1lxeJs2RhV5E4k7daWiTOuM0m1R7jsf+bX3sMgA3fuFmROE8fe1KROOeP3bRInF2vWbNInElRpnNOKa8e4PsR8ZCk+yRtHRF/BvYG/gTcBewFXCppK2A88Ghf+3GCbGZmZmad5Cjg3KqDxd3Ae4AFwJmS5gJLgHf1VV4BTpDNzMzMrINExM3AjF6+ddjq7qNdE+ShTKCbXsNxmJmZmVmHacsEeSgT6AabUA9h5b1R5zTK1J2ZmZmZldSWCXIhg1p5bzS6YdOD3MXCzMzMOo4XCjEzMzMza+IRZBuy68bUv1DIoZs+XnsMgEn39DmRNat7xqlInBsp0z7oqDV7bR+Z3S9XTCkSZ73F9VdVraUyLZceOfnaInG6xpVpv1bKMw+U+R/V+Pp7S64zrUwLvhX399kpK6sDbi5zrn1x+ZIicbbeqczz5+InOut/tBSPIJuZmZlZx5DUVS0z/auh7sMJspmZmZl1kqOB24ezAyfIZmZmZtYRJE0F9gNOH85+RlMN8mB7J09vvuG2b6t6N9u0+hDMzMxsFJE0E5jZtGlWRMxqun0ycCywznDijJoEebC9k3tJpt32rYdTNzvMbd7MzMysmCoZntXb9yTtD8yLiBsl7TmcOC6xMDMzM7NOsDtwgKR7gB8Br5b0/aHsaNSMIFt+e4x5qvYYt11Zpr3XFROXFomzw/LxReJMWFEkDJc/Vebvs+WyMg+oRAu25VGmjdjc+8r8bXZ83sNF4pSiQsNG8+bU/1zQ1VWmfeVmJ3+2SJxzr/1EkTj3PFjmf3Tp/DIn28P3D6vSYMTZtJ/vRcTxwPEA1QjyxyPisKHE8QiymZmZmVkTjyCbmZmZWUeJiMuAy4Z6f48gm5mZmZk18Qhy33q2hZve8wdGe+u309mk1YdgZmZmlp0T5D70bAvXRw/lUd367eZpB7jNm5mZmXUcl1iYmZmZmTXxCLIN2Q+0Vu0xjtnqwdpjALzob1OLxPlzV/1txAA2VZl/7e0Wl3k8t08o83jWX1J/jDFlOkhx2cQygXYsEqWcZ54cVyTOc2bU31ry+t9tWHsMgCmfPb5InEnTCvyDAgsf7CoSZ/HTZZ7XxnYV6vs5QkiaCFwBTCDluT+NiEFf8XaCbGZmZmadYjHw6oh4WtI44CpJv4mIawezEyfIZmZmZtYRIiKAp6ub46qPQa+a4wR59fXsagG9dLZYHZ3S/eLVvKDVh2BmZmajiKSZwMymTbMiYlaPn+kCbgSeD3wjIq4bbBwnyKupZ1cL6LOzxeroiO4Xx04/xF0szMzMrJgqGZ41wM8sB3aUNBk4X9J2ETF3MHHcxcLMzMzMOk5EPAFcCrx2sPf1CLIN2QuX1j/Tt9SM8kfHDLo8aUiWDr4Makiev6TMrOUHx3bWU8iCqP/xlBqV+NgW/ygSp2t8kTAsXlAmzqRNFheJ0zW1/g4Tu/7LI7XHSMo8Tz9w66QicX6/RpkOMGs+OLlInPXXWFQkzkghaQqwNCKekLQGsA/whcHup7Ne3czMzMxsNNsY+F5VhzwGOC8ifjXYnThBNjMzM7OOEBG3AjsNdz+uQTYzMzMza+IR5OHprfXb6pg+mB8eqW3hjmSbVh+CmZmZWXZOkIeht9Zvq2MISfWIbAt31qaHuc2bmZmZdRyXWJiZmZmZNfEIsg3ZHeOW1x5ji4fKtMEZU6iAZSll2q/9ZUKZtks7LlpWJM76y8u8l5/ctaT2GEtXlHksZ929WZE479z0gSJxSlkyv8zfZ+LS+v93nr6z9hAAxIoyzwOPLSzT5u1FY+tvYQqwwZpPD/xDGSxd5rHQofBvzczMzMw6gqTNJF0q6U+S/ijp6Gr7iZIekHRz9bFvf/vxCLKZmZmZdYplwMciYo6kdYAbJV1Sfe9/IuLLq7MTJ8hmZmZm1hEi4kHgwerr+ZJuBzYd7H6cILfGYNvDTW98MZJavu3NC1p9CGZmZjaKSJoJzGzaNCsiZvXxs9NJi4ZcB+wOfEjSO4HZpFHmx/uK4wS5BQbbHq5HMj1iWr59YvohbvNmZmZmxVTJcK8JcTNJawM/Az4SEU9JOg34DBDV568Ah/d1f0/SMzMzM7OOIWkcKTk+NyJ+DhARD0fE8ohYAXwHeEl/+/AIsg3ZGlH/+6sllGm3s0Bl2q8tjPpb4wGUiQJTJjxTJM7fl6xVJM4Ty8fXHmNiob/OAWP7vHKY1YrlKhKnlGVLCo0bjav/5XfS9mVe4mNJmXN680VPFokz94mNisRZd4OFReIsXTS6Uj1JAs4Abo+IrzZt37iqTwY4CJjb335G12/NzMzMzDrZ7sA7gNsk3Vxt+w/gEEk7kkos7gH+rb+dOEE2MzMzs44QEVcBvV3a+vVg9uMEuT00d72Y3sLjMDMzM+t4TpDbQHPXi0G2hzMzMzOzQXIXCzMzMzOzJk6QzczMzMyaOEE2MzMzs44hqUvSTZJ+Vd3eW9IcSTdLukrS8wfahxNkMzMzM+skRwO3N90+DTg0InYEfgD810A78CS99rNopEzU25+tW30IZmZmZs+SNBXYD/h/wDHV5gAmVV+vC/xjoP04QW4zzR0tWu3EaYd+qtXHYGZmZqOHpJnAzKZNsyJiVtPtk4FjgXWatr0P+LWkZ4CngN0GiuMSCzMzMzNrCxExKyJmNH08mxxL2h+YFxE39rjbR4F9I2IqcBbwVQbgEWQzMzMz6wS7AwdI2heYCEySdBGwTURcV/3Mj4GLB9qRR5DNzMzMrO1FxPERMTUipgNvA34PHAisK2mr6sf2ofsEvl55BNnMzMzMOlJELJP0fuBnklYAjwOHD3Q/J8hmZmZm1lEi4jLgsurr84HzB3N/l1iYmZmZmTVxgmxmZmZm1sQJspmZmZlZEyfIZmZmZmZNnCCbmZmZmTWLCH/4o9gHMNNxRmacTnosjjOy43TSY3Ecx+m0x9KJcYby4RFkK23mwD/iOC2K00mPxXFGdpxOeiyO4zilYjhOQU6QzczMzMyaOEE2MzMzM2viBNlKm+U4IzZOJz0WxxnZcTrpsTiO45SK4TgFqSqSNjMzMzMzPIJsZmZmZtaNE2QzMzMzsyZOkM3MzMzMmjhBttpIGiPpLa0+jjpIGi9pe0kvljS+1cczHJK6JG0iafPGR6uPaagkqZdtEzLuf1L1ef3ePnLFaYVOOg9KKfU8IGmapH+pvl5D0jqZ9z9G0stz7rOfWB11npU4B6rf2aV17Luk6nGc2+rjWF1jW30A1rkiYoWkY4HzSsST9EbgC8BGgKqPiIhJmePsB3wLuKuKsYWkf4uI32SMMR/oOYP2SWA28LGIuDtTnKOATwEPAyuqzQFsn2P/vcR7OTCdpueeiDg7Y4gzgMOb4q0NXAjsnWn/PwD2B24k/Z6aE/IAtswUB0lbAacBz4mI7SRtDxwQEf+dK0ZTrNrPA0nPAT4LbBIRr5O0LfCyiDgjV4ymWFOBU4A9SI/jSuDoiLg/Y4zanweqOO8nLaawPvA8YGoVN9c53Xiu/gawU6599qbu80zSbaz6vPmsiMj6vFbqHIiI5ZJWSFo3Ip7Mue9mknYHTgSmkZ6jG6+hWZ7XqscxTdL4iFiSY591chcLq5WkzwOPAj8GFjS2R8Q/a4h1J/D6iLg99757xLkD2D8i7qxuPw+4KCK2yRjjM8D9pIRMwNtIL45zgCMiYs9Mce4EXhoRj+XY3wCxziE9hpuB5dXmiIgPZ4xxErBhRHxQ0nrARcB3IuKsXDFKkXQ58O/AtyNip2rb3IjYroZYtZ8Hkn4DnAX8Z0TsIGkscFNEvLiGWJeQ/nfOqTYdBhwaEftkjFH780C135uBlwDXNZ0Ht+X+vUn6MnAN8POoKTGo+zyTNK368sjqc+PvfyhARByXOV6Rc6Da94WkNzCX0P21NOfz5x3AR0kDAI3naHL+vSSdDbwQ+AXdH8dXc8XIxSPIVre3Vp+PbNqWdaStycN1J8eV+Y0nxMrdwPzMMQ6IiB2abs+SdHNEfELSf2SMcx9pZLqEGcC2db34AkTEJyV9UdK3gF2Az0fEz3LHqUZabo6IBZIOA3YGTo6IezOGWTMiru9RNbIs4/6blTgPNoyI8yQdDxARyyQtH+hOQzSlx5ui70r6SOYYJZ4HABZHxJLGeVC9sajjf+jfgGOAZZIWUc8VuFrPs4j4O4CkfRpvJirHSZoDZE2QKXcOAPy8+qjTk7lHvxsknRMR7wAOAP6HVOKbtVQoNyfIVquI2KJguNmSfgxcACxuOobcTyqzJf2aVDoSwMHADVWJR654C6v67Z9Wt98MLKq+HvaLo6Rjqi/vBi6TdBHdf2d1vJufCzwXeDD3jhu/+8p1wAnA9UBIemMN58BpwA6SdgA+BpxOGq16VcYYj1YjUgEg6c3U8LurlDgPFkjagJWPZzfqS5Yeq964/LC6fQiQe9SyxPMAwOXVm+I1JO0DfBD4ZaZ9PysiSiQrpZ5vJGn3iLi6uvFy6plzVfs5IOn/ImJv0uDCJ4a7vz5i7Fx9eamkL5ES8ea/z5wMYXaRtAlwL6n8acRzgmy1K1B32jAJWAj8a9O2IP+77omkGrpGMvQIsAbw+ozxDgW+Bnyz2ue1wGGS1gA+lGH/jRfDe6uP8dUH1DM6BbAh8CdJ19P9yfeADPt+fY/bNwHjyPs3abYsIkLSgcCpEXGGpPdmjnEkaZWpbSQ9APyN6lJxDXo7D3I7hnRZ9XmSrgamkN741eFw0ovw/5D+/n8A3pM5RonnAUijnu8FbiON8v6a9IYsO0mbsrL+FICIuCJjiBLnGaTf15mS1iWNhD9O09yEjEqcAxtXr6EHSPoR3ec95Epev9Lj9ozmEMCrM8T4FvB/wBakuTQNor6rysPiGmSrVYm600Ecy/ER8bl2iCNpSkQ8kuuY+olzcET8ZKBtmWL1OroaEZfnjlW3qj74YlLS9UpgHnBLzrpQSbtExI2S1gLGRMR8SftHxK9yxegl5toAEfF0TfsfC2xNelH8c0QsrSOODZ6kL5BK4v5E9+fqHG9ge8aq9TxrirNuFadUGVl21ZWj95ImnM7u8e2IiBzJayPWlj0ngPe2bZgxTouII3Ltr05OkK1Wkm6n5rrTQRzLnIjYeeCfbH0cSX8B7iFNbvxZRDyR49h6ibPKsdb5e1LqZLBrdfP6iJiXef8TSS8mLyKN7gAQEVlHjyQ9F3g7cENEXKnUqmrPnFdGqprJd0bE3Or224CPRsRLc8VoirUdqUSk0aru0Sr2HzPGOBI4t3EuV5MoD4mIb2aMcWxEfFHSKfRyJSTHG/O+9p0zRo94f+stXq7OAk1x/gxsHxGLB/zhoceo/Tyr4kwA3sSqVy5PyhxnC+CoXuLU8abihIj4TD/ff9Fwf499vB7cGBG7DGe/7colFla32upOh2CVHrkjNU5EbCXpJaTuFf8p6U/AjyLi+8M+OkDS64B9gU0lfb3pW5OoaSJYVVP9JeAy0u/oFEn/HhE/7feOg3MOcAfwGuAkUklC9ombEfEQ8NWm2/cCucuG3gz8VNLbgVcA76R7+VBOs4BjIuJSAEl7At8BcvbGfX9EfKNxIyIeV2phli1BZuXfuudIW06Nfe8ObEt6Ewup/vRPNcRrvtw9sYpTR8/tu0llSbUlyJQ5zyC1dnyS1I2hzsdzAam15C9Z2bauFv0lx5VzSJOFB03SNqRBhXV7zOeYRNNAw2jjEWSrhaRfkkY91gF2JE2Yyl13OthjapsR5B7725CUjB0aEV2Z9rkDqWXQp4FPNn1rPnBpRDyeI06PmLcA+zRGjSVNAf63R7eO4ca4KSJ2knRrRGwvaRxwZUTslmn/V0XEHlq1T3VdPbe3Ir0I3wscFBHP5Nx/U5xbev4dets2zBi3kUYoG5P0uoBbI+JFuWI0xaq9dEjStcAeEbGsup31XBuxsrmXAAAcrElEQVQgdrZRvaYR8U2BHUh1os3P1TnbiNV+nlX7rKUdYi9xrqvjis5QNJ77hnjfA4E3kDpM/KLpW/NJAzN/yHCIbccjyFaXL5OShi+Q/vEaGttaoW1GkJVWbDuIlf2Pzyf1Qs0iIm4BbpF0bsE60DE9SioeI//M8sZjeaK6nPsQaeGYLCJij+pzbTP+tepiB+sDXcB1krIvdlC5W9IJdO8bnK3usHIx8GNJ365u/1u1rQ7HAz2T4d62Dcd6pBG2Rk/3tattWTV1GID0/zKDvK/djRHxG+meHEH+CbslzjOAP0h6cUTcVsO+m31N0qeA35G/68NgDflvFREXAhdKellEXJPxmNqaE2SrRWPilaRxPSdhVZ0Ysmtu69PHtiwvjoXi3EIaOTypjies5iRMq67OXFcSdrGk37Ky9dZbSTPyc5pV1baeQHqxX5vuI+TtYP8WxDycdDWhMev+SvLP+v8EKSluTNC5hMzdGAqXDn0euElpCWCRJmuemDkGdO8wsIw0N+EtuXYeEd8DkHR0RHyt+XuSjs4Vp1LiPIM0oe3dVf32YlZe4cn9vPZi4B2kLg/NKwNmmzhX2EGS/gg8Q3rzuj1p3kOW0r524xILq4WkI0j9OrckLcPZsA5wdUQcVkPMIhPOSsSRpDonNqrvFacOI72Q5G6o34j7JlLtJqTL0efXEaedSZoUEU9J6rXONGpYhbJTVKVDO5Lqz2svHaomazYusV9X1aa3pT6e14Z82b6Vmp7fuolqIZGMce4kTUJv+bLJkq4dbnmP0mJUO0o6iPRG/RjgitwlMO3CI8hWlx8AvwE+R/fVi+bnfoGX9DLSJI8pWrkABqRRoyw1uyXjVDaUdCyrdmPIMjIRfa849QnVs+JUI+7PgOwr2zVUXTI+C2wSEa+TtC3wsog4o66YNfgB6cXpRtJoVPMQf9Z+oZJOjoiPNM0Z6CbnXAGl1QdPZGWf3caoXrbH01Q69INCpUOLSROQJwJbSdoqMvUN7vEcs4rItLiGpENIHVm2kNRcYrEOK8tHhhujyHnWeHNJfavZ9TQXmExq81grrVwwpNdtmWrfx1Wf9wd+EhFP9naFcbRwgmy1iNR38knSClZ1G0+6lD6W7ktXPkXehQhKxQE4lzQ7fn/gA8C7SE3oc5NqXnGq8MS27wJnAf9Z3f4L6ffYNglyROxffS6xCmXjysGXC8Q6A/goKfGva4nphumSPkfqMtH8BjPnm4v3AUcDU0l93ncDriHf5fVSy/D+gZTkb0j3co75wK2ZYpQ6z4q9uaxMBu6QdAM1TUJXal25JmnQZD1WPqZJpImVOf1SqTXrIuAD1UTqRQPcp2O5xMI6hqRpuS+htSpOY5Z6oxtDte2GiNh1oPsOMs4uwJlAtxWnWjTJZNgav6PmS8ONy4atPrahqFou7UF6cb8yIi6oKU6v9ac9tw0zRrEZ/5KuAj5FWknv9aQFXcZERLZ69KqOf1fg2uqy9DbAZyPijQPctS1JuiYiXjbMfdR+nq3mcQy7Z3C1n9oXP6rqwD8CbAI8wMoE+SngOxFxasZYa5D6Or8CWEJ643d6RIyENq3FOUG2ttfXZbuGjJfvisSpYl0bEbtVk9q+DvwD+GlEPC9XjB7xal9xStI5EfGOgbYNM8ZlpAUCLomInSXtBnwhInp9IRvJJH0TeD7dJzXeFRFH9n2vIceqvf5U0udJpUg/p+YZ/01vMG+LanVDZV7woOnN2M3ASyNisaQ/Rua2dSq0+M1qHMewz4eRUudcx9yUukk6KiJOqTnGeaTE+9xq09uBdSMi26TQduISC+sEjct2byQtStKYcXsI8HAbxgH47ypp/RhwCuly2kdz7VzSYRHx/Z51jo16s1z1jT10SxyUlh3OvULTMaTuFVtKuhqYQv7yl1JeDbywMVlT0veA3CuO1V5/2qQxety88EVdM/4XSxoD/FXSh0gjb2tnjnG/pMmkbjOXSHocqOPKUpHFb1bDkEfTCp9nq3VIw7pz4X7opJ2eUpXATaf7qn05FyjaLiK2bbp9qdIiVaOSE2Rre7GypdxXIqL5xfeXkrKtqFUqThXrV9WXTwJ79fy+pOMj4nPDCLFW9bn2OkdJxwP/Aawh6anGZtIlvFmZw/2J1DN6IamG8gJSHXI7uhPYnJVJ12bVtpxK1J8CEBGrnMc1OppUt/lh4DOk/6F35QwQEQdVX56o1OptXZr6OktaL1PXjOdHxMGSDoyI70n6Aak9Wjspdp6tpmFdOo8C/dB7knQOqSf+zays4Q/yruA5R9JuEXFtFfOl1Lsq5YjmEgvrGNXkgv0i4u7q9hbAryPihe0YZ4BjyHKJUNLEiCgyCUPS5yLi+Jpj9HaJcHJEHFxn3DpIupxU43p9tWlX0ovVk9Ca1SiHS9J+rFoqcFLmGF2kspqP59zvEI4j1//o9RHxEklXkFpnPgRcn3PC4WoeR1u2fOtNm5ZY3E5qKVdn+8/bga1JK3dCeoP+Z1L/7Yh6+uOPWB5Btk7yUeAySXeTRiinATPbOE5/cvXemSvpYdKI1JXAVXXVIUfE8dUs7BfQPUHK0har0kmXCIstcFLVap8CvJDUraULWJDzUrGkb5FGdfciLRDyZlYm/9lExHJJe+Te7xDk+h/tbfGbEzLtuxulvs4vIY1M3hDd+zoPe65AifNsNbW8b/EQzCWV9tU5Ye61Ne677XgE2TqKpAnANtXNOyJicX8/P9Lj9BM/2wiIpM1Js5Z3J61C9kQdXR/6aosVmXo7VzG+D5za4xLhkRHxzlwxSpG0FvBMRKyQtBXpfPtN1NDftyoRehtpFcgZwDuBrXKO+Dc6sjR9Xpv0eF6RK0ZTrNNILbB+AixobI+In/d5p/zHkGsEuSsi6m6L1/j//CTwe1Jy/yrSSp5nZoxR+3nWFKu5A8xV0eaLElVlPDuS3lTW0lLOuvMIsnUMSbeSZvyfFxF3DfTzIz3OQIeRZSfSVFJi/ApgB9IksKty7LsXR7OyLdZejbZYmWPsAvxBUrdLhFVLrna7RHgF8Ipq9PB3wA2kThaH1hEsIu5sSsbOknQTkDNxeab6vFDSJsBjwMYZ999sYrX/5jdfwcoljtvJ3yRdTOrn/fsaL7H/O7BTRDwGIGkDUu1wtgQZipxnvXWA+TdJ/1JHB5iCTmz1AYw2TpCtk7yelECcJ2kF6QXlvIi4t/+7jdg4/flJpv3cS0q8PhsRH8i0z74siohFkpA0ISLukLR15hiddIlQEbFQ0nuBb0bEFyXdUlOshZLGAzdL+iLpMm7WBWOAX1VdH74EzCElrKdnjgFARLynv+9nmOS6OnKVWGxDWvziSOBMpXaTP4qI3G9kH6P7CnTzq205lTjPoEAHmNIiY29lWz0usbCOJOkFpDq9QyMi9zLQtcepJv4dxaotfbJeTpO0A+ky5CtJo61/BS6PGpZmlnQ+acGGj5BewB4HxkXEvrljdYJqZO2DpMUu3hsRf1RTX9/MsaaRWhWOJ9XYrwt8I+cVkupN0eLG16RR3kWly5Oq+MMqf6gmAv4xIrbp52fWj4isLcyqqwlfI+PzjVa2etwReDFwIenNy4HArRHx7hxxqli1n2dVnF+RSqv+3hT31Ih4fc44JbSipZwlHkG2jlI9Eb61+lgOHNumcS4gLc37S2BF5n0/KyJukXQXcBepzOIwUu1h9gS5j7ZYv8kdp4McTbr0fH6VHG8JXFpTrDdEWs1sEfBpeHYFr5wrnF0D7AxQJcWLJc1pbCtsWKO71UTAP0vavK8rRzmTY6UV295KukIyG8i5cEOjVVnjeaDhwowxGkqcZ5Ae0+2SricllS8BZqvqwdxOdbutaClniUeQrWNIug4YB5xHKnm4u13jqNCyvNWkmQmkWsMrScsZ17KMtgqspDeaSDolIo7KtK/aVjirOiNsSlpY5+2sTE4nAd/qbxS2Ljkm0FVt13YiTZpqngiY+yrPPcBNpOebX0TEgv7vMXLVeZ712Ge/K2e6XMFWhxNka3tNlwjXYOVEoGdFplXhSsWpYr2d1A7td9S4LK+kKRHxSD/ff1dEfC9TrG4vjtVl6tt6tGWz1ZQpyWuscLYH3RefmAQsj4i9h7P/Ksa7gHeTuhbcwMoEeT7w3ZKdJZqOachJWaNUpK8kLHfyJWlSRDzVz/ez1FNXV3VWSQhydJkpcZ6Z5eYSC+sEjUtPW5O6JFxIehF+PXn7rJaKA6kW8B2kWt1GiUX2ZXn7S44rRwPDSpC16kp6jQSpjpX0bHBqX+GseoP1PUlvioif5dhnBsOZ5NooFXlfiasf/SXHlYOBHBMOmxdWmQi8ibRARA5FVtLrpU63G9fr2mB4BNk6RnXJc7+ImF/dXge4KCJe2W5xJN1JWjWppQ3tc17+VIGV9EaTnL2wq/31t0hEjv0fDZxFSoq+Q0oyj4uI3+WMU8WaAryfVSe5Hp5h33NJ7Qk/Q2qN1k3pEfE6ShSa9n19RLwk8z5rPc+qGJ8hJeTnkN6QHwpsHBHFFt+x9ucRZOskz6H7CklLqm3tGGcuMBmYl3m/g5XzHfR/9Gjef2VEXJBx/6NNrjZiVK3kPsXKRSJOkZR1kQjg8Ij4mqTXABuQrpCcQyojyu1C0qX8/yVNos3pA6SEazLp6lGzVvRazvI/Kmn9pptjSCUx6+bYd1OMEucZwAERsUPT7dOqFolOkG21OUG2TnI2cH3VTgzgDcB32zTOZOAOSTfQ2lWTsiVhwDfo3rz/A5L2afPm/bWRdHBE/KSfbTln/h9L/YtENM6lfYGzq84cOc+vZmtGxCfq2HHVf/gqSbP7a4dYnduX1HEMPUNl2s+NpGRbwFLgHuC9mfbdUOI8A1gg6VDgR6THdAhNEynNVodLLKyjSNqZ1K4M4IqIuKmd4kj6BimB7LXHaenZ15JOjYgPZdrXHXRv3j+G1Ev2hTn232n6mPGftayiab9/APZslPRUizlcFhEvzxjjLFI3iy1IqzZ2VTF2yRWjKdZ/A3+IiF/n3vcgjqGWv1Uvcf4jIoa9IqWktwAXR8RTkk4glcB8JufE4BLnWbXf6aQ3kLuTEuSrgY9ExD0541hnc4JsNoJUdZpvIy3Bex7ww7qS/CreBqQlTBsvJFcBJzVGeDLH6pjm/XWS9DrSKOtbSKs0Nkwi1aVnrQmtYp5NL4tEVB9ZOrRUb4h2BO6OiCeqc2/TiMg2Sasp1nxgLdLVl6W0YFGFjG3ytiQley8jTdi9Bvho7vaSkm6NiO0l7UGqr/4y8Mmc7SZLnGdmubjEwmwEqZrof61KHt9GWlp2DdKo8g8j4i+ZQ/4IuII0Yx1SbeWPgX/JHAc6qHl/zf5BWgziANJl74b5pNXH6tDXIhHDXpxA0jYRcQcpOQbYsr7KimSELKqQa/TpB6TypMZCO28jPR/k7pPeqNXeD/hORFxUjcTnVNt51kzSRFJ5yItIHTmAPJM0bfTwCLLZCCdpJ1KN3vaRedlsSXMjYrse2+paztjN+wdB0riIWFp9vR6wWR2jrXWTNCsiZlZ9dnuKHH12e4l5DumN35VVcl5crhKLxshuj2239JiENmzVFZ4HgH1I5RXPANfnjlOCpJ8Ad5B6L59EeuN/e0Qc3dIDs7biBNlsBJI0FngdabRob+Ay0ghy1uVfJX2V1MP5vGrTm4GXRMTH+76XlSDpMtIo8ljSSPI8Ul1t9lHkqi3asaw64pY9eS1B0l6kOQKvAJ5HWonuiuoKTa4Yih4voI1FRKqvfx4Rb8wQ5wvA46yccPZWYD3gS5BvSWtJa5KWsr4tIv4qaWPgxTnb8JU6zxrlLU1lI+NIb5Z2yxnHOpsTZLMRRNI+pBnX+5IS1x8BF0ZNy8s21Wo2Lq92sXK2d9aaTUm7AacALwTGN2K5eX/vml7k30caPf5Ub6OJmWL9jlRa83FSG7N3AY/k7AQh6WDSJLD5kv6LlZPA6ppI20Va0Gcv0mN6JjIuay3pzOZL9pLWJv2vZl0VTtLf+vl2RMSWOePVqcR5VsW5PiJeUvWs/yDwEGk0vG1+V9Z6rkE2G1mOJ9UcfiwiHq87WESsU/U/fQHdR3TqKHc4lTQi/hNSj9V3AlvVEKdTjK1G8d4C/GfNsTaIiDMkHV397S+vWgzmdEJE/KSaBPYvpBHQb5G/lhZJ/0d643cNqR/yrhGRu6f4/ZK+GREfrEpgLiItgJJVRGyRe58tVOI8A5hV/U3+C/gFsDZwQg1xrIONafUBmNlKEfHqiDi9RHIMUI1OXg5cTOpmcTE1NtOPiDuBrohYHhFnkS7pWu9OAn4L3BkRN1TdDP5aU6yl1ecHJe1X1b2v398dhqB5EtisiLiIdCWhDreSFvDZDtge2K6a7JpNpFXZnpb0LdJiJ1+pzumsJN0o6YOSJufedwuUOM9oPIdGxBURsWVEbBQR3258X9K7cse0zuMSC7NRTNJtpMvQ10bEjpK2AT6bo3ayl1hXkEYOTydd8nwQeHc7TgLqNJL2J420bkYqg5kEfDoifpExRvFJYErLwL+bdEn/uRExIcM+m/83RBqZvJ705jL7UtOSng+8h1R7PJu0XPfvetY/t4MS59lqHkeRHtXW3pwgm41ikm6IiF0l3Qy8NCIWS/pjRLyohljTSBPNxpHala0LfLMaVbaKpGMj4ouSTqGXVmER8eEWHNawlZgE1hTrQ6QJeruQVoS7kjRJ6/cZ9t3fKHHU1Uqs6iO9P3AaaTT+LOBruSbpjSa5elRbZ3MNstnodn916fYC4BJJjwN/ryNQY4EQ0sjhp+uI0SE+AXyR1C+21lKbvpLwhpzJeEQslDQP2INUKrKM+kpGJgJfBW6MiGU5dxwR78m5v9UhaXvgcFJnm58B55J+j79nZX/pEavkebaaPDJoA3KCbDaKRURj8YETqz6161JdKs6lKuPo78Uxe1eGNvewpE1Il9X3JF3Gr8vs6vPuwLasXLnvYOBPOQNJ+hRpcubWpNHPccD3q9hZRcSXJe0AfKBalOTKiLglZ4ymFe52I53f15CWM+6v68RQ4twIPEEqTfpEo40ccJ2k7L+7mhQ7z1ZTvSvVWEdwiYWZ1aoqrQA4svp8TvX5MNIl6ePKH9XIJekoUmuqLUk1u89+i5raekm6FtijMdpaR9/YqoxnJ2BO4/J2jW3rPgzMBBr1wAeRJgaekjHGtaQV7n5YbXobcFRkXJq5irMt6fc2jaZBrYg4KWecEgqdZ2OAN0fEef38zKkR8aFcMa0zOUE2syJ6q/vzZJm+STotIo4oFOvPwMsa9axVi6xrI2LrjDEavWnnRMTOktYCrqkpQb6V9HgWVLezxyq4wt3FpBHkOazsBEJEfCVnnBJKnGfVfmdHxIyc+7TRxyUWZlaKJO0eEVdXN16OW032qVRyXPk8cFNVZiPglaS2f1ko1Tn8StK3gcmS3k+qqc3eN7gRkqZksvo692X130g6ju4r3P266iuebYU7YGpEdEo7xFrPsyb/K+njpFKOZxdZ8oRGGwyPIJtZEZJ2Ac4k1TmLNAHt8IiY09IDMwAkPZeVi3ZcFxEPZd7/bcAxwL+S/v6/jYhLcsZoinUMaZW286tNbwC+GxEnZ4zRXGvceCFtJOHZSmEkzQJOiYjbcuyv1ar6+ncAtwNrAv+IiCsyx+itDrytVh201nOCbGZFSVoXICKebPWx2ErV5e6eKypmS1wkfQ84NSLqWDmtt3g7kzo9QKpzzbqktaS3kJbOfkrSCaxcOjvLG76mya1jSX+Xu4HFrKxFb7vJrdXCREcDU4GbSRMcr4mIV7f0wMx64QTZzIqRtB/wIronYW032ajTlEhcJN0BPJ/URrD5snfOuuB+V2XLeYm9UYNcLZ39GeDLwCdzTdJrmtzaq6a2iW2j8MJELwem031i49m541jncg2ymRVRLcm7JrAXqWXVm0krkFnrHc3KxGWvRuKSOcZrMu+vNzeSRl0FbE4q4xEwGbgX2CJjrOals78TERdJ+u9cO2/HBHg1LIqIRZKQNCEi7pCUdYIegKRzgOeR3uw1/k4BOEG21eYE2cxKeXk14nZrRHxa0leA37T6oAwokLiUSPgiYgsASd8Bzo+IX1e3X0eqQ87pgWrS4T7AFyRNwJNOB1JqYaIZwLbtuBy3jRxOkM2slGeqzwuriTqPARu38HhspWIrKhayW0S8v3EjIn4j6YuZY7yFtHT2lyPiiWrp7H/PHKOjlFiYqDIXeC7wYA37tlHCNchmVkQ1kekUYG/SAgtBujT9yZYemHUj6VVUiUtELKm2rRcRtS57nZOk3wJXklbqAzgUeGVElCjzsBaR9EvS88o6pCW4rydNbAQgIg5o0aFZG3KCbGbFVZejJzZ3spC0T11tv2x42m1Bl2qy3qdIfXYDuAI4yX1wO1v15k7AF4Bjm78FfCH3KofW2Zwgm9mI0G5J2GjS2yqI7UzSKRFxVKuPw+rR23NJXcuaW+dyDbKZjRS5VzqzfDptJGX3Vh+A5SfpCOCDwJbVcuMN6wBXt+aorF05QTazkaLTkjAzK+sHpM44nwOOa9o+3+U1NlhOkM3MbCAe3bcRr5rT8CRwSKuPxdqfE2QzK0KSevYlrXruNmaZ31P+qEY3SZOqpZJ7XYGuadRt74KHVYITfjPrlyfpmVkRks6MiMObbq8NXBgRnZZ8tQ1Jv4qI/SX9jZUr0DVERGzZokPLQtIk0uOY32P7uyPiu605KjNrB06QzawISScBG0bEByWtB1xE6oN8VosPzTqMpF2BM0mTswQ8ARweETe29MDMrG04QTazYqrVzCYBuwCfj4iftfiQDJC0O3BzRCyQdBiwM3ByRNzb4kMbkqqDwZERcWV1ew/gm27zZWary+vGm1mtJL2x8QFcB+wG3AREtc1a7zTSEuA7AB8D7gLOae0hDcvyRnIMEBFXActaeDxm1mY8gmxmtZLUXwlFNNclW2s0FlaQ9EnggYg4o50XbpF0MrAG8ENSbfVbgUVUS09HxJzWHZ2ZtQMnyGZmo5yky4GLgfeQlmeeB9wSES9u6YENkaRLqy8bL3Bi5STEiIhXt+TAzKxtuM2bmRUhaSLwXuBFwMTGdo8gjwhvBd4OvDciHpK0OfClFh/TcFzW43YARMRJ5Q/FzNqRa5DNrJRzgOcCrwEuB6YC8/u9hxUREQ9FxFcbdbsRcW9EnN3q4xqGp5s+lgGvA6a38oDMrL24xMLMipB0U0TsJOnWiNhe0jjgyojYrdXHNlpJuioi9pA0n+5LfTdKESa16NCykjQB+G1E7NnqYzGz9uASCzMrZWn1+QlJ2wEPARu18HhGvYjYo/q8TquPpWZrkq5YmJmtFifIZlbKrGqBkBOAXwBrA59s7SFZJ5J0GytHxLuAKYDrj81stbnEwszMOoqkaU03lwEPR4T7IJvZanOCbGZFSHoO8Flgk4h4naRtgZdFxBktPjQzM7Nu3MXCzEr5LvBbYJPq9l+Aj7TsaMzMzPrgBNnMStkwIs4DVgBUl7yXt/aQzMzMVuUE2cxKWSBpA6rJU5J2A55s7SGZmZmtyl0szKyUY0jdK7aUdDWps8CbW3tIZmZmq3KCbGal/Ak4H1hIWkHvAlIdspmZ2YjiLhZmVoSk84CngHOrTW8HJkfEwa07KjMzs1U5QTazIiT9KSK2HWibmZlZq3mSnpmVMqeamAeApJcCs1t4PGZmZr3yCLKZFSHpdmBr4N5q0+bAn0krnUVEbN+qYzMzM2vmBNnMiuix/O8qIuLvpY7FzMysP06QzczMzMyauAbZzMzMzKyJE2QzMzMzsyZOkM3MzMzMmjhBNjMzMzNr8v8BZIeVBEnHX2QAAAAASUVORK5CYII=\n" - }, - "metadata": { - "needs_background": "light" - } - }, - { - "output_type": "display_data", - "data": { - "text/plain": [ - "
" - ], - "image/png": "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\n" - }, - "metadata": { - "needs_background": "light" - } - } ] } - ] -} \ No newline at end of file + ], + "metadata": { + "colab": { + "authorship_tag": "ABX9TyNuPSUfff/lBZadQdq/4Sc+", + "include_colab_link": true, + "provenance": [] + }, + "kernelspec": { + "display_name": "Python 3", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.8.8" + } + }, + "nbformat": 4, + "nbformat_minor": 0 +} diff --git a/notebooks/Exploring clusters.ipynb b/notebooks/Exploring clusters.ipynb new file mode 100644 index 0000000..099ef18 --- /dev/null +++ b/notebooks/Exploring clusters.ipynb @@ -0,0 +1,1154 @@ +{ + "cells": [ + { + "cell_type": "code", + "execution_count": 2, + "metadata": {}, + "outputs": [], + "source": [ + "import numpy as np \n", + "import pandas as pd \n", + "import matplotlib.pyplot as plt \n", + "import seaborn as sns \n", + "from scipy import signal\n", + "from sklearn.preprocessing import StandardScaler " + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "metadata": {}, + "outputs": [], + "source": [ + "data_exc = pd.read_csv(\"G:/My Drive/Cluster Feature files/all_data_wcond_exc.csv\")\n", + "data_inh = pd.read_csv(\"G:/My Drive/Cluster Feature files/all_data_wcond_inh.csv\")\n", + "data_exc_ = data_exc[data_exc.columns[1:]] \n", + "data_inh_ = data_inh[data_inh.columns[1:]] \n" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "metadata": {}, + "outputs": [], + "source": [ + "def return_class_with_cond(data,class_lab,cond):\n", + " data_class = data[data['class'].isin(class_lab)]\n", + " if cond in np.unique(data_class.cond):\n", + " return data_class[data_class.cond.isin(['acsf',cond])]\n", + " else:\n", + " print(cond+' is not in this class')\n", + "data_d1 = return_class_with_cond(data_exc_,[0.0],'d1ago')\n" + ] + }, + { + "cell_type": "code", + "execution_count": 41, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "Index(['Vm_avg', 'dvdt_p', 'dvdt_n', 'avg_V', 'resistance', 'thr',\n", + " 'adaptation', 'isi', 'peak', 'peak_adaptation', 'ap_width', 'hyp_value',\n", + " 'fist_spike', 'up_down_ratio', 'isi_adaptation', 'thr_adp_ind', 'psth',\n", + " 'int_fr', 'fr', 'sub_thr', 'mi', 'spk_fr_adp', 'imp', 'exp_name',\n", + " 'cond', 'class'],\n", + " dtype='object')" + ] + }, + "execution_count": 41, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "data_d1.columns" + ] + }, + { + "cell_type": "code", + "execution_count": 12, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + ":1: SettingWithCopyWarning: \n", + "A value is trying to be set on a copy of a slice from a DataFrame.\n", + "Try using .loc[row_indexer,col_indexer] = value instead\n", + "\n", + "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\pandas\\core\\indexing.py:1738: SettingWithCopyWarning: \n", + "A value is trying to be set on a copy of a slice from a DataFrame.\n", + "Try using .loc[row_indexer,col_indexer] = value instead\n", + "\n", + "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n", + ":9: SettingWithCopyWarning: \n", + "A value is trying to be set on a copy of a slice from a DataFrame.\n", + "Try using .loc[row_indexer,col_indexer] = value instead\n", + "\n", + "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\pandas\\core\\indexing.py:1738: SettingWithCopyWarning: \n", + "A value is trying to be set on a copy of a slice from a DataFrame.\n", + "Try using .loc[row_indexer,col_indexer] = value instead\n", + "\n", + "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n" + ] + } + ], + "source": [ + "data_exc_[['Vm_avg', 'dvdt_p', 'dvdt_n', 'avg_V', 'resistance', 'thr',\n", + " 'adaptation', 'isi', 'peak', 'peak_adaptation', 'ap_width', 'hyp_value',\n", + " 'fist_spike', 'up_down_ratio', 'isi_adaptation', 'thr_adp_ind', 'psth',\n", + " 'int_fr', 'fr', 'sub_thr', 'mi', 'spk_fr_adp', 'imp']] = StandardScaler().fit_transform(data_exc_[['Vm_avg', 'dvdt_p', 'dvdt_n', 'avg_V', 'resistance', 'thr',\n", + " 'adaptation', 'isi', 'peak', 'peak_adaptation', 'ap_width', 'hyp_value',\n", + " 'fist_spike', 'up_down_ratio', 'isi_adaptation', 'thr_adp_ind', 'psth',\n", + " 'int_fr', 'fr', 'sub_thr', 'mi', 'spk_fr_adp', 'imp']])\n", + "\n", + "data_inh_[['Vm_avg', 'dvdt_p', 'dvdt_n', 'avg_V', 'resistance', 'thr',\n", + " 'adaptation', 'isi', 'peak', 'peak_adaptation', 'ap_width', 'hyp_value',\n", + " 'fist_spike', 'up_down_ratio', 'isi_adaptation', 'thr_adp_ind', 'psth',\n", + " 'int_fr', 'fr', 'sub_thr', 'mi', 'spk_fr_adp', 'imp']] = StandardScaler().fit_transform(data_inh_[['Vm_avg', 'dvdt_p', 'dvdt_n', 'avg_V', 'resistance', 'thr',\n", + " 'adaptation', 'isi', 'peak', 'peak_adaptation', 'ap_width', 'hyp_value',\n", + " 'fist_spike', 'up_down_ratio', 'isi_adaptation', 'thr_adp_ind', 'psth',\n", + " 'int_fr', 'fr', 'sub_thr', 'mi', 'spk_fr_adp', 'imp']])\n" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "array(['acsf', 'agoanta', 'cirazoline', 'd1ago', 'd2', 'dopamine', 'm1',\n", + " 'sag'], dtype=object)" + ] + }, + "execution_count": 6, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "np.unique(data_inh['cond'])" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "metadata": {}, + "outputs": [], + "source": [ + "import sys\n", + "sys.path.append(\"C:/Users/Nishant Joshi/Downloads/Old_code/repo/single_cell_analysis/scripts\")\n", + "from utils import loadmatInPy" + ] + }, + { + "cell_type": "code", + "execution_count": 37, + "metadata": {}, + "outputs": [], + "source": [ + "# data_ = loadmatInPy('G:/My Drive/Analyzed/copy of '+exps[1]+'_analyzed.mat')\n", + "data_c1 = loadmatInPy('G:/My Drive/Analyzed/'+'asli_6-8-19_E1'+'_analyzed.mat')#NC_171017_aCSF_D1ago_E2" + ] + }, + { + "cell_type": "code", + "execution_count": 139, + "metadata": {}, + "outputs": [], + "source": [ + "data_c2 = loadmatInPy('G:/My Drive/Analyzed/'+'NC_170623_aCSF_D1ago_E4'+'_analyzed.mat')#NC_171017_aCSF_D1ago_E2\n", + "\n", + "\n", + "data_c3 = loadmatInPy('G:/My Drive/Analyzed/'+'NC_170704_aCSF_D1ago_E2'+'_analyzed.mat')#NC_171017_aCSF_D1ago_E2\n", + "\n" + ] + }, + { + "cell_type": "code", + "execution_count": 66, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "M1-ant+ago\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "V = data_c1[2]['membrane_potential']\n", + "I = data_c1[2]['input_current']\n", + "thr = data_c1[2]['thresholdindices']\n", + "print(data_c1[2]['input_generation_settings']['condition'])\n", + "all_spikes = [] \n", + "for th in thr:\n", + " all_spikes.append(V[th-50:th+100])\n", + " plt.plot(V[th-50:th+70])" + ] + }, + { + "cell_type": "code", + "execution_count": 68, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "[]" + ] + }, + "execution_count": 68, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "plt.plot(np.mean(all_spikes,axis=0))" + ] + }, + { + "cell_type": "code", + "execution_count": 35, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "slope: [0.10585369]\n" + ] + } + ], + "source": [ + "import numpy as np\n", + "from sklearn.linear_model import LinearRegression\n", + "\n", + "model = LinearRegression()\n", + "V = data_c1[0]['membrane_potential'][:data_c1[0]['thresholdindices'][0]-100]\n", + "I = data_c1[0]['input_current'][:data_c1[0]['thresholdindices'][0]-100].reshape((-1, 1))\n", + "\n", + "model.fit(I, V)\n", + "slope = model.coef_\n", + "\n", + "print(f\"slope: {model.coef_}\")" + ] + }, + { + "cell_type": "code", + "execution_count": 8, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "11.1916071535184" + ] + }, + "execution_count": 8, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "np.mean(np.abs(data_c1[0]['membrane_potential'][:data_c1[0]['spikeindices'][0]]/data_c1[0]['input_current'][:data_c1[0]['spikeindices'][0]]))# plt.plot(data_c1[0]['input_current'][:data_c1[0]['spikeindices'][0]])\n" + ] + }, + { + "cell_type": "code", + "execution_count": 224, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "def return_avg_spikeshape(v,spk,thr):\n", + " shapes = []\n", + " for i in thr:\n", + " shapes.append(v[int(i):int(i)+50])\n", + "\n", + " return np.mean(shapes,axis=0)\n", + "def remove_nan(data):\n", + " ind = ~np.isnan(data)\n", + " data_ = data[ind] \n", + " return data_ \n", + "v_c1 = data_c1[0]['membrane_potential']\n", + "spks_c1 = data_c1[0]['spikeindices']\n", + "thr_c1 = data_c1[0]['thresholdindices']\n", + "\n", + "v_c2 = data_c2[0]['membrane_potential']\n", + "spks_c2 = data_c2[0]['spikeindices']\n", + "thr_c2= data_c2[0]['thresholdindices']\n", + "\n", + "v_c3 = data_c3[0]['membrane_potential']\n", + "spks_c3 = data_c3[0]['spikeindices']\n", + "thr_c3= remove_nan(data_c3[0]['thresholdindices'])\n", + "\n", + "fig,ax = plt.subplots(1,1,figsize=[8,8])\n", + "ax.plot(return_avg_spikeshape(v_c1,spks_c1,thr_c1))\n", + "# ax.show()\n", + "ax.plot(return_avg_spikeshape(v_c2,spks_c2,thr_c2))\n", + "# ax.show()\n", + "ax.plot(return_avg_spikeshape(v_c3,spks_c3,thr_c3))\n", + "ax.legend(['class1','class2','class3'])\n", + "plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/spikeshapes.png',dpi=200)\n", + "\n", + "# for i in thr_c2:\n", + "# plt.plot(v_c2[i:i+50])" + ] + }, + { + "cell_type": "code", + "execution_count": 193, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "[]" + ] + }, + "execution_count": 193, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "plt.plot(v_c2[spks_c2[0]-200:spks_c2[3]+500])" + ] + }, + { + "cell_type": "code", + "execution_count": 26, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "7200000" + ] + }, + "execution_count": 26, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "len(np.arange(0,len(data_[0]['membrane_potential'])*(1/20),1/20))" + ] + }, + { + "cell_type": "code", + "execution_count": 37, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "array([-71.06249779, -71.03125006, -71.03125006, ..., -73.74999672,\n", + " -73.68750125, -73.71874899])" + ] + }, + "execution_count": 37, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "data_[0]['membrane_potential'][:(len(data_[0]['membrane_potential'])//6)]" + ] + }, + { + "cell_type": "code", + "execution_count": 149, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(frameon=False,figsize=[10,10])\n", + "ax = fig.add_axes([0, 0, 1, 1])\n", + "plt.plot(data_c1[0]['membrane_potential'][data_c1[0]['spikeindices'][0]-250:data_c1[0]['spikeindices'][1]+250],c='black')\n", + "ax.xaxis.set_visible(False)\n", + "ax.yaxis.set_visible(False)" + ] + }, + { + "cell_type": "code", + "execution_count": 8, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(frameon=False,figsize=[10,10])\n", + "ax = fig.add_axes([0, 0, 1, 1])\n", + "ax.plot(np.arange(0,(len(data_[0]['membrane_potential'])//10)*(1/20),1/20),data_[0]['membrane_potential'][:len(data_[0]['membrane_potential'])//10],c='black')\n", + "# plt.xlabel('Time (ms)',fontsize=12)\n", + "# plt.ylabel('Membrane potential (mV)',fontsize=12)\n", + "ax.xaxis.set_visible(False)\n", + "ax.yaxis.set_visible(False)\n", + "for spine in ['top', 'right', 'left', 'bottom']:\n", + " ax.spines[spine].set_visible(False)\n", + "plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/spiketrain.png',dpi=200)" + ] + }, + { + "cell_type": "code", + "execution_count": 9, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=[10,10])\n", + "ax = fig.add_axes([0, 0, 1, 1])\n", + "ax.plot(np.arange(0,(len(data_[0]['input_current'])//10)*(1/20),1/20),data_[0]['input_current'][:len(data_[0]['membrane_potential'])//10],c='black')\n", + "# plt.xlabel('Time (ms)',fontsize=12)\n", + "# plt.ylabel('Membrane potential (mV)',fontsize=12)\n", + "ax.xaxis.set_visible(False)\n", + "ax.yaxis.set_visible(False)\n", + "for spine in ['top', 'right', 'left', 'bottom']:\n", + " ax.spines[spine].set_visible(False)\n", + "plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/input.png',dpi=200)" + ] + }, + { + "cell_type": "code", + "execution_count": 77, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + ":9: UserWarning: This figure includes Axes that are not compatible with tight_layout, so results might be incorrect.\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(frameon=False,figsize=[3,3])\n", + "ax = fig.add_axes([0, 0, 1, 1])\n", + "ax.plot(data_[0]['membrane_potential'][-40+data_[0]['spikeindices'][0]:80+data_[0]['spikeindices'][0]],c='black')\n", + "ax.xaxis.set_visible(False)\n", + "ax.yaxis.set_visible(False)\n", + "for spine in ['top', 'right', 'left', 'bottom']:\n", + " ax.spines[spine].set_visible(False)\n", + "\n", + "plt.tight_layout()\n", + "plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/spike.png',dpi=200)" + ] + }, + { + "cell_type": "code", + "execution_count": 10, + "metadata": {}, + "outputs": [], + "source": [ + "from impedance import * \n", + "\n", + "def get_impedence(data, return_mean=True):\n", + " \"\"\"_summary_\n", + "\n", + " Args:\n", + " data (list): _description_\n", + "\n", + " Returns:\n", + " float: _description_\n", + " \"\"\"\n", + " I_acsf = data['input_current']\n", + " V_acsf = data['membrane_potential']\n", + " spk_acsf, V_acsf, I_acsf = return_stiched_spike_train(data)\n", + " imp = overdracht_wytse(0.01, I_acsf, V_acsf, 20001, 20001, 1)\n", + " if return_mean:\n", + " return np.mean(imp)\n", + " else:\n", + " return imp\n", + "\n", + "imp = get_impedence(data_[0],return_mean=False)\n" + ] + }, + { + "cell_type": "code", + "execution_count": 11, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "\n", + "import pylab\n", + "import matplotlib.pyplot as plt\n", + "fig = plt.figure()\n", + "ax = fig.add_subplot(2, 1, 1)\n", + "\n", + "line, = ax.plot(imp[:50]/, color='blue', lw=2)\n", + "\n", + "ax.set_yscale('log')\n", + "\n", + "ax.set_xscale('log')\n", + "pylab.show()" + ] + }, + { + "cell_type": "code", + "execution_count": 58, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "[,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ]" + ] + }, + "execution_count": 58, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAkkAAAI/CAYAAABj+03oAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAAC6iklEQVR4nOzdd3hUZfrG8e+ZPum90ELvHQQEe++99762dXV31f2ta29bdXftrq5drNhW7IIgKL13CBAS0nubfn5/DAGRQNoEknh/ritXYnLmzHvGlJvnfc77GqZpIiIiIiK7sxzoAYiIiIh0RApJIiIiIo1QSBIRERFphEKSiIiISCMUkkREREQaoZAkIiIi0ghbe5w0JSXF7N27d3ucWkRERCSiFi1aVGKaZurPP98uIal3794sXLiwPU4tIiIiElGGYWxt7POabhMRERFphEKSiIiISCMUkkREREQaoZAkIiIi0giFJBEREZFGKCSJiIiINEIhSURERKQRCkkiIiIijVBIEhEREWmEQpKIiIhIIxSSRERERBqhkCQiIiLSCIUkERERkUYoJImIiIg0QiFJREREpBEKSSIiIiKNUEgSERERaYRCkoiIiEgjFJJEREREGqGQJCIiItIIhSQRERGRRigkiYiIiDRCIUmkHX3wwQdkZ2cf6GGIiEgrKCSJtJNgMMiyZcvYvHnzgR6KiIi0gkKSSDsJBAK7vRcRkc5FIUmknSgkiYh0bgpJIu2kIRwFg8EDPBIREWkNhSSRdqJKkohI56aQJNJOVEkSEencFJJE2okqSSIinZtCkkg7USVJRKRzU0gSaSeqJImIdG4KSSLtRJUkEZHOTSFJpJ2okiQi0rkpJIm0E4UkEZHOTSFJpJ34/X5A020iIp2VQpJIO1ElSUSkc1NIEmknatwWEencFJJE2okqSSIinZtCkkg7USVJRKRzU0gSaSc/rSSZpnmARyMiIi2lkCTSTn46zaZqkohI56OQJNJOFJJERDo3hSSRdvLTkKTmbRGRzkchSaSdqJIkItK5KSSJtBNVkkREOjeFJJF2okqSiEjnppAk0k5USRIR6dwUkkTaSSAQwGIJ/4ipkiQi0vkoJIm0k0AggNPp3PmxiIh0LgpJIu0kEAjgcrl2fiwiIp2LQpJIO/lpSNJ0m4hI56OQJNJONN0mItK5KSSJtBNVkkREOjeFJJF2YJqmKkkiIp2cQpJIO2ioHKmSJCLSeSkkibSDhsqRKkkiIp2XQpJIO/D7/YAqSSIinZlCkkg7aKgcaZ0kEZHOSyFJpB00hCK73Y7FYlFIEhHphBSSRNpBQyiy2WxYrVZNt4mIdEIKSSLt4KchyWazqZIkItIJKSSJtANVkkREOj+FJJF2oEqSiEjnp5Ak0g5USRIR6fwUkkTagSpJIiKdn0KSSDtQSBIR6fwUkkTagabbREQ6P4UkkXagSpKISOfXrJBkGMZthmGsMgxjpWEYUw3DcLX3wEQ6M1WSREQ6vyZDkmEY3YFbgPGmaQ4HrMAF7T0wkc5MlSQRkc6vudNtNsBtGIYNiAK2t9+QRDq/QCCA1WrFMAxVkkREOqkmQ5JpmnnA34EcIB+oNE3zy/YemEhnFggEsNlsAKokiYh0Us2ZbksETgf6AN2AaMMwLmnkuOsMw1hoGMbC4uLiyI9UpBP5aUhSJUlEpHNqznTbMcBm0zSLTdP0A9OAyT8/yDTN503THG+a5vjU1NRIj1OkUwkEAtjtdkCVJBGRzqo5ISkHmGQYRpRhGAZwNLCmfYcl0rlpuk1EpPNrTk/SPOA9YDGwYsdjnm/ncYl0ao1Nt5mmeYBHJSIiLWFrzkGmad4L3NvOYxHpMn5eSQIIBoM7PxYRkY5PK26LtIOfV5IANW+LiHQyCkki7cDv9+9RSVJfkohI56KQJNIOVEkSEen8FJJE2kFjPUmqJImIdC4KSSLtQCFJRKTzU0gSaQeabhMR6fwUkkTagSpJIiKdn0KSSDtQJUlEpPNTSBKJsFAoRCgUUiVJRKSTU0gSibCGMKRKkohI56aQJBJhPw9JqiSJiHROCkkiEaZKkohI16CQJBJhqiSJiHQNCkkiEaaQJCLSNSgkiUSYpttERLoGhSSRCFMlSUSka1BIEokwVZJERLoGhSSRCPt5SLJYLFgsFlWSREQ6GYUkkQhrCEN2u33n56xWqypJIiKdjEKSSIT9vJLU8LEqSSIinYtCkkiE7S0kqZIkItK5KCSJRFhjIclqtaqSJCLSySgkiUSYpttERLoGhSSRCPP7/cCelSRNt4mIdC4KSSIRFggEMAwDi2XXj5cqSSIinY9CkkiEBQIBbDYbhmHs/JwqSSIinY9CkkiENYSkn1IlSUSk81FIEomwxkKSKkkiIp2PQpJIhKmSJCLSNSgkiUSYQpKISNegkCQSYZpuExHpGhSSRCJMlSQRka5BIUkkwlRJEhHpGhSSRCJMlSQRka5BIUkkwvZVSTJN8wCNSkREWkohSSTC9lZJAjTlJiLSiSgkiUTY3ipJoJAkItKZKCSJRNi+KknqSxIR6TwUkkQiTCFJRKRrUEgSiSDTNDXdJiLSRSgkiURQKBTCNE3sdvtun1clSUSk81FIEomghhCkSpKISOenkCQSQXsLSaokiYh0PgpJIhGkSpKISNehkCQSQaokiYh0HQpJIhHk9/sBhSQRka5AIUkkgjTdJiLSdSgkiUSQpttERLoOhSSRCFIlSUSk61BIEokgVZJERLoOhSSRCFIlSUSk61BIEokgVZJERLoOhSSRCFIlSUSk61BIEomgvYUki8WCxWJRJUlEpBNRSBKJoL2FpIbPKSSJiHQeCkkiEbSvkGS1WjXdJiLSiSgkiURQIBDYObX2c6okiYh0LgpJIhEUCAQarSKBKkkiIp2NQpJIBO0rJKmSJCLSuSgkiUSQKkkiIl2HQpJIBKmSJCLSdSgkiURQU5UkhSQRkc5DIUkkggKBAHa7vdGv2Ww2TbeJiHQiCkkiEaTpNhGRrkMhSSSC1LgtItJ1KCSJRJAqSSIiXYdCkkgEqZIkItJ1KCSJRJAqSSIiXYdCkkgE+f1+VZJERLoIhSSRCFIlSUSk61BIEomgpkJSMBjENM39PCoREWkNhSSRCDFNk2AwuM/pNkBTbiIinYRCkkiENEyl7auS9NPjRESkY1NIEomQpkKSKkkiIp2LQpJIhKiSJCLStSgkiUSIKkkiIl2LQpJIhKiSJCLStSgkiUSIKkkiIl2LQpJIhKiSJCLStSgkiUSIQpKISNeikCQSIZpuExHpWhSSRCJElSQRka5FIUkkQlRJEhHpWhSSRCJElSQRka5FIUkkQhrCj91ub/TrqiSJiHQuCkkiEaJKkohI16KQJBIhze1JUkgSEekcFJJEIqQh/DSEoZ9rCE+abhMR6RwUkkQiJBAIYLPZMAyj0a+rkiQi0rkoJIlESENI2huLxYLFYlElSUSkk1BIEokQv9+/z5AE4Sk3VZJERDoHhSSRCGmqkgThKTdVkkREOgeFJJEIaU5IUiVJRKTzUEgSiRBVkkREuhaFJJEIUSVJRKRrUUgSiRCFJBGRrkUhSSRCNN0mItK1KCSJRIgqSSIiXYtCkkiEqJIkItK1KCSJRIgqSSIiXYtCkkiEqJIkItK1KCSJRIgqSSIiXYtCkkiENLeSpJAkItI5KCSJREAwGCQUCjWrkqTpNhGRzqFZIckwjATDMN4zDGOtYRhrDMM4uL0HJtKZNAQfTbeJiHQd+/6Nvsu/gM9N0zzHMAwHENWOYxLpdBqCjxq3RUS6jiZDkmEY8cBhwBUApmn6AF/7Dkukc2kISXa7fZ/HNUy3maaJYRj7Y2giItJKzZlu6wMUAy8ZhrHEMIwXDMOIbudxiXQqLakkAaomiYh0As0JSTZgLPCMaZpjgFrgDz8/yDCM6wzDWGgYxsLi4uIID1OkY2sISQ0haG8aQpT6kkREOr7mhKRcINc0zXk7/vs9wqFpN6ZpPm+a5njTNMenpqZGcowiHZ4qSSIiXU+TIck0zQJgm2EYg3Z86mhgdbuOSqSTaW5IUiVJRKTzaO7dbb8G3thxZ1s2cGX7DUmk82lpJUkhSUSk42tWSDJNcykwvn2HItJ5tbSSpOk2EZGOTytui0RAQ+hR47aISNehkCQSAWrcFhHpehSSRCJAjdsiIl2PQpJIBKiSJCLS9SgkiUSAepJERLoehSSRCFAlSUSk61FIEokA9SSJiHQ9CkkiERAIBLBYLFgs+/6RUkgSEek8FJJEIiAYDDbZjwSabhMR6UwUkkQiIBAINDnVBqokiYh0JgpJIhHQ3JCkSpKISOehkCQSAS0NSaokiYh0fApJIhEQDAabFZIamrtVSRIR6fgUkkQiIBAINKtxG8J9SaokiYh0fApJIhHQ3Ok2CE+5KSSJiHR8CkkiEdCSkGSz2TTdJiLSCSgkiURAS0OSKkkiIh2fQpJIBDR3MUkIT7epkiQi0vEpJIlEgCpJIiJdj0KSSAS0JCTZ7Xb8fn87j0hERNpKIUkkAlRJEhHpehSSRCKgJT1JqiSJiHQOCkkiEdDSSpJCkohIx6eQJNJGpmm2uCdJ020iIh2fQpJIGzXczq/GbRGRrkUhSaSNGkKS9m4TEelaFJJE2qgh8KiSJCLStSgkibRRS0OSzWbDNE2tui0i0sEpJIm0UWsqSYCqSSIiHZxCkkgbtaYnCVBfkohIB6eQJNJGqiSJiHRNCkkibdSaniRQSBIR6egUkkTaqLWVJE23iYh0bApJIm3UmsUkQZUkEZGOTiFJpI0aKkJq3BYR6VoUkkTaSI3bIiJdk0KSSBu1tnFblSQRkY5NIUmkjVRJEhHpmhSSRNpIi0mKiHRNCkkibaRKkohI16SQJNJGWkxSRKRrUkgSaaNAIIDFYsFiad6Pk9VqxTAMTbeJiHRwCkkibRQMBpvdjwRgGAZ2u12VJBGRDk4hSaSNAoFAs6faGthsNlWSREQ6OIUkkTZqTUhSJUlEpONTSBJpI1WSRES6JoUkkTZqaU8SqJIkItIZKCSJtJEqSSIiXZNCkkgbqSdJRKRrUkgSaaPWVpIUkkREOjaFJJE2am1PkqbbREQ6NoUkkTbSdJuISNekkCTSRmrcFhHpmhSSRNpIlSQRka5JIUmkjVRJEhHpmhSSRNqotY3boVCIYDDYTqMSEZG2UkgSaaPWVpIaHisiIh2TQpJIG5im2eqeJEB9SSIiHZhCkkgbNEyXtbaSpJAkItJxKSSJtEFDSGpNTxJouk1EpCNTSBJpg4aQo+k2EZGuRyFJpA1aG5LUuC0i0vEpJIm0gSpJIiJdl0KSSBu0tidJlSQRkY5PIUmkDVRJEhHpuhSSRNpAPUkiIl2XQpJIG6iSJCLSdSkkibRBW9dJUkgSEem4FJJE2kDTbSIiXZdCkkgbtDYkWa1WDMNQJUlEpANTSBJpg9aGJMMwsNlsqiSJiHRgCkkibdDaniQI9yWpkiQi0nEpJIm0QWsrSQ2PUSVJRKTjUkgSaYO2hCRVkkREOjaFJJE2aAhJrZluUyVJRKRjU0gSaYNAIIDFYlFPkohIF6SQJNIGwWCwVQEJFJJERDo6hSSRNggEAq3qRwJNt4mIdHQKSSJt0JaQpEqSiEjHppAk0gaqJImIdF0KSSJtoJ4kEZGuSyFJpA1USRIR6boUkkTaQD1JIiJdl0KSSBu0tZIUCoV27v8mIiIdi0KSSBu0tScJ0JSbiEgHpZAk0gZtnW4DNOUmItJBKSSJtEFbp9saziEiIh2PQpJIG6iSJCLSdSkkibRBW3qSVEkSEenYFJJE2kCVJBGRrkshSaQN1JMkItJ1KSSJtJJpmqokiYh0YQpJIq3UsAikepJERLomhSSRVmoISaokiYh0TQpJIq3UUAFSSBIR6ZoUkkRaqa0hSdNtIiIdm0KSSCupkiQi0rUpJIm0Ulsbtxsep0qSiEjHpJAk0kptrSQZhoHdblclSUSkg1JIEmmltoakhseqkiQi0jEpJIm0UiRCkipJIiIdl0KSSCu1tScJVEkSEenIFJJEWkmVJBGRrk0hSaSVFJJERLq2ZockwzCshmEsMQzjf+05IJHOQo3bIiJdW0sqSb8B1rTXQEQ6m0j0JKmSJCLScTUrJBmG0QM4GXihfYcj0nmokiQi0rU1t5L0T+AOINR+QxHpXNSTJCLStTUZkgzDOAUoMk1zURPHXWcYxkLDMBYWFxdHbIAiHVVDSNISACIiXVNzKklTgNMMw9gCvAUcZRjG6z8/yDTN503THG+a5vjU1NQID1Ok4wkGgxiGoZ4kEZEuqsmQZJrm/5mm2cM0zd7ABcC3pmle0u4jE+ngAoFAm6baQJUkEZGOTOskibRSJEKS3W4nGAwSCqndT0Sko2lRSDJNc6Zpmqe012BEOpNIhSRAU24iIh2QKkkirRQIBNrUjwS77ozTlJuISMejkCTSSsFgUJUkEZEuTCFJpJUi1bjdcC4REelYFJJEWkk9SSIiXZtCkkgrqZIkItK1KSSJtFIwGGxz47YqSSIiHZdCkkgrqZIkItK1KSSJtJJ6kkREujaFJJFWUkgSEenaFJJEWikSPUmabhMR6bgUkkRaSZUkEZGuTSFJpJXUuC0i0rUpJIm0gmmaEQ1JqiSJiHQ8CkkirRAKhQDa3JNkGAY2m02VJBGRDkghSaQVGkJNWytJEO5LUiVJRKTjUUgSaYVIhiRVkkREOiaFJJFWUCVJRKTrU0gSaYWGkNTWniRQSBIR6agUkkRaIRgMAppuExHpyhSSRFpB020iIl2fQpJIK6hxW0Sk61NIEmkF9SSJiHR9CkkiraCeJBGRrk8hSaQV1JMkItL1KSSJtIJ6kkREuj6FJJFWUCVJRKTrU0gSaYWGnqRINW4Hg8Gdm+aKiEjHoJAk0gqRnm776TlFRKRjUEgSaYVIT7cBmnITEelgFJJEWiGS6ySpkiQi0jEpJIm0QjAYxDCMiPUkgSpJIiIdjUKSSCsEAoGITLWBKkkiIh2VQpJIK0QyJKmSJCLSMSkkibSCKkkiIl2fQpJIKwSDwYj0I4EqSSIiHZVCkkgraLpNRKTrU0gSaQVNt4mIdH0KSSKtoEqSiEjXp5Ak0gqBQCBiPUmqJImIdEwKSSKtEAwGVUkSEeniFJJEWkE9SSIiXZ9CkkgrRDIkGYaBzWZTJUlEpINRSBJphUj2JEF4yk2VJBGRjkUhSaQVItmTBKiSJCLSASkkibRCJKfbIFxJUkgSEelYFJJEWiHSIclms2m6TUSkg1FIEmkh0zRVSRIR+QVQSBJpoVAoBBDRxm1VkkREOh6FJJEWaggzqiSJiHRtCkkiLdQeIUmVJBGRjkchSaSF2iMkOZ1OvF5vxM4nIiJtp5Ak0kLBYBCIbE9SXFwc1dXVO/udRETkwFNIEmmh9qgkxcfHEwqFqK6ujtg5RUSkbRSSRFqovUISQGVlZcTOKSIibaOQJNJCCkkiIr8MCkkiLdQQkiLZk9QQkioqKiJ2ThERaRuFJJEWamjcjvTdbW63W5UkEZEORCFJpIXaY7oNwtUkhSQRkY5DIUmkhRSSRER+GRSSRFqopqYGgOjo6IieVyFJRKRjUUgSaaHKykrsdjtutzui501ISMDr9VJfXx/R84qISOsoJIm0UGVlJXFxcRiGEdHzahkAEZGORSFJpIWqqqp2BppIUkgSEelYFJJEWqiyslIhSUTkF0AhSaQFAoEANTU17RKSoqOjsVqtWlBSRKSDUEgSaYGqqioA4uLiIn5ui8WiO9xERDoQhSSRFmgISe1RSWo4r0KSiEjHoJAk0gINAUYhSUSk61NIEmmBhgDTHtNtEA5J1dXVO1f1FhGRA0chSaQFKisrcbvdOByOdjl/QkICsGtaT0REDhyFJJEWaK/b/xtoGQARkY5DIUmkBdprIckGCkkiIh2HQpJIC7R3Jamh10khSUTkwFNIEmkmj8eD1+ttt6ZtALvdTnR0tBaUFBHpABSSRJqpvW//b5CQkKBKkohIB6CQJNJM7b2QZAOtlSQi0jEoJIk00/6qJDWEJNM02/V5RERk3xSSRJqpsrISwzCIiYlp1+eJj48nEAhQV1fXrs8jIiL7ppAk0kyVlZXExsZitVrb9XkaFpRU87aIyIGlkCTSTO29RlIDrZUkItIxKCSJNFN7r5HUQCFJRKRjUEgSaYZQKLTfKklutxu73a6QJCJygCkkiTRDbW0twWCwXReSbGAYBvHx8epJEhE5wBSSRJphf62R1EALSoqIHHgKSSLNsL/WSGqgBSVFRA48hSSRZjgQIamurg6fz7dfnk9ERPakkCTSDJWVldhsNtxu9355voYw1jDNJyIi+59CkkgzNNz+bxjGfnk+LSgpInLgKSSJNMP+uv2/gdZKEhE58BSSRJphfy0k2SA2NhbDMBSSREQOIIUkkSYEAgFqamr2yxpJDaxWK7GxsQpJIiIHkEKSSBP29xpJDbSgpIjIgaWQJNKEAxWStKCkiMiBpZAk0oT9vUZSg/j4eKqqqgiFQvv1eUVEJEwhSaQJDSFpf/YkASQnJxMKhSgrK9uvzysiImEKSSJNqKysxO1243A49uvzZmRkAFBQULBfn1dERMIUkkSasL/XSGqQmpqKxWJRSBIROUAUkkSasL/XSGpgs9lITU1VSBIROUAUkkSacKBCEoSn3BSSREQODIUkkX3weDx4vd793rTdICMjg5qaGqqrqw/I84uI/JIpJInsw4FaI6mBmrdFRA4chSSRfThQayQ1UEgSETlwFJJE9uFAhyS3201CQoJCkojIAaCQJLIPFRUVGIZBTEzMARuDmrdFRA6MJkOSYRg9DcOYYRjGasMwVhmG8Zv9MTCRjiA/P5+0tDSsVusBG0NGRgalpaX4fL4DNgYRkV+i5lSSAsDvTNMcCkwCbjIMY2j7DkvkwDNNk7zcXNKTkzBN84CNo6EvqbCw8ICNQUTkl8jW1AGmaeYD+Ts+rjYMYw3QHVjdzmMTOaCWz56BZfUiNi+dw3PffkpGvwFk9BtIRv+BZPQbgCt6/0zB/bR5u2fPnvvlOUVEpBkh6acMw+gNjAHmtctoRDqA0twcZr72IluWLsKwOxl1yln4K8vJ37SBTQvD3/o2h5Mz7ribrBGj23088fHxuFwu9SWJiOxnzQ5JhmHEAO8Dt5qmWdXI168DrgPo1atXxAYosr/UV1cx9903WPbVZzhcbtIPmsKWOj9HXnT5zp4kT20NhdkbmfnKf/j4Hw9z/n1/Ia1333Ydl2EYat4WETkAmnV3m2EYdsIB6Q3TNKc1doxpms+bpjneNM3xqampkRyjSLurLCrkjT/exrKvPmPkMSdy1b+ex5OQSrcePXZr2nZFx5A1YjRn/d/9OKKimfbn+6gqLmr38WVkZFBYWEgwGGz35xIRkbDm3N1mAC8Ca0zTfKz9hySyf1UU5PP2/X/AU1vDBff/lWOuvgFndAz5+fl079690cfEJqdw9h/uI+D18v6j91Jf077bhmRkZBAIBCgrK2vX5xERkV2aU0maAlwKHGUYxtIdbye187hE9ouy7bm8fd+d+L1ezr37EboNHAyws2qzt5AEkNKrN6f//i4qC/P56G8PEWjHW/S18raIyP7XZEgyTfN70zQN0zRHmqY5esfb9P0xOJH2VLJtK2/f9wdCoRDn3fMI6X367fxaXl4ewD5DEkDPYSM54abfkrd2FZ89+Q/MUKhdxpqSkoLValVIEhHZj7TitvwiFW3J5p37/w/DYuG8ex8ltVfv3b6el5dHVFQUCQkJTZ5r8OTDOPzSq1k/bw6LP/u4XcZrs9lITU1VSBIR2Y8UkuQXp2hLNu8+eBc2h5Pz7/szyd33XHsoLy+Pbt26EW7Ja9q4k8+g9+hxzH33DWrK26dvKCMjg/z8/AO6sKWIyC+JQpL8opTkbOG9h/6EzenkvHsfJTGj2x7HeL1eiouLm5xq+ynDMDjqiusI+v3MeuOlSA55p4yMDOrq6qiubt8mcRERCVNIkl+M0twc3nnwLqw2G+fd8wgJ6RmNHpefnw803Y/0c4mZ3Rl/6tmsmT2D3DUr2zzen8vMzAQi2Lzt90DZZtj6A+QugnbqpxIR6axatOK2SGdVtj2Xdx74IxaLhXPvabyC1KC5TduNmXjmuaye/S3f/PdZLv3zv7BEcGPc9PR0IBySBg4c2PITVBfCrL+GQ1H1dqgv3/3rMekw+BQYehpkHQJW/XoQkV82/RaULq+8YDvvPvBHAM69+xGSuu07/OTl5ZGQkEB0dHSLn8vudHHkZdfy8WOPsOSL/9HviBOJd9ub3du0Ly6Xi8TExJZXkvz18OPTMPsxCHig/zGQdTDEZkBst/D7ujJY8zEsmwoLXwR3Egw9HY65D9wJbR67iEhnpJAkXVplUQHvPPBHgoEA5937KMk9mt4gNi8vjx49erToefIr63l+VjY5pXUUVQUZHNOLL157hde/9dEvK5O7Th7CpL7Jrb2MnVq0PYlpwsr34ev7oHJbuEp07AOQ3K/x40eeC7462PQNrP4YlrwG2TPhgjchfWibxy4i0tmoJ0m6rOrSEt598C4CHg/n/OkhUnpmNf2Y6moqKyubPdUWCpm89uNWjn1sFm/My2F7pYekGCfWKWfjIMi17tWU1Hi54PkfueaVhWwqrmnTNWVkZFBWVobX6933gfUV8PIp8P7V4UrQ5Z/ABW/sPSA1cETBkFPh7P/A5f8Dfx28cDSsbHQ3IhGRLk2VJOmSaivKeffBu6ivrubcux9u9ia027dvB5rXj7SxqJo/vL+ChVvLOaR/Co+cOYJeyVE7vz7btY35H73Hm/eczfQiF0/P2Mhxj8/i4om9uPWYgSRFO1p8XQ3N23l5efTtu5drqiuD186AwtVw6r9gzKVgaUVvVNbBcN138O7l8N6VsH0xHH2fepVE5BdDv+2ky6mvruK9h/5EdVkJ5/zxQTL6DWj2Y/Py8jAMg4yMDFaVrOLTzZ+ysmQl3qAXX9CHP+THG/RR5zEpL+2DzTOSv5xzCueNy9qj72jSWRewevYM5r7xIjc+9A/OG9+Tf32znjfm5TBnYwnTbphCfJS9RdeWlZWFxWJh48aNjYek2lJ47XQoXh+eJht4XIvOv4e4zHBF6fM/wNwnIH85nPeq+pRE5BdB023SpXhqa3jv4bspL9jOmXfcQ/fBLeulWZ67nC3dt3DWp2dxwacXMHXtVAwMkl3JZMVlMTBhMP6aPpRWJGFPWIyZ8Rz/3nAxf5rzJ2bkzCAYCu48l93l4pALLqNg0wbW/TCb1FgnD50xgtevnsi2snque20h3kBwH6PZk9PpJCsriw0bNuz5xdoSeOXUcEC6MAIBqYHNAac8Bqc/BVvnwutngacyMucWEenAVEmSLsPnqWfan++jJGcrp99+F72Gj2r2YwtqC7h3zr3MNedi2A0mRE/g6hFXc3Svo4l3xgNQXuvjypcXkJNbwcNnjuDMsWn8sP0Hvs75mpnbZvLxpo8ZkTKC+ybfx8DE8C36Qw49gkWffsjsqa/Sf8JkbHY7B/dL5m/njuQ3by3ljveW8/h5o7FYmn/324ABA/jyyy8pLy8nMTEx/MmaInjlNCjfAhe9Df2ObPb5mm3MJeG73t65FF4/By6dBs7YyD+PiEgHoUqSdAkBn4+P/vYgBRvXc8pv7qDvmIOa/djp2dM56+OzWFy0mOFlw3l80OO8cPwLnDXgrJ0BKa+innOencvq/CqeuWQcF07ohcvm4sheR/LwIQ8z8/yZPHLII+RW53L+J+fzxJIn8Aa9WCxWDr/kaqqKC1n6+Sc7n/P00d25/fhBfLR0O//4al2LrnXAgPD04caNG8OfqC0JN2lXbIWL32mfgNRg8ElwzkuQtwjeOBe8bWtEFxHpyBSSpNMLBgJ88s8/k7NyOSfceBsDJk5u1uMqvZXcMesO7px9J33i+/DwoIcZVDmIYb2H7Xbc+sJqznlmLkXVXl67agLHD9tzpW67xc6p/U7lozM+4qS+J/H88uc55+NzWFS4iKyRo+k9ehw/fvA29TW7thS58Yh+XHBQT56asYmp83Oafb0pKSkkJiaGp9w8VfD62VCRAxe/B30Oa/Z5Wm3oaXDOi7BtPrx5Hvhq2/85RUQOAIUk6dRCoSCfP/042Yvmc/RVNzD00OZVUebnz+fsj8/myy1fctPom3jlhFcIlgSx2WykpqbuPG7R1nLOffYHAiGTd351MBObWOso0ZXIw4c8zHPHPIc/5OeKz6/gmWXPcNjFV+Krq2fetLd2HmsYBg+eMZzDBqbypw9XMnNdUbPGbhgGAwYMYOum9YSmXgiFK8PN1L2nNOvxETHsTDjrecj5Ad48P7y+kohIF6OQJJ2WaZp888IzrJ3zHYdedAWjjz+5WY97c82bXPvVtbhtbl4/6XWuH3U9VsNKdnY2PXr0wLpjK5G5G0u49MV5JEbZmXbDZIZkxjV7bJO7T2baadM4rd9pPL30aV4rmsawI49hyeefUlGQv/M4u9XC0xePZWB6LL+euoRtZc0LGwP69eX04CdYtn4PZzwTuSbtlhhxDpzxLGz5Hj64Tnu/iUiXo5AknZJpmsx64yWWf/M5E844lwmnn9PkY/whPw/9+BCPzn+Uw3ocxtunvM3wlOEAFBUVUVxczLBh4am2GWuLuOLlBfRMjOKd6w+mZ1LUvk7dqCh7FA9OeZALBl3AS6teYkG/Eiw2K7PfenW342KcNp6/dByY8OupS/AHmwgbpkm/NU8wlI2s7nUZjDyvxWOLmFHnw/EPw5pPYMbDB24cIiLtQCFJOh3TNJn77hss/GQao48/mUMuuKzJx1T5qrjx6xt5e93bXDnsSv55xD+Jsu8KPitXrsQwDIYMGcJnK/K57rWFDEyP4a3rJpEW62r1WC2GhT9O/CNXDruSt/M+oHpUAut/mM329Wt3O65nUhSPnDWCpdsqeOyr9fs+6TcPYFn6OisTT+Cr6v6Yptnq8UXEpBth7GUw+++w/J0DOxYRkQhSSJJOxTRNvn/rVX58/y2GH3kcR13xqyY3j82pyuGS6ZewsHAhD0x+gN+O/y3Wn6xAbZomK1eupG/fvny5voKb3lzMyB4JvHntJBJbsSr2zxmGwW3jbuOGUTfwfsICglFWZrz6PObPpqdOHdWNCw7qybPfbeL7DSWNn+zHZ+H7x2DcldRN+i3l5eWUlpa2eYxtYhhw0j8g6xD46OZwQ7eISBegdZKk02iYYlv4yTRGHnMCx1x9I4Zl3zl/efFybvrmJkxMnj/2eQ7K2HNpgO3bt1NeXo6n+ziefXcZB/dN5j+XjSfaGbkfD8MwuHH0jbhsLj4peJ5DlgdZPXsGww4/erfj7j11GAu3lHPb1MW8PboPsUUeCIUrRY66b4mruA+f+zD8qb+nf+9whWvDhg2kpKQ0+rx+n5fKgnzK8vMo355Hef52nNHR9Bs3ke6Dh2K1RegabQ44/zX4z1Hw1kVw7beQ0Csy5xYROUAUkqRTME2Tma++wOLpHzH6+JM56srrm6wgzc2by60zbyXZlcxzxz5Hr7jG/2ivXLmS9cE05i6s5MhBqTxzyThc9lbsddYMVw2/imAoyKacd/j8lafpf9DBOKOiMAMhPJsq8Kwu5d5aG1fX1fCHudn8q3sqVrsVu28pcRUPEXAMp9z2BwIfbsZwWEhyx7NuxRomTZq08/UIBYOsnTuLhR+/T/G2rfCT6bjohEQ8tTUsnv4RrugY+owZT7/xk+gzeiwOd8v7rnYTlQQXvQMvHANTL4SrvgBnTNvOKSJyABnt0c8wfvx4c+HChRE/r/wymabJty89x9Iv/sfYE0/jiMuvbTIgfb7lc/5v9v/RL74fzx77LCnuxistoVCIqx55iZk1GRw9OI2nLxmL09Y+AamBaZrc8/5txL+7gdRDx3H2uOupnrmNYKUPw2HFNSiRjxxBHli0lT+eNJjrBnnhvydAbDpc9QWmOxHftmpq5xcwc/kcVho5XJl0IknH9Ca7cCnzP3yXisJ8Unr1ZsCEySR1605iZncSM7vhcEfh89SzddkSNi2ax6bFC/BUV+GMjuawi69ixJHHNlmda9LGb8ILTQ46Ec57Ddp6PhGRdmYYxiLTNMfv8XmFJOnIQsEgX7/wFCu+/ZJxp5zJ4Zdc1WRAemvtWzwy7xHGpI3hiaOfIM6x91v3//npYv45O58J3d28dsPh7R6QGng89dx3zwUk5wQY0fsUxmZNJvbwHrgGJGLYLZimyQ2vL2blmtV8m/gwDsOEa77aYwpr07qNvDb1dY4yhtO3Pp1ttevYFr2RMWefTv9xE5sMPKFgkLx1q5n7zhvkrllJjyHDOebam0ju3rNtF/jD0/DF/8FRf4LDbm/buURE2tneQpL+iScdlt/n5ZPHH2XFt18y8czzmwxIpmnyzLJneHjewxze43CeO/a5fQakV+Zu4Z+z8+llreDFqw7eLwHJNE1qFxRQ/thyzrFcQtAKM2s/xrisG+6hyRj28I+kYRj85eQsXnH+FX9tBfXnvdVoj096RgoWYHbZTDZbVtEjbhBTHKeSUd8TmvHvH4vVSs+hIzjvnkc47le3UJyzmdfu+DVz332TgN/f+guddAOMOA++fRg2fNX684iIHEAKSdIheWprmPbIvWxcOI8jr/gVh1xw6T4DUsgM8dcFf+XppU9zWr/TePzIx3HZ9n7r/stzNnPvx6voY6/ihpEOYqPd7XEZuwnW+Ch9ZTXl72/Amuhi+DXHMuKM00gtsnLfazfjC/p2Hez3EP/R5fQx8rnOdxv3zd8zwBVs2sDUu36LpboCa3omUx66jszfHYSzbwKV0zdT9MQSfLnVezyuMYbFwoijjuPKx55lwMQp/PDem7x25y1UFBa07mINA079F2QMh/evhtJNrTuPiMgBpJAkHU5NWSlv3/cHtq9fy8m//j1jTzx1n8cHQgHumXMPr695nUuGXMKDUx7EZmn8ngTTNPn3Nxu475PVTMmK4RDLekaPHN4el7Ebz7oyCv+5GM/GcuJP7Uvqr0bi6p/ACWdejTM9iZR5FTz4/f3hNY9CwfAK1lu/x3LGM4w+/HTeXriN6Svyd17Dsq8+4617bsc0TaYcexwen5+ioiJsSS6SLx9K8qVDCNUHKHp2GbULmh90ohMSOfmW2znrD/dRV1nBW/feQUnOltZdtCMKzn8dDAu8fYk2wxWRTkc9SdKhlG3P4/1H7qG+uorTf3cXWSNH7/N4X9DHnbPu5Oucr7lx9I1cP3Lvd72FQiYPfrqal+Zs4awx3TnEsZW1a1Zx++23Y7fb2+FqwPQHqfxsCzVzt2NLjyL5wsHYM6J3fT1ksm3WElZN/ZxQUhw9ug0gs7oes7qCkLsHRlQC1sxo3thWyg919fzt+vGs+uAlVs/6lt6jx3HSzb8jgMFjjz3GlClTOOaYY3aeO1jrp2zqWrwbK4iemEHCqf0wbM3/d1HJtq28//DdBHw+zvzDfXQbOLh1L8Kmb8Ob8A49Hc55KVxl+qXbNAM+uxOumwGO6KaPF5F2pZ4k6fByVi5n6t2/x+/1cN49jzQZkOr8ddz8zc18nfM1dx50JzeMumGvAckfDPH7d5fx0pwtXDWlD38+cxjr161hyJAh7RaQ/CX1FD21lJq524mZ0o30m8dgz4gmVOendkkRpW+tJf/hH7F8XsvwxEPo4+tJZVEJVXX5WJNicQzsgT09ikBONedUmPzD5yL07xVkbuzOMUddw5m334M7No7Y2FgGDBjAkiVLCAaDO5/fGm0n5crhxB7eg9p5BRQ/v5xglbfZ40/pmcUFD/wVV0ws7z30J7YsX9K6F6LfUXD0vbDqA5jzz9ado6vZ9A2UrIPitU0fKyIHjEKSdAjLv/6c9x+5m6j4BC568O9k9Buwz+OrfFX86qtfMa9gHg9MfoBLhl6y12M9/iA3vL6IaUvy+N2xA7n7lCFs3pyNx+Nh+PD2mWqrX1tG0ZNLCFb5SL5yGAmn9iPkC1L52WbyH51P+dvr8G6owDUwiaQLBpFwyxBmlL3KjPyXuLzfc1RfM4bkCwaTfOlQMv9vAjHX9+OD4CrexIc1LoPkzckUPbGU+pUlmKbJ+PHjqa2tZe3a3f/oGlaD+BP7kHTxYPwFtRQ+sQTv1qpmX0d8WgYXPPBXEtIz+ODP97P+x+9b94JM+Q0MOxO+vh9Wf9S6c3QlJRvC79WrJdKhKSTJARUKBvn25ef46j9PkjViNBc99HcSMjL3+ZjC2kIu/+xyVpau5G+H/Y0zB5y512Mr6nxc9t/5fLO2iAfPGM6vjx6AYRisXLkSt9tN3759I3o9Zsik6pscSl9ZhS3JRdrNY3D2jKXyyy0U/GUB1bNycQ1NJu2m0WTeNZGk8wcRNTqN2NqlHJ+8jJhqF8OzU7hl5m+o8oXDTHHOFt7+8x+gZAYbsyyc5aul6tieEDIpfX0NRU8soUcgifi4ePY2zR01IpW0m0Zjcdoo/s8KPOvKmn1N0QmJnHffn8noP5D//fOvrJk9o+UvjGHAGc9Aj4Ng2nXauqR4Xfh9Q1gSkQ5JIUkOGE9tDR/85X6WfPYJ404+nTPuvAdn1L77M7Irsrnks0vIr83nmWOe4bjex+312I1F1Zz+1ByW5lTwrwvGcOmkLAAqKytZvXo1w4YNw2qN3G3/IU+A0tfXUPXVVqJGp5F67QjqlhaR/9cFVH+7DdegRNJvHUvyhYNx9IzFsOyYGlz/Bbx1Ef37ZzL8sCMYuMGNJ6eIO767gy2rlvL2vXcCcMH9f+HxKw4lLd7FrxZl475+JInnDiTkCVL26hpODo2jODt/r3u52dOjSb1hFPY0NyWvrqZ+5V72h2uEKzqGc+56gJ7DhvPZU4+zdu6slr9AdjdcOBViM2HqBVCW3fJzdAV+D1RsDX9cqpAk0pEpJMkBUbh5E2/e9TtyVi7juF/dwhGXXYvFsu/AsrRoKZd9fhn+oJ+Xjn+JSZmT9nrszHVFnPnUXGq9AaZeN4nTRnXb+bVZs2aF7wqbMiVi1+MvqqPoqaV41paScGpfoidnUvTscqq+3IqzbwJpvxlL8sVDsKf/LASu+QTeuhjShsJlH3HkVTcSn5rGKWv6Mi9nLn9472aiE5O48KG/k9qrN/FuO/++cAzbKzzc9dFKosamkfG7ccSf0peoSitneyey+cOlmKHGb8iwRttJvXYkjh6xlL65htolRc2+RrvTxRm330P3wUOZ/sTfWT9vTstfqOgUuPg9MEPw+jlQ1/yKVpdRujF8/YY1/LGIdFgKSbJfmabJ4ukfMfVPv8PvqefcPz3MiKP2Xg1q8N2277j2y2uJd8Tz2kmvMSR5yF7P/9/vN3PVywvokRTFRzcfwrisxJ1fLysrY8mSJYwbN47ExMRGz9FS9atLKXpqKaG6AClXDCNY7aP4mWWY9QGSrxhGymVDcWQ2UiFb+T68czl0Gw2XfwxRSTjcUZxw028JlFdz7Px0VvWuJOaqw4lLSdv5sHFZifz22IH8b3k+7yzchmG1EHtIdzJ+O47q2AAZGxwUPb0Uf0Fto+O1uG2kXDUcZ594yt9ZR828/GZfq93l4sw77yGz/yA+/ddf2bjgx5a+XJDSHy58Cypzw5vh+j0tP0dnVrI+/D5rcrgnKRQ6sOMRkb1SSJL9pq6qkg//+gAzXvkPWaPGculfn6DH0KYbp99b/x6/mfEb+iX049UTX6VnbONbZvgCIf74wQoe+N9qjh2aznvXH0z3hN0Xifzuu++wWCwcdthhbb6enf1Hr67GluIm4ewBVPwvm+qZuUSNTSf9tnG4Byc1/uBlb8H710DPiXDpB+CK3/mlquJwdSe9wslR3pE8uuSvLCtettvDbzi8H1P6J3Pvx6vYUBheMNKW6CLqvN58a1+Jt7iWwn8voerbnEarShanlZQrhuMalETFBxupnpXb7Ot2uKM46//uJ71Pfz55/M9kL17Q7Mfu1GsSnPkM5PwAH97wywoKJesBI7y3nb8OqpsfUkVk/1JIkv1i64qlvHr7zWxdsZSjrvwVZ9x+N1Fx8ft8TDAU5C/z/8L9P9zPpG6T+O/x/yXZndzosYVVHi54/gemzt/GzUf255mLxxHt3H1ByeLiYpYvX86ECROIjY1t0/WEvAFK3wj3H7lHpeLsG0/Za6sxvSFSrhpO0jkDsbgbX9CSxa/CB9dD70PgkvfAuWssK2Z8yWdPPUbPocNJ6dWbgQtMepHOrTNupbC2cOdxFovB4+eNJtph49dTl+Dxh2/979uvH2XJXmb3zMY9PJmqL7dS8vIqgrV7bjFi2C0kXzoE98gUKqdvpvKLLTR33TRnVBRn/fF+UrN68/E/Hm7d8gDDz4Zj7odV0+Cru1v++M6qeB0kZkH6jn8gqC9JpMNSSJJ25fd5mfHy87z30J9wRkVz0UP/YMwJpza5SW21r5qbv7155yraTx71JFH2qEaPXbCljJP//T1rC6p5+uKx/P74QVgse55/xowZ2O32Nvci+YvrKHpqGZ7VpcQc2h1/YS01s/OIGpdO+m1jcQ3cxzTe3Cfh419D/6Phond2W0hw2Vef8eWz/yZrxGjO/L/7OeU3dxD0+Tl1RR88njpunXErnsCuqam0OBf/OG8UawuqeejT1QBYLBbGjRvHhtxsgsckk3Bmf7ybKij612K8Wyr3GI5htZB0wWCiD8qgesY2Kj7atNd+pp9zRcdw9l0PktStBx/9/SG2r1/TzFfwJ6b8Bib8Cn54Mvza/BKUbICUgZAyYNd/i0iHpJAk7SZ/wzpeu/M3LP7sY0YffzKXPPpP0no3fcv9tqptXDL9En7c/iN3T7qbOyfc2eg2I6Zp8srcLVz4/I/Eumx8eNMUThrR+PIB+fn5rF69moMPPpjo6NavcFy3pIiiJ5YQrPYSNTaNmrnbCdX6Sb5iWLh65NpL9cg04duH4Mu7witPX/Bm+G6vHRZ9+hFfv/AUfccexBm3343d4SS5Ry9Ouvl3VGzN4bqCw1lZspL7f7h/t2rPEYPSuO6wvrz+Yw4fLc0DYMyYMVgsFhYvXkzMxEzSbhwNNgvFzy+nelbuHtUiw2KQcFZ/Yg7rQe2P+ZS9sw4z2LzpL3dMLGff9SAxCUl88Of7W76FiWHACY+GX5Mv74IV77Xs8Z1NKBiuHKUMDN/lZ4/WWkkiHZhCkkRcwO/n+7deZerdtxPwejnnroc4+qobsLv2vuFsgwUFC7ho+kWU1Jfw3LHPcd6g8xo9rt4X5HfvLOPej1dxxKBUPrp5CgPT9z6F9u233+JyuTj44INbdU0hX5Cy99ZT9vY6bKlubIku6hYV4R6WTPqt++g9gnC/zWd3wKy/wZhLw1tz2JxAOOjNfvNlZr76HwZMmMxpv/sjNodj50P7HzSJg8+5iLJFq7nOfyL/y/4fr6x6ZbfT//64QUzoncTt7y5n/uYyYmJiGDx4MMuWLcPv9+PoHkP6LWNwD02mcvpmSl9fQ8gX3O0chmGQcFIf4k7oTf3SYkpfW4Pp3/2YvYlOSOScPz2IzeHgvUfuafmmuBYrnPk8ZB0SnobMntmyx3cmFTkQ8EDqoHBATO6n6TaRDkwhSSKqYON63vzjbcz74B2GHn4Ul//9ySa3F4Fw/9Fzy57jmi+vIcGZwJsnv8mEzAmNHrskp5yT/z2bD5bm8dtjB/L8peOJc+19a5Ft27axYcMGpkyZgqsZQe3n/IW1FD21lLqFhTgHJBIoqidQ7iXpwkEkXzQEa/Q+tjUJ+uHD62H+83DwzXDaE+FQAAQDAb545l/M/+g9Rh5zAqfcdidW257nOvjsC+h/0CT8367lFOsUHl/8ON9t+27n1x02C89fNo4eSW6ufXUhm4prGD9+PPX19axevWMazmUj6eIhxJ/cF8/q0vAWJdW+PZ4r7oieJJzRH8+6Mor/u5KQJ9Cs1yg+LYOz73qQoN/Pew//iZryFt7ab3fBBW+Ep6DeugTyl7fs8Z1Fw51tKYPC75P7axkAkQ5MIUkiwlNTw9cvPMUbf/odddVVnHHH3Zxww61NLg4JUFJfwq++/hVPLn2S43sfz9STp5IVl7XHcb5AiL99sZazn5mLNxDijasncsvRAxrtP2pgmibffPMN0dHRTJw4sUXXZJomtfMLKHpyKcEqH/YeMXg3lOPoHUfGrWOJGpW27xN4a+DtS2H523DU3XDcQzs3d/V7PHz094dY9d3XTD73Yo655qa9rhNlWCyceNNvSerWg+4zKhhlH8jts25nVemqncckRDl4+YoJ2K0GV7w0n+jkTFJSUvj+++8J7bhzzDAMYg/tTvKlQwkUhtd1amyZgJhJmSSdPwjf1ipKXlxJqL55QSmlZxZn/eE+6ioqeP+Re/DU1DTrcTu5E8JrKLni4I1zdq1K3ZXsDEkDdr2vyIFA8/fUE5H9RyFJ2sQ0TVZ99w3/ve1XLP/6C8aeeBpXPvYs/cY1L5DM3T6Xsz8+m2VFy7h/8v385dC/EOOI2eO4NflVnP7UHJ6asYmzx/bgs1sPZXL/lCbPv3LlSrZs2cJhhx2G4yfTWE0JVvsofWU15dM2YE10ASaBwjoSTu9HylXDscY7932Cim3w3xNgwxdw0t/hsN/vDEj11VW8++BdbFm6mGOuuYmDz7mwyUZ2hzuKM26/G8OEIxYmk2JJ5OZvbmZ7zfadx/RKjuLFyw+iuNrLda8tYuKUQykuLmbVqlW7ncs9NJnUX43EDIYoemYZng3lezxf1Og0ki8agm97DcUvrGj07rjGZA4YxOm//xPl23OZ9ui9+OrrmvW4neK7wyXTwh//9wTIXdSyx3d0xesgOhWidkzPJg8ILyz5S119XKSDU0iSVivYtIG37/sDnz/9OAkZmVzy539y5OXX4oxq/C60n/IGvTy+6HGu/+p6Ep2JTD15KmcNOGuPsOAPhnjy2w2c9uT3FFd7+c9l4/nbuaP2Ob3WoKamhunTp9O9e3cOOuigZl9X3YoSCh9fhGdDOfbuMQSK6rAlu0n79RhiDu7WZKBh23z4z1HhrScuegcmXLvzS5VFBUy95w6KtmZz6u/+j1HHntjscSVkZHLyrXdSsX07F6wbht/r5cavb9y5xxvAqJ4J/PuCMSzPq+S55T5SUtOYOXMmweDu/UWOHrGk3TQaW6KTkpdWUTt/zz4i9/AUki8dir+wlpL/LCdYs+f0XGOyRo7m5FvvpCB7Ax/89QH83hYuFpk2GK76PFxReuVU2PRtyx7fkZWs3zXVBuGeJNCUm0gHpZAkLVawaQMf/OV+3vjjbZTmbeO462/hwvv/2qw71wAWFS7inI/P4b8r/8tZA85i6ilT6Z/Yf4/jlm2r4NQnvufvX67nuKEZfHnbYRw7NL3Z4/z000/x+XycfvrpWCxNf6uH6gOUvb2OsjfWYLhsWJxW/Pm1xB7di7QbRmFPazr8sfwdePkUcETB1V/BgGN3fqkweyNv/un31FdWcM5dDzLgoJY3kfceOYbjr/8NxWvWc23eoWyt2sptM27DH9xV6TluWAb3nTqMr9cUsdo9nJKSUpYv37PHx5bgIvX6UTj7J1A+bQMVn2zCDO5+55t7cBIplw8jUOqh+LnlBKuaNy004KCDOfHm35G7ZhUf/+MRAv7mVaJ2SuoLV30BSX3gjfNg5bSWPb4jMs1wJalhqg3CPUmgZQBEOqi93K8ssqeCTRv44b03yV68AFdMLIdccBmjjz+lWZUjCK999Piix3l3/bt0j+nOs8c8y5Tue65ZVOcL8NiX6/nvnM2kxjp5/tJxHDcso0VjXbVqFWvWrOHoo48mLa2J3iHAs7Gc8nfXE6zyYUuPIlBYhz0zmpRrBuLotuf03x5CwfAt/t8/Br0PhfNe3TWlAmQvWcD/Hv8L7rg4zrrnUZJ7NL5qeHMMO/xo6qoqmfX6f7nZeRz/DE3n3rn38vAhD++scl0+uTcFVR6embmJg+IGkzDzO0aMGIHNtvuPvMVlI+XyYVROz6Zmznb8RXUkXzgYS9SuSp1rQCIpVw6n5OVVFD+3nJSrhmNL3n0l88YMmXI4AZ+XL5/9N5/+6y+ccusfsNpa8CsnNgOu+BSmXgjvXQV1pbtV5Tqd2hLwVITvbGvgioOYDC0DINJBKSRJk/LWrWHeB2+zeclCXNExLQ5Hpmnybc63PDzvYUo9pVw29DJuGn1To4tDzlxXxN0frWRbWT0XT+zFnScObtbU2k/V1tby6aef0q1bNyZPnrzvsfmDVH6+hZo527HEOTBcNgIl9cQdm0XsET0wrM0otlZth2nXwZbZMPbycA+SbVf/0/JvvuDrF54iNasPZ955LzGJ+1guoJkOOvUs6iorWPjJNK477Diez/6EBFcCt4+/fWdQuuP4QdT7grw8dwve2moOXbqU8ePH73Euw2qQcGo/7OnRlH+0kaKnl5F8+VDsqbv+/zj7xpNydTgoFT21lKSLh+Dql9DkOEcceRwBr5dvX3qOz556jJN+/bsmNzLejTsBLp0G714J038fDhmH3d78x3ckJTsa0VMG7v755P5aBkCkg1JIkkaZpsnW5UuY9+E75K5eiSs2jinnX8qYE05tdjiC8MKQf17wZ2blzmJQ4iCeOOoJhqUM2+O4DYXVPDx9DTPXFdM3JZp3fnUwE/q0LkxMnz4dr9fL6aefjtW69z/Ivtxqyt5ZR6CoHmuSi2CZB3v3GJLOHYg9o5kLTq6dDh/dCAEfnP40jL5oZ4O2GQox9903+HHa2/QePY5Tb70Th7v5r11TDrvoCuoqK1g961suPeYoXlv9GgYGvx//ewzDwDAM7j11KN5AkKnz4e+freS1UaOw2xsPndETMrCluil9fQ1FTy0l+aIhu60e7syKI/2m0ZS8soqSF1eScHo/YiY2vnjnT4054VT8Xi+z33wZm93Bcdf/umVBye6G818Pv87fPgT++vDdgk31hnU0xXsJSSn9YfXH+388ItIkhSTZTTAQYNPCH5n/0fsUZm8gJimZIy67lpFHH9+sxSAbeAIe/rvyv7y44kVsFhu/H/97LhpyEXbL7n+gS2u8/PPrDbw5P4coh5W7ThrCZZOzcNpa8Ef0J1avXs2qVas46qijSE9vvH/JDISonrmNqm9yMJxWDIeVYJWXuBN6E3toDwxrM/74+j3h/cbmPw8ZI8MLRKbs6qvy1Nbw2ZP/IHvxAoYfeSzHXHNTy6aamsGwWDjuV7fgqalm8zeLuOT4Y3h19asYGPxu/O92BqWHzxhBSXkVX22A+9/6nocuPXKv53T2iSft5tGUvrKakpdWEn9yX2Km7GpWt6W4SbtpNGVT11LxwUb8BbUknNK3yYrbhNPPIej3M/fdNwgG/Jxw420tez2sNjjjWbC5YPY/wFcXXqm7MwWlkg3hFbbje+z++eQBUF8GdWW7TdGKyIGnkCQA1JSXseKbL1j+9WfUlJeRkJ7JsdfdzNDDjsa2l8pDY0zTZFbuLB6d/yh5NXmc2OdEfj/+96RF7d4XVOcL8OoPW3lqxkbqfEEuntiLW48ZSFJ082/T3+Maamr49NNPyczM3Ov+bPVry6j8ZBOBUg+WWAehah+OrDgSzx7QvMZsgIKV4em1olXhBSKPvmfnCtoAxVs38/E/HqGqpIijrvwVo48/pek74lrJarNxyq138sFfHmDb58u55IjDeWV1eEXuhqBksRg8ffnBnP6XD3l9FfSZvZGrD92zUb6BLdFF6g2jKHtnHZX/y9659IFhCwchi8tG8uXDqPx8MzWz8ggU1ZF88ZDd+pgac/A5F2Kx2fh+6isEfD5OufWORhfP3CuLBU79F9ijYN4z4K+DUx7fuThnh1eyo2n7598LDc3bpRshqvEFVEXkwFBI+gUzTZPc1StY+tVnbJw/l1AwSO9RYznm2pvoM2Z8y6ZEgIUFC3ly6ZMsKlxE3/i+vHjci3usml3t8fPqD1t58fvNlNX6OGJQKnedNIQB+9hSpDn8fj9vvfUWXq+XM844Y49ptkBpPRX/y8azpgxLtA1sFkxPgPhT+4Zv69/HgpS7TuKFWX8PN2e7E+Gid2Hgcbsdsub7mXz53BM4o6M5755H6T54aJuuqznsThdn/eE+pj/xdzbMnMslEyfzyqpXMAyD3477LYZhYLdZeeLCcVz5wvc8+Ok6PAG48Yh+ew1vFqeV5IuHUPX1Vqq/3Ya/uI7kS4ZgjQmHWMNikHBS33Af07QNFD65lORLhjTZ5D7xjHOxO53MePl5Pvr7w5z62//D7mhizamfatjrzREVrij56+GMZ8KVpo6ueD30biS8N9ztVroReiokiXQkneA3i0RaZVEhq2d9y6pZ31BZWIArOoYxJ5zKqONOIjGjW4vPt7RoKU8ufZJ5+fNIdafyfxP+j3MHnbvb1FplnZ+X5m7mpTlbqKz3c8SgVH591ADGZSXu48zNY5omH3/8Mbm5uZx33nm7TbOFvEGqZ+VS/d02ACxRNkK1AVxDk0k4pS+2pGZOIeYuhI9uhuI1MPJ8OOHPu02NBPx+Zr3xX5Z89gndBw/j1Nv+QHRC26+tuWwOB6fcdidf/+cpVnz7JZeMmMArvIw/5OeOg+7AYljo17c31wydw6vryvjbF+sor/Vx18lD9hqUDItB/HG9sadFUfbeBoqeWkrK5cN269eKHpe+s4+p+JllJJ4zoMmVyMeeeBo2u4OvXniKD/9yP2fcfk+LpnIxjHD1zh4F3z4ItUVw9osQ3fTiogeMtwaqcne//b9BQi+w2LQMgEgH1OlCUnVFBS/d/X+AwS1PPH2gh9Np1NdUk71oPqu++4Ztq5aDYdBr2Egmn3sxAyYcjN3Zsj3NTNNkUeEiXlj5AnPy5pDkSuL28bdz3qDzcNl2nWt7RT0vzdnM1PnbqPEGOGZIOrcc3Z+RPRIidm3fffcdK1as4Oijj2bo0HDlxgyGqF1QQNXXOYRq/FjiHISqfFgS7CSdPwjXoGb2fvjqYMbD8OPT4V3bG6keFW3J5rOnHqMkZwtjTzqdwy6+MuL9R81hsVg59rpf446NY/5H73Gpdxyvh96g3FPOQ1Mewm61c+rJJ5Gz5WlSYqN44fvNVNb7efSsEdj20VMUNToNW7KbkldXU/T0jiA0MnXn15294kj/9RhK31hD2dR1+HJriD+hzz57u0YecwI2h4PPn/4n7z18N6ff/iei4uJbdsGH/R5i0uHT38Gzh8K5L0Ovlm09s9803L3204UkG1jtkNhHd7iJdECdLiTV+jy4qycTsjh5/6PXOfv0Sw70kDqs8oLtbFo4j02L5pG3djVmKERCeiZTzruEoYcdRVxq0+sH/Zw36GV69nTeWPMG68rXkeBM4LZxt3HBoAt2u6V/ZV4l/5mdzf+W5wNw0ohMbji8H0O7xUXs+gBWrFjBzJkzGTVqFIcccgimaVK/soSqL7YSKKnHEmsHi4HpCRJ/Yp9wE7KtGbf1h0Kw/C345kGo3g7jr4Jj7g+va9NwSDDI/I/e44f3puKOjeXMO++l79jmr+zdHgzD4NCLrsAdG8d3r/+XK+vH8mbgc272VvD4EY+TmJjIcccdi//T6WQNO5K3F+VSWe/n3xeOwWXf+/Sqo2cs6TePDgehN9fi3VxJwsl9d76W1lgHqdeMoOLTbGpm5+HfXkNSE5v/Dj3sKGxOJ9Of+Dtv/ul3nHnHPST36NWyCx57KWSOhHcug5dPgmMfhEk3dLyG7uIde7alNhKSIFxh0lpJIh2OYZpm00e10Pjx482FCxdG/LwNpl5xCWWuq9ic8D3XnXk0Ayc23qT7S+P3eMhds5KtK5aweeliyvLCU0wpPbPoN34i/cZNJKP/wFY1ERfUFvDOund4b/17lHvL6Z/Qn0uGXMJJfU/CbQsvLOgPhvh6dSGv/rCVH7JLiXHauOCgnlx5SB+6JzS9+GBLbdu2jZdffpnu3btz6aWXEtxcQ9VXW/Ftq8aItmF6gxAyiR6fQdwxvbDGNbP3ZfMs+OIuKFgO3cbC8Y9A1u6rY5dtz+Xzpx4nf+M6Bh18KEdffQPu2MgGwLZaO+c7vnj235hOK5+M2Exav/48dcxTJDgSeOWVVygoKCBxwhn8+atsJvZJ4umLx5Ics+/XyAyEwutKfZ+HvUcMyRcN2WPKsnZRIeUfbMAaZSfpwsE4++y7QpS/YR0f/f0h/F4vp/zmDvqM2XMtpybVV8CHN8K6T2Ho6XDak7sF2gPumwfg+3/CXQW7raG105d/gnnPw135nacRXaQLMQxjkWmae/zy6ZQhaeZf7qD4xxQK00YyP30ad596NQMO6qBl9nYUCgYp3LyRnBXL2LpiKdvXrSYYCGC12+k+eBj9xk2g37gJxKe1bLXqBsFQkDnb5/Du+neZlTsL0zQ5sueRXDzkYg7KOGhn2NpaWstbC7bx7sJcSmq8dIt3ccWU3lwwoVeLF4JsrrKyMl588UUcDgeXHnkOge+L8eVUYzitEDIx/SHco1KJOzYLe0ozA1rxOvjqXlj/GcT3gmPuhWFnhe+q2iEYCLDo0w/54b2p2BwOjr76BgZPPqxdrjESirZk8/E/HqaqtJh5w8qpG5rAs8c+i8vr4plnnqFPnz5EDz2cO99fQVK0g6cuHsvYXk33UtWvKqHs3XB1JOmcgbiH794P5MuroezNNQTKPDsW5uy5z+b4qpJiPvzbg5Rs3cLhl17N2JNOa3mYN02Y+wR8fV94o9zTn4I+HeT/zduXQNFa+HX492K5p5yZ22ZyRv8zwte56BX45Bb4zXJIzDqwYxX5BepSIck0TRYdPYX5/f5Edsomooq/5tJr72TAxH2vrtzZhYJBijZvYtvqFWxbtZy8davx1dcDkJrVh6yRY8gaMZruQ4a17I6hnymuK+bDjR/y3vr32F67nWRXMmcOOJOzB5xNj9jwGi813gBfrS7g/UV5fL+xBKvF4KjBaVw0oReHDUzF2py7xVopLy+PN998k6A/wBnRhxCTD4bLCsFwOHINTiLuuKzmbScC4WmO7/4KK94BRwwc+luYeAPYd6+Q5K5ZydcvPE1pbg79xk/imKtvICYpuR2uMLLqa6qZ/u+/sWXZYjZneVg12s/fj34MX7aPL774gjPPPBNrSm9ueGMRBZUe7j5lKJdOymoypATKPJS+uQZ/bg3RB2cSf2IfLI5dVZCQN0D5tI3ULyvG2T+BpPMHYY3d+xIPfo+H6U/+g40LfmDE0cdz1BW/wuZoxZIQOfPgwxugbBMcdE14mtTZzO+F9vLkhPCU2gVvAPDMsmd4eunTfHj6h/RL6Adb58JLJ8Il70P/Yw7sWEV+gbpUSAKYd/khlOWPJbvvGXwxaBqHz9vCMVffxPAjjz0gTbORFgwEKM3NoXDzRoo2b6IweyPFW7cQ8IU3GE3q1oOew0bSc9gIeg4dQVR8Qpuer6S+hK+3fs0XW75gUeEiTEwmZk7k3IHnclTPo7Bb7Xj8Qb5dW8Qny7bz7doivIEQ3RPcXHBQT84d35OM+JY1f7fGutVree/993CG7JxQP4pEVxxmwIRACNeQJGKP7ImzVzOnWSpywuFo6ZtgdYT3BZtyK0TvHnzqqiqZ/ebLrJzxFbEpqRx15fX0H9+5KpehUJC577zBvA/eoSoJvh1ZwE1H/p7aObUUFxdz0003EbK6uO2dpXy7togzRnfjkbNGEOXY98/ST6ffbCluks4fhKPnruUcTNOkbmEhFR9vwnBaSTpv0G6reO9xvlCIOe+8zrwP3iGlV29O+vXvSe3Vu+UX7KsLr87949OQ0DM8/db38JafJxKCfng4AybfEq5OAtd/dT1zts/hgckPcOaAM6GmCP4+AE74C0y6/sCMU+QXrMuFpIXP/QX3v17j+yl/oiQaVvV4l3ELvEQnJDL8yOMYefTxrWpM3t9CoSDVJSWU5uZQnLOF0m1bKcnZQtn2XIKBAAAOt5u03v1I79uPjH4D6TlsZERuLy+oLeC7bd/x5dYvWVi4kJAZom98X07ofQIn9jmR3vG9qfL4mbmumK9WF/LtmkJqfUFSYpycMjKTU0dlMqZnIpZ2rBo1CFR6+eHDmXy7+Qd6BlM42jYaqw8ImbiHpxB7ZM/mV47KNsPcf8Pi18INvuOvgkN+C7G7r9AdDPhZ8c2XzHn3DXx1tYw75UwOPuuClt2u3sFsWPADXzzzT+p9dcwZUsyQg4/AOcfFwH4DueCCCwCDp2du5B9frWdAWgxPXDiWQRlNr2Hl2VhB+bvrCFb7iDuqF7FH9trt7jZ/QS2lb64lUFRH1Lh04k/qs8+m7s1LFvL5M//EW1fLYRddwZgTTsWwNKPh/ue2/gAf3RSuKo27Mrx0wP5e1bpkAzw5Prxi+OgLCZkhDpl6CNX+as4ecDb3Tb4vPFX45ywYeR6c/Pf9Oz4R6XohKRAIsO7EkVQFB7J44C380Gs6B6csIGrjIMpywndU9Rk9juFHHEPmwMHEJCa326rHTfF56qkqLqKqpIiqoiIqCrdTXpBPRf52KosKdoYhgNjkVFJ6ZZHSM4vUrD6k9+1PYka31v2B+JmQGWJFyQq+2/Yds3Jnsa48vJdU77jenNDnBI7POp7+if3ZXlHPN2uL+HJVAT9ml+IPmiRHOzh2aDqnjurGpL7J7Tqd1sAMmXjWl1O7IJ/Z6xZQYlQxnv6k+mPBahA1MpXYI3pgT2/mPmv5y8LNs6s/DK9LM/ri8G3kP9smIhQKsvb775j77htUFhXSY8hwjr7qelJaU9HogKpKipn+5D/IW7OS7MxaCg+Kp3/2YCYOn8jpp5+OxWJh9oZibnt7KdWeAH88aQiXHdz09FuoPkDFRxupW1qMo2csiecN3G2TXNMfpOqbbVTPysXitpFwWl/cI1P3et66ygq+eO7fZC+aT9bIMZxw422t2xz4p8s4uBPD02+jL96t16xdrfkfvH0xXPstdB/HxvKNnPnxmdgMG30S+jDttGnh4/5zFDhj4bKP9s+4RGSnLheSAOZcfjhJ84qYdeQt1Bu9ef+g57ilx2rcJUNw1h/OpvlLqS0vA8AdF09a776k9+lHSlYf3LFxON1RONxROKOicLjdWGx2LBYLhsWy2y/uUChIMBAgFAgQDAQI+Hz4vR4CXu/O9566WuoqK6irrKC2opy6ygpqysuoKinGU12127htDicJGZkkZnQjISOThPRMknv0IrlnL1zRkeudCIQCrCtfx+LCxSwuXMyiwkWUe8uxGlZGp43m8B6Hc3iPw0l29uDH7DLmbCzh+40lZBfXAtAnJZrjhqZz7NB0xvRK3C/BCMKrY9cuLKRuUSE1VTXk2EpIC8aTaEZjibYTPSmTmEmZ++xv2SkUgs0zYc6/IXsGOONg/JXhnqO43TdnNU2TTQvnMeft1yjZtpXU3n059ILL6D163AEL2O0lFAoy/8P3mPPu69S4AiwcU0v3+qGcMOgETjvtNCwWC8XVXu54bxkz1hVz5KBU/nbuKFKauPsNoG55MeUfbMT0B4k7shexh/fYbdkF3/YayqdtwJ9bg2tQIgln9seW0Hh1zjRNln/9OTNffQGb08nxv7qF/gdNat1FF6yAT38P236EHgfBSX+HbqNbd66WmP2P8N1t/5cLzljeW/8e9/9wPyf3PZnp2dP54aIfiLZHh7e62TIHfruq/cckIrvpkiFp0QuPEfXY81gHx/BZ+qPkJqzjq0HvcEaSlUOicol1nkVG0tmUbSukaEs2hZs3UbptK6FgsOmTGwYWi4VQKBQuhTeTYbEQFZ9AdHwi0QkJxKWmEZuSRnxqGnGpacSlpBGdmBTxP7qmaVJUV8Sq0lWsKl3FiuIVLCteRl2gDoDuMd0ZmzaWQ7ofwuiUiWwoCPFjdik/bCpleW4FIRPcdisT+yZxSP8UDh+YSv+0mP0WDkJ1fupWlFC3tAjf5nCorHUHcNVbsGKhON6g+KAMSgbGg82CYYDbYqG320n/KCcxP98Qt3QTLHsr/FaZE150cNIN4ak11+63pJuhEBsX/Mi8D9+lMHsDiZndmXL+JQycOCUiFbyObPv6tXz0z0eoLS1jTe8qyjMSuLDPpZx92tlYLBZM0+TVH7by8PQ1xLls/O3cURw5qOlp7GC1j4pPNlG/vARbWhSJZ/XH2XvX626GTGrmbqfqyy1gQsxhPYg9vMdujd8/VbY9l0///TeKNm9i1LEncfilV7V4AdTwE5vh74mv7oa60vD3w+F/gJjUph/bGqYJLxwdXqLglsUA3D3nbr7b9h2PHPoIN3x9Ay8c9wITMyfCd3+DGQ/BH/PD266IyH7TJUNSbW0tG849mOh8D+8eeRPxdSMojSrm6wEvEpXYjd8kz8VhOIiPP4i09ENJTDwYl7MvlQX5eOpq8dXX4aurw1tXh6++jlAwSCgUxAyFMEMhQqEQFosFi82G1WbHarNhsVqx2h3YXS7sDid2pwu7y4nDHUVUfALumNj98oe1pL6EVSXhQLS6dDWrSldRUl8CgNWw0i+hH2PSxjA2bSyZriEUlrlYuq2SeZtLWZ5bSTBkYrMYjOwRz5T+KRzSP4UxvRJxNGehxQgJ+YJ41pRSt7SYkk3lbHQbhJxW+lQFSPSYVNpheqadT7rbWR+377VjMhx2Rtq8nF46iyO3/Y+k/AVgWKDvETDqIhhy6h53qwUDftbMnsn8j9+nfHsuCRmZTDj9XIYdfjQW6y9nrRpvXR3fTX2J5V9+Rp0rwNJBdRw9+HxuOOMGLDu+l9cVVHPL1CWsK6zmtFHd+L+TBpMZ3/TSCvVry6j4cCPBCi/REzOIP6EPFveuZvBAuYfKzzZTv7wES5yD+OOyiBqb3uhyAcGAn+/feo2Fn0wjuUcvTr7ldlKz+rTuousrYMYjsOAFsLvDGxVPvjk83RVJ6z6DqRfAaU/A2MsAOPWDU4khjeK1J1DY7QFuGXML1468FlZOg/euhOu/h4wRkR2HiOxTlwxJAHMunULSgjI40sqtjgc5OuDEadqYmzWN1T2LuMSfyciEJThiCwGw2xNJSJhIQsJ4EuLHERMzBIulfdbyiQRPwMOWqi1kV2STXZnNuvJ1rC5dTVFdEQAGBn3i+zA8ZTiDE4eQaOuLrz6D7EI/K/IqWZFXSVmtDwCbxWBUzwQm9U1iUt9kxmUlNnn3UqQFq7zUrymjcH0ps8tr2OQySAiajC0NMqAmRBBYkgizE+rx9I/hsCEDGRgTRYYzPE7ThBBgArXBIFsryzHXfUb3DR8zOP977GaA9VFZvJ9xAjkDzmRcz/4cmxxHlnvXNJGnpoaVM79i0fSPqCktIbV3XyaecS4DJk5u8aa+Xcn29Wv46Km/UldQzJaMWmxjhvLoxf/AtSNcevxBnpqxkedmZWM1DG44oh/XHdZ3nyt1Q3j/vKqvtlIzJw9LlI3YI3sRMylztyk479YqKv+XjW9bNfbMaOJP7ourf0Kj59uyfAmfP/UYntoaDrv4ynBTd2srniUbwvu/rf4IolLgsNvD07G21i+hsVMoBM8dBv5auGk+WO1UeCo49O1DSa04kuz84+k9/F+MyBzEE0c/AeVb4F+j4Jj74JDb2v78ItJsXTYk/fjc34l74gWSetdx7YjbMUudDHV1I8sXRXbSSmb0/4Bg7CgGlQ7mmMpSevXLxp2yjkAo3NxtsbiIixtJfPzY8FvcGByO/XP3i2ma1PprKakvoaCugILaAvJr8ymsLSS/Np+tVVvZXrMdk/D/I4thoVdsL4YkDSXTNQCXmYWvLoOtxUHWFlSzsbgGXyAEgNViMDA9lhHd4xjRI4ER3eMZnBHb5B+0iF9j0MSXW03N+jIWbS1ndshLXpSVbvUhDikJMLwyPN6iVAeb3BWsLVlKYlI0Z555Jt27d2/8pL5a2Ph1+A/bus/AXwex3WD4WQSGnc28qP58VVbN16VVbKwLL5kwIT6a020B0n74muzvZxLweekxZDgTzjiX3qPGdrmeo9YKBvzM/uBNFkx7l4AlxIb+fq6+8h6m9D505zHbyup49LM1TF9RQPcEN/930mBOHpHZ5Gvoy6uhcno23k2VWBOcxB2bRdSYtJ1VI9M0qV9eTOVnWwhWeHH0jiP2iJ64BiXuce66qkq+eOafZC9eQLeBQzjskqvoPmhI6y88b1F4EcrNs8Ibzk6+Jdzc3ZZpr1UfwruXw1n/Cd+1BszKncVN39yEf/MVeDyDycp6E5JymXnezPA1vng8eCrgxh873tYqIl1Ylw1JJSUlbL3iWGK31ZJ36kCuDNzAiZt/wN7rYPpXO/Ha61mX+iPrUhdSmNwduzGQvgVO+nmryepRR2paOU7bNkzvJqxmEMMwiXZnkRA3goS40STEjyQuZiB2qxurYd3rH4JgKEhtoJYaXw3Vvmpq/OH3Fd4KKjwVlHvLqfBWUO4pp9RTSml9KSX1JXiD3j3OleJOIT0qnSRnN2Is3bAFMvDUJVNWGc/WEh85ZXUEQ7v+v6XHORmUEcfgjFgGpccyKCOW/mkx+z0QNQiUe/CsL6d8Yxkzy2uYE2vgsRmMLQ8ypThAujcc+/yZUcQPS2aNbwszF3+PYRgcfvjhTJo0CdvP17qqK4P1n8OaT2DTtxDwQFQyDD0Dhp8NvQ5u9G6ldeWVvLFsJR/UBCmOjsPlqePI+jJuGDGICQMa2ZFdACjLy+XVx+8huK2IarefwCG9ufWih0mJ2rWy9o/Zpdz/yWrW5FcxLiuRP540mHFZ+/4HhmmaeDdWUPn5Fvx5NdjSo4g/vjeuIbv69Ex/kJr5BdTMziNY4cWeEUXsET1xj0jdbVkB0zRZOfMr5rz9OrXlZQyYMJlDLrycpG57CddNMc3w99aMRyBvYfj7a8J1cNC1e6yd1aRQEJ4+OBx0bpi7c6uRfy/+Ny+seJGqtffhCoE9/kfoPp3pZ06nZ1xPWPgS/O9WuG4mdBvTuusQkRbrsiEJ4IcLJ5GwpJLuh5dz++B/8kVhLNcv+wD3sWeRl+OkpwcsGBRFb2Nd6jzWdiuhKnYwQWsyhlmP3ZeN3bsRS7CYpv7tZjEMLFjCv/x+8tIFzMDeHwTYDBuxjgSirLFEWRNxWeKxE48lFIsZjMXviaOuPobK6ihKa0wq6/27PT7KYSUrOZreyVH0S42hX1o0fVNi6JsaTWw7bf3RXMEqH97sCjwbK9ieU8l3Nj+bYmxEB03GlwUZVRHEZkLQYcExIIG4ISk4ByawPncTX375JRUVFQwfPpxjjz2W+Pgdzb2hIGxfGv6jtelb2DYPzCDEdQ/3Fw0+JRyMrHtOF4aCQbauWMqa2TPYsOAHAl4vCd16YJxwJnMy+vFFeQ0BEw5PjOXqHikckxyHRf9qb9Q3H7/H3A9eIarOpCTJz7BzTufCI3+Fdccf/WDI5N2F23jsq/UUVXs5YVgGd5wwiL6p+75L0ww1bES8hUCpB3vPWOKPzcI5IGFXWAqGqFtaTPV32wgU1WNNchF7RA+ix6VjWHcFYr/Hw8JPP2DBx9MI+LyMPOZEJp9zYesXWDVNyPkhfEfk+s/A5oYxF4cD0942qP25ZW/DB9fBea+G95Lb4ZovrmH5lmxKsm/jmuFRvLAxm+i+/+bRQx/llL6nQH05/H1QeMrvxL+0bvwi0mJdOiTNfvIRkv7zGgnd6vCNG8WtKb9jRX4Vdy98nbPv/zXZ6UP4cWYOVWvKia6DoBGkKHor2+M3sC1hK7kpUdRHD8Fv6wv+WGyeGuyeKpz+Cpz+MmzBKqyGF6jHYviwGCEMQlgsVmwWO1arA4vFDaF4QqE4/H4XPp+DOq+DmlonPr8bQg5oJIIZBsS57CRHO0iJcZISu+N9jJNuCW56J0eRlRxNSoyjQ0wJhXxB/Ntr8OfV4NteizenijX1HlbFW6m3GWTWm4wpD5CwI+N5090kDU7GPTARZ1YcpgXWrVvHd999R0FBAampqZx00kn06d0bSjfCltmQ/R1kzwxPOwBkjoJ+R8OQU8IbzjbyOpihENs3rGPdD7NYN3c2dZUVuKJjGHjwIQw55Ai6Dx628/Ur8vp5M7+UV7aXku/109vt4OruqVyQmUTsz++SE7Zu3corTz+Kdfs2XD6DskyD8aeeyWlHXr4zLNX5ArwwezPPfbcJbyDERRN7cfNR/UmL3fcdaGYwRN2iIqq+zQlPsWXFEXds1m79SGbIxLOmjKoZOfhza7AmOok7qhdRY9N2C0u1FeX88P5bLP/6M2wOJ+NPOYPxp5yJw92GKbPideH94Ja/DUEf9JwUbsAedubep+KCfnjyoHAT+HXf7axwBkIBJr95MJ7CoWT5zuapaw7nsH98R8Kg+zhv6Dn8ceIfw49/5/Lwz8Hv1oG14/ZLinQlXTokbd68mbIbTyMqx0/vo0v4Mu5vPBGXxbaSav469zkOOnQ0SZddimvIEAq2VrFgVi5Fm8qoL/RimAYBi5+CmM0Uxm6mKCaf7YlWKuK7EXAOwO/ohyUUjdsbIspv4vT6sdTWY62rx1JXRyAQwB8MYZgh3PZ6XFYvUQ6TOJeTuKgokmISSI5LJTUunYQoN/Fu+25vsS7bflmxujUaApEvd0coyqsmUFxPlRWyYyxUOS1EBUwGVwSJ2bGqQk2cHXvvODKGJOMakIg1JryWUSgUYu3atXz33XcUFhaSnJjAsWOyGOgswZIzF7bOgZpwcz2x3aDfkdDvqPDdadEpjY8vFGT7ujWs/3EOG+bNoaa8DKvNRt9xExhy6JH0GT0em33vf2T8IZNPiyt4MbeEBVW1RFstXJyZzI290shw6o/TT5WVlfHaqy+Tn7OAuJIqnF6DmiQLo045hVNPuArrjopecbWXf3+zgTfn52C1GJw9tgfXHtqn6cpSIETtwgKqv91GsMqHo08cMRMzcQ1N3rksgGmaeNaVU/X11nBYSnIRd1TPcF/TT8JS2fY85rz1KuvnzcEdF8+ks85n5DEn7vN7oUk1xbBsKix+FUo3hNfbGnFuODD9fK2lhs1qL3oHBh6/89Nry9Zy7ifnUp93Ab8/6AyuP3YYk//0PvWZLzCwVxxvn/p2+MB1n8PU8+HCt2DQia0fs4g0W5cOScFgkFkXn0DKplzcBEg7NoWXXH9mqsVLbU09p2+cxcnrv6Pb6GEkXXYpMUceiWG14q0PkL+hgtx1ZWxeU0xVvgfMcGCpcVRQHJ1Dccw2KqJrKIlxUpLQk9rYAQTsPcGwYgV6uR30czvp6QiQQimJoVzi/RuI8S7HVrcczPCdZYZhIyqqDzExg4mJGULsjvcOx95XHN5fzJBJsNyDv7AOf1EdgcI6fNtrCBTVgQkBoDjWitdqEF8fJNEb/p4JAcXxNoK9Yuk5KJnUAUnY4ne/K8jj8bBi+XLW/vg57rI19HNVMiC6muiqTRj+8KKVxHaD3odA7ymQdQgk99tr06q3ro6cFUvJXrKQzUsWUFtRjs3uoPfocQycNIW+YyfgjGp55WBpVR0v5BbzQVE5NsPgosxkft0rjW6uVmyw2kXV1dUxbdo0Nqxfh+EoxLI5j+gaA2+shUHHHsOpp12Lwx1eFmBLSS3Pz87mvUW5+IMhjh+awa8O78uYXvveTsf0h6iZn7+zH8lwWnGPSCF6bBqO3vEYFiMcltaUUfVNDv68GiyxdqLHpRM9PgNbyq5lCQo2rmfWmy+zbdVy4lLTmXzuRQyecnjb9nZsmIpb9Ep45faAJ3y7/pjLYOS5YI+Cf4+F2Ay45uvdvo/fXP0mjy54lJqNdzDz1rPISo7mzpdm8GHZe7hT5vDjxT/isrnClah/DIasyXD+a60fq4g0W5cOSQAfvv8+6f99mITsOuKz6rGPvY0X4sexKtHO99mlOA2TYwuWc8byz+id4CTu5JOJO/EEnIMH7wwpfl+QkpxqirZWs31zGXnZZXjLdr0+dfZqSqJyKYvKp9bppcrtoDIxmbrE3pRG98Bj7P4H1WkYpDkgzVpPMuUkhLYT699EXCCbZEpJopQkm4342MHExA4hNmYYMbFDiI7qi2FEfton5AsSKKknUFxHoLgef/Guj01/aNeBDgv1Tgv4Qri94c+HgK3RFoqSHdh6xJDVN4mh/ZJxuH/2B8c0oTqfspVfU7LsK2xFy8k083ETblA3bS6MjBHhabPuY6HnBEjss9dQZIZCFOdsYevyJWxeuoi8tasIBYM4o6LJGjmGARMn03fM+LZNqfzE1nov/95ayNsFZVgwuCAziV9npdNTYQkIV3MWLFjAl19+idVmISqtjpIFi0kosxBwQMbksZx+zk0kpIb3wSuu9vLy3M289sNWqjwBDuqdyOWTe3P8sAzs1r2vyWWGTLybK6lbXET9ihJMXxBropOYg7sRPSEDi8u2s7JUOy8fz7oyCIGzbzzREzJwD0vBsIcXw9y6bDGzpr5C8ZZsYpNTGXfy6Yw46ri2f8/UV8CKd2HJa+Etb6zOcGDKWwiXfhiuhv7EzR9ew6ySFcQX3sfsu8IVojnrC7n8nVdw93yNV098lTFpO5q1P/sDLHwxPOW2v/eaE/kF6vIhqbq6mrfuvZdh+d+SuMRL4qQAgYz/8Hmag+KeUWyu9jFzUwn+oMkU73Ymr/qO8fmrSeqWStxxxxN7wvG4hg7do6rjrQ9QmltDcU41OZsLKcipwFsCRij8Cz5EkEp3MeXuAmrt1fjsQUJxTszUZKypvQjF96LM6iTf66fA68f/s9fbTpBEo4pEs5BEs4REykgxKsl0uekRnUKvuCyyEgeTFtMf6z76E8xgiGC1n2Cll2CVl2Clj2C5h2CFl0Cll2C5l1Dt7s3ghtMKVgMzaIJ31yrkQSAn2sKaOAtFyU5ie8UxuF8ik9Liibf/JBT5PeE+osKVULACf+4SKFiB3V+14zwWqt09sfU6iOgBh2B0HwtpQ/fZZ9EQiratWsG21SvIW7MST20NAKm9etN7zHj6jh5P5sDBbasINCGn3suTOUVMzS/DxOTs9CRu7pXGgOjOu7ltJBUXFzNt2jTy8/MZPmo49VEFrPryc5JzTTAMYkf05aSzr6XnoOEYhkGNN8Bb83N4ee4WcsvryYhzcfHEXlw4sVeTW52EfEE8q0qpmV+Ab3MlhtNK9MQMYiZ3x5YQfmyw0kvtokJqFxQQLPdiuG1EjU4lenwG9m7RYJpsXrqIBR+/T+6alTijoxl17EmMPfG0iGwWTf7ycFha/jZ0HweXTNsj+B/64iRK6rK4tO+93HloP+rXlOEYm8bI+9/GPuBRbhv9G64adU344O1L4fnD4eTH4KCr2z4+EdmnLh+SANauXcuG22+lj3cztu3AEUcSE3MxAKvjLMyJM1jq97OxvJ5aXxALJsN8ZYzbNJ+D8lfTz6gjeswY3GPGEDV2DK7hw7G491xVOBgMUVlYT0leNVu3FJCXU0J1gR+jxo5h/qSR1F5BpbuYOkc1hjNIdIKL5O6ppPTriTsliwrTTb7Xz3avn3yPl+2eegp8Qbzmnv/CduIhkToSCZFsWknxu0mpd5NQFyKhOkBipZ9kn0mSzyTeZ2IFsBgYDsuOKYrwVAaBXRUjv80gL9rK2ijYHG1hS7SFYIqLzMxYxifFclhSLD0NH5RvhrLsn7xthrLNmFV5GDtu8Qtgo5BkCkilLrYfScOOou/k03DH7ftfwX6fl8KNG8hbt5q8tavYvn4t3rrwNFxCeiY9hg6n59AR9Bw2ktjkxnuT2lOux8czOUW8mV+KJ2RyUmo8t2SlMypW20YEAgFmzpzJ999/T3x8PCeefCIba1Yw86M3SNngwx60YCTHMO7okxl31MnEJCYRDJnMWFvEKz9sYfaGEhxWCyePzOT8g3oysU/T2/X4cqupnp1H/YpiwCBqVCrREzNw9IoLf5+HTLybKqhdWEj9qhIImNgzookan07U6FSsMQ7yN6xjwSfvs2H+D1gsVvqNn8CIo44na+Toti8mGvQDxh53XW7NX88pX56Np/Ak3jjnDvrM2I53QwVJFw3mV7OXs8RxHwcn9eE/Z78afoBphpcQcMbCNV+1bUwi0qRfREgC+PStt3B9/C96rCrDFhVk4bgHSbRmkuGykmCABSi3mnzvMlkYCrDO5yd3x15uMcCgYIBB9TUMrq9iUH0lGdF2bElx2NKSsGWm4+yViSUpHovVAlYjvAWJ1QADgv4gVaUeSotrySkoYnt+BTWVfsx6O/bA7hWIWnsltc5ygs56HM4Q8U4bafZoupsJxPkTKcdKidWkKKaG4uh6it0BSp0GFTYnVZYoKi1RVFgdBBtZG8himiT6TFK8JikBSAiB2wz3cpQQothhUOiyEIq2MjbazhG2KsabZQz0FxNdkwcV28Kr/5ZlQ03Bbuc2o1Kod2dQaiaQW2cnz+OikFRc3YczZNgIBg8eTFJS48HIU1tDSc4WinO2ULx1M8VbN1O0OZtQMLx8QnKPXnQbNIQeg4fRY+gI4lLaaT+tVij2+Xkht4SX8oqpCoQ4IjGWm7PSmJKw//a366hycnL4+OOPKSkpYeTIkRx33HEsKVrI/6a/iLkyn/RyF6YBGcOHctDRp9F37HjsThcbi2p47YctTFucR7U3QFZyFOeN78nZY3uQEb/vil2g3EPNnO3Uzi8IT8XFO3GPSiFqVBr2btEYhhHeD3B5MbULC/Hn1oABzj7xuIYl4x6WTHV9Kcu+ms7qWTOor64iNjmVYUcczfAjjiU+LT2ir9Gz7zzAU/XvQt6N/HDaOVS8vhYMsKW4+XZKMg/Mv5vEmA38cNW8XQ+a8y/46h749eJwn56ItJtfTEjy+Xx8dOut9HR9T/x0P1EDPdQMHs7K4IVU1mXQPcpKt1QXIYuJ4QkS7wlRHQqxgACrCLKGIBsJb48BkGIaDDWsDCX8NhgrUU2uprQnv2lSHQySZ1RTZNRTFQrgC9ow/G6cgd3v/PFZPNQ7Kwja67Ba/bitkGDYSCOKbqF4koOxWKJM/K46ilyVbHXWk+O2k+Nysd2VQIEzhhKLDa8RxARChgWfYd9r30+Cv4o0XynpvlLSfWWk4SXVZiHJHU1SdDw2nNRUB8nPryI/vwTTNHE4HPTp04f+/fszaNAg4uLidp4vGAhQnp9HSc4WSrZtpXjrZkq2baWquGjnMa6YWFJ79Saj/0C6Dx5Kt4FDcMfGNTa8DqUqEOSVvBKezy2m2BdgZKybm3qlcXJKArYOepfi/hAIBJg1axbff/89LpeLE088keHDh7O5cjNvznmBzXN/oPc2J1FeG4bdRr9xExg6+Qh6jxlHABufrczn7QXbmLe5DIsBhw1M5Zgh6RwxKJUeiXuv2oW8ATyry6hbVoxnfTmETGwpbtwjU3APS9kZmPwFtdQtL6Z+VSmBwvCmz/buMbiHJuPoH0vO9pWsmPEVW5YvAdOk++ChDDr4UAZOOqTN03FmKMQVfzuBRelFHGN/lju2GoSqfTvXWTNO7cMR3z+NK+MTPj35Y3ql7NiPriofHh8Kh/4ejrqrTWMQkX37xYQkgNzcXFZddzkDY7LxLHdhiQkSPagWo0d3lntOZ7N3IiYW4lxVZKUXkZBWT7XLQr7fSbHHgS/opNTjosznoNBvZavPpGjHNJUF6IlBf9Ogn2lhgGFjgGEjGQOj0fBkgoXwLco2C4bdimGz7HgzwGJQ7w9R5Kllq6+MIl81VQE/waAVW8CN2xeHLbR707DHWovHUY3PUYVpr8ZuqyTOVkKGUcggM4dBwRzcO+6qa+A3rBTbkyhzZ1Ce0IeymF6UR3ejzJ1GsSOJIlschbgoCBoU+gL49/Jt4cYk1W6lm9tFsmES663HWVuFvbIMo6wYCvMJFeTiqq7A7anHarWQmNmdlF69SenZh4TMLOJSu2NzxOKvD+LzBPB5gvjqA+GP64P4fUGC/hABf/h9+OMQoaC54y1EKGRihkwMi4FhGBgWA4vFwGI1sNoMrHYrVpsFm92C1W7B4bLijLLhjLLjjLLhcNtwxzhwx9pxxzqwO1s2zeIJhnivsJync4rIrveS5XJwfa80zs9IImofDcldXWFhIR9//DF5eXn06tWLyZMnM3DgQKp8Vby77l1mzJ1GdHYdvQuicfksWB0O+o+bSP8JB9Nn9Hjy60zeWbiNj5ZuJ6+iHoABaTEcMSiVIwelMaFPEra9vL6hOj/1K0upW1aEN7sSTLAmOHEPT8E9LBlHVnhKzl9Sj2dVCfUrS/FtqwbAEm3HNSCBUDcr2flLWbPwO0pytmAYFnoOG8GgyYfSf/ykVi1QmbNyOZd8fT0lrgT+6/wzPdZVhp9vaBJ1CwqxxDq4yLWC0pR/8du0K7nyxN/uevBrZ4b7/m5Z1uiK8iISGb+okAQw9+23qZ71FANdWzB/tOMtdWB1B7EMCBI7thfFoXFsKe9Lbk0/gqYdp1FNd8dKMh1ryHSsIcW2Gauxq5m53IxhaagfC8zBrKQP64M9KDB3TSvFGPV0s5bT3VpKd0sJPYxisiigu1GMGx9WM4jNDGEliGEBiwEGJhYjhAUTmxnAGfLiCu0ebkwTSs1EtpBJntmN0lAqNaFkvMEkgoEkbP4EXP5YDHb9Ag0afjyuCoKuCgx3GVZ3Pq7oQqJjS0hPT6B3r1GkpUzB7RpJebmHwsJCCgsLKSgooLCwEK/Ph89qw5KQSGxaOkZUNF4DSjw+inx+SoJQYXVQExVDrTsGv6PxO78spkmMH6K9JlF1QaLrQsR4QsTUh4jxmMTWh4jyhoj2mrh8JgZgsRjYXVas9h0Bx2bB5rDuCD8WLFZjx5slvOi5Gb4TygyZhEK7QlRgR7gKBsIf++oDBHyhRscJYLNbcMc6iE5wEp/mJj7VveN9FPGpblzRjTebB02TL0oqeTKniMVVdSTYrFyUmcyVPVJ+sXfEhUIhFi5cyJw5c6isrCQpKYmDDz6YUaNGYbPbWFS4iA/Xf8Cyxd/RLddGn6JYHF6w2Kz0GjaK/gcdTN9xEygMOJi5rpiZ64qZv7kMXzBEcrSDk0ZkctrobozrlbjXNcaCtX48q0upX1WKZ0M5BM1wMBmUiGtIEq4BiVhcNoLVPjwbK/CuK8OzoWLnzQ32zGhC6Ra212xkxcpvKM3fBoZBtwGD6Td+Iv3GTSSpe499TrUGAwGWf/0Zs99/gxcmryWu9CimlpyJYTVI/81YbEkuCh5bSLDMy+y+Lh62X8ekur68cNP7u06y/B2Ydi2MuwISssCdAO5EcCWE1w+LSQ9vn/IL3phZJBJ+cSEpFArx5ZVXYS3JofB0JyOK1xA1w0Yg147FHsKaESQ3MYWi/odhTxxOwJtCTYWDuppw2LDZIT3DJCXZR3x0HTHuKuy2UiwUE/RXE/LXU+UJsKk2iuz6ODZ7E8kJJLHNn0hZaNf0mYUQqdYq0m2VpNkqSLNVhv/bUkES1VhCIQgEIQiG38Twh7D4Qlh9QWzeIE7TRpThINrqwu5OwBPVjWpbJpVGOlXBOKq9DkprglRZK/HYawhY/OFAgQ2H343LG4MrEL3ba2MSwmOvweeoIuCoJmCrJWAEwTRwhMDlCeGo9GHxWzCMaAxLFIYRjcUWjWFxY+KAnzSXByxQ7zCoc1qocxp4o61442x4YmzUR1mpcRlU2w0qrCYVhkmgkb8rViDJbiPJbiPFYSN155udFIeNNIedtB3vk+027K2Y2goGQnjrAnjr/HjrAnhq/dRX+6iv3vG+xk9NuYfKonpqynffU88VYychLYqEdDcJ6VEkpkeT1D2a+BT3zrV75lfW8kJuCdNLKjBNODE1nmt6pDIpPvoX2bcUDAZZs2YNc+fOZfv27bjdbsaOHcvo0aNJTU2l1l/LF1u+YPrGT9m6bjk9Clz0LYojqjb8WiV170HPoSPoMWQ4Sf2HsLg4xCfLt/PNmkI8/hDdE9ycMiqTowenM6pnPM69rJYe8gbwrC2nfk0pnnXlmPUBsBrh/qRBSTj7xWPPCP+M+LfX4NlQjndDBd6tVRA0wWZgpDuoMIvYvH0Zm7YsImD6SMjIpM/o8WSNHE2PISN2rs9lmiabFs1n1hsvUb49F+uYXryYOZt7Nz3AJF8KSRcPocRqMO+jbE68cAAVz67AZzU4vftfiAuU89UVnxIVt2N7Hl8tvHgclKwPr/jdGMMC0akQkwYZo2D8VeHlNX6B33MirfWLC0kANTU1LH71VSq+mUF5uh3L5HL61Kwn+WuwrLcR8lnAYlKf6GCTO46y6ChqXAlY7N2x2Htgc/QAIxHYdaeKYZg4ow1c0VbcMTai4h1EJ7iIjnfhinHijHYQtFsp8PnJrfGSW+Vha0U9W0pr2VxSS51vV3XKYbXQMym89UjPaCvdbEG64SHDX01mXSn2ijICpWX4y0vxlVXgryjHW1WFx+vHZ9jw21wEbE68dhfVsSnURSfidcXgd0YRtDswLXZMiw2/YeKz+AhYQoRMMEwDC1asIQf2oAu3PwZ3IHaP189rrcdrq8Vv82I6gticBg63nZhoNwmxsaQlJZOZmkZcghtXjB1XdPjN5tj7v2pN06Q8EKTQ66fIF6DE56fMH6TUHwi/+QKU+AMU+/wU+wLUBPes/hiEA1Wqw0baz4JUqsNG+k8CVaLd2qp92QK+IFUlHiqL66gorKeiuI7KwjoqCuuordz1x8rmtJLcLZrkHjGkdI8hNSsWb4qT14vKeH17KeWBIAOjXJyfmcS56Ymk/QJX8jZNk5yc/2/vzaMtOe77vs+vqnq5+3tv3uyDwUKCIEGIIkhKpLVYlizbsqIjyYmsSLZCW5HtHCeO7ch2LOs4x3ZsxfGJt+RI0bEPLVtxHCmObMuMIi+ySB3KXCCSEAiQAAhimwFmn7fetbtryR/V9777Zt4AAxDADIj+zKmp3rtu1+vub//qV786y6c+9SmeeuopQgicPHmS9773vbz73e+m3W6zOdvk42c/zq89/+958isPc/xSysmtDke2MnTd9rty/AR3f/37OfbAgzwR1vmVL13lN79yFesDmVG8/85VPnTPIT50z6EbiqbgAuXZXaZPbjJ7YjMGTAVU25DdPSB72wrZ2waYw22C9RTP7UTB9PQW1aUYXBUB23FcLc9z9uIXuTw+y8Tvcvzed3L6ga/n3JNf4oUvPcrqiVN824/8KL/qHuOTn/8V/vaZP0frPeus/fA7+aX/+XNcPjPkW37wXu7YnjH5rYv8/f5D/Pvj/yc/ceb38gf/0v9IkuXLFxGqaRyqZ7odx3ibXIXR5RipfnQJhpdi5PpyBMffG0MHPPADNx4+paGhYcFbUiQt89gzF/jo//6z5INNjr7taY4OnuH4YyX9z0J5JqUaRyHkE0V5ZI3RsZNMDh1i2Gmx6wzFrqOYGEQNEOmB2rOwiLo+TMB1BEeQkrF4tpRnS8OWErYVbGlhWwnVNS/z3Ad6HnpeYgqKnld0gtDxQicIrRAH733JUwePYCFU4C0SPEGEoBJAIwbov4gdPEvV2qIMGlu18NM+MltBl33Sqk9e9dDh+thEs2RM1RrjOxWm78kHht5qzspal8Prqxw/cpjjK0dpJ/sf1n42w21vX5N2cLu7+NEIPxoxmU65UjmuiGLTpFxNMzbSnI28xUa7y1any0anx2a3T3FAs59xlrXxiJXphEFZsFIVrFjLSrCsEzhsFOtpytF2ztFum97aKsmJEyRHjiA3aEYsZ5btSxOuvjhi48URG+dGXH1xRDGJvfSUEtZOdlg73ePFVc1/MBW/YUowwnes9fmh42v8nkN90regj8lwOOSxxx7jkUce4fLly2itue+++3j/+9/P3XffjVKKnWKHT577JA9ffpjPX/gcW2df4NhmxonNNsc3WigX0GnK6Xe/h+PvfpDL7RN8YZTzmee3eeLiLiFAahTvvWOFb7xrjW+4e43337lKN7v+b9duFxTPbFM8u0PxzDZuO1oQJTekp3tkp3ukd/ZJ7+hBgPKFIcWZXcozu5Rnh4T6o8dpx7a7zPmtpyER3vbOb2C1d4xye8IP53+Zv37uj3G3OsWpn/wgF88O+Vd/+2G0UfQO5fzQX/4GLv7UQ2wUE/7oO/4C3/nQOh+885v4vj//l1/5UCrFMMZq+q2PwJUnIB/Au/9TOPUBOPYeOPxOMG/NZuCGhpfiLS+SACrn+dlff5LPfeLXuE+fZ+XIRU6tPcEd5gLHH69InhPs1YTpZkKxnRB8FB8+EaYrbXbX1tg+dge7h48zWV2BJEUIBAc4BVYRnAIX8+BUjJvkFXhd5yp2aglCqIdACUHwBMYEdkSxK8KuCEMlDFGMRRiKopDrX6pCoKeFtVxxtJtzcrXDHes9jq/knFrrcGq9zbHVnDyJEYrDdIofj/HjMbOtIbsXR+xcHrN9tWB327I7giLdxBz5Cp2jj9M+8mWUKQleMbp4B1tn7mB8YZVyaqh0wOkMr9uIDNCskrlVUn+9aCz0lGmyQ6V2CGEbbbfJim1a0206k136411WhrusjC2dGYgx6G4XNU/tNpKlSJqi0hRJMyRJQCtEaYJWTJOUq1mLDZNy1SRcSVKuJhlX04wtnbBtEraTjJ0sZ5gfLGzzYhbLMRqyUs5Y845DWjjSyjk66HF0/RDHTxzj+LGjrGUJej5ifQiMtgqunBly6cwuV87scvnMcCGcRIFdy3i2B8/3FaPDKe+/b53vv+MQHxx0XpW1681MCIGLFy/yyCOP8OijjzKdTllbW+N973sfDz74IJ3OXhPx1myLhy8/zGcvfpZPPPcbuBc2OHWlzT0bA/Jh3aHCGA6fvpuVO+5i2DnKs6zx0G7Oly6McD6gBO4/0ed9p1d53+lVHjy9wum19nXNoHZzRvHsDuXZXcqzu/usR2a9RXKiS3qiQ3K8iznWwY+retsh5Qu72MvR2VwShVrJ+Ofpf6Q/Kvk9O7+DtT/8Ttpfd5hf/dlHeeHxK2j9CMXsQb73T7+XQ5Vl8xe+zE8f+SWePnyJ3/ErI+794DfxPX/mL6L0q/A3mg+f8tmPxLHg5kMA6RSOvAuOfh2s3AG949A/Cf3j0D8RfZ3eYn+LDQ3QiKR9bE9Kfvnhs3z6U5/kxPgrpHnBqbUnOdw9Q6+3S39q6W1YWk+DXNG4LU2xlTDbNlEE1fhuxuTQGjtrx5isDJj1ehR1sllGIL4MvPf7cmMMSZLsy/M8p91u02q1FinPc/I8J8sy8jwnqIStmePqqOTKsODKqODKsODS7oyLuwUXd6Zc2JkxnNnrfvN6N+VoP2etk7LaTlnrpKy0E1bbKevdjPVuyuFexnqmaNkZ1dUtds9eZnT2HKOLj1JuPk6YnEGXO6gJsJvCboYaCcl0hgp7zYilztjuD9gcrLDbXWHcWmWW9bHJCqgBmhVS10dx/cO/0BOmyZDSjHDJBEkLksyStYVuL2VwqMvho4c4cuwE62unONRev85CdTNYH9isbGz2G0+4tDPk4mjMlfGUjemMjdKyEWBTG7ayFtUB0b2V96xUBYeC53BiONJpcWRthcN5Fv2qEkM+ccjlGe78lOELI668MGJcWyu8wJW+ZutIyql7BnzbA0f4hnvW0G+x3nFVVfHEE0/wuc99jrNnz6KU4l3vehf3338/b3/728myvYjcIQSe2nqKj7/wcT7+wsd54exTrO+kHN7NOTVZobcFUkePN1nGkXvuJRy+i/PZUb4wG/D5y+WiyXu9m/LeO1b4upMrvOfUgAdODjjc2x/9288s5QvDaDk6P6Y6P1pYmwD0ICU52SM92SU51cUcauG2Zsy+ssXWwxdIRp4KR/bgMY7/4H3sXJ7yz/7Kp7HTh7DFb5Gv/AlOv/sY3/OnHuTc3/kcGxtb/PF7f5K/WPxXnPvEv+Xeb/lmvutP/tkYj+3V4j1sPhOHTpmny0/A+PL122YDWLsrDhW0djes3gWDO6KA6p+IA/s2Iqrha5BGJN2AJy7s8C9+7ZPYZz8DQVDacrr3NMc6z5Gs7cCaRblAa+LonvO0nwnkl0BPwI415a6h2E0Ibv+DQ9IUfegQZm0Nc+QI5thRkhMnSU6cwBxex6wfxhxeR3VfeTDC4BzBOagqgrUxzWb4oiQUM0ajKee3p1zYnkbxNC65NHFcmgW2K9h2ip2gGcnBpvzMlgzKEYNizKAYsVJPr1QT1lTFqp7SV9t09EW62S70wHcOUyV3ManuZWv3bQyLNpXu4JeGIDGpotvTdNrQSioUU2Z2h2k5ZFrNmFWWwgrWpXjfQnwX43uYcH1gQSslk3SXaTKiMCO8GoOeYUxFnju6XcPqapejR9c5duwuVtdOMhgcoZ8PSNQra8IIIbBTVlw4d4GL5y9w8coGl7Z2uDyZcKV0bCjNVn/AVm/Adm/ALDt4mI2WUqwlmjWt6VpIRxa3WZBcLemNHe0ikNpAu5/yjpM93nfPKsfuXmFwODqHvxW4fPkyn//85xfWJa01b3vb23jnO9/Jfffdt8/CBHB5cplHrzzKY1cf44tXv8gXr3wRNSw5vJ1yatjn1G6P7GoVLStAd22d9vHTjLtHeUHWeGTa5vFdTaibrI8Pch44OeCdx3q842iP+471uHu9s2+cOT+pFoKpPDeiOjfCXp3uK1dQ8Ln0DJ9Y/U0+/L1/gQfvfjshBP753/gVrryYccc7vsiDv+87+eW/82/Q2Qf4Q3/tG2lPPJf/waNcNBt8ZPXj/OTVP8BTW5/FPDjg2/7Yj706i9JLYUsYXohp9xzsnIPtMzGi/tZzsH0W/DUfXGk3Wp8Gp6IlanAaVk7H6f6J6ECedg4+X0PDbUwjkl4G7wO//exFPvmZhxieeYyqtCDCYXOJu7pfYbV9ibJXYFc8kgUIgXziGVyoWLli6W17zDTgpppqrKkmmnJosBONnSlcqSDc4EWnVPw6UyoKpnmCuo97INQ59nor0StBWi10r4fq9wj9Fcb9NXa6a2y1+mxnXTaTDpuSsaUytkjY8oqtEjZnjsJe70StBPqZpZsO6egNuumQfjpjvbfCeucYq/lp2v4oaRkwU4cMLdVWwWi7ZLp7fW8dUUK7H7vidwYpnUFKZhzKlVSzXUbTHcbjEaNJyXQaKEuNswm4Ftp1DrROTZIhUzNkloyZmRGVHuPVBFEztClIUk87h243ZdDvc2hwlLWVExxaP8WhQ6dYzVdpm+ubZub42YzyzFnKZ55m9tRTbD/9LBfOn+fqtGCzN2Cn12f30GFGd5xm98hRdlZW2Wl32dCGq5Wl8Affg8oHWmVMfaViz792yolBxsmVFkeypHZej/mqMV8zAS2dc5w9e5Ynn3ySJ598kp2dHQCOHz/OXXfdxV133cXp06dpXTNskPOO53ae49Grj/LI5Ud45MojvLDxPId2Ug7v5JyerrK2m2K2i0UwR5NmZOvHKLuHuWIGPFN2eHqWs6n7WJWQaOGe9S73HO5w56EOd6+3uetQh7vXOxzuZTG698xGwXR+xGbl+COf/3/ZOPIP+PB9/zV/4UN/khACH/snP88TnznOanaJD+58jOJLX6L44LfxaftdDNYv8Yf/xh+ifH6XJ3/+IQ7NWjzRHfLOURfvHTM/iZHYZJ4UQWIMNjSx2TlR6NWMQx+6h84DR1Ev0YHi5irBRvG0ew52z8e0EFQvxsj8B1mjkja012OIgs5h6B2F7rG9vHs0hjForUafKX29lbah4Y2mEUmvkM2tbT726c9z5iuPM9reZH6dBmGLe7OnOdI7T9rdYafvmXYTksQjPtCaOToThxk6spEnLxzt0tOpPLoEO4uiqZpqZtMW5ayFm2X40uBLwZeeUFpCWS6+fl8SpZAkQfIMleVInqNaLVS7jep0UL0eutdFDwaoXh+VZzGmihJE6frhmqCyDEnTOmVImsTpJEGlKSQJk6DYnHmuTi1Xp46rU8vliWVzatkcV2yMJ1zd3WVzXLFbaAIHNxEkWlhtp6y2E3qJoWMULVHkQchcIKkCpvDoiYORRU8crSAksAjYaRJFe5DS7qe0eimtfkqrm5BkBqUCbjJiuH2VnZ1tdndmzKaeqlT4KiG4DOXyfbGl5ngcs2TM1IyYJSOmyYiZiSnoSbRWJRVp5mnnhn63xaC9ykr3MCuDo6ytnWStd5i1bI1eqVHPnGH21FMUX36K2ZefpHjqK4RpbXVIErJ778W/5z2M3/0A43vezuj4CV7w8JmtEY9fGbEzLNEuoEJ0e5ulwiRVWHOwGBoYzWqiWTWG1USzlhhW6vmVRLOaGNYSzXpiWE8T1hJ92zuQz/2XvvzlL/P888/zwgsv4OqhhI4dO8bJkyc5duwYR48e5ejRo/ua5wC2Z9s8evVRvnj1izyx+QRPbDzBxu5lVocJq8OU47MBR6YdOrvAcH/oB93pYztr7CQDLtHlrG2zoQfsJH1KldHPDfcd69Wpzx2rLX7yXz3McP1vcmqlz0f/wL/EiOZjH/kZzv7aRSaHfjff+NmfIvFbXF1b5cSFizx2/4+xtfJ23vPA03zTn/1xhuMRP/czf5/v3/xWaKf4XklZTgkuEKwHH8CFODC1D3FEah87cGS6Q6JSHA53BFY/dBf9rz+JvkGcr6+aaloLprNRRE2uwrhOk6t1z7vLMXGD51nWj75Q7bUY86mzHvN5una+tdrEhWp4zWlE0leBc44LFy7wxFNP8/hTT7N95SKhHm9MBccqW9ytn+dY+wLtfAfdmrLVMey0MnwKJvEo8RgbyAtPZ+JojS3ZOAqqwczte107YCdrM9Z9Snp4+gQ6SOii6CK6h9E9NG2MN4RZEZ2xJ5OFU/Zivk6LF/PrhTGI1ojWoDWIEARccHgcLjicgFUaJwanM6xkODF4DzYE5s//PYObEIjH8aIIKjppL5IojPdo51F1rkNAxCCiEZUQlEalKSYRtAKjQauAUuCTHJu2cUkHa3KqkDALhpk3zEJCKTml5FjVwd+oB2Nw4MdIGIMfIX4I8xRGKD/C+Ampm5GEgjyUpMGjPRgb0IVFjWeY0sVlHpKsTdodkA4GhF6frbzFRdFcnpW4maNVBjoVZF5wDkqjqIzCZwpJFT5V834ElCFQhEAZiHWC4EUIInil8CIkxpBrRa41uZKYayFXigzIBDIgJZABudGkxtTjFsY6WbwA51bPeQ0urKJ1pRqNGIOYJIp7Y0ArcI5gXbyv6uZkUSp6vIvUoj7OewI7u0M2trbY3NpiZ3cHW1WLc7Y7HQaDFVYPHWJtfZ3+ygo6MaB0jHyvNCM35tz4Ai9OznF+dJ4LowtcGl0kWEe70OSFZtX3GLg2rdKgJg4/KZBQN8wFUGlOlfcZ6jZXQotNaTM0PVZaj3G0eIZvVveRbo1xG5t0t4Z8/gN/lV7YQrc/x3MnT3Lv/ffzlU99ig/OBnyx+ibe9cTPc2xli/Vv/Z38ln+BT119lO+ffJjj6bHocC3x98tSB44QPAQP3sW/xRBwOjC2uyTktEwPROGUx7cC0jek6x1ax1fIDvXRvQTdTVGdBNVOEP06WSKdhfGVOBbk6HIMYzDbjqEM5iENppu1uNqAySaUwxsfL+1FK1RrJeZZH7JubOpLu3Fg4LQTrVqLvA1JJ85n3XiMrAsmb/ysGhqR9FrivefKlSucO3eOF198kTNnX2Rz42p8YNWkYunLiH7Y5VDY4LC5QjvbJc8m6GxGlQW28oSdPCEkQttbOqWjNfPkM0deeLLSk1QeUwXSyqMPqKpSCTtGs52m7KQthlmPcXuVsnuU0L8D07+DrH+KXusIKyEnJyVVhkwSMhISNMZDKAp8WRLKklCUhKqerqqYz6eXX2TWEWwFzkcfKWfr9S46i4YQr4kPBOeoii3KyUWK6WWq6UZsOgygdIvUrGDMAK36eFIq57HW17nDWoezFldZvHV4a/HOYQOUQfCoxcvfeEfiLcY7Mu9IgkOohZYoAqr2QREUAR0Cqk5CbELUUkdFVxIje2uNTbrYtEuVdGLSLSrdolQtSj1PHSrTxZobO5OLL9F2jHZDtB1j7Ahthxg7RrsJ2k4WeWInGDtBuykaX78oNV4UDo0E0B5SBxqFeKJHeD1MjlKCUqC0IAqQKGeCdwTvCW7+kvV1r8tQdziIEjUKKRVFVX19lQ+o4NHBx2nvowaS2s5XCyOpny37cuduzkL6NYJNDL7T5sq9v5cvd74ddc8LbFYv8uEPf5iTJ0/yC7/wCzzz9DOcnn4b7up53vPZv0mncoi/cXR4IFpSgr/paxnm+yiDqPgRMf9bivVVi9Hgqbvr1tM+WquNRrSJH0Mmjr8niamXxw8j0boWwcne9Nzic1A558Jk/veiZJ+QhYBgkWAhlEiokFCCnYEtoCoItliajj6a2Aq8i3/DOqA0iAqIDoiai/llUSSQpqg0Q7IcyTIkb0VR7m39IRSPKUogayN5G8k6SB4TrT6S96A1qPM+SEIQA6Lr3ICq06JiQp0FFjcexI+CxYdIvM5xWJprxJwQr3f98TGvn2uP/4pQqj7vUt0qtXAL+VoNjNuIpNcZ5xxbW1tcvXp1kTY2NtjZ2WE4HHLtdU40dLSlw5R+2GHgNliTy3TSXdJ0ikkLVFJhDQwTw65JKLVCE8icp+UcLetoVY689GRFnUqPOqBKKyVMEsXUCFOtmBjFWAtjrRlqHbvI64wt3WJLdRiqLl5yCDmBFkgLkS5ar5CqVTpJn07SpW06aElRGASDkKBJMCpFK1l0bVciGC0YpTBaSJQnDU9j7BdQ1ReQ6gtIqL8c1Tqk9yPpuyF9NyTvRFQHowQt8cU/P7YI+BCYFo5hYRnOKsaFZTiz7EwrdqYVW5OK0axiUjrGpWNSWiaFY1xabuAOFIsBtBAyhMxB5iEPQh725y2EXmZYaSWsdFIO9TK6nQSTabSOQ6kA+KLAT2ZU4ynVuGAyLJnMLLNSqJzBh5eOX+OkxKppHU5hxDiLPlbTZMQsGVPqKaWeUZpZzPUMpyoQ0C4hdTmpzWmFDoN8wGpnhcODVY6srXP88Dor3QGdpEM36dJJOijVoiRj5OPAvjvWsW0dW5Vls4r5RmXZrCxD6xlax9A5htZTvcRzJQmeNQkcAtYkMFBCN0vppCm9LKZ+YhgYxUAr+koYaE1fCX0lGEIUdXPRdcBDOzjH7vY25188x/kXX+DC+fNsXrkC3iMh0O/2OHJ4nfVDhxgMBvR6Pbq9HnledxLwIYp+7/HWsjm5wtXpBleLTa4UG1ydbXB5doVLk0tsTTYxDjpTTXeqkSznT/+Bn+LO93wQ024TQuAX//pDbG1tc3Xlt/iBH/oBfmP6G3zs7Mf48ff+OA9/9GHKCx2yjTs5fd+zPP/Iv4PhkE6WcCG9xHT2NqQ8jHElqS9JfEXqqyghlInWWZ3gdELS7nDnsUO8fZBzdLfEvjgkTMv6Re8IwRKUxYeK4C3eWYK3sTOI94BES6wyKJWidYpC1VYqT1hYrKKACsGBCqCiABEJxLd9LbDwtfiRWvzMLYshvu/nwiDUdep9/AALfu/ja945xbkogkKIL+prfTkXoqJ+qRMIZUEoK3xREKr4cdfwGqBUrdfmQnd5pexZkJeF1Y18bxep3t3H+zr4+PEmWcY7PvkfX/ef1IikW4hzjtFoxO7uLjs7O4u0vb29mJ7NZgfum2ho60CuLC0pycKMLEzJ/JTcj8jckMxMSc2MJCkwusDoklRVKByVGHwQVADjPInzpHY5P7j+A2CNYI1QGaEyCpsIZaIo01pkGWGUaCZaGGvFRCsqBBvAIbig8F7hQkylSyh8QuHSmGxG6TNKl1LZjJ6pOJYPOd7a5Xhrm0P5CIj3zKXpGs/vnOT53dM8vfU2XhjeiQtvTPTq5Wf6/Ln+Mt/56MBCRGVByAKk1+ZKSJUiNYrcKLJEkWhNriBRCu0dxpaoqkLbClVZpLLookQqjwuGSrWi1Upe2kejUhWlLqj0lNKMmZoxk6TuHZiMmZkJpZ5SmOm+vNIFaZLQTtp0ks4izYVUN+nSSTu0TIu2aZPrnNzkaJWDyvGqhZU2JTkzckY+YbcWWzvWsVM5tmwUWTvWsWMt9mUeSW2tWDGaQZ16RtOf51ot5qN/lmFgNCuJpuUdw8uXuHT+POfOnePcuXMLh/A5aZqysrJCv99nMBjQ7/cXaW1tjcFggLrGh2tmZ7w4fJEzwzOc3T3LA+sP8A3HvmGx/vkvXuH/++nH2O1/ma/7z+7gH178xzy3/RTG9HF2xI++448y/VjJ4Nz7ufcDx/j2H7mXZz77Gb74iV/n+S88jLzCR/TQdDmXn+Bi+yTH7r2fb7njNKeM4bAX+mWgNbXIqMLPHGFm8YUjFDcWD4GAVy76OQWL9xbrKqwtCQREFBqNkQStEoxKSNTBPTz3HVcRB/k2Egf8zjQqMzHlBpVqJNeoVj3fiknyuN1yLom6KQtHmFvorrGIBGuvsaYXe0I8mljjhrVQC9YSKhst6dYSyilMdgizIWE2hGIMwSK4mAcLrkLqgL64ss7r+XkKNlqtbEUoZ2BLQlXEnsyuYvEUmguU4Gsrfxl1aai16Vdj7AnR3BxCnN5nsFzE9nup/fe2DXPzNTGvbcpx3Xx6adlCc0l84IqAJJojv/j4V/GDbo5GJN3mVFXFeDxmNBoxGo0YDodMp1MmkwnT6XRfKsuSoigoiuIljylArgMtHQVWzowkVOhQob1Fhwrjp7SZxKTGtPSMTAoSKgwWQz0wb4j+Pjp49A3MLwGojFr4yMQki9wacAZCUguvRGF1FGLOxKacm2X+7PKAC4JF4YImDiFscKQ4clzIcaEFYhBRKKIvkxINkgA5kBPIgVadcpw3OAze63jcoOKxvcJ5hfWKSWUYl4ZxpZiUmkklzCphZoXSwswGrA0453EuYH3AhUAVwsuKLAKkQBqoRVYUVns5mBBdz8UHetWI1clVVqdbrE22WCuGdO2UoDKqpI01bSatAbudVab5AGvaCCmJ0y8bsd3jsKrEqgKri9paNaUwM2ZmwjQZU+oJ5WLdjKq2ZMW8oNIznFhEFLnOyUyLlm5FcZXEvJO0aZsWWdJGqQwkJicpTlJKUiwpBRmzkDINKaOQMAkpI58y8sKudS8rslpK0TOKvtG0RUiDwziHrkqkLKGYomYzmIxhOiG1FamrMM6RhcChbocjgz5HV1c4ubbGsfVDrK2t0ev1Fi/e7e1tzpw5wxMPvcjVR1KsWH7z9zzEUzu/jFcdRmv/Je3u12Evf4R88mmOd9/Dt3/699GfnOQP/dUPsXokdqP/14/8P/zTj/5dWqbF1FjGumCaWIrEAwHtBe0UxgnaCYcnHd4xOUL7gkXNYu/RqcqY6Pa+5LIOOm9hshZpu0Wedxi0O6y1uqxlbVaTnBUUXQd5CKQOEh+iH531yNTihiV+VN3QH3vxpxw8NlRYX0aRFTyBsM89Ib73ZXGPKtEoZdBiMJiba+KZf8XMLVdKongySympxVhu9uepArN/WwgEWzvLWx8d5QUk1ahMI6muhd1Sntbpje5lGkLd7DiJaeGndo3Pmne1GPN7Ys2VMRyEK2I+j3m3TxscUMnz43q7lFf1tLtG/C0ruBDXz5XYfNl8m2UfRpHoj/fd/8vrevniqRqR9DWH956qqpjNZsxmM6bT6XX5tck5d12qqoqyvMHgmQdgsLSZMGBEnxEdJrSZ0WJKmyktZmQU5JSklGSUpFSol3maWlFY0VhtKLWh0obSJFTGUCamFl9QGY83Fp9YQuKwSbR6oYQiKKogVCEKEiEQV+31tZvfgjp+xHKDjmKvEbr2AUlQKo1JUjw5k6rNxHYYVy0mVYuJzZnajKlNmVYZ0zJhUiWMq5RJWeeVYVwZJtbggnrJMysCGY52KGm7go6d0qtG9Iod+sWYbjmhV0zJPBStPuPuCuPuGjbvk6gu7TKnV0KrBOV1HMbm2qjv8+fHTbzEPA4rJZUqKWvLVqFLClMuhFRlplg9pTITrJ5idUGlSipdUM1FmJ5SmhlB9ktNhSZROalqkeicRLXROsfoNkrliM4RlRNURlApTjIqUpwkVCQUwVCQMAuaiTd4SQlLCTng9wPKO1JnSZ2jRSB4j/WBDz1hef+zcHEw49/d938x1V+gPfhmfuQ9f54/ePJODiWGX7m8xd977BfZvPARBrM7+IOP/ClEQfebM777u9/L0X6Xn3nkZ9iebZOZDOUVuxu7bF7eZLg7pLJVDAUQLwBDPWSrs8Wu7DIYG05vD7irOEyrMCRTUBOHmhTIyzQ7eYRCpRQqo1QplSRUqk6SYFUCaY7JMvppj5WsRzdpkSUpuU5JTUKmE1oqoacMXTG0vJCWFlNYlA2I9YgLiAN5Fa1gPrgl0eUXLg2Ba1/uc6vR/hAKe/9eh55ypm5e1DEXLdEpXi37X7EQc/PtYrNkvcyopXX1vrAnGOd+gHppf720v17q8KBlYXxa7Essw2L9fPvFfrJ3/Ppce9plqXls+d5fbkKtL3+g9u2cl9PE6xECtb9q3VvTBw72XQOzcn2svNeaRiQ1vCTee6y1CytVVVUHprIs9+VVVeG9xzmH9z5O2wpbFdiyxFYltqqoquhwadwE42Jvr4wZOUUtqKKomk+3mNGq85wZGdVLlj8AMzKm5EzJmEnKTGUUylBKghWDJcHW1oiKlLJ+AcxMQqkNZaJxxhGUj72BlMWJw4vFKYvF4cRig8VR4Cmjb4dUKPEoFXsxojxaPCIBJQEtvs5jMgKJ0iRKkYheTBuR6DRO/XwjCh0d3dKJ7ukOCdGEP3M546rNqOowrtrMbMbM5szc/nxi5yJsnreZVG1K//JjeBmxpLog0yW5Lkh1Sb5IFS1VkVORE2gFSIMi80LqNalXJF5jnJA4hbKaYBOCNQRnCC4huAQfMnxIY87LN9PMsaqiUhWVslTisCrWUyUWOxdWak98VToKMGsmC9EVtytrS1lZL6u4URuXoBFJUZIg80QUUIGUQEJ7pvmOx7+To6NTPHbsE3z6zl/GJB2+987/lvd0v4WtccX2pKQoLHcc7nL3oTZfts/zs1/4H8i2S77zK3+cw+Oj/NY9F/n0uxOOr7ydtodyuEs53MVYRy9LWO/3WWm3WGm3We12WOm02b58mccf/jzDyVVWTmfIWsGLo2fYHJ9nc3qB4KdI8LFOrCKxMc+doRtadH1Ox6XklSGtNKZUGCuoClQVUNajKo9ULjb/3nRtgUNhlaESg5PYy9WKrqc1XlKUThGVoXWKNhmZycmTnHaS00oy2ianpVMyZchEkwZNEoSkdoUSH2IPRB87Cqja1UCi2xQ6CCYIJsQmwteaEAIeh19YyZb/jqQWcNeIuXmHh3mAk33zy2tufLXVDcKtfE2g4NT/9K2v+2kakdRw2zG3ZM1FlnMOa+1CsM3nnXO4qsBPd2C8QZhsQrGFmmyjii10sYMqdzHVEF0N0dU4ijE/I/UzMm7OShaAgpSC2LRT7EsZBSmzpem9bZKl6YwZKf4lH8ChbqIPBBXwyuPF4wl4CcSpgMPhYwAFLBYvcbkXj5PogItyoCpEWYLyIFHkCQGUJ9FCZjSZUYs81ZrECEo0PuQ4n2N9jvMpzib4iWAnUEyFohCKUigrTekMUdpmTCRnGnImxPxGMbGWUeLIdRGTmZGbglxfmxe0pKIlniiZAhmBBEjql5uxCcoliM3wVQvvsii2XEJwaT2d4m1GsCne5gT/CqOsqyo6OKsKry1elThVLZJVVS2s5qLMUkmFI3DfpW9CBc2X7/k3zA49T1dSBqS0jKXHiGN2l3aagFHYqef50GWDVcY+46zZZcvPeNezH+Jdlz7Ixe5z/Id3/FNG+Wypt1SKF0MQQ0ATRNc+abEXVZAEJCVIUk8n9XQKJIgYjE5IRGOUxoiqRXkdQgCH9w4fbAzh4S0uRB8kH8roX0MVe2n6isSWJJUlqaro92gdxjoS68gqR89r2l6T+5TEJRin45+tE8SDsgHlAuLq3AaU83vpNXpHBYiCjNg71ItBqRylc0RFgRad1aPV1+OxLn4UVd7ivAWERGeki5SSqnQvVwmZGBIxqIW0kdorR9AIGoUO8+m4VhY+j0vbhritqveRA0SSOsCy+bWGC447/9bvet3P04ikhrcu3kE1IZRjytEZJrtPMNt9imp4Bjs5j59cJsy20dZhXPS7SLwm9fGBbiqPthZlS5S7OcHlUVjiA9mh4ld07S9VobEYylpclSTMauvWfF2FqedTCsmZSY5VKRZdJ4UNGhsE51/6K/NVXTL8QpQFCcz/SfAxeY92sTOAqTy6EqQMBC9UaEo0FZqChInJmJiMqU6ZmTw24UiKlfnvVFQhOv2XIYYauBmEQIonmwsqcWTKkoklVY60nk8lppY48jql4shDRYbHEEhCdLZXQROCgWAIQcfcG0Kde5/EfG4F8zqu95rgDKDIVs9y4oP/lLR7lYXhwIEvDNa2cL5FcBkhCDqZopMxOh2h0hmiogUiBLj4/Dey/fB/gVeW0crTOF3hVLkQaF48PsSID/Pc4il0SakKClVSqIJSFdjaGurq3ItdWNCcWmqyu0kCMYRAzDWgooBD18LM7OXE9Wre1EUU6CL1679eJlL/fcVoXnWK1lRVh+uI1qDoG2nwGO9JcJgwlxj1oOJIbEjzMSaZDgETAtoH8sqSVhV5VZFUDm2jaJG6o564aJGKvzM2C9W+zPXFBlVbqsRHcSe10At+7vQ8bxoL+9xrDryW9d/IfJ/FdFhef40z9TwnCiql6iuk6uZ9QLyAFwIKFQQJGlRS+2dqRDT15xS+TqH+UAsh1LUwt4gptGi0mKXc1A7w0eYtEp3n537uy1azuJ2OdSS6/jugjvM190+bbz93wo+5F8WP/fSPv6K/z1dDI5IaGl4C70tms3NMJs8zmTzHZPIs48mzTCbPUZZLQy+EgHZCW6/RVofI1Qot6ZFKhyzkJN5gnGAqh5RDmO1COYJiFIPjleM61Q6WL+f1usw8rs08Xsrc6dHb2vYUG+TmuUPVuV6INFu745cYSnKs6WJ1G6tbVDqllISZSijEUDJvEpH4BR4UlugkXwVF5QUXBFcHAfUBqF8Y8yjQ8eEeX2AhBp562Z/pgtRiUVEFTVULwqr+DVFQ6XqdrkXW8ryOAhJFVV+Hm0WFgMGTEGrxFHMTwAAmQILUlq24LA0Scy+12IrNpnsWAsESmCjPSME0g11T4MqC1azL4V3FujWs24TcWBI9JW/PaPc8umXYujLAFhrvheCE4AXv9l6o9R/HTf/Ggwhio/Ws7sI/z5FAEEdQjiAOryxeHE7Nk8Uph9XzpumwENiegBNfWz59tIqKw4nHShRnVgqsFDip8MoRcAQJeHFRpNf7e3EE9qa9ik3fsSwxD+Jfsdhb/H7ivSW16IsCbq+Ra/5SX55W9fT8r0vVfj5721ELPuptArpuTlcS6mb1gNp33L3to9tSjOoWLX2+bnp39edXPS3zfcK+Y4vAfFRCVbsQxQ4vstAjc9ciJWHRq3+5/HP/Ipn7MB3sMrTP1WnZfWl+3rlv0uJ619px/jslxNwFmHlh5oXCC7MAlRf+5g/eut5tzaA5DQ2AUint9t2023cD375vnXNTZrPzMRXnmc3OMZudp5hdYLe4QFE8ivdLPQ0FSBVZ9zBZdpQ0O0Ka3keartfpcMzNKiltjAtIOYpiqpqBncbhHqpZFFLLIqsYQTGs024UYcUuarYDdoa+SUvXAm8AA0HHEN2LniWLz9s61T1lXMVNC7vlp6cyYFo4E3vazVybHdvhQtVnq2wxmWmqScBPLWZSkk5LTFlirEMHj1Mar1UMblmnWZIx7A4osg5VkuFMUscLMgSlF1HaQ937sapfLxWKSqBCovVKBBsUlUi9TNW9JWNuUZRBMa2PYUMUnVUtQm/+YsRtk+BoWY1SOWdLg8/nxyjpUtLG0gqBfDeQ787ImZIk1M0zkIT4EjRh/hKNL0PFPPSEIvESe4V5jYRoZSDMrSwKCRLna+uLEoUi2XPoleVyE0VvXaHRyhD/LuZWg1pP7d+nXidLvjWvN9Hy6fdyWbKEio8iTuK0U6EWXXtN3nNRt2gCn29PwKu6KbxevjgmHhbLanvMkribCz4vdY+uud0mxP0gLAVcjb/C4gjK4qSKAlIsXs3337PSzEVhqM8d2BO4vv79UWDOl8dyzsso9d+EoFAh/l1I7Tu1EMxza/JSc3+cftk+unvlW7omAb+oE+Z5vZX2Cbnt0qo6ZLZDbjsY//o7bb8UjUhqaHgZtG7R6byNTudtB64PIVBVWxTFBYriErPiIkVxkaK4FOdnL7K7+whluclBEZZEUtJ0jTQ9RJKskSSrJMkqaR5zY+7AJH0S08eYAcb0SZIVlDrAz8a72BXYzmqhNY0ia2HBqq1Zc7E1F17lqN52vNeNuJzUxxhDWcbuva+UeYRhZUApdCjR1pKxy0DDaRViPIPukhhb7k5c/6TKa0auza7rMCzbTMqcYpqwPrmC3xRCAaZ0JIUlKwrasxn6BlGrvSisaTNqrzBqrzJt9ZjlPaq0S5V1cKaF0yle5wQxeK1r358oNKibYOILx1ERqCRQSszd0kvGqRg+IbVjHnj2P3Jy5wIbx1Y498GjjE61Wf3kVfrPFrzYOcyjJ76eLT1gKoYRKVdEmNX2gv1/MNfkN7r0eBI8BocWT/wVFRqPlhCbqfAYqZusxLMXjz4sWTHm+/rFvotpPGZpXoWwsLDIIqr1XJTNhZmqxdtS8rr+OXMrad18FmLD2XxZFHvU6xY2mKVz1eaR63R8lGk6RF8fEMSp+HGwON98u73z7+1JfX6Wll9TIdetf2OE4dc6rm7+u1WRvhuR1NDwVSIitchZo9d79w23C8FRVluUxRXKaoOyvEpVxrwsNyirq1TVNtPJGcpqE+dGL3leY3q1qFojSVZITB9tehjTxeiYa93BJB103kGbo2h9N0Z363Xt2k/gJnFVLaBqC5et84UYqwXWQmhNr8lr4WandUyX6d4x5s2P5Zhr33BKQ6YdWTLkEEPo3KB8KgEdU5DY0OC8YL3CesG6eQpYO2FgJ7jqAs4KvoRQAkVAdj2q9OjCYUpXj9QRm7liivMuaKoQh6KxpkORdBi3VzC2ZDC8QGu2gXExSGylDRvvPsbXf+sOa+sbIM/BJQPvalPe2+azj8z4nl/7BImFC0fewaUj72LSP02VHKEMOaWAlYAFnECFw0sdtBWppwNWoATKWrQVEqjUvInLYyXE7YBRbUmLFjSFfQ2dgHVwC78hHWoRFfaElbpGcClV9wKt18Umo7p3KHu9Q6NoqwWcLAs5kOCimFsSe7EJqhZ99c97w161YX62uYi7Rt1eK+RkaWGoRWDQqDqX2vK3JyBv5Is4F5TzbfaCnyyE5aJs1NYsAL9vtBZZ3gbiceYCdXGeA4qw/LuXt1v+7RwsIqOVKTa5IjZavVQAvuOA3/nG0IikhoY3CBFNlq6Tpes3tb33JVW1jbVDrN2lsjvYahdrdymrLapqk6raoiq3KIvLTMbPYN0Ia4eEcHNWH63baN3FmA5ax2R0B22Wp7sY3UWbOK9UGuM+mRRJDEq1UeoQWuf18doo1UKpV/F4CWFPVM0tYvvy6TVNktMlkVX7epVjxM4QW6BcQWLLG+xf5+Hlmw1eDhd0HXy0boKTGOMmDqEDWgvCdrSUDefjowHKkCrNN98j2NNweadFPn2c0+PHUVuOfLfAhhTvNdg4ZAcuUKqESsVo+g6FDxofNKZSWHqU2QpFtkKRDhi116hMjk1ynM7wKsOrJFrGRMcgriK1D1F0l3Yiez5tShbizNZCzAHVkjCzUAuwuF0M6BrqaZbyZaEXmBKXW+Ig136+be2IvtQS81UjYa9JUhNq8VaP3ci1034RgmMv3y+81MID0NWWN4fgF0Oz7DmgA1LPS6jDedTTMndUn48muWfJQxxKbNxXzddJnS/5GeHrVvJQ+3dH5bJflu0XJPN413uXd+8C79c9snSMa//fT9i3HUuWtX2VcOMK2le+a+Zv4XhxjUhqaLhNUSoly46QZUde0X4hBLwvsW6IsyOcG2PtOOZuhLN7eVw3xLoxzk1wbkxRXMJO9vbzfvqqyi9iakGVolUWu1mrDK2yOrBmhtJZzFUcykTpDK1adcTtuoN0/TIXUagsQ7daKJ2j1QCl8xizSJk45pjoeF6ZzycoldRlSZbmlwZeddX14mkefdjVA6i6ci9fnq6tYbqaoOeizZXXRDaOviRea2woqPyEMozwbkbmU1IrmLJET3Y4ETagW8HhV3XJF3jR+GDqCPGCD0tWMM/C+ds5FS1pVsVi+vgei70Yo6/M3n5qkXASo9B7sxBoDlP3vBJCUAsZ4UJSi6YER4KXFOd1vV+9v8xfRbL0G4RSJZQmpVQppU4odRJjLSlDpVQ9dp2q4y1JFHIidWeDKF+csBB/VgRXb18pXe+r6n1lMW1FomAjijU3n59b75aWvZKRAl4RS53Dbnb7/YOA1MPqLZbJNfNLImreCW3pcNe26s6DEKiw38H7WpbXXRva4Npj3uj8y8c1t3hA7EYkNTR8jSEiaJ2hdQY3abV6KUJwODdZiKngq3qA1ArvY+wc74oosvwU76b1dEHwZdzGF3t5vb33BVW5hfMzvJ/FY/gZ3k/x/hU6oL9i1EI0zcWVWhJZiKqnVb1+3s05CjeUQnQcjmJPDBqUJNEO4Uu8D3hX4X1BWV5lVpxfnF3rLmm6xnT6AvM3YZKs0et+kFT3SXyCCQlJiL0lxVcEWwu0uXDzFbhYD+IswVdoH9DBYIKJ/jdeobyP/ll16Aa8Q5xFbIFUM8TOoJoh1YzrIx6HuL23r2ttRD0QLXB79polu0qo7TJhb3reZX7/sr0eXL7uSh99ofev975e5wU/F45hKZ9vP99XWOyPX94vlsmFOGSRDQrn98J+eKl7m85FWjBxZIGgcXVuJQ59ZEXVA0HVvTmDosJEsRkUTqJVz4vCK40XwcnC7oQP83Pp+nwKp/bKMS+Dl7pRU+rrK/VvFVk0ty3CESzN+7o7fszj9LWVGAQWQRwkHnMeZiDI3FYWm4fn9qyA1C1ysve3IPNpIQ2v79/ey9GIpIaGhpdERGNMD2N6b9g5Qx08JoRo3gghEILF+wLnopByfoZzU4KvCKEe4X6RW3yw+wVdqAjexnx52Xw/b5eOEbucL6YX5ajjuhBHqve+JIRJfdwS76souNTcQpaSpKu023fT6byDbvc+Op13kOcnEBGsHTMaP8lw+CWGwy8xHj3FdHYOt2TZu74+UlRqFgJPqQQlKaJMHHzWbmDt8BVecc2Nnb2AEGoLEygf4xVJnSsf9uIGhbn1IPb5jtGuYwTs+fR8W+VjyAWZ50vHjOepY3LVx4vr6+Mxt3gFZBFVO+wvwzXl2Vu+t07dWiPFTRFFw5JwOMB8E+aiQ7hmm/37zIXQtdvvnWk+Jcv+6Acu37fHtctkWewEgiw1vV3XLnft2efr52UX4IevXfuG0YikhoaG2469sbaudSbu3orivG4Y02Fl8H5WBu8/cH0IHuemSxatmxvsNYqlXazdqX3U/ELYsST85mKQ4PDB7TWKCMi+Bpl9paoFpCeE2KQYxWWo91tucAlL567FZnD7fGAW0RSJvlHzuWghieadUHeZD8vT16yjHnFe2AtlIfUx9yIbAcvi10dRHJtGHeJd9PsKLkb6DtSBGKOomrdXynwQ1/lAsd7v7R9cnK+Zd+uP+0dTVhSRsfwyX7YY72zp+HMrnnf1dnv3xsI/aC8a5d61DDEw5/x4LJ9jfk7v99duLZxYlHd/WWVRV0s5e/5N9Z/GogyLsAZLY7Lt/V//lrC3dG+DsJgWAkHfWpnSiKSGhoaG2xQRhTEvYeG5AUqZRY/LhoaGV8/X/sAvDQ0NDQ0NDQ2vgkYkNTQ0NDQ0NDQcwE2JJBH5LhH5sog8LSI/8XoXqqGhoaGhoaHhVvOyIkliQJGfAX4/cD/wwyJy/+tdsIaGhoaGhoaGW8nNWJK+EXg6hPBsCKEEfhH4vte3WA0NDQ0NDQ0Nt5abEUkngReW5l+slzU0NDQ0NDQ0fM3ymjlui8ifEJHPicjnrly58lodtqGhoaGhoaHhlnAzIukccMfS/Kl62T5CCP8whPCBEMIHDh/+KgceamhoaGhoaGi4xdyMSPoscK+I3C0iKfBDwEdf32I1NDQ0NDQ0NNxaXjbidgjBisifAv4dcYCfnwshfOl1L1lDQ0NDQ0NDwy3kpoYlCSH8KvCrr3NZGhoaGhoaGhpuG5qI2w0NDQ0NDQ0NB9CIpIaGhoaGhoaGA2hEUkNDQ0NDQ0PDATQiqaGhoaGhoaHhABqR1NDQ0NDQ0NBwAI1IamhoaGhoaGg4gEYkNTQ0NDQ0NDQcQCOSGhoaGhoaGhoOoBFJDQ0NDQ0NDQ0H0IikhoaGhoaGhoYDaERSQ0NDQ0NDQ8MBNCKpoaGhoaGhoeEAJITw2h9U5Apw5jU/8H7Wgauv8zkaXh1N3dzeNPVze9PUz+1LUze3N19N/dwZQjh87cLXRSS9EYjI50IIH7jV5Wi4nqZubm+a+rm9aern9qWpm9ub16N+mua2hoaGhoaGhoYDaERSQ0NDQ0NDQ8MBvJlF0j+81QVouCFN3dzeNPVze9PUz+1LUze3N695/bxpfZIaGhoaGhoaGl5P3syWpIaGhoaGhoaG1403nUgSke8SkS+LyNMi8hO3ujxvdUTkDhH5uIg8LiJfEpE/Uy9fE5FfE5Gv1PnqrS7rWxUR0SLy2yLyK/X83SLyUH0P/d8ikt7qMr5VEZEVEfklEXlSRJ4Qkd/R3Du3ByLy39XPtC+KyC+ISN7cO7cOEfk5EbksIl9cWnbgvSKR/62up0dF5H2v9rxvKpEkIhr4GeD3A/cDPywi99/aUr3lscCfCyHcD3wI+G/qOvkJ4NdDCPcCv17PN9wa/gzwxNL83wL+Xgjh7cAW8GO3pFQNAP8r8G9DCO8Evp5YT829c4sRkZPAnwY+EEJ4ANDAD9HcO7eSfwJ81zXLbnSv/H7g3jr9CeBnX+1J31QiCfhG4OkQwrMhhBL4ReD7bnGZ3tKEEC6EEB6up4fEh/xJYr38fL3ZzwPff0sK+BZHRE4B/wnwkXpegO8AfqnepKmbW4SIDIDfCfwjgBBCGULYprl3bhcM0BIRA7SBCzT3zi0jhPAJYPOaxTe6V74P+D9C5DPAiogcfzXnfbOJpJPAC0vzL9bLGm4DROQu4EHgIeBoCOFCveoicPRWlestzt8H/nvA1/OHgO0Qgq3nm3vo1nE3cAX4x3Vz6EdEpENz79xyQgjngL8NnCWKox3g8zT3zu3Gje6V10wrvNlEUsNtioh0gX8B/NkQwu7yuhC7UDbdKN9gROR7gMshhM/f6rI0HIgB3gf8bAjhQWDMNU1rzb1za6h9W76PKGRPAB2ub+ppuI14ve6VN5tIOgfcsTR/ql7WcAsRkYQokP5ZCOFf1osvzc2bdX75VpXvLcw3A98rIs8Tm6a/g+gDs1I3IUBzD91KXgReDCE8VM//ElE0NffOrec7gedCCFdCCBXwL4n3U3Pv3F7c6F55zbTCm00kfRa4t+5hkBId6T56i8v0lqb2cflHwBMhhL+7tOqjwB+pp/8I8K/f6LK91Qkh/KUQwqkQwl3Ee+VjIYQ/DHwc+IF6s6ZubhEhhIvACyJyX73odwOP09w7twNngQ+JSLt+xs3rprl3bi9udK98FPhw3cvtQ8DOUrPcK+JNF0xSRL6b6GehgZ8LIfzUrS3RWxsR+RbgN4HH2PN7+UmiX9I/B04DZ4AfDCFc63TX8AYhIr8L+PMhhO8RkXuIlqU14LeBHwkhFLeweG9ZROS9RKf6FHgW+FHix2tz79xiROSvAf85sQfvbwN/jOjX0tw7twAR+QXgdwHrwCXgrwC/zAH3Si1sf5rYRDoBfjSE8LlXdd43m0hqaGhoaGhoaHgjeLM1tzU0NDQ0NDQ0vCE0IqmhoaGhoaGh4QAakdTQ0NDQ0NDQcACNSGpoaGhoaGhoOIBGJDU0NDQ0NDQ0HEAjkhoaGhoaGhoaDqARSQ0NDQ0NDQ0NB9CIpIaGhoaGhoaGA/j/AW872Ck5imJhAAAAAElFTkSuQmCC", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "plt.figure(figsize=[10,10])\n", + "plt.plot((np.array(all['dop_exc'])/(np.array(all['dop_exc'])[:,0][:,None])).T)\n", + "\n" + ] + }, + { + "cell_type": "code", + "execution_count": 94, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "plt.plot(pd.DataFrame(np.array(all['dop_exc'])/(np.array(all['dop_exc'])[:,0][:,None])).iloc[:,:50].T)\n", + "plt.xscale('log')\n", + "# pd.DataFrame(np.array(all['dop_exc'])/(np.array(all['dop_exc'])[:,0][:,None])).iloc[:,:2]" + ] + }, + { + "cell_type": "code", + "execution_count": 96, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAA4MAAAHWCAYAAADNS1yXAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAAEAAElEQVR4nOyddZhU5fu43yUFpLu7G5ZOpRvBAAsVAQlJFRUQ5CMpICUpBiHdElJKSHd35xK7wPbuzPv74/6e38RO7s6yCzz3dc01uzNnzpyZOed9+nn8tNZKEARBEARBEARBeLlIktAHIAiCIAiCIAiCIDx7xBgUBEEQBEEQBEF4CRFjUBAEQRAEQRAE4SVEjEFBEARBEARBEISXEDEGBUEQBEEQBEEQXkLEGBQEQRAEQRAEQXgJSZbQBxDfZMmSRRcoUCChD0MQBEGIZw4dOvRAa501oY/jeUHkoyAIwsuDMxn5whuDBQoUUAcPHkzowxAEQRDiGT8/v2sJfQzPEyIfBUEQXh6cyUhJExUEQRAEQRAEQXgJEWNQEARBEARBEAThJUSMQUEQBEEQBEEQhJcQMQYFQRAEQRAEQRBeQsQYFARBEARBEARBeAkRY1AQBEEQBEEQBOElRIxBQRAEQRAEQRCElxAxBgVBEARBEARBEF5CxBgUBEEQBEEQBEF4CRFjUBAEQRAEQRAE4SVEjEFBEARBEARBEISXEDEGBUEQBEEQBEEQXkLEGBQEQRAEQRAEQXgJEWNQEARBEARBEAThJUSMQUEQBEEQBEEQhJcQMQYFQRAEQRAEQRBeQsQYFARBEARBEARBeAlJltAHIAiCIMSdCxeUmjpVqWzZlMqTRyl/f6VKl07ooxIEQRAEwSHz5yuVLJlSb7+tVJKEi89JZFAQBOE55/p1pRo1UipFCqVCQpTaskWp115T6t9/E/rIBEEQBEGIwf/+x23iRKUqVFDqxIkEOxSJDAqCIDzH3LuHIdinj1L9+lke/+cfnI0bNypVqVLCHZ8gCIIgCFaMHKnU4sVKbd+uVPbsSs2YgcA+fFipVKme+eFIZFAQBOE5JShIqSZNlOrQwdYQVIrI4MyZSrVsSQqpIAiCIAgJzLFjRAM3b1YqRw6l/PyU6t6d6ODAgQlySGIMCoIgPKcMHqxU5cpKDRvm+Pk33lBq0CClPvroWR6VIAiCIAgxMJmU6tZNqREjlMqZ0/a5adOUWrVKqV27nvlhiTEoCILwHHLhglKLFik1ZgyORWd066bUlStKnTnz7I5NEARBEAQ7Zs6kYUznzjGfy5hRqQEDlJo9+5kflhiDgiAIzyHffovcyJLF9XbJkin14YdK/fbbszkuQRAEQRDsCAtT6vvviQA66xzaoYNSa9bQCe4ZIsagIAjCc8bevUrt2UPTGE/4+GOl5s1TKioqfo9LEARBEAQH/PGHUlWrKlWunPNtsmdXqnp1DMJniBiDgiAIzxFaK/XVV0oNH65U6tSevaZ4caUKFaKzqP2+1q5Vqm9fpZ488fmhCoIgCIJgMik1YYJSX37pftv332f+4DNEjEFBEITniLVrlXr0SKlOnbx73SefKPXrr5b/t21TqkoVmtDcuaNU48Z0JxUEQRAEwYesWUNNYJ067rdt21ap//5TKiAg3g/LQIxBQRCE54gxYyg7SJrUu9e9/TZD6O/dYwZhx47UHR45QiOa6tWVatBAqYcP4+WwBUEQBOHlZNw4ooKuur0ZpEnD8OD16+P/uP4PMQYFQRCeE44fV+raNaXatPH+tWnT8roJE6hRX7RIqXbtqGP381Pqp5+UqlGDlFFBEARBEHzA2bO09H7jDc9f07ixUps2xd8x2SHGoCAIwnPCzJlKffopHUJjQ506Sk2ZgpPytddsn/PzY/TRhg1KXbwY92MVBEEQhJeeefOUevdd79J5GjVSassWpczm+DsuK8QYFARBeA4IDlZq4UKMwdiyfj117B07On4+fXqlevZUatSo2L+HIAiCIAgKY27+fKU++MC71xUoQI3hsWPxclj2iDEoCILwHLBwoVJ16yqVJ0/sXn/+vFI7dtBV9OhR59v16aPUqlVKXb0au/cRBEEQBEEptXMnXtby5b1/baNGzyxVVIxBQRCERI7WSk2frlT37rHfx8iRSn3+OemhO3Y43y5TJqW6dqVRjSAIgiAIsWTuXO+jggaNGyu1ebNvj8cJYgwKgiAkcg4eZOxDo0axe/3ly4yk6N2b6OL27a6379+fSKSMmhAEQRCEWBAWptTKldQLxob69ZXau1ep0FCfHpYjxBgUBEFI5EyfrlS3bnT+jA2jRxNVzJBBqXr1yFxxVZeeNStyaO3a2L2fIAiCILzUbNmiVNmySuXOHbvXp0vH6/ft8+1xOUCMQUEQhETMkydKrVih1Mcfx+71N24otWyZZWREzpxKZcmi1MmTrl/35pu8ThAEQRAEL1m1yrtxEo6oVYsB9PGMGIOCIAiJmOXLidJlyxa718+erdT772MAGtSt67puUCmlWrViSP2TJ7F7X0EQBEF4KTGZSK1p2zZu+xFjUBAEQZg7V6kPP4zda00mpX7/XanOnW0fr1fPfd1g+vTMJVy3LnbvLQiCIAgvJbt3kx5aoEDc9lOrllJ79iDM4xExBgVBEBIpV68qdeKEUi1axO71W7cSUbTvam1EBrV2/XpJFRUEQRAEL1m1Ku5RQaUQ4NmyKXXqVNz35QIxBgVBEBIp8+cr9c47SqVMGbvX//qrUp98EvPx/PmVSpVKqbNnXb++dWtq4IODY/f+giAIgvBSobXvjEGlnkmqqBiDgiAIiRCt45Yi+vChUhs3Ou9qXasWmSz//KPU2LGOawMzZVKqenWlNmyI3TEIgiAIwkvFyZO06y5Xzjf7q11bjEFBEISXkb17GSVRtWrsXv/nn6SXZsjg+PnISKX69VOqSxelxo2zpJNOmGCbPtqokftmM4IgCIIgKKXWr1eqZUul/Px8sz+JDAqCILycGFHB2MoTZymiWiv13XcMss+YkYjgiBFKDR+u1M2bGINduyoVFcX21ao9kzFHgiAIgvD8s2ULXlRfUbx4TC+tjxFjUBAEIZEREaHUkiWMhIgNR48qFRio1Guv2T6utVKDByu1ciUG4fXrSv32G9HBr77idSYT961b83elSmS9RETE8UMJgiAIwotMWBhpPfXq+W6ffn7MK/RVpNEBYgwKgiAkMv76i5TNfPli9/qFC6kVTGK3wo8cyb7//BPjr3hx6gIN8uZlwP3Vq0rdvq3U0qVKpUmjVLFiGIiCIAiCIDhh926lypZlNtNzhBiDgiAIiYwlS5Tq2DF2r9VaqUWLlOrQwfbxdeuUmj6dpjL/+59S3boROdy/33a7GjWoIXzwQKlhw4gOSqqoIAiCILhhyxalGjZM6KPwGjEGBUEQEhHh4Ur9/bdSbdrw/4MHSk2cSE3606fuX793L9G8smUtj128SP3gkiVE+A4dUmrQIJrT2BuDSinVqZNSZcooFR2t1OLFYgwKgiAIglvEGBQEQRDiyqZNSlWowGzBoUNJ5Tx4kGhdzpxK1ayp1ObNzl9vRAWN8oKQEMoNhg1jvz17KjVtGnMGq1ZV6sABx/v5+mvqBIcPV6pyZcdGoyAIgiAISqlHj5Q6d455TM8ZyRL6AARBEAQLK1YoVbQot2bNMNYKFeK5sDAihO++q9TWrTHHGJlMRP/+/dfy2MiRRPk++4z00CpVlGrShOdKlFDq7l1kmHXtoFJK1a+vVI4cGJOnTil17x6zCzNnjq9PLgiCIAjPKf/8wxiIFCkS+ki8RoxBQRCEREJUFMZg8uTU9lWpYvt8qlRKtW/PjMBWrUjdzJHD8vyOHUQPixfn/zt3lJoxQ6kjRzDqpkyxHVeUNClRv4MHlWrc2Pa9/PyIDg4cSMMZf3+ig82axc9nFwRBEITnli1blGrQIKGPIlZImqggCEIi4eefif6tXh3TELSmY0elPv5YqbZt2d7AvnHM8OFKffQRXUlnzaJhTLFitvtyVjeoFPvXmkhj+fJSNygIgiAIMdCaLm3Nmyf0kcQKMQYFQRASASdOKPXNNwyar13b/fZDh5I+2rs3/0dFKbV8uVJvv83/Fy4wGuLbb6n9mzCB/dvjyhhMmlSp7t2VypqVFFQxBgVBEATBjhMnlEqWTKmSJRP6SGKFGIOCIAgJzMOHSjVtStOYb7/17DV+fkrNnMncwMOHqSEsWlSpAgV4fvBgpfr1o8Zv3jzqBitWjLmfKlVcN4dp3pwupqdOyaxBQRAEQYjBX39RuxGPg+HjEzEGBUEQEphBg2hAVqCAUoULe/66tGnpEjpgAFHBN9/k8aNHldq5U6m+fYnojRnjOCqoFIPmw8MZYeGIkiWVSp2aqGBoqFIBAZ4fnyAIgiC88Kxdq1TLlgl9FLFGjEFBEIQE5NAhpVatUipbNprDeEvnzhhoS5cyQkIppUaPxkBMkwYZlSWLUnXrOn69nx9Rw1OnnD/fsqVS+fPTnObECe+PURAEQRBeSAIClDpzRql69RL6SGKNGIOCIAgJhNms1OefKzViBLXn7dp5v49kyRgSHx5OlO/iRZqade3K83/8oVSXLq6zV8qUUerkSefPN2tGfXxEhFLHj3t/jIIgCILwQrJ+PYPmn8OREgYyWkIQBCGBmDePNM6SJYnilSoVu/3cvKlUnjzUEJ48SdOXtGmpRfznHwxCV5Qu7doYfP11pa5fVyo6mjEVgiAIgiAoS73gc4wYg4IgCAnA48fU8a1erdSCBYyEiE3tudZKrVyp1PjxSn3xBc1ezp/nuSVLaEyTLp3rfZQpw7bOSJOGWbqnTklHUUEQBEFQSjH0d8sWpaZNS+gjiROSJioIgpAAfP+9Ui1aKFWpEobYO+949rp165SaOlWpvXuZMXjgAMbam28q9eQJxl/WrGw7d65SH3zgfp9GzaDWzrdp1kypDBmUunyZCKEgCIIgvHBERio1diwe0JEjlbp0yfm2+/YpVaQIRf/PMWIMCoIgPGPOn8dQGzmSrp/ZsytVooTr15hMRBJ79qRur2dPxka8/z5G5dOn1A3mzMn2Fy5guDVu7P54smZVKnlype7ccb5N8+ZK3b1LjeKFC55/VkEQBEF4Lti6Valy5ZTavl2pr79W6vZtpWrWZKCvI2/p1q3UCz7nSJqoIAjCM+brr5X68kuMsEWLSBF1RVCQUu++a4kEGpG/sDCMv1WrqF2vVQvjUiml5s9XqmNHjDxPMOoGc+Vy/HzRokQgg4KYS/icztYVBEEQhJjs3YvQ/OUXy8zAVq3w2tavr9Tw4UoNHWr7mi1bYj72HCKRQUEQhGfIzp2Mk+jdW6moKOYDukoRffoUx2SRIkpt2mQxBJUieyVDBqV69SKr5d13iQbevElzGk9SRA3cdRT18yNVNG1apTZu9Hy/giAIgpCoCQ4mzWbGDKVat7Yt4E+XTqkNG/CwWtcGBgcrdewYXtjnHDEGBUEQnhFmM/P/Ro5UKlUqMkyKFGHYvDP69VOqRg2lJk+OGeVbuVKptm1JMy1VSqnvviMtdNIkpVKmpB7RU1zNGjRo0oT97t/v+X4FQRAEIVHTr59Sdeo4n++UPbtSf/+t1LBhFkG5Y4dS/v5KpU79zA4zvhBjUBAE4RmxeDFlBx078r+7FNHVqxkNMXGi4+cNY3D8eKVGjcIgzJyZAfQdO3rXndRdZFApRkwEBSl144bn+xUEQRCERMvq1XhmJ01yvV2hQrTs/v57/t+6VakGDeL/+J4BYgwKgiA8A8LDaQAzbpxSSZLw/+rVSr31luPtAwKU+uwzZgSmTRvz+WvXMMpMJqVCQmjwMmAAde/XrvG/N5QqpdTp00QvnZE+vVKVK5PeevWqd/sXBEEQhETF06dK9ehBRzf7GUy3bpEW2rQpdYFa07ltxw6lTpx4YZrHKCXGoCAIwjNhyhSlKlRQql49/t+4kf8dNWzRWqkuXZT66COlatd2vL/Vq6ltnzhRqf79MTCbNKGM4ZVXvDfWMmTgdv266+2aNydddc0a7/YvCIIgCImKH36gtsJe0E6erFTZskrt2YMg/vtv7lOkIDr4zTcIWX//BDho3yPGoCAIQjzz+DENXkaPtjzmKkV03jyie0Y2iiNWrVKqShXq94xGMX5+ZLKkS4ex6C2epIo2bcr77Njh/f4FQRAEIVFw7pxSc+ZQY2HN2LEYg0eOIIw7dCAK+PAhzWU+/JAUnKJFmbX0AiDGoCAIQjwzeTJGlDFL8MkTmpO1bx9z2ydPGD0xezZOSEc8fEhH0qNHlerWjWY0SpEyevo0aZzr1rlO+XSEMV7CFRUrcn/kiHf7FgRBEIREgdZK9e1LhC9HDsvjP/yg1K+/Yuzlz295/JVXiAweOaJUnjwI26NHlXrvPQYHP+eIMSgIghCPBAVhDA4ZYnls2TKasWTJEnP7kSPJWqlSxfk+161Tqm5dGsV07255/L//lMqWDRlnMrnvDmpPiRJKnT3repskSXCI3rrl3b4FQRAEIVGwbp1SV64o9fnnlsfWriVSuH27UrlzWx5fuVKpwoWVmjBBqREj+DtVKlJwihen3felS8/+M/gQMQYFQRDikUmTqLMrVszy2Ny5ZJrYc+kS827ts1bsWbmSpjLNmjF03mDJEqXefpt6w/Bwyhy8oXhxMmfc0bChUhERpL8KgiAIwnOD2Uz6zbhxlvSbe/eU6toV4Zw9u2XbvXtJv5k/X6ndu5Xq3FmpWbOUCgxUKk0ahPDXXzPsV+uE+Tw+QIxBQRCEeCIoiMYx1lHBK1dIxXTU7fOLL7hZG3j2hIZSvrB7N4PrDUwmIo5vvcXrCxXCOPQGwxh0J9OaNeN+927v9i8IgiAICcqaNaR9tmjB/1pj5H38MbMGDW7dUurNN0kbtW4wc+WKUlWrInTXriUV5/p1vLTPKWIMCoIgxBMTJ9Lxs0gRy2Pz5yv1zjsMb7dmyxaljh1Drrhi82aG1GfPrlS1apbHd+2i9MGIQL73HiUN0dGeH2/WrMjFBw9cb1e9OvfeRh4FQRAEwWdojXd13Tqldu5U6vhxpe7edb39yJFKffutZRDvzJlK3bnDQHmD8HCl3niDiF/Llrb72LaNrm1mM53gkidnBEXfvrTzfg4RY1AQBCEeCAxUaupUpQYPtjymNVkonTrZbmsyKdWvH8PjX3nF9X5XriRF0zoqqJQlKmjw4YfIqr17PT9mPz/PUkXTp+c4//nH830LgiAIQpyJimIAb4cOeEDbtkXYfvMNXtBSpSiqnzePVBprtmzBYGvblv/v3EFIz59v27FtxAjqBgcOtH291hiDDRsy0+naNaVu3mRmVN26dCJ9DhFjUBAEIR746Se6UBcubHls716lkiaN2Rxm+XJqAA355IzISIzBwEBbw89sVmrFCjJaDPLlo0HNnDneHbendYP58z/3NfOCIAjC84LZTO1DqVIYb02bMlvp4kXac+/axTD4u3eJ0i1cqFTevBiKRmvtkSMxGpP8n/nz5ZcU2ZcsaXmfM2eUmjFDqZ9/tkQPDS5fxntbrBivU4pjUQoD8uefqT98zhBjUBAEwcc8ekTWiHVUUClL4xhr+aI18we/+Sam3LFnyxaamPXoYevE3LtXqYwZMeSsadaMtFJv8NQYrF0bp+vTp97tXxAEQRC84sAB6iLGjlVq+nQE20cf2Y5/MEiRQql27ZRav54W2/Pn06J7xQoGxRsDfnfsoHPooEGW12pNi+7vvlMqV66Y+962jVbgfn5KZcjA37/8wnP58yPgf/jBxx8+/hFjUBAEwcdMmECUr1Ahy2MRETg133/fdtvNm4n4GbXsrpg3jzmEPXrYPr5smW1U0KBPH6Vu3/aujMFTY7BpU+6PHfN834IgCILgFcuX03GtTx8igQ0bev7aEiWIGDZooFTHjtQBJk9OMX2vXtRmvPqqZft58xCY9kLWYNs2pV57zfL/Dz+QImMI2W+/JSJ5+bL3nzMBEWNQEATBhzx8iOPSPiq4dq1SFSqQvmnN6NGUJSRxsxpHRSm1ejUOz2zZLI9rjax0NMC+QgUiiYbj0hM8NQabNeO9d+70fN+CIAiC4BFaY6z16aPUpk14Ut0JSkckS4YhmCIFHllDSGfNaltvERSk1FdfkSKaNKnj4/nnH1tjsHJlpVKnVur33/k/a1YK+v/3P++PMwERY1AQBMGHTJiAYVaggO3jc+fSgMyafftwKhpZK65Yvx6DcOhQ28cPHqSZS5kyjl/n76/Un396fPiqSBEyaaKiXG+XJg0O1k2bPN+3IAiCILjFZGIg/O+/M8OoYsW47W/WLMZHvP22Up98Qn3fhAm2tRk//ED7b39/x/s4epRB8wUL2j5etqxSS5da/u/eneL+52gQb7KEPgBBEIQXhQcPcCoeOmT7eEAA5QkLFtg+PmYMcwWTJ3e/7zFjyHgpWtT2cSMq6Kze8J13qJH3lFdeoVTiyhXLmApnZMmi1OnTnu9bEARBEFyiNWma58+T4pk+fdz2FxHBrMDt2/HSFi7MbKby5S3bXLiA4XnqlPP9bNhgGbJrzRtv2NYJZs1KKuuiRQysfw54riKDfn5+afz8/P7w8/Ob7efn915CH48gCII148dTu2cfFVy4kM6iadNaHjtzhtr2zp3d7zc8nCji99/bPq6183pBg3feodHLnTsefwyPU0VLlSLjRkh4RD4KgvBCMHIktYFr1sTdEFSKxjFlyiDYkiShs+i1axibBl99hWc2e3bn+3FmDH78sVIhIaTUGHTujAH6nJDgxqCfn9+vfn5+AX5+fiftHm/q5+d3zs/P76Kfn9/X//dwO6XUMq11F6VU62d+sIIgCE64f59MFOvGZAZ//BFztuCPP1K/njq1+32PGMF27drZPn78OHLNVQZN5sy8dvFi9+9j4KkxWLcu2TzuhtQLsUPkoyAILxV//KHU7NnURVh7T+PCtGmWhjCLFpFi89131PYZcwOPHmUchTOCguiWVq9ezOeyZSN91Lo4v3FjpW7dUurkyZjbJ0IS3BhUSv2ulGpq/YCfn19SpdTPSqlmSqlSSqmOfn5+pZRSeZRSN/5vM9MzPEZBEASXjBtHOYJ9g5gTJzAU69e3PHb9ulKrVinVs6f7/Wqt1MyZjhvELF3qOkXUoFgxZKuneGMMKkXdohAv/K5EPgqC8DKweTMRug0blMqZ0zf7PHGCzp6tW+M5HTWKOU6ff050cM0apQYMYGTFK6+4PrY6dejI5gh/f/ZlkDQpoy+ek+hgghuDWusdSqlHdg9XVUpd1Fpf1lpHKqUWKaXaKKVuKgSeUong2AVBEJTC2PvlF7pK22M0jrFuTjZ+PDXsmTK53/d//5GK+d13to9rjTFo3QzNGXXrKnXkiPvtDLxJE/XzU2rrVs/3LXiOyEdBEF4KTp5U6r33qHuwHgAfV6ZPV6prVzqKrl5N57OGDSnUnziRmr5kyVzXWiiFN9VRiqjB229T+xEZaXnso49oFGAMvE/EJFaBkVtZPJxKIeRyK6VWKKXa+/n5TVdKrXX2Yj8/v65+fn4H/fz8Dt6/fz9+j1QQhJeesWPpCJo3r+3j0dHIgg8/tDx2/z6jjPr392zfw4bR0MV6ZqFSyM6ICKWqVHG/jzfeUOrRI8+bm3lqDGbNSgnGf/95tl/BJ4h8FAThxSEoCCE1fjzRN18RGkpa6Kef8v+4cUp9/bUllea115R6+pQ6C1fpNWazUhs3ujYGW7aMOWupSBEG0x8/HuePEt8kVmPQIVrrEK31x1rr7lrrBS62m6W19tda+2fNmvVZHqIgCC8Z9+4pNWcOmSf2bN6MgViihOWxSZNwIubK5dm+d+6kPt2epUtxZrpLEVVKqapVud++3f22SnFsISHujUc/P2oSL1zwbL9C/CHyURCE5w6zmdSZpk1jzl6KK6tWKVWtmlK5c1Pvd/26Um3aWJ7/5RelKlWiwczdu873c+wYNYGFCzvfJlcutlm0yPbxhg2V2rIlTh/jWZBYjcFbSilrH3ue/3tMEAQhUTFmDLNw8+SJ+dzcubZRwSdPGD3h6aiHmTPJYHn/fdvHvUkRVYoGMlmyIPM8wc8PuXfpkvttS5RQKjDQs/0KPkHkoyAILwYjRiBAxo/3/b5//51UTaVs00WVUio4mMHwkybRcnvaNOf7cdZF1J6qVWMafo0a4RVO5CRWY/CAUqqon59fQT8/vxRKqQ5KqTVuXiMIgvBMuXMHefP11zGfe/wYGWI9UH76dKWaNHHtYDSIilJqyhQcjvbz/k6dInJnRPw8oVIl2wwWdxQpotTFi+63MzqKBgR4vm8hToh8FATh+WfDBryjS5cqlSKFb/d94wYDf9u0QRgvXmxJF1UKI7BePQRjjx50MLWu97Nm82a6g7qjRQulbt4k7dWgfn2ldu9mPlQiJsGNQT8/v4VKqT1KqeJ+fn43/fz8Omuto5VSvZRSfyulziillmitXUyCFARBePaMHs3ICEcpn0uWKNWgAWmUSikVFka9uiPD0RGrVimVMiU19fZ4kyJq0KwZWTKhoZ5t76kxWLYsdYMbN3p+LIJniHwUBOGF5PJlonaLF/uuc6g18+ZRj/HKK/zduLHlfYKCEMbDh/N/6dKkuDhKnQkJoV220TrbFbVrI7St6zEyZGDG4e7dcfxA8UuCG4Na645a65xa6+Ra6zxa6zn/9/h6rXUxrXVhrfWIhD5OQRAEa27dQsYMHOj4+T/+sE0R/e03mr2ULevZ/qdMwVHpbKSEpymiBrVq0UBt3z7PtvfUGCxWjE6p//zj3fEI7hH5KAjCC0dYGIJt0CAMKF+jtSVFVGtScrp3tzw/cSINX6xTbnr2dJwqumsX0cNXX3X/vmXLIrQ3bLB9/DmoG0xwY1AQBOF5ZNQoxkPkyBHzuePHlbpyxVJmEBlJx1FHTWYccfw4XarTp8epaM3p0zRAq1bNu+MtW5bUU09lkqfGYNGipIkePerd8QiCIAgvGVpjeJUsyay/+GDPHjyUVasqtWMH72kMi3/0SKmpU5UaMsT2NW3aUCR/4oTt45s3Y8x5QrJkzFuyT5MRY1AQBOHF48YNpRYuZD6uIyZPxhFplEH8/jvjGmrU8Gz/EyciKx2lghqD5pN4uXqnSKFUgQKeR/AKF/bMGEyTBqfp1aveHY8gCILwkjFnDukps2Z5V+fgDUZU0M+PaF/37pb3mjCBMRb2s5qSJ6fBzM8/2z6+ZYvnxqBSjKsICFDq9m3LYzVqKHX2LIZoIkWMQUEQBC8ZOZJa9GzZYj734IFSy5czy1YpooIjRjAv0BNu3VJq5UpkiX2KqNZKzZ+v1Lvvxu64a9ZkPqHW7rfNk4cmbyEh7rctUsTzGYaCIAjCS8ihQ0p9+y0C0pO0y9gQGsrg+vffZzbT339bRlY8eEDK6KBBjl/btSs1jE+f8n9AAF5OT4b5GtSoQZ3gtm2Wx1KkUKp6dVJOEyliDAqCIHjByZPIMmfjIWbNwvFojHD7/XeifJ5GBSdNoilZdLRSlSvbPrdvHw5Ob2STNXXqkCp6y4NBBEmSKFWwIHX+7vD3x8C8ciV2xyUIgiC8wDx8SKrLtGm2g3d9zfLlCNvcuSncb9cO40wphs6//TYpMo7ImRNhZqR0bt1KN1BjHIUnVKvG2AprY1Ap0lQ9HfSbAIgxKAiC4CFaK9W7t1LffcfcPnuiopB1ffrwvxEVHDrUs/0/fkwWTaZMRAXts2jmzcPJGdvsmnLlcFIePOjZ9p7WDZYsiby0r5sXBEEQXnK0pu12u3YYhPHJL78o1bkzw+xnzbKk6Dx5wvgIZx3flMKIK1FCqbVr+d/bFFGllMqXj5TTPXtsHxdjUBAE4cVg6VIyTT77zPHzy5djQJUvz/+//eZdVHDGDKWaNkUW2Q+aj4xkXIX9495QujRpn77uKFqkCEbmf//F/tgEQRCEF5CffyZlc/To+H2f8+eVOneOTqH//ENBuzGMd84cDDtHUcG1azFUc+ViptOCBUrdv+9d8xgDPz8E/uXLdE01qFKFusFEWk8hxqAgCIIHhIQo9cUXjHxwljUyaZJtVHDkSM9rBSMieH2jRkqlTq1UhQq2z2/ciNOyYMHYfgJkY7Zsng+f96ajqFKk0AqCIAiCUkqpU6eU+v57pf78k4hZfPLrr6TOpEih1MyZ1AD6+VFzMWmSUgMG2G7/8KFS77xDJ7jWrakPvHIFQVmuHEK5eHHvj6NmTVqBW3cmTZkSgzCRzhsUY1AQBMEDRo1iVp/Rodqe/fuVunsXmaIUcqlUKerGPWH+fOTP3r2OU0GNFNG4Ur48oys8aSLjqTFYsCBy8/r1uB+fIAiC8AIQHq5Ux45KjRlj8RjGF1FR1Ah27kwUctMmSxrNihV0RDOihEoR9StXjtrCw4fpPpopE57eTz5RKnNmGsncueP9sVSuzH4OH7Z9PBGninpRFSkIgvBycukSTciOHXO+zaRJSvXqxXgjIyq4ZIln+zeblfrxR0ZKvPeeUkeO2D4fFIRsmzXL+T6uX6cRmsnE/6+8gjFXtChdtA2nbNWqNDW7ds15Hb2Bp8ZgihREHO/e5bN4O/ZCEARBeMH45hsGu3/8cfy/17p1CKwSJRjq264d0TmtlRo/Xqmvv7Zs+88/CNrFixkFYU+LFkQW27VT6sMPEb7eCLVy5ahRPHTI9vF69eimmggRkS0IguCGfv3oHponj+Pnb9+meUrnzvz/xx/UCnoaFVy1Sqm0aemKXa4cNejWLF1K6ULGjDFfazKRulqpEkZrUBC3S5co1WjenAilYdSVK0cWjCdNZPLlw8CLiHC/balSyN2zZ91vKwiCILzA/P03Ix7ic56gNXPmWBrHzJ5NiqhSpGU+fGhJ2Tl8mNTQJUscG4JKYcAGB5PeGhFBF1JvyJGDyOD+/baPV6tG6qgn85qeMRIZFARBcMGGDRg4S5c632biRDJSMmSgPGHUKKXmzvVs/1rTcXTIEF7jqEHMvHlK9e8f8/ErV5g5mDw50T5nHbtnzWKsxOrVSpUti3w7eNB9Y7dkyTAIr1xx3w28eHFqETdvxjAUBEEQXkICAki1nD+f1Mv45tYtupctWkQUL00aDC+liAr27UvKzvnzNJeZNYuREc7YuFGpvHlJ6Zw/n1q/Bg1iznpyhp8fRf9795ImlCIFjxvNAPbs8b4xTTwjkUFBEAQnhIczSmLiROq/HREYiFPyiy/4/88/MaBq1/bsPf7+G+Osdm3GGtkbaFevKnX6tFLNmtk+fv26Uq+/zgiKf/91bax17UrWS4sWGHZhYfHTUTRVqpgdtQVBEISXhLAwpdq0IUrnLPLma+bMYX5gmjSkyfTujUF27hxe0o8/pqawfXs6urVt63p/q1YhLP/6S6n8+ZX64QelBg/27pgqVMA7fPq07eM1ayZKISnGoCAIghPGjCGtsnlz59v8/LNSrVphAJpM1AoOGeL5e4wYQRnB8uVKNWlCmYM18+eT1WJtjN65g2Oxd2+MUE/KGVq3Jmvnww9p+HLokGdNZAoX9ryjaIoU0lFUEAThpcRspstZoUKkWD4LIiMp6O/VS6kLF5Q6cICmNUpRiN+jh8VIzJVLqS5dXO8vOJiIYP/+DI6PjmZG4vHjrpsG2FOuHJFA+yYyNWqIMSgIgvC8cPEi8mPiROfbhISwjTHHdtky6vpef92z99i5E8Pu7bcx+uy7hWpN6qj14w8eYAh26kQtozfUq0cX7QcPSAG9dMn9awoWpNmMO4oUwRi+edO7YxIEQRBeAAYOJEX011+fTZ2gUtT+lS6tVJkyeGY7dyZF5dYtuoj26sXfo0YpNXWq++P6+2+K/YsWJSp48CCe2D59MC49xRhN4cgY3LsXwzkRIcagIAiCHVojQ77+mtIBZ/zyC+mdJUuytv/wA1FBT+XgiBG8x9WrZLQ0aWL7vJHKaZQ/hIQQpWzdWqlBg7z+WEopHJ7Zs2O42cspRxQowPG5o2BBGqg9eYIzVRAEQXhJmD5dqTVrlFq50nlNha/R2jLc9+lTPKfdu/PcxImkwWTJwnzBzz7zbLzFqlWWNNKGDZXasoW/u3WjgYAnwlApCucfPIjZqS1HDtJHz53zbD/PCDEGBUEQ7Fi2DGeiMUDeEZGR1KZ/8w3/r1lDmqR9bZ8zDh5kHu+HH1LP3qmTpc7cYN48njfm5nbogBN05MjYfS6l2Nf//odhuXq1++09NQZTplQqZ07+9iTiKAiCILwArF+v1PDh3GfO/Ozed88eivZbtMAQfP116jWMQv7+/SnE37fPIqhdERnJZzA6j1obg+nTK/Xpp0r99JNnx5Y6NZ7k48ct854MatZMdMPnxRgUBEGw4ulT0i+nT7fM5nPEggV00PT3Z60fNIj69DVriBhOnOg6vXLkSOr9tGYUhdEJ2yAykjFI77/PNp9/TtaJLzp116xJc7VNm9xvmz+/587Q4sWpX7TvqC0IgiC8gJw6hSdzxQoKzJ8lkyYhGJWyNI5RCuHdqhXeyV69EMapU7vf39atdGIzZkjVqYPX1hgF0acPRufjx54dX8WKzIw6f9728URYNyjGoCAIghVDhyrVqJHrbqAmE81l+vZVasYMomfnz1sMwd27kZGVKin10UdKnTlj+/pDh3BWdulCVkqZMjEzWNavJwpYoADvtWcPEUtXBqqnZM9OTf2jRzEH3NuTOTON2DyRf0WLInMTmZwTBEEQfM3jxwxmHzcOA+dZcuMGc4w+/pj7lCkx3kJDlZo8meL4adPwZhqRPncsWaLUW29Z/n/1VcZJ7NrF/7lyUXi/cqX7fWlNzcT9+6T3rF5t6diWCDuKijEoCILwfxw7RiOXsWNdbzd7Nut8p06UESRLhqG2d69Sa9dSPz97Nk1oihRhpFH79sgvpegeOngwhtPMmZQj2DN3LjJk61acnuvWKZUune8+a8WKRPFGjHC9nZ8fBqknTWSKFqV2/8QJnxyiIAiCkBjRGk9ngwYIwmfNtGl0VkuXjnqNfv0QVtOnY2xlz45wmzDBs1SayEgMNvvZTtapokop9d57zI9yt6/OnfEQ58unVNasCP3+/fneypZlNlRgoPefO54QY1AQBEER/erShSYwWbM63ubkSQy0Xr1ID923T6k33iCrxJHzMWNGjL7Ll5UqX54mZTNmYCQasuLUqZhjjx4+xAh8/XXk7G+/KZU7t28/b+nSODo3b3afBupp3WCRIkQuL1/2wQEKgiAIiZMxY2iF7WkNnS8JDSUFp1cvpY4eZZbfu++Szvnjj4y1+O47RkyUKuXZPjdvZlsjRdTA3hhs2ZLxFXfvOt/XgAE8v2YNoyqio4ku7tpFOlHSpAyy93TY7zMgWUIfgCAIQmJg+HBSIh2NIdq1C9l38CAGWunSpHdGRCBzFi1y7XxMk4btypZljESPHjSLmT0b56p945hFi5Rq2hRHYocOSjVu7MtPCiVLIv+KFkWeT5rkfFtPjcFChZB7Dx/iAH1W3cUF7/Dz82ullGpVOnNmpUaPjtvOkiWjWLRCBRQp+dEF4cVmyxYExoEDz65zqDVz5xL9K1qUovrevRGiEyeSxqkUg3vt6zNcsXQpwtmeKlWUunKFdM+sWUl9ad2agn5HHeY2b0Y5OH6cpjOhodRiZMjAc/Xrk35UtSrfX9Om3n/+eECMQUEQXnp27cLReOSIrS57545Sn3xCBO/LL8kOqVoVmZMkCWONKldGLnlCsmQYVsuXI1d+/z1m6YDWpI42aMDs20WLfPQh7ShVimyWrFlpYDNmjFKvvOJ4W2/GSzx6xN+3bsV0sgqJA631WqXUWv+sWbvEOYwbHY2Sc/QoxbQVKnCrWJH74sU58QVBeP65fh0DbOHChFngzWa8l7NmcSwbNiCInz4lXXTbNozDoUOVypTJs31GRBDFGzECT+aJE0qdPYsw/vRTperWJVWnQwe2f+89Un7sjcHAQBSGX38lLUgpBO2lS0rdvk16z6RJbDNiBN9hIkFWaEEQXmoeP6b0YNYsRgAZrF1LlPCzz/g7WTKMt2zZiNQFBmJA7djh2fuYzXQcHT8eA7J6dWRVkSK22+3dSz3i/PkMpY8vx2vJkjg7L1wghXXrVjp0O6JAAc86YadOjQM0MBCnrBiDiZynT5X699+47SMsDGXq9dfJnc6cGS/K6tWka926RSjd2kAsW5bmDIIgPD+Eh1NTN2CAUq+9ljDHsH49a0fduhzHxx8TgRs5kpTOCxcYfG/fntsVmzfTxW35cqWGDcOAK1GCQvlff2Vt27XLYgy+/jqG6IULtp3fvvySqGGjRpbHypQhOnj0KMZgvXqk0Fy5QmQwkfDCGoNGGkwRe01LEATBis8/Z9h7q1b8HxbGmv7XXzSFMbqKRkQgJ+bPJ3o4Zgy1fiVLevY+Cxciw1q14vW5cmEwHT5M11GDadMIsPzvf8ij+CJrVur7Tp8mRXblSufGoDfjJYoUYbTEoUO2MlFIhJQpE3Mocmy4dg1vgnFLk4bQ9g8/kGZ1+zbK0KFDzP86fZrGCvZRxOzZ434sgiD4nqgo0iiLFGEmUkIxYQL1E48f4509doy/f/qJteeNN/DsepONMG8eHsx58zDQjBEZRprO11/bNhJIlkypd94hbWfIEB47dw4H2MWLtvsuU4ZmA0ePWgTs//7HdxkZydqYK1dsvw2f8cI2kNFar9Vad02fPn1CH4ogCImURYuo4R4/nv9PnEB3ffCAtdt6vMTs2QQ4atemK+js2RiHnhAcTFRw1CgMwaNHCZhMn45MePKE7R49onShSBHHHUZ9iZ8fDtCMGYkMrlkTczaugadpokohR9OlS1ROTyG+yZ+f1KcFCyxRwdKlUa4qVKDRw5UrRBW2b0d5W7oU5ej+fTwrJUsyF6x5czrvLVmC591sTuhPJwgvNyYTnczMZmoKEqou+MgRajbeeotObC1bMth90iSlmjUjaliuHI4oT7l/H69vzZpK/fef7axEPz9Sg+bPJ9UzONjyXIsWtoN6hw3DSLW3OUqXJqJqPcOpenWMxJw5feOM8wEvbGTQ4NQpfou4kCUL51fZstyXKSMZLoLwvHP9OqUFGzaQ3vjzz6znP/6I3LOWdyEhpPivX8//w4ZhrHna4fO778hqMWrbJ01SqmdPSg927iSjZeFCjEWlqI9/FvK2VCkyBR8+xDm5Z4/j+YpZshAZffLE/XiLQoWYs+tN7b7wAuHnh5AsU4aamqgoPANbt3KCv/kmofCGDVHaOnQgRK01XpYjR/CW/Pkns8IePkTwGtHDChXYt7MCV0EQfIfWdDy7cwcB6ItBt7Hlp59I5TGbmSW4cSMRvcmTcUC1aeNdh06tmZOYLRsRQGe0aIGSMGECwlwpBOWRIxiIly8r9c8/eIjtKV1aqXv3MAit6doVBeTAAc/nIMYjL7wxWKgQDsbYojW/4/Hj1PLMmkWGS86cFuPQuC9ShI6xgiAkbkwmRkT0709Qo21bInW7d8cc/q4Uc/7q1kUfPXSImX9nz3r2Xvv3o9eePMn/9+7RbMzIJvnpJxyFP//M+/Tvj7PzWVCyJHr3sWN8BytXOjYGrWcNli3rep+FCqGnGzMVhZec5MnxutesSUpVSAgekK1biRhevsywaMM4bN0apc4gMJCT9OhRXjd5MhHDIkVsDcQKFTxvGCEIgnu0VmrgQIyerVvppPn4MVGWkydJpTl5kqhZkiQUuBu3rFnxfjZowHUaV+X41i2K9ydNoo7P3x/Fe8gQ1ovZsynyt47suePnn1HoBw1yvZ2fH11Ap0+ncUySJBiHVarQNGD2bL4nR1GivHkxBMPCbL2pzZvTStzTpgPxzAtvDKZKFffIYJkytlFnkwlF7vhxroU//ySl+O5dlCt7IzFbtri9vyAIvuW775BN/v7IqQ4dyFqzH/GgFLro+PHUj2tNsOOHH2iU4o6oKJqRjR9PdE0p5Mk779BnQynWqCVLWCuSJ2ffz4pSpahtP36cyGf79kqNG+c4KmmkirozBgsWZI0MD+e7M5qqCYJSinrCpk0tLdXv38ervnWrUlOn4ml//XWLcZg/P80qrBtWhIejkBpG4ooVeDQyZbKtQaxQgdfLuAtB8J6RI0md+fdfatu+/x6DrGRJFOOyZXHeFC2KcIyIsNxu3uR1nTrx2nr1GAxvpMd4y9SppNKkSUNa+ZIl1HNMm4Zx2KOH5x5apfDSfv89wspoDOOKt9/GW7x6NXWJSrE+LV1KSo2zQfRGpkRQEIaz0Xo8RQqikosWJYo5TC+8MRgfJE1Kt+zixUldNnj6FPl04gTK1erV3CdPbjEODQOxVCmUQEEQni2rV5OG+eabRAd/+40GMs4YMwbHY/HirPfh4TQw84Rx40glffdd/g8Pp9Thn39stwsORiZVqYLT8VlRqhROrMBA6gZNJuSVI4PPm1mDjx4RHTx3jqinIDgla1YULWPG19WrGIabN+NlTZ8epatBA4zEzJk5uSpX5mZgNhNlNNJMZ8/m77CwmI1qSpZM2HQ3QUjsTJyIcJw7FyNuwwbuZ86kDsAdVati7CiFkNmwgZEUjRpRi2F4Qz3h6VOu5y1blBo7lteeP08DmbffJsI3dKhnx6UU43A++ghP7a5dnjVwqVED5f+HH0ij8fNjTZo0iYikK4W+dGkikNbGoFLUisydSz11oUKeHXs8IcagD0mbFsXHWvnRGqeIEUXcupVr7Px5HJaGcWgYiwUKPFtlUBBeJs6fx5DLnh1D5cgR1w0MT5yg+eGxYxhsX32FQ9KTjJfz54kIHjpkcfotWoQ+at2BNDISGWl09nzwwBJFjG9y58ZADQ4me++NNwiyODIGPe0omisX+0qSBEetGIOCVxQooFTnztzMZhSorVtR/Dp3Jj3UiBrWqUO6llKccEWKcLP20t67xwV85AgK6ahR5DuXLGlrJJYr574gVhBeBkaNwmtZvTqRv969SYeLbUPGHDkQvG++SVpn6dIYdR984FlE7JtvUKZr1SLdpmxZBPG6dZaavMWLPT+e2bOp9bpzh2NSCkV91SoaXLVpg5Fp3ZG0SBG8pUFBRBWrVSPi9/ChJVLojNKlEYZGrYhBpUqsX/PnW2oREwg/rXWCHkB84+/vrw8mkm491kRGoiwaRqJxHxhoib5bRxOlFEIQ4saTJ0T3goOJ2HXt6loOmUzIno8/plnMoEHokPPnu38vsxldtU0bpfr25TGt0TvHjrWNRH73HUZio0a8Z+bMpGw+K6pVwwBdvpzvpnt31iJ7lixB3i5f7n6fxYvj7OzZk5rIZ4Wfn98hrbX/s3vH55vEKh+dEhmJIrZ1K1GCI0fI9TaMwypVPGspHxLCSW5EEY8eRVHLlStmFDFnzgRP4RKEZ4LWCMYlS3Cu9OpFEbuvc/0PHkSoFi1Kuo2zCMipU3hg//6byF+hQhipO3Yw3iI8nL/9/BCeO3a4jzg+eaJUsWKkuzZpQnfUSZOY8dSiBUXz8+djHA4eTATRuP6bN+e7yJCBaOTcucyhmjyZ2g9nbN7MTMTMmWOmBdWpg8Lw33+efntxwpmMFGMwkREYiEyyNhJPnsRhaV2HWLYsM8gc1TgJgmDLtWtkrShFVoijJjH2TJlCOcC//2LYVKtGgMGTDqKzZhFR3L3bEkX85x+Mo1OnLLLlyBEG2JtMNBVLmpTMt4sXn12t3UcfsdYMHIiTNG9edG37GYf792MoHjrkfp/NmyNbixfH0fqsEGPQO543+RiD4GAUQMM4vHaNTk+GcViqlOeGXHQ0HtqjRy1G4pEjKKrWNYgVK7KASLc44UVBa66fTz8lWvbttxiB8Rkpj4jgOq1Xz3Gh/JQpzONr0oSUlO3bUX7HjSNKV706huHXXxN1GzxYqW3bWAtcpYt+8w1pq7Vq8dpUqagdfP99W4V61y4aBOTLhzDPlInt7t5FMbh1izrm0qVZY2bNcv6et2+jtPv5USNtvSZ99x2G5cOHXn+FseGlNQZz5fLXnTvHTdgVLkyKsCcNI+IDsxkZZx1BPH6c66NIkZhGYp484sgUBKWQcXPn4uBMl47UUE/Gwty4gc63axdGUdu2GIPffOP+tTdv8tp//iHKb9CqFbeuXfk/MhIDtUwZHJzLlvH4J5+Qkjl0qNcfN1aMHct7t26NPO3dm6ZXgwfbbvfgATpwYKD7ffbqhUx+/Bg5+KwQY9A7nntj0J6AAItCuGULF1aDBhbj0Ns2vVqj9BnRQ8NIvHcPQ9NoHmDcihaVZgDC88ODB9QFzJpFR9BMmfBguqqd8CX37yNYf/jBUlivFLVUkyZxLbdvr9Tw4aSHjhiBV7JlS7p7/vUXBuyHH3Ktdu+OkF+/3vF1eO0awrlvX5rjGA1gjFRzeyIiMBhXrKDZwL17lmHBrVszauKvv0gTvXzZ+efUmu/Wz4+ZS9bf79GjpIuGhj6TcTkvrTGYO7e//uyz2As7rfmttm7l3OvQAYUuMcwZDAvjvLI3EiMiYnY0LVPG89paQXgROHgQx97Dh+iIhw9TjuQOrVnnq1TBabdpEzLm1Cn3a7XWrA9Vqtgac0eOkIFy6ZJFRn3/PSORzpwhTbRaNR6/eJFa9UuXnk0J09q1OIIrVsRw3rGDUU7HjsX8bOnTI0/dRS0nTOAzHT7MOvWsenWIMegdL5wxaM/lyxbDcNs2FDLDOKxfP/b1F0Z7/XPnuJ09y/2VK6SV2huJxYuTUiBeWiGhefKE2rhFi0hNbNIEozA6mhq8Z60onjxJY6i1axGCP/1EVPCffxCGvXqxjb+/Rah++y0F+X37ch0aqeEmExG+sDDmJNlfb+3bI5SyZeOaPX/eM8P3jz+UGj2aNaRYMY5x9Gi6mw4bRpH/qVPURjqjVi0yGcaPZ/0xMJsRkGvWoCTEM05lpNb6hb5VrlxZ+4KgIK3/+EPr5s21TpdO67fe0nr5cq1DQ32ye59y757WW7Zo/dNPWn/8sdb+/lqnTq11wYJat26t9eDBWi9erPWZM1pHRSX00QqCb7lzh/M+Rw6tf/hB6+zZtd6wwfPXL16sdalSWkdEaB0SonXhwlqvXevZaxcs0LpMGV5rTdu2XI8Gx49rnSWL1tOna127dsz9vPee1qNGeX7MceHiRb6jatX4Pzqa7+7cuZjbli+v9cGD7ve5cqXWFStq/eqrrDPPCqXUQZ0I5M7zcvOVfHwuMJm0PnJE63HjtG7aVOu0aRGOAwdqvWmTb4R5VJTW58+zYIwbp3WXLlrXrcsFliYNF0XHjloPG6b1woVaHz6sdXBw3N9XEFxhNiME27VDgW3dWus//9T6xg2tGzfmeggJSbjjW7tW61y5UE4LFdL62jUeb9JE619+sQiUp0+1zp9f661bta5eHYFrT2Sk1mXLxhTa589rnSSJ1t9+q/WsWVq3aeP58ZnNCPEhQ7QuWlTrnTu19vPTes8enm/cWOs1a1zv49NPta5Xz1YRMMiZU+vOnT0/njjgTEa+8JHB+PB8PnxI1NjwfLdsScSwUaPEW8NnMhFpsG9Yc/u249mIzypLQBB8RUQEmSVjx5Jq+eGH1K7973+MOvKEwEBKAJYtowP0gAGUUDgbIWTN/ftcP2vWWOoTlSLC1qyZJSpoMhH5+/RTpX75hcY01jO2laIu7803LbN84xOTidFNqVIxEsLPD2dsrlw4YK1p106pjh1tmzU64vhxUmsfPsSp2rZtfB29LRIZ9A7/UqX0wQUL4raTZMmIfCVW4eeMyEil9u61RA6PHyekb6SUVq7sWTMaTwkKskQSrW8XLxJZMCKIJUpY/s6bV9qLC7EnOpo0yDFjWOj79CE6ljEjUbFWrRBO48b59lyPDe3bE5k8e5YUnuPHmUV66RICc/hwUmmuXEEADR1Kyo2j2t2VKxH81q28a9YkRejiRUu9YKtWnh/f7dvMX6paFaX51195j549LYPohw93/voJE4h+FipEDaI1TZoodf06aULxzEubJlqqlL9esCBuxmChQs476t69i+K4aBHncNu2GIb16yf8teUJwcFEt+2NxKRJqZUsUMByy5/fci9lEUJiYuNGUhtLlGDNTZuWJl29e/O4p3z8MeUDP//MHNl27bgesmQhTfLOHUtTp2vXKCcybvfv0yysWTOOo2xZHERvv02Dsn79eI/x45F5Q4cynujs2Zj6ntakbY4fj14a35Qty+e5fJnPun07GThHjthu9+WXPD9woOv9PX1KJo7JRDqsJ7WWvkCMQe/wT51aHyxePG47CQ+nyNbfnxO9Th2Ut+dtTMOTJ5ZmNFu38pnq1bMYhyVKxE+ap8mEImifcnruHN6pokVt001LlCBV7Xn7foVnR1gYo1h+/JEmEl9/jWAyzt9Nm0inHDkSr2RCY9T+5c2LEO7RA4OvalUaY/zwAx7Z2rWp/2jcmLrCZs0c709rnDnffYdSfvAg+9q9m+Yfr73G9e2tkj5rFnWLqVKR0nrvHsrHmjVKTZvG387YuBGjMWlSjFprfvwRr3BoaLwbDi+tMZg6tb8uXjz2xqDZTEOILl1orpQtm/Ntr1/HCbNoEX+/9RaGYc2az5dzz1B6r1yhSY1xu3aN++vXuZ4cGYrG387qcQXBl9y4gdFy7BjdnZs3J7pVrx6dnu2boLjizz8xXA4eJIW/YkUiYzdu0Bn6+HGuY6MGt2BByoBy58ZgHDUKWXHlCvrcrl0YRffvs4/UqXFKVq+OLOjXjxKBbt0cH8+UKRiknkQl48rbb5PlMHcu65XJxFp34oTtPN7p06mhnjnT/T6zZ0e2NW3KuvgsEGPQO/xz5NAHP/ggbjtJnhxDMHlyTuxdu7iIihVDeTNungx2Tkzcu0eN0JYt3KKjbZvReNJWOK48fUoExz6aeP48HmprI7FUKRYtV0qK8GITFIRRMnkyxsrAgbZDzrXmudGjGR9Rp06CHer/5+BBjLq1a/Hi1q/PsXXsqNSFCxzj8OGk/bRsiSG2dCnXpCvnzNq1GFhHjuBASZeOSOFXX/G6MWO8P1azmajgxYsoBDVqEG00ZsI9eOD8mK5fxyANDsbxZG0U7NqFgbt9O9kJ8YgzGfkcxK7iRqFCSi1cGLd9REfTwbZECWZkfvklzhZ78uUjrWzAAM6VxYtxcAQGomx16IDMTOw15H5+yO1cuYim22M2ExG1NhSPHKEm2TAa06d3bCga/6dJ86w+jfAiEhmJY3DsWCJ/CxbQ3OXpU+RKkybIAU+5cIEMms2bkUdffaVUypSMMmrYkMiWkT5tf/3evk0EccWKmHK3Rg2ulS5dkD1dumBghoUxSsLVnNz33mM+b2Bg/I+ZKFGCDJXz5/kMSZNiUP/zD8dhUKgQn9MTChdGTtrP2XXEzz9zDM8iCipYkSxZ3I2HkBDSzM6cwfLv3p2GEBcvKrVzJzO7unfHg2hEDmvXxoBJzMIwe3YU0o4duZgvXSJiuHatpe1+qVIoh8Z9yZKxH8ztiLRpiXBUrmz7uOGltjYQ165FEKdJg1FYsSJdCitWRDlJzN+1EDdu36apya+/YjBt3Uq9gzWRkSik+/fjZfSkm1p8c/UqNRKzZ+MlVYoaj48+Qvhu3owwevQIQ/fjj7nW1q51fz63bIkR2bs3SumuXXQknTs39vOOkiRhvxMmIODKlWONa9QII/XKFYSkI/LmxRBMn57Pbb1duXIc27//xrsx6IwXPjKYIoW/zp49bt1Eg4IwiurX55xasoR6noEDOR/cceoUSt+iRXjc33kHw9AYO/KiYTbjVHUUVTT+TpvWsaFoPJYYurUKiZN//0WmFShA9My4BsPDiQwWKULkytNrKzwco61rV24jRhAhfO01dNwKFZy/1mzG+KxenddYc+IEMuL4cZyxEyfSbOzcOWoYy5Qhe8cVHTuy9vTq5dlniS0LF/K5W7cmc0gpvttjx6hrNLhwwVLG4Y4PPsAeOHqUCKGr3+P11/kuGjeO08eQyKCX+LSm/s4dlLRVq1C8atVC0WvdmhP/zBke37ULBSokxDZyWKnSs2s7G1fMZi6GM2e4nT7N/dmzKHuGYWhtJGbLFv8CX2uLd/bIEcL9R45QUG0YiIaRKLMSn3/OnSPFcMUKiuT798fwt2f3blJQihWjiDsxKFiPHrFG9OhhW8uxbx8eyTVr8Jx+9RWfa8MG0knPnvU8XeaPPzAuK1YkArlmDR7kXbtif9zvvKPU8uVKTZ2Kt/PJExSFN96wKPfOqFKFa27QoJj1itmyoWxs2hT7Y/OAlzZNNH16f12zZtyMwVOncKpkzIgDpkABOlIfO4aT4JtvWPM92deRIxbDME0azpt33sFJ+rJgNnMNOTIUjf/TpIlpKJYpw7WSUPMehYTl4kXW0L17MazatrXoVuHhpGWnSUOU0Bsd5/PPid717UsA49IlUjgdzcG1Z/Jk5NKuXTFT/d9+m6yQL75AJ2vYkB4bH32EI/TyZfdBhC1byESwr93zhqgo5OeDBzR0KVcOncCaI0fQ2atVs8w7PHkSXd7a8IuMxJETEuK+tGHYML6X//7junbWlEprpbJmxXjOmTO2nxLEGPSOeBst8eQJNTKrVqHEFS/OBdu2LSFgpcid/u8/DMNdu7ggqlSxRA+rV3/+5iGZzXwuawPR+NvPz7GR+CyaxNy9G9NADAhgMbA2EEuXfv4aAb2MHDhAqsmOHTQw6dWLgnV7goJQUNesIXL41luJIwLx5Ame0rp1MWatMQT55s0IjTJlLIXqpUph1BUs6P49IiPZ/+HDGJOjRyPQ2rTBQIwNT59yvRYvTpTv119J9zl+nDqRBw8o9HfGhx9yLTZsiJFrTZMmKDdBQfH6G720xqAvhJ3WKEbr1uH4PHoUR2dQECmkUVGkf44bZ9tF0N0+9+7FKFy6lHPeMAwTQ/Q+IdHaYiwahuLly5YGN1myYBRWqIAMq1CB6zMxrHGC77l7l6ZdixdjpPXta5tm/OgROmbOnGSkeRNcWLGCfdauTcSxenWc6J5koZw8SfRwzx6ikdYYcwUvXGAkWbVqGLDVqtGQrEABHKDuDCqzmcjn8uXoap5iNvN5Fi2isVrmzKxZGTOid0+eTNTRICQEB1fx4lxjSnEdZs+O7LV2NufPT/qos2wYg3nzMHpPnGBeb926jre7cwedNCAg7tewGIPe4V+unD64bl3cdpIihev205GRpGWtWsUtbVqLYVi1qsUQCgoigmFEDw8f5oQ00kpr13Y9xysxYwg1R0bikycYyPZGYuHC8dtMIigIZcbaQLx8mWOxNhDLl5e6jsTAxYss6IsWsWD366dU586OfxutSWHr14/rbOTIxONFDw4mvaRcOeoDrBd9I53m5EmETv36RGNOnSJ1JFkyBKkn9OtHSqwxGHfdOs7p69djfz7/9hvCzDDmdu3ieE+c4FoePhwD3RnGrMI8eTAkrRk6lM924EBMb60PkTmDPuTBA8abdOzIyJZs2bj382NcyPDhWj9+7Pn+oqO1/ucfrbt1Y/ZYtWqMIrl50+eH/txjMjH/bPFirb/5RutmzfjOM2bU+rXXtO7fX+u5c5njFhmZ0EcrxIXHjxk7lCkTv+v9+zG3uXZN65Ilte7Xj3PDGy5cYA5ehgy8fuVKzqVbt9y/Njxc63LltJ4zx/HzjRtrPW0ao5sqV9Z6xAgev3OH96tbV+tWrTwbbfb991r36OHxx9J797KGlC2r9dixWl+9avv80aOMcurTx3YeYp48Wr/yiu33+NZbWv/+u+3r69fXevNm98fx338cQ7p0jHVyxsaNWr/+uvv9eYKSOYPeycckSRhCG5dbihQM45wwgSG3rjCZtN6/n1lfpUox0LJbN2aghYfbbhsWpvWuXVqPHq11ixZcOEWKaP3RR1x4584x/+t5JzCQeWVz5mj9xRd81oIFuRhLl9b6zTe1/u475hIePcr3El+EhLCATJ/OjER/f61TpdK6eHEUnrFjUVaePIm/YxAsXL/OvMrKlZlV+fnnLKyuhN3lyyhGZcpovXv3sztWTwgNRVH75BPHn+HNN/m8o0YhFJIkQdm7dAlFICDAs/dZtoxrqHlzrX/+WesKFTh/vRGkjqhbF0Xh0CEU/08+QUj+9hvXcZo0rod3r1rFfMTq1WM+t3w5sxadKRU+wpmMfOEjgylS+OusWeMWGSxY0BJdtjfYo6OJ8K1bh/f++nUe0xrHx6ef8jpHDWccERWF42DRIhwQ5coRMWzfnlQqwTH37uHktL5du4aj1YgiVqjA9ykduRM3ERF0rRw1inq8778nGmWPkabdv79lbIOn7N5NfVq2bAQrMmQgSLFoEc5Id/Trx7W+bFnMaNaWLaSbnjxJ9+5UqShd8PMj0yUsjCyCDz5grViyxHVa6/XrODRv3nQ90iUoiJTXbdtwBH/wgfPss8BA6hZv3yaaWqIEztoDBwgQGJHA6dOJYP7+u+W1nTsT4eza1fV3dPcuWWdPnnBcEyY43u7HHxnN4anD1xUSGfQO/0KF9EFXs7E84dEjPPy3b3NC16uH4GvZkq5Orjh/HkG3ahXe/6ZNEZjNm8fMoTab8b4baaU7d7JYWNcdVqz4fMx08oTQUL4f+0ji5csoFPaRxFKl4ietNiqK9zYiiAcPImALFmTRNG5lyz4/NZ+JFa3J6d+8mZSx06fpTtahA9eVq3M7NBTBMnkytQkDBiSu3yMigms7SxYEor3QO3yY6373bs6n9u2V+vtvBM2ZM6TfDB3q/n2uXSPdfPZs0kGvXVNq/XoE4r59rpsAuMKYd3jzJsI8XTrWtx9+IN39zz/JZFi2jGvBERcu0CXtyROEsLXycOkSx922bcyooQ95adNEy5Xz1+vWxb1mcM0aZFb69PxWbdrYZrgYXLtGitnMmbwuWTK2KVyYFNA2bTBIPEmHiojgWli0iHO5WjXWhDfeSDwR/8RMSAjRe8M4PHIEBT1XLlsDsWJFUgwlzTThCAujbnrVKmrEq1XDGHS2pm7bxrUwZQrXlac8fEhN+rx56E8HDqDr1KlDqcKXX7rfx+TJ1I7v2ROzTMNsZl346ivOvW3buKVMydpfpIjF2IqIoEygUiXnhpLBa6/R7dTZ8HZjX0WKYFR50h9Aa9apIUMwHk+dsqxdDRuyzdmz7PfqVcv1MWIEpROjR7vff9q0yMvy5Wlw54gPP8QAj20ZhzViDHqHT2sG9+/n5Fu9GkUpNJRc5A8/RIlyt8Deu2dpQLNjB69p25ZiVmdjHK5ftxiGu3ZxolarZkktrVYtcTTL8CVRUSiO1kai0bwmXz4USn9/bhUqxM+cp6gohOn+/Zbb5csoN9YGYpEiIljdcf26Zbbltm2kXTdogBHYqJH7Gk6tMRy//JI6h7FjHXtPExKzGQGbJAndyuyN2uhozpfevRGswcF8H0uXsgYkTco5787ZYTbz3TVtaqk7GDcOBf6dd7hv1Ch2n2HoUGo+DK9l9eq83wcfkB4aEMDfr72Gx9QR0dF8hjRpYhbJm808lzMnKcHxxEtrDPr5+Ws/v7gZg/ny0finZUvkyoYNyKtHj5BTbdrQDc/eCfr0KUrW7Nl47VOk4BpInRqnR/v2yCxPnDchIUQfFy3iGqlXD2W4desXT9bFJ9HROGcM49C49/OzGIaVKtHJu3BhkWPxyaNHnNMrV3JOV65sKSVy1BDNYOFC6gYXL/YsiqcU6+yvv9KApnhx3nvvXq6dzz5jFqCjKJ89CxZQurBrl2N5u3gxsqdbN4zZPXssnft/+AF59ttvlu0DA2mo1q0bxp4zpk3D+bhggePP9v77GITuooyOOH+edahbN17/+ef0JFCK9S9XLt7bqBFcuJDfbMkS9/suV459PHpE9M8RFSrQsdTfByacGIPe4V++vD64fn3cdpIihW3ayv37XGxTp/J/dDRC76OPUJY8KYp/+hRP6KpVeEKLFrVtQOPsQn30yLbu8OhRImbW0cMXdQ5fVBQG4sGDltupUxhkhnHo749nJmVK37//06dEd6wNxKdPMU6tDURX9aUvOlpj/O3fbzEAHz9GgXz9dQyZQoU8VzyOHkVwPH7MHL569eL18GPN4MHUDW/d6ti4HTOG58aNw1jLmJEOZB06YBxVr47QccekSQim9ev5Hg8dYr1p1Yp64/PnYzdWwmxmf6tWWSKLn3/OevPoEdfdli00zTpxgoHDzihXDkNg3Dh+c2tq1uT1ly7F2zolNYOxxGzW+tQpyhZq1tQ6fXrSmv/4Q+t9+0hvrl3b8vi8eVo/ehRzH2vXUmOUJYvW+fJRZpEhAyUXbduSFu1pneHjx9TFNW9OPc6bb5Ii7Un9kRATs5k6sXXrtP7f//g98uXjN61fX+sBA7T+80+tz571vi5NsOXGDa2nTtW6QQOt06bVuk0batIePHD/WpOJ3ydvXq1PnPD8PQ8fpoauenXeO0cOSx3d779TDuPJtffXX5RtnDrl+PmICEqnRo1iu7NnLc89eKB11qy2jxlcvUqpwIoVzt/7zh3OR0flQl9/zdoUl+t/9GhKOfLn17p3b9vnOna0LWPYt48SFk9o25bSj2TJbOsTDSIjKY0KCYn1odugpGbQO/mYPDknX1xu6dMj3EaMoBDXIDpa6zVrtG7ShKLuSpW4r1uXE8pTgRcZSZFqz54UthYrpvVXX1EP5W5BDgvTescOrUeOpI4qfXpe/8kn1PlcuPBi1B06Izxc6wMHqAHs3Fnr8uWpAaxUSeuuXSnmPXw4/grs79zhHBg8mELqjBkRrm++Sf3htm3UxUVHx8/7JyQPHlBfOXky33WNGgi9XLm0btmSGttjx2KnVAQEsM/s2bWeOTNxf38LFyJYnNUTnzundebMWp8+jTJdpw7nyoULKGU5cvCd2dcU23PmDPu5cAFB/8YbPH79OuddUBACOjZ1lNu2ce1Y8/vv1AtmyECd77x5CEf77ex5800UoKlTYz7XvTtNEFau9P4YPcSZjHzhI4O+bp0dEIDTYc0aHBnly+N0qFWLLI3Vq+m0V6WKpc7QiCBozXMjRhAFbtAAJ+q2bXjzjVFA77+PA9STOsOHD3GYLFqEE6RlS6LhjRtLh+i4cv8+js5Dhyz3Dx/aRg8rV6aOVMY1OefMGc7RVatweLVsyfnduLHnTb0CArguwsI4151ljVljMpExM3EiUbrq1YkkrlhBgODoUZyQ//4bcz6vPf/9xzGvXWuZjWvPtGnU3128yGe1HkD/+edc/0awxJ5Dh0jHPHbM+WerX59axTZtLI/99hufbfduSjFiS3AwJUAhIXT93LjR8tzUqXQYNZydDx5wzj965H6/X3yB03T3bso17EfonDpFNtS5c7E/dmskMugd/q++qg86y8X2lBQpiPgEBXGB5MrFXJW337aEky9coAB17lweS56cNMMWLUgjbdjQs1o/rVmMjc6k9++THtO2reP0HHtMJk4667pDk8k2cli+/ItTd+iIsDAWP+sI4pUr5OT7+1vSTEuU8P33oDULpBE5PHSI9NKHDzlv8ue3veXLZ7l399smBCYTkb4LFyy306eJ7oSG8p2WKcO98XemTLF/vydPqFOYNAmBOHRo4q4ZOnSIlM0tW7iulCIdZu9ehMKxYyjFWqMAa21JR0mRgrqrggVRsD74gNQeR0RHo4R36kSqT6lS1DvUq0eE8f596pq//55o9bhx3n2OTz7ht+vf3/LY8eMo2zVqsP8CBdhvpkwoLM6Um0GDWMMKF46pEMycyTrZsqVns61iwUubJlqihL+ePTtuxmDRoo47WoeHcx6vWYMMTJ0audSwIUrVX39xy5MHBa5tW64HPz/Sx0aMIEWxb18izxs2kKoWEMD1kCcPUfK33/aszvDuXV6/eDHr0Rtv8Pr69V9s2fYsefiQ69gwDg8d4vcqX95iHFaqFD9y9HnBbKYWzzAAQ0IsGV5163pf0/7vv8i9Tp1Yyz35Xm/eRHZoTX1gmjQYcV9/zbr+4AHlRCNHuq85PH4co3HuXAw2RxjGlJ+fUjNmYOAYnDnD5z5zxrXB9s03nEtz5jh+fto05Of8+ZbjatCA8qqSJV1/Bk8YOxY5lSsXMtjgwAH6gRw7xv9aUzttdOx2xfTpGN/Hj5Mu37q17fMLF9J4y5htGFfEGPQO/1df1QcNJS22BAai0GuN8lWpEifyX3+hyBuGYf78KMh//oli9vgxnrWrV2k+8957XOTeGKcXL1oa0Jw4gYepbVsaUXiiJGvNiWykle7cyZzA6tUt8w6rVYufurvERHAwysiBAxYD8fZtFBPrFNNixeJnJmJEBN/7tWuW2/Xrlr9v3mSxcWQoZs1KjWq6dCxMr77qu2M0mfhuHj3iXDMMPuPvq1dJ5ytSBEWxaFEW47JlfTvvKjgYw2HCBITQd9/xXomZO3e4diZMIDV4yRIUZqPBS82aGFH//YeHt1EjrrPvv0fgr1uH8TV+PMJj3TrWlj59qD+0jnaMGYPBuWkT0ZrBg1HSTCaMtPXrUaIPHGCNOX3a888RFYUBYN1ZzXg8fXoEWK9eCPd9+/jMP/7ofJbS77+jTCRJwjFbs3cv62Dx4hxzPPDSGoNp0/rrChXi3kCmeXPOQWdzBLXmXDEMw6tXeY0hk7ZsQV6ZTJaIYZ06OEdHjkSh692bc8pwsM6fz/mcNCldBFu0oOzCE4X6xg2uvUWLWFPffBPDsFat+J9v+7IRGMhvbxiHhw5ZZqcZA76LFuW+YMEXL2J79y66w6FD3O/fj3PsjTe4+fvHTiaaTDhMpk+n+Vjjxp69btUq6t9698b4M5vpSlq+PHIlOJggQqNG7N8VV6+iE44f79po7NOHYxw+nPe1pnlz3stdx9PHjzlHtmxxrA/fvYuececOo9v8/dEJ3n/f9X49JSQEfUtrHNBG59KIiJjOzvLliUq6m324aRMNai5e5Lewb9Dz7beUL3nSJM4TxBj0Dv/UqfVBYwh8bEmZEg/oyZOkueTKhfJepw7e9IAAFLnChTEK33oLT+eePRiF69fz+nTp6KKYOTPRwnff9a6+LCAAwbl6tWVoqNGAxtN23krh8du92xI9PHaMz2E97zAuYfjnhaAgS/fQgwdRpI3UGCM95ll5Pk0mFkB7I/HaNQy1x49ZtJ48YSFLkwZF3TAQrY3FdOl4PjSUKJH17ckT2//Dwtg2Y0bOX8PgM4y/woVdt3iOK6GheAF//BGh9d13vvH8xTdhYRh86dLxe2XKhABt2tQSeb95k/Po339Rgk+c4PtcsYJIX7lyfO6WLdlnvXp4248fx5jr3h1j8cEDHt+/n6yD11/H4/v++3ikx43D4FQKZSBHDrb1dKD3pk1873v3xnzO35/Uo/btOXeCghByefM670a3e7dSPXpgCNsX0oeEsLakS8f5Hg9NK15aY9AXaaKBgXjrp06llrVPH357VwbZjRs4RteuRZ5Ur845Xbw46+qqVThTmzfHMCxQgOj/+vVEufv25ZwIDUW+zp3LORkWxvlRsybne+vW7kclXLpEtHDRItbNd97hVqWKNEiJLx4/tnQvvXCBuuXz51n/8uZF6TduhqGYN2/iN9Tv37cYfcYtNNTiOK5cmfu4NjO7e5e13GSiaUquXO5fExqKbNi0ieBD9eoYNr16ca399Rf7a9UKB9+sWa7P//v30ft69SLN0xlHj+Ik+vhjsjys2bgR4/DkSc+cAJMnkyGwYYPj5+vXZ23480/0E/v3iytVqliaBFobpEaTOsPZ2bYtkdf27V3vz0iHv3OH7e2jni1b0njtjTd8c/xiDHqGn59fK6VUq+J58nQ5u3p13Hb28CEn7qFDXLQ3b9L8pV49PAk7d+KFLFWKjqEbNmBAvP02XspkyeggNGMGi2D9+hYBWqsWhmHr1t6lCQYHW9oTr1uHkmikJ5Qq5Z3gCw3FEDIih3v2sCAZC511KmO+fC/2gPYHDxBsxpiJw4dRaMuUwTA0jMQyZRIurdOI5lkbiPZ/h4QQhUqb1vUtTZqEEcrh4SzuY8ag7A0bxneamLl1y9JEZcUKnER9+hCFsK/D0JprunJlzpkuXTAY9+9HoZ06FafOpk2Wa3XlSgyv7dsRUiNGoIDkzImn2IgGtmmDwE+enCjq++8jfAw6dUKgde/u2efq2hUF7YsvYj7XpQvR84MHcWQtX47QW7nSebrLgwc4E6Kj+c7sR+gUK8aaeuyYd04sDxFj0AeYTET+Jk3i9+7enfPE3fw/Qy6tXYt8y5ULhbR6dRxba9YgX+rU4bo/exb59fHHjIoxFGGtuQYWLKDjrpHKVbw4keUPP3R/7pw6ZTEMo6O5Tt95x/NxF0LciIigPOP8eVsj8cIFDPVChWyNxCJF0I9y546fBnD2REZyXl2+zHHa35vNFoPPuDfSI33F33/j6Pj0Uxxy7uoxtcaJ8sUX6CHTplnW1+HDWZ937EC2G3WHS5e6dmaHhFiau40c6Xw7s5nfJmtW9CPrY42Oxgk6alTM9EhnREYiN6dPt4x3sObnn4nImUysGb7Wt4YOpVRhxgzknEHv3ui5hjzs14/P7Ug+WhMRgWx/9VWcvvv32z6fPz+110WK+Ob4xRj0Dv/UqfVBd1++u4s7eXIurLp18Rhs3cpIicBALsxOnVjQNm1CWaxZk+jGvXsojmXKYBi2aYNSNW0aUYIPPuAkW78eQ7N9e/ZVs6Z3C05UFEacUWeYMqXFMKxe3fuCb5OJ4ztyhKiH/S116pgGovX/2bMnfq+fNzx5guJqbSBevIgAM6KHFSuyGMbHHMQXBa35HufOtXgzhw2L/Vy8+CY8HMG6cSO3e/cYq3DhAgba2rXOIyYLFyJYN2/G6xgdjXAoWpR1o3hx1opy5SyviYxkPdi/H6VDKQb3jh9P2snQoaTOVahAVO7iRer5btywFZSLF5N2t3at+88YHc1nOXDAcSRx+nRLwf+AAbxvs2YoD9evO96n1hi+hke6WjXb5996i+9w+HDPFQcvEGPQxxw9ijN05Urqg/r0sT1vnWEyEW1euxYjMDAQ73iDBpzrGzZwXRUqRPbByZNky3z1Vcxz0Wge8/vvnKsmE+nrLVsSXaxY0bnM1JrPsGgR10aqVBbDMK5ZQ0LsCA62lCIYRuKlSzjab98mEpQnD8ah/X3evDgNHBmMkZGcKw8f4pSyvj18SATsxg0MvoAA1ttChVhv7e+zZIk/p8Hly6ynx45RItCggfvX7N2LPLh/n7l31mvn5MnMIdy1i+uib1/0lb//dp3ZExWFXpojB5EsV5/3nXe4lu/ciengmzYNQ3TLFu++s2XLcHoeOhRTZ/z5Z6KUp0/Hz3W6bBmRukqVKO8wWLAAPXrpUv6fPJmmLz//7H6fefJgLF++jFPe4PFjzrUnT3ynG4sx6B3+GTPqg55caK4IDCQNy2Qiqte9OwbcypUYcJGRCLuPPuK5gwc5kbZuReEtUYJUgE2bMBjeeQcFcelSlLa6dTEWr11DUY6IwPP5wQeWBjWeYgg+wzC8e9fSgKZBg7h7V7RmMbI2Do3URuMWFMRFYW8sGgZj3rzPf41ieLjFYDYMxFOn+NzWBmLFijGHtb5s3L6N8Td3LovhBx9wK1YsoY8sJsYg7Q0bcLCULYvx06QJTp2OHRF2S5Y4NwTv3+d1a9bgPNqwgUiiUZA/YAApuo7GM/TqhTNlyBA8tqVLs485czDcDh/Ga50hgyVN88cfbfcRGMh1FhDg/nrfuhVD88ABx8/v3cscpq1bMRrbtMHQzZIF5d16jqA11aqh0L3zDlEfa4YNQ2lo0ID6SR8jxmA8cf8+5+y0aVy7ffoQ9fPU2XjxosUwPHQIWdq8OefJjh1cI5GRZKq8/jrndalSMfcTHY3SO3MmzpbAQORJnToYhs2aOb82tabuddEiruFs2ThH69QRZ15iwWRi7bpxA+PQ0f2dOzic8uTh/DMMvpAQ5G2WLJab9f+ZM6N/FCrEa59145uQEKJnM2aQ5tm/v/s1+tw5mp3s28d6+eGHtsc9dy5ZIzt3su6PHInTY/t2130ltGZtfvAAXdHVd/HHH0QwV63imrfm0SOCH4Z+6w1akx332Wd8LoO//iJamjcvsjAenIbq6FEybp4+RWc1nAsXLhCpNLIR1q7FKepJjXvt2kQH//0XXdgot/rvPyKM9tHCuCDGoHf4TD5qjVfyxx9JbcmShdzfJEm48Fq04GJavRrv5sCBXIjr1mH0bdmCYVisGAvZli2kHrRpgwCcO5f77t3x/C9fjsAqXpxo4VtvxfTGeMLly5YGNMbJbzSgcdcdKbaEhbFg20cUDaPxxg2ErqPoovFYtmzPXypPVBTnhrWBePQon7VMGW6lS3NfqtSLPUA5NJRzbu5cFsA33mCxr1MncUWNtaZGz3Ce3LzJtWwUwhvXSFQUSmN0NB5FVzUR772Hp7VmTdaCoUMpHlcKQVOjBo4DR/XC+/fz+vPn6bh24waeyqgoUk+MesDwcK6V3bsdp53Uro0QddYRzqB7d6IwAwc6fj40lLUuKIjjvnMH475ZMxoXtG3r+HUffMAx5s8fs7PpkiU03cmSBaHvY2TOYDwTEcEsumrVtC5QgPmDgYHe7ePRI60XLNC6QwdGl1StqvXw4ey3f3+ts2XT2s9P64IFGV3jaq7YlStaf/stI5WSJGHOV8WKWo8fz7gVZ0RHa/3vv1r36sVnSZ2afXTooPWYMYx78mQmnPDsiY5mXuLevYzSOX+ecyqxzkY0mzm38+TR+t13mUHojlu3tO7WjXmdY8Y4vgZWrWI00enT/D9rFtfM7duu9x0dzYy96tXdz73bu5f5eO3aOX6+Rw9GBsWWf/7RumhRy/iof/9lTuG+fVr/+CP7jw+ePGEGarp0jFEyMJsZ1XTnDv+fOKF1iRKe7fO99xitlDOn1jt3Wh6fNo3RZ75EyZzBhJePxgy09OkRWOXKad2+vdaZMnEifPIJJ1OXLlpfvsxrnj7VetEitkuXTuuGDbmA2ra1/P/VV/yfIQOLwKFDWq9ezWvSp9f6nXeYSxYVFfvj/vVXrVu3Zh5cgwZaT5nCnLJnicmk9d27Wu/fr/XSpQjtPn2Ym1apEotfypRaFynCEM+PP9Z66FCtZ8xgptu6dVxox46hCDx8GH9zBOOK2cyg1b/+YkH/4AMUlVSpWLRbtmSQ6vz5Wh896njQ6vPC9etaz57NNZAhA3MvFy703ZBVX2E2a33kiNZffIEyW7Cg1v36ab19u+NrKyqKz9SihftZgGvXMuvv6lUEaKNGtnM+27ZlUK+rYyteXOtffkEhNoT648d8p7lzc83Mm8esQmeMGBFzoK490dG8h/XsVEeULMn3NWKE1smToyAPHcp564zvv9f67beZwWrPiRN8Rzlzun7fWOJMRr6wkUGjQD5p0kpdsmU7FKd9lSiBR75dO8+ySPbto65wwwYcH717x5yv5Y7ISKIaRtTQZCISYETXN2+mXql2bSIZLVo4z7YIDcX5OmcO0e6ICKILrVsTyXRVMhId7diZlzGjbUOxSpWcR8QFwZ4jR7guQkJIOaxd2/X2165RS79oEWmM33zjeFzTtm2kO2/YwDk5ZgwRrC1bXHfifvSIazUyEsemq1FQRolAdDRpvPbX3bFjBBhOn459BpTWOE4HDCCjpHJlsk8aNOD9P/jAu+7Y3pAtGynLJUrwXkYAomlTmqC1bs3zWbOytrgLUAwejCN3/36iuUYtYo8erIt9+vju2CUy6B3xnTmjtmzB8793L8KzWDHL6Ibs2S1h9W+/taTFBQdbIoabN9PVqGhRmi1s386FnSEDgrZIEdK06tUjLXXuXFoAv/sukZbYjs0ICeG9V63CO1+ggKXOsHTphI/KhYbaRhevXSMqYXTEdHRLntzSVdObW9q0tv+nShX/n99kImp78qTlduoUC27+/DEjiUWKeD+zKL4JDSW96++/ud2/TzStSRNujuaVJSTXr5OuOn8+1+B777mfaxYdzbX29CnXnysF+fFjfqs//kD4P3xISqfxms2bEQ5nz7rez/DhSv30E+l4HTvy2MSJFNEPHMh3mzs3646zzmRHj1pq85zx77+krhw54nwbpfieGjZkXapenawFrTmmzZsdv2bRItaq48eJtlpjFNqnTUuqtY8V65c2TbR8eX+9YUPcRkvs3k0N0+HDKGFdujhO1bTn1i0U0VmzUOb69EFJ9DYLQP/feAvDMDxzBqUwWTLOtWTJkF1VqiCr2rSx1Nc62tfevdRSbdlCOly6dMjSXr04p92t82Yz67RhHBpjFZIlsy0HqFQJGZrQclNIPJw8SW3f5s1K/e9/GHauUqovXCCFdPVqmjX164ex4oj9+6mXXbqUNMsePXB+rFvnuhvpiROW62bsWNepoTt20AAxXToM0s6dbZ/Xmmvp3XdJ84wLa9ZQPpAtG59nyBAeN5nIIDlzJn70idq1kVFZspCBU6MGjw8diuw3xnFky4bh605W/fILn+XAAb6X8eN5vE4dUnxff913xy7GoHfEuzFoEBHBhTx7NmlUmTNzMlWsiLBauxbhOGiQrXANDiYXeelScq6rVCEd7OZNvKVFi6J0BwayQHTrhjCcNw9lK0MGjEIjNS02GDUYRqpcsmQsGG+9RRvh50HAaU16qjE6wZnB6OxmvObxYxSYnDkttxw5HP+dJYvvUx4jI/EsGcbhiRPc37zJuWBvJBYs+OzSLgMDEVg7d2L87dnD+W0Yf5UqJa4U0OBghObevRzvyZOc0++959kMMm8MQaVQnP38qF/6+WdqPYya3ydPMDpnznSfuvnpp1zfQUE4Jkwmfnuj6c6gQQjygADnqd5aYzBu3+7cS9yzJ9sYKazOGDcOQ3rSJBSDzp1p8lG+PMfgaH04fJga6itXcOrY166UKIFHevBgUnJ9iKSJ+oBLl0i9zJlT65o1SaPyJMIfFkb2SfnyRLinTiUrJrbcvav1nDlat2mj9auvksaZOTOR5caNySIpV07rIUO0PnjQNgpvz507tumkKVJoXaUKaajXrnl+TGYzWRCrVhEhb9WKiH2GDGSyDBhACuyZM5bUN+Hl4b//yPjJnl3rkSNdpyprrfXJk6SOZsmi9bBhZDq5Yts2MjrWriXVsWlTsnCePHH9usWLeY/5891/hnXr2PaNN8gkc3RdLVhAlpMvznGTibWmSJGYWV6tW5NhFB906sRa0qOH1u+/b3n8r7/InDOoWpXf1R2bN2tdpw5ZQU2b8pjZTGZfQIAvj9x5Cozc4l8+eszJkwiIFCm0TpqUlMAyZTjxsmUj5ezo0ZivCw7WeskSnk+XTuvXXiPPuGlTrdOkIaUtTRoujm3buAj/+Yc0Suu0PFf1Fe4wUuiGDuXCLFeOfOfHj2O/z+eNJ0+0PneOtMFFi7T+6SdSeD/8kLS/MmVQSJIl0zpXLq0rV2bx//RTlJJp07ResULrPXtIYw0KinstQ0gIacN//KH1l19q3by51vnyUedSuTLn1tixWq9fj6LiSilyhsmk9c2bfO5ff9V60CBSk/39SXtOm1brChW0/uwzrVeuTFznRHS01qdOobR264Yymjo1iuyAAaRbu0vxtCYqSuu33uLa8yR1d8ECrpeVK0kdnzfP9vmuXT2rGfjnH86pOnVYC7RG6axalb9NJn6PevVIQ3fFxx+TBu6I6GhqTc6fd39MW7dqXbs2f7/2mtZly3J+Zc3K+eKIJ0/4/qtV03rXrpjPv/EGabejR7t/fy9xJiNf+MhgwYL+etiwuHk+y5QhsmcQHU20YdYsnCodOljGjbhCayILkybhkPjoI6JxzqJ4nhAWRmrcqlWkt4WG4mRo04Yo89q11KkaDdPq1XOeTREVRSTg11+J9IWG4hx69VUyegoXxnlTvTqNbjyprw8IsE0xPXKEDsTlytlGEUuVevGGsb/saI3DcdQoHGdffklKs6tOnocOEXnavZsoYPfu7udozpzJCIqFC8k0a9mSc3TqVOdRvuhoHIhLltCkqWJF1++xZAldPPv1o9HN4cMxU0mN1MrFi3GsxpUbN3BwlyxJRpw1EycSGfT1nEGlGC2xZAnNcb7/Hkd81qxkORUtSkptkiSse61a4Uh2xcWLBH0eP2Zsl5HZVrUqzRx9iUQGveOZRQYdYTYzJ+XHH4kSJE3KRdWwISlaVaoQDvd38HOGhFgihn//jYAuUIB0yd27LSmR/fsjnJMmtW3Y0a4djWc8iYC4Ov5//mFB2LKFqMpnnyHUBKJ49+6Rvmrc7t6N+X9QEMrGq6/aDop3dzO2S5mS39DRLSSEBej8ec6xc+dIQwwJIV0ka1bOuXTpiFglT865YjazoAcFcbt/nyhO2rSkpBYubHsrUiR+W217Q2Qk0VJrpev4caK1/v6kelSvjsIaG6XL24jgmTN0BF60COHcujVC0mDLFoTNiROum0AZ0cOffyalbdkyFNzXX+ca79gRJWD8ePZZsSJKQIsWjve3YAH7WLky5nPbt1vaj7vj0SPWnqAgBPOgQSjmjRuTDujs/XPmRCF/7TUyGqwZPJhI7auvkrbrQ17aNNEsWfx1ixZxSxPdsYMui337YlBZK5g3bmA8zZlDhkSXLihJ7jpwXr1qmRlWpw7nTL16cVtLzGa6do8fzzUSGck1/8YbXLcbN7ImNm3K52ja1LWibTKxluzciZJ+7hzZGIGB7DtpUq7dXLlQEitUQLbWqOG6M3ZQECnbxjpldAMuVco2xbRcOdeGg5A4MZlYY0ePRm58/TWNxtylX44ciQz78ksyQdx1V4+OtgyZX7sWfaJVKxwsX37p/Fq6exe5kSyZpQu0K375BWPzl19w4KxdG3M0kFJ8zlu3yGCJK1rTkKxGDdaXpUsxngyOHUP3PH8+7u9ljzECqkkTyjpKlLA0UytcmBKqkiVJk02TBrnlishI1sNKlbjWg4LoxD1pkvOSitgixqB3JKgxaE1AAOlYCxdyIadIwcl/6RLe2CFDKKJ1REgIRcKGYVihAnVlJ05w8/ND4RoxAmX49m0UwT/+4L2MVv5xGXZ59y4X6qxZ5E9364Yi8CIPoPclJpPtUHhHN2fPRUWh/Hh7S5UKQ8bwjptMpDOHhqIwpUmDsZgrFwpg4cKWOTnWt4wZ4yf9U2vL8YSFcW/cnj7lPL59G6Fj/bfhtbNu6FC+fOy67doTFcVM0SdPPDMEQ0IQXL16kU4ZHo7DxlAGvE0P9fMj1Tw4mN9i5UqO5+pVftMSJVCq69fHoHv3XYSlIyF/5w7e1vv3Y9aqeJoiapAnD6nkSZJgGN68iWGYLp1zAVmvHu+fLBmNE6z5808+R0AAx+9DXlpj0BfCLjqamqWJE/Fo9+rFeWkdGTOZkEOzZ+PUfOstDEN/f9cGXnAwyuPkyci/Pn1QVONqBGmNvBs2jDXCbMborF+f83XnTs7dmjUxDFu3dl1XZU9YGA7Y//7DsLtwgfd5/JjvInlyHG65c3N9Vq7M+5ct63j9CAnBeWUdRTx7lpRyYz1r0AC9IDE43wRbtOY3W7oUp1/OnBgLLVo4l5NG5HDECNblr7+mvMcTZ2VQEAamUrzfxo1E7qZOtTzuCEM+dOmCfuluBMxPP2G0rFuHIfjeeziF7Dl3DkeIr+q9Z88m4LB3L/f//EME08BsttTs5c4d9/ez5sAB+gaUKYMR/M47XN9Jk/LdNW7MdzFrFhHLOXPc7zNvXgzo3bvR21eutJSR+RIxBr0j0RiD1vz9NyH4M2f4P39+hHDx4pyQ9eo5f21oKCfYkiUsCsYcu0OHEN5Zs7LIDBmCh+LoUcuQ7yJFLGMqYjtWwlAEZs5EwL77LoZhmTKx25+QMJhMRDWNJj03b2I02N8CAlBeMmWyGIcpUyLcrG9ms+3/JhNeMme3iAiUrOTJ8Yoat1SpuH/1VQRN7twobtb3OXLET5pVaCiCQSm8ve4MQa25npRCQB4/jsCynp/YrRvfxS+/uN7X+vUYaMePWyItb72FE6ZpU6Jx48cj4Nessbzuiy8wFJcudaw4lirF9W+dfWAy8T0aNcme0LQphkHLlvw+P/7IObF8Od+VI7p25Ts8eZL0PmuOHcMQuHoVpdqHzZFe2prBcuUq65s3tcvbrVuubxERlnzbAweoo8mYkZqas2dj5uTevk2X2YIFSc2eOtX9mAmTSeuNGylryJqVOj5n6cbesmMHtT6ZM1MPmCkTx/Xll9Rvvfsun6dKFY775MnYpdQbBARovXw5nYmbNCFVPG1aUsWVoit27txa16hBGcHMmbynfcp6RITWhw/TRbhbN77PPHlIBV+xwn09mBC/mM2MOvjyS36bIkW0/uYbfjNX54/JpPWyZXRJL1OG8RLedIM/f57a2969qb397DPe+/Bh1+85ahQ1i3//7dln+/57xjtcu6b155/T9drR5zKZtK5bl9IZX3DhAtfqyZP8HxJCKdW5c7bbtWsXs/TCFzx6RDlD0aL87+9PvaTWfMbPPuPvTZsokfCE2rUpCSlenLKt5s25hn2NkppBr24JUjPoKWFhjKFImRLBkSYNRbu1a3PyuRNSISEsNO+8Q4Fq7dpa16pFvaGfH7U9v/7K+0RGUnD81lts+9Zb/B+XkQzXr2v93XfUONWqxcX6PI9GEBwTEYGiePQoBdLr1lGbuHEjwmbTJh7fupVa1n/+oe5w716E1qlTLPrXrtHE4eFDBFtiaq7w8CH1hR984Pk1MXu21qVLo9SmTKn1hg22z2/YoHXevO4bCDx6hMK4davt49OmUZcaEMDxZclimSdlEBamdalSKKSO6NUrZl3ev/+iIHvDgAGWkRjly/OZT5+m+N4Z48dTt5g1a8znQkMpsi9a1KII+AhnMjLBhVF835Inr6xz5dJObzlzur5lz852EybYNou5fZta6GzZUGwcySaTiTXAkC+dOlEr6k6GnT2rdc+e1Lx36ECdtS/Yvx+FNkcOFLo+fVDOcuZEUfvhB0Y75cundaFCHPd336G8HTkSt7p7rfk+Ll7U+rffeP+6dVkLXnnFYiimTk0fgNdeQwGfP5/vIyKC7+3sWX6LRo1onvPaa8xdi6sBK3iG2cz52L8/50nx4loPHowcdPf9nz9PzX3evNRNr17tfd+ATZu45mbMQH5WrEg/CVfy5OFD+hfUqOHZyDCzmbW9bFmaNS1dirH76JHj7WfM4PP4QnZHRXGcEyfaPj5oEHLLmilT0JXjg4wZkd+RkRyLUdu/ezdGvNZ8/wUKeLa/999nbSlZkjUlSxbfObusEWPQu1uiNgatWb4cQawUwiJ1ajxJf/3l2cIfEsI+OnRAGFeqhAcpaVJmgzVqpPWaNXgkHz3CQ1mzJotN377uPVyuiIqicUaTJpz4AwbE9OwIQmLl5k2MugEDPBfY+/ZxrrdvT4Moe4F25QrX1j//uN/X+++jENvz7bdcu0+f0sDIWcOYrVsRVI4cMStXxpxH2LMnURFv+O03BupqjZc6Rw4UgtSpnTcSWr+e+akZM2p9717M54sU4dh83CnupTUGfSHsDh2iuU/27DgRrCNSYWF09ixbFgfErFmOO4zeu4fRUqwY2/30k/vh7YGBGD4FC9IsacEC2yhlbDl+XOuOHblWhw7luh03DuMsbVoavX33HY6Ob75B2S5dGqOtYEGcHv374/jZudM3Q+hDQuh8OmkSzid/f9aKZMnocurnx/vnyMF33bQp137XrhxPzpw4j7p2xciIS7dWwUJAAM7NMWPQo/LksSj0x4+714+CgrgmDL2qXz/HjQLdERFBBDJXLpyry5bhUJsyxfkxmExaz53LMffr55lDMzqac6hqVYzI48e5Tg4ccLz9zZs8f+KE95/JET/8QBTfXubeuoXMsDZ6T57keowPqlbluz5/ng7AefLwPYeFId9CQ/lNUqTwLKo7eDDR/fz5cZ7lyhU/xy3G4LOXj8+Uc+eI8Bnew6RJEcwLF3quqIaGWgzDdOnwfKZNi9KaOjWpMuvWcYJfuMBiV6AAxufYsVyMseXSJYZRZ8tGm+0lS3wj1AUhPjh7lkV77FjPX3PqFNfkW29xXdkbaaGheHEnTHC/r5Uria4FB8fcR86cWlevjgKeKZPWN244388bbzg28B49IqpgpKUZXUS9ddYcOGCJJu7ejdJqMiFId+xw/JrLlxGsdeqg1NjTujWD6b/91rtjcYMYgz7g+HHkR5YspJBZp36azTggWrXi+W++cez5NpvJEHjvPRyUHTtyHrhSqqOj6Z772muc/8OHO3YkeMv580QWMmXCsXL3LobdvHkcV4kSGGDlyuGcGTWKlM3ff+fvTp2IiKRLh2Jety6K9E8/kSFx9WrcO0abzRzXtm3st1s3nCklSnDchmP3lVe4N/QDPz8iq/7+OHpmzsSJfOgQmRiJKQMjMWA2o6csW4bi3qIFCnuGDFrXr49z/I8/MAzcER3N79+xI+d4+/Y43WObcXXuHN3BW7Vivf/8c4wgZwaa1mR6VK7svHOzI27eZFxL/fo4fO7f532cjZ0wm1mvv/vO64/kkAMHuI6cybSOHW3lp9mMTnn1qm/e35p330X3XbeO98mf35Kt4u9v+U7z5kWmueOXX1jzUqcmoty2re+PWWvngk5u8S8fnyk3biBskidnwVcKY+6rr7xb3ENDyVfu0IE01EyZ8HBky8bi9cknLGbh4Sh1nTvjlWnSBG/osWPe5bgbREQwlqF+fRTnb77x7EIShGfF/v0YRr/+6vlrrl5FKDRvjvJQt67t9WE2M4KkY0f3nuT791F4d+6M+dzEiQjrKVPwTvfu7Xpfly5Re+HIkePvj8KgNal8sVkTg4NRQqOi+FxJkmAQdO3KnDZHmEysWZ984njExddfsy61auX98bjAmYyUBjKx4Nw5uu399Ret7/v2tW1WdPEiQ93nzaOutG9f206ABo8e0TV21ixqhj/9lMYMzoZqK0X97JQp1KS2bUvDGXcjLdxx7Rr1rn/+SWOmL7+k4YNS1DCfOsX7Grdjx2gmUa4ct7JlqV02htGfOcPt7FlqX4sXp4lMyZLcSpSgLtcXNc5aU8N97Rq3S5csHaQvXuQ7NploYpIiBXW4RtOwjBk57vz5uc+VixEa6dMznsP+PlWq5795TUSEpdO2cTt3jhrmdOk4lypWtNznz+/+Mz96RNOR/fu537uXhlqdOtFQL3Pm2B2r1jTUGjiQRkj58jHLtUQJGvc56vFw/jzbHzlCN9N33nF//FFRNHAaNYrO8IMHc343aUJ3+zFjHL9u6VIGsR85Qs+AuBASQg370KF8Z47Yu5d+FEYzF6X4fM2asW74kqFDqdl//33WmG7duI7796dOvlAh/q5Xj23dDY7fupVGQSdO0Pzn++89b9TmDdJAxjv8kyfXB2N7gRq88opSPXoo1bu3+6YSvub+fS7eiRO50J8+5b5WLTqo5cvn+b7Cwmj+8ttv3JvNLPxp0yLI2rWjgUa1agj/deto333zJt0a/f1ZMPz9aZLhaYfJs2dRAubO5fWffUbnLVftlwUhPlmzBoV0zhxadHvCvXtcd8mTWzq27t9vK6inTaMj2p49rjvtak0b/KJFUU6tCQuj2dNffyG8q1enyU+ePK6P79tv6bb6xx+2j3/9Ncrh8OHMvipXjgZW3mIcU4kSKNDNm6NEHTjgvMtahQoIz6goFHtr5s2jO96pU7Tb9xEvbTfRPHn8da9ero1Bd8pizZp0wrTn8mUUzmXLlOrcWakBA2jkZPD4MUrr5Mk0furbF3liv8ZrjaI3ezZd9ho2pNthw4bO5cmDB2z/8890PO7Th46gcZEfd+4oNWEC5+0bb6AMV6zI/q2PQ2u2PXbM1ki8eBEl0TASy5XDKHj6FHl39qzFULx+HUPD2kg0DEV3c+W8xWhlv3Yt3QyvXmV9SpYMJTw4mP/TpEGpT5aMc8K60/TjxzS0c2QkurpPmxb9yOhinSoVN2M0kq/RmnXlzh2LoWdt+N2+zW9SrBi34sVZb8uUcT9iQSm+iyNHLIbf/v0Y45Uro8dUrcrNGx3MEYGBGCBnz2KcTZ+OrPnxR9ZY+2v23j0cNAsW4Mzo08czvXTHDpqU5cxJJ1Kj0dnnn3N9r1njuOPoo0d8Z8uWOe947ylaY3QlT67U77+73rZaNWRamzb8b8hVe/nmDSYT54XhAFIKvXTSJLr7T53KujRjBjryvHnIvMWLMfjr1WNMlCsuX0bm5crFObNggeuur7FFjEHv8C9fXh/8+++47eTePbw1hw9zEXbsGD+LmyuePEHRnDABxe7ePRbsbNlYQHr29O6YwsI4yX/6iRM2aVKUPT8/DNB27VCSq1Th/Q4fxjA8cID7hw9pgW0Yh1WqsPC6UjbCwvAwzZyJZ7NLFxQLd0quIPiSKVNQbFet4rz1hMePMcoCArg/epRuutZDtHfvRrHcvRul0hUzZlgGedtHDSZPtgzX7tGDNtsLFzI+xhVPn6Jg2n+uTZswBDdvpovoqVOxawneti2C/M03EdAXLmAA9OjB+uCIjh25vo8ejTln6dAhBOvFi3RNdTevzkNeWmMwZ05/3amTc2PQ3cc3mznPWrdWauxYOvrac/06z/35J+OKvvzSdv02mVAqJ07EwO/Zk3Xefmi1UlxTf/6JoRcYiCz45BPnYx+iorgWJk1CoevZM+bYC295+JBOv3v2cI4+fIhhZ0SMKlRAEbZXtiMiUN7tjcTISFsDsVw5DJDbt22jiGfOYLxkyGAxDK0NxRw5fBOZe/KEmaTr19OFPGVKHFolSvC93buHLL5+nfubN3k8b16OwRgtlC4dhl2KFOgdT55geD5+bLl/+pTROmFhtvcREbzO3lC0v0+WzNJp2rh393fy5OhAxYtbjD7jVrCge4eB1nwHRoTV+nbrFqNxqla1GH/Fi7sf0eApWrMmdunCKJHwcMYqDBvGtWB/7Pfvc+3NmcM6PHiw68i69esGDGDfP/2kVPv2lnNr9my6VO/b53g0k/6/jtnp08d05sWGKVOQGbt3ux8p8+efXJtGJ+qzZ8k+uHrV+/c9f559LVjAuduxI99lhgwcy0cfcb78/Tfncp48nBc3bzJe4upVOv37+RHpc0V0NGtnu3bMHl6zhi7cvkaMQe/waebMzp20co+OZqaYO+UsPggNZTH48UdOuFu3OLmTJMG7OnYsUTxvCA/HG/Xzz3g1MmWiJX10tFKnT7MQ+Ptzq1yZm9Yoc9YGYliYbfSwShUEuyOhdvw4RuHChQzs/uwzLrpnbWQLLw8mE9fv33+jHBUo4NnrQkLwUl6+TNrI779jYFmnrN27x3Uxc6bzIewGp09zzv/3H8qFNeHhGJJr13IdVq7MiIbQUJRgd4wbh9LXuTNGVpYsKLPNm3ONz50b++G3gwejoAwbxr7690fRyJYNRdCRkvT99xjQa9YwxsSakBCUzdKl+Wxx9Tr/Hy+tMegLYRcYyO/6778oTw0aON7uzh3Otd9+I5vk669jXk9HjvC7rl5NOljv3hg6jjh0COfIkiVcG126oPg5U+YPHLDMRHO3b28IDMQoPHqU4zfmChYpYmsgVqjg2MC9d4/0MGsj8dw5jCt7IzFfPpRNw0g0DMXTp9lXhQrIcuP9SpSI2wgWrTmeDRu4HTqEjG7QgEhGlSpcw3fvWozD69dt/752DSMsXz6infnyWf7OnZvrOVs20iWN385s5jX2RqL9fVQUxmqKFNy7+jtlSr4LT/QFk4nPZHyGCxcsBt/58+y3eHGLQWn8Xbhw/IwwMplwaowdi+5WvjzR3B49SA21d4o9fMi1NmsWRsw333g2b0//3/zNAQMwHr//3tbBs2sXhuHOnbbjkKyZMIFrfPfuuDvrDGfpnj1E1d0RGYmBtmED14vWOCj27+d885QFC8hU+PRTxq7lysV3uGYNz5UsicMmc2ZkvFKsQd9+i06aJQvX5l9/8V25i2gqxXpRrRrR1KlTWc98jRiD3uGfNas+2L69643ceeBSpsRzUKECJ+SSJZxMpUpxQZcq5avD9ZzISE7k0aNZsJ48wTA0mViMO3dmNpm3Q+Hv3EHpW7oUBfTVV4kAFiiAcXjtGgIyc2aLcejvzzbh4bbG4YEDCATDODQMxKxZLe8XHIz3ZMYMFr2uXfEOZ8/uy29LeNkJDWWIblAQgtjTaIIhrAMCMIC++ILz1bpuwGRSqlEjPO7/+5/r/YWHIyQ+/xzhZM+UKXjyV6/mGs6VC2W7VSsiLa7Wqn378Ebeu0faW/nyGGsHD6IE5s+PdzO2NReLFiHcli1DoSpRAo9p7dooM0WKxHzNkiU4fDZt4rjso00FC/J91K2LMuQDxBj0AevXk7rWogWOR2eK4P37RBtmziRa/M03MWdX3r3L+j5jBgZV374oWY7O5eBgUrJmz8ZQMqKFzpS/O3fY78yZnO99+mBE+tKpGB5ONN3aSDx2DGPQ2kCsWBHDyP5zRUVhdBg1iIaR+PQpNYjWBmLZslwjRmrq0aOW++vXueYMA7F8eW6xjYw+fYpyu3Ur0ZfLl7mWX38dA7FcOcff45MnMY3E69fRP4z5tI8eEXUxjEP7e/vHMmWKfcQtJCTmsVj/f/s2+ophuBYpYmv4OTLq44OwMAyJceP4zMWKYei0aKHUDz/EzJB6+JBra/p0Zs5++63nKanXrlHje+sWTh37DJjDh6m/mzsXWeGIVauIvu/e7Z3x5QjDWTpjhndRsmHDOJcmT+b/t94ic+GDD9y/1mRiPVq2DHlatqzt85s2sZ+dO9FNIyK4JlKkoObv/n0yHBo1oqwiRQoyA+1n5jqiaVPu9+5Fpx071vPP7CliDHqHf/78+uA33zjfwBP94P59LshatSggLVuWE+fnnzHG3ngDr4t1DcWzwmRi8PPIkSyKadIgeEwmhFCVKiiAjnLPXWE2E7mYNYsoRdKkCIawMNLkSpRAaD19iif0yBEMOMPo8/dHOAYF2RqIBw8SabSOHlaujOA4eBChvmwZF+BnnxF9fd4L2YWE5cYNUhuLFUMweloAf/u2RSFaswZBNG5czPz/wYPxdm7a5F6h6deP43E0JN46KqgU1+zZs1wvRYpwnTtroDFnDoLvl18Q3qGhFgGqFJ7JX35BGHfr5tnnt+fkSb7Hs2dZH1KkYJ+LFqE0OKq9PHGC7yt5cjzMlSrZPt+iBVETs5m1xge8tEPnfd0tLTCQ5j/589N4yBUPHzK6IXNmuvOdOhVzm7AwRpSUL0+HzOnTY3bRtebYMeaNZcrEeIXly513aQwLo/NnxYqMtJgyJX4HtZtMdChdsoRuuMbIB6MjZb9+dKQ8ftz5MT94wOiZSZP4nv396UBYsCCft1cvGkmtXUtny4cPmd06cyZzzGrWpFNwvnx0ehwyhO/o4sXYdTa9f585c927M1Mvc2a6Y06bRtdlb0ZPRUczquHkST7j4sVaT51KN8ru3dlv3bqcB5kzM1YjfXpu6dLRpTltWj5fmjR8L6lScXvlFebCpUhh6a5arBjjszp3pvvt77/TlfXiRUsn5YTiwQO64mbLRufSnj1pqvf22zHnxppMzBd85x2+h08/ZUyRp5hMNPTKnJnRDY7OvcOHORZXg9APHqRT8P79nr+3M6Kj6Q48ZIj3r71wgWM1mrRNmWKZA+gKs5kOwPXrux4HM3MmDdoqVGBcy9mzPH7gAI9rrfXAgZxT58/Tmd8TevRgncuUSbqJJpabz+RjcDCzk7Jl4yI2hN2jR8wny5RJ62HDXAu3+MRspjVuzZoIB39/FtbcuWk9nTat1h99FLuxEdHRtAjv2ZN2wIUKaV2vHu+RJg0n/WefMZ9n/HjaIdesyXPFiqEcjB/PPoKCuKj+/BOBWacOC37RonRgHD+e+WTjx9Put1gx/vbFfCfh5SI62iIYR470Tpk5cgTFo0QJOoiWKBFzlqDWtHDPnduz9vdLl9KJ9OFDx89PnkxnTZOJNuFz5lie698fZdses5lW6MWLW1qh37xJR2DrwcH9+rEe5MxJl9/YEBGB4mXMM8yTh/Ea/fpx7TsiLIzXvPkms+Ps+eIL1o4qVWJ3TA5wJiMTXBjF961Yscp62zYdp1tAQMwvdMMGztsuXZzPlDQICuJay5YNhf/IkZjbmM0YCG3aoHAOHOh6QHZoKDPUatem++/XX6PkO8Jspiv2m28ik/v2pdPus+LuXbpzjx5Np9zixVlHKlVCiZ0yhVb1zgzV6GgU0rVrWW969cIwLFIE4yd/fmazde3KOJxly1iD5s1jTELLlvxWadNqXasWMnvWLJT60FDvPsuNG3zvH33EPnPnZi7ib795NtDcG6KiWK8ePcIJERTEufb4Md/V06foViEh3EJDWVvCw2M/Hzk+iY7GgfLJJ6zFnTrRUT1nTq3btcNJYM21a+iP+fNjmEyZ4lxOOMJkYuZklSr87s7GYhw9iiG6bJnzfV2/zm+9fLnn7++KUaMw/GM74qRqVdYgrfneihRx/5oxY7jmPNHHu3fn85Yty3WnNceaMaPWt28jt1u35nxLkcIzR8v48ejKqVIxazU+EGPQu5vPR0s8fcpCnzUrxotx0V2+zOKfKxcjGRJqto/ZTBv5xo0RnJUrowzXqoXg9fPD8JoxI3bHGB2NIO/eHYFfqRIC59tvEf45crDgvfkmxvOff/J99OzJzLTUqVGs338fYbdzJwv+yZMImZ49UYRTp2b4b7NmLAZp0jB3ydFgbUGw59gxzps6dWJ6X92xYgWe6nr1UI7LldN60KCY2xmD5R2NhrBn+3bWDEfKsdYIrRw5eH72bK1r1LAVOtu24XyxZ8oUhJj1gF6tub5Gj7b8X7Mm3vQ9e1gPLlxwf8yOKF3a8hlatGA+6axZKDvOKFwYT6kjz/Cvv7Jupk4duxE2DnhpjcFXX62s69fXsb7VqcM5aChe1gQFEaXImxdjxx3BwQx3z5EDB8e+fY63u3gRgy1TJqIhe/a43u/p0zhGsmbFKFq0yHnk59o1RjFlzowyt3VrwhgOwcHM5pw2DSOuShXO9yJFcKaMGIED9PZt18cXGcn3tXGj1j//jBOmVSsiGK+8gu5Rp47WH3/MejVkCN9Vx444bF95hW07dGBtWL+e78iT78RsZs2YORNneNasHH/XrkT9fDEL8nnHbCZy27u3RfcaPRpjKE8efqvDhy3b37pF9LhxY87/Hj2YDekN4eE4DUuUQBdbtMi5sXL8OMe1ZInz/T15grzzZu6uKw4e5Fy5di32+5g8GXmmNZ/N2Qglg9WrMe5czeW1JiKCfRYvbjvbsG1bHJiXL1sGx2fP7nimqj3LljF+KX165G5cZ5A6QoxB727xNmfwyRMW8SxZOFHPn+fx/fvxgpQubRlimVDs388w6syZWZgyZkRQvP466RUpUjAvzZmC6o6oKARst25c8P7+eGR27MBT+dlnLCxp0vCdfPMNQ7a3b2cwZ/fuCMZUqfi+OnXiwt+9G+/g4cMIny5dUHiTJOG4q1dHMRk9movu6FGMdEEICSHSkDUrRoo3i/CjR5xXyZKhpD16hID94ouY13F4OOf7+PHu93viBEajq1S7UaNQsh48YFv7azIigrQha6Vrxw62dRQlOXIEgRgRwSDj7NlxCP39N4pi9+7uj9sRHTqgwGiNwpAsGWtA1arOX9OiBUrpW2/FfG7vXtamokUtg37jyEtrDPpC2G3fjuI6cKDjFLO//ybzpHPnmA4IR4SG4rDIkwel15nj5PFjnIOFCuEMXLjQ9eDu8HC2ef11rvX+/Z1HQ4KDcX6WKkW2yaxZ3kfJfE1UFOf7/PmsLw0aYBBky8b39PHHGLI//kjK47p1yPMrVxxHO6KjUbi3bePzffUVEahy5TA8s2ThGm3RAiW3aVOuu2zZyMypUoUI4NixRBovX3a9dppMGBc//YSRkz49Tp/mzfktZs7EKX3nTuKM3PmSU6eIyhYqRCZTz55Erxs35rtt3hxnyIMH6Cs9emC8ZcrEb7RggffnY1AQv1WuXLzPli2uv+eTJzEEXWWFREQwX7pLF9/8ZsHBfB8LF8ZtP/fucX4Z533btgQZHHHmDOuBM+eTMz75BPlqLRcnT2adM5v5rW7f5hr67z/3+1u0CCPQCMbExRh2hhiDz14+uiQoiHzwzJlZTC9d4uRZvRpPQ4MGtt6ghODkSQzWDBmoqciQgQV7xAgWcD8/FopBg2Kf5hoVxYLUtatF8Iwbx0UQFIQ387vv+D7SpsVD2bkzkYHjx/EgzZrF6ytVwkAsWxah+PPPKI1PnhCBzJgRb/KAASwMZcqwvaHwdurEb/LnnwhQ63Q54cXEZELQFipEhOHOHc9fGx2N8pIpE8L7yy85Z6tVw8trLxgfP0YJ9WSw/PXrRFPmz3e+TWAg18yZM5z/n3/ueLu2bXGyaI13Mlcux1Ecg9deQ9H46itugwZx/dy9yzXkKCXQHaNHE5HQmgyBNGmIMKRN6/y7GDCAz1S2bMznHj9mH2++6fo78gJnMlIayHjIgwd03QsKoh7UvmnFkyd0PVy/nhrvZs3c7zMigvlgo0bRjGzIEMf14CYTXfsmTqRJUc+eNGBwNSv44kVqZn/7jbrgLl2obbVvXa81zZkmTaLZ0qefsv/EMtpIaxp+HDtGAxmjGYujm58fTUgc3bJkifl/eDhD6i9etNyuXaMx1r17/D5p01pmqIaG0nMgWzaahxQrRq+EKlWo+7VvTBcdzT4djWiIjLR06LS+FS3qfrxAYuTpU2b2bd1KZ+ZHj+h7kDQpfQ+SJKGBSK1a1KcfOEDTkYsXadBjdG8tX967RkdXryq1cSNNZ7Zvp6b8q6+c15EbHD5M05Zx4xjk7gizmY6jISHUpvtiBvRnn7G/efPivq9mzWj28u67NNU5d476d2u0ptdE69Z0F/aGHTtYj6pXp1eGUnT1bdmSxkqNG7PPuXPpEdKxo+v9TZ5Mf4D27ambnzrVeWfm2CINZLzDp6MlXBEUxEk6dSony+DBtP/95RdmfDVqRMeouA4ojQuXL9MZbuFCBPLVq8yQee89jnvFCst4iKFDuQBj07wlKorW5EuWMMCzaFE6Ir75Js0ioqO5QP77j2YX//2H8KlZk1utWgieCxdYXI0RFmfPIpiyZWOBa9fO0r0uSRIE6MWLMYXexYsIucKFacRh3Iz/s2WTJjXPK9HRnM+jRqGg/O9/lk5envDff3T2jIigYczUqXRFbNaMkQzTptmeG3fu8FytWiz4rhrGBAUh/Dt1Yh6bM4YMQQn87DPe+8wZGirZM2sWSsBvvzH8tlUrOsw5Y/FilPXTpxF2167R6GrXLhTsXLno1uYNmzbxXf/zD93ucuak89r48VyjjhTrWbPo2LZ8ueMRFPny0ZwnSRLWpzgi3UR9gNlMW/kff+T3MwY/W7NlCwbV66+zraNz1p6oKOaHjRyJgTdkCNero/X36FEMt1WrkB99+rju3B0VRfOl2bNRwN99F8PQvougUsiWqVNRVBs1omNpgwa+UYLjG61Rsh0ZiQ8eOH48PDymkZgtG2tAzpx01EyZkmszLIzXXL+O0n3lCuveo0e8r8nEtZo6Na/LkQO5XKgQ75EpEx1OjXs/P47r6lXLcPhz59BHsme3NQ6Njp/58vH6xCCXw8NpELZtGwbgsWPoT+nT4xi5elWpOnWYQ/jqq+gfu3bx/Rmdkhs0wJD2ZjRIaCj72bABI/DhQzp/NmvGOWvdld0Z69bRPXrmTPQlR2iNoXP8OO/jCwN99Wq6Bh896nh+obfMn4+cX7cO3e+995CT9u/57bf8Pt5ex4GBnIspUyKjlOJ7yZmT3372bBqmhYRwjg8c6Hp/n35KI8T33+f1Xbog332JGIPe8cyMQYNHjxCM06dj/AwaxII4diyKZdeuzGTyxQUSW27f5hh/+QXj7MYNPB0DB3Lx/vAD3UFfeQWFuFkzPCSlS3u/UERFsYguWYJQL1HCYhhaz8q5edNiGO7ejQJbrhxKt2EgZsyIMDl5ku3mzUMwRUcjTMqUsb3ly4fQ0hphZG8gGkZjRIStcVilCou7JwNdhYQhIoI23WPG8DsPGsScTU+Vh6tXERw7dqDMbtmCsVKqFM6cggURANae23PnUFw//ZTXunqviAi2LVeOKIezbQMCmHO0dy/XxJdfIkAccf06XvkOHbheVq50fwzGQOb9+xFk2bPznjduoKRcuYJS5ykBAezv0SPeO2NGvKnh4XwnjRrFfM327fw+16/zd8GCts+3b891d/hw7GcgWiHGoA/ZuxfZ0KYN15p9J96nT5Eba9fiqXc3Y9PAZEImjBiBnBk8GI++o0jJvXsos9Oncz317YtS7Cqqcu0a0cJff8VB0aULXW3tR5s8eYK3/48/cMh07Mj1V6FC4jBEfEV4eExDMSAAI+/2bW7G35GRKMGGoWh/nz492545g1P3/HnW08BA1pLUqTF6/Pz4ncPDGRmiNQ4Aw1DMkAEF22xmm9BQ1qjHjzm+6Gj0E2sD0fr/PHniJ7JoNqP//P03htjBgxxzihR8h+nTEynNk4e/AwK4TiIi0Btq1+a+fHnPjZLAQN7T+nb5Mut9s2bIkkqVvIskTp9OIGLlStZoZ3z/Pdts3+4bvfT8eT7/8uV8F74gOJjv+8IFzp3MmZHHxgiyiAj00+nTHcsgT8icGbl27ZolaPPuu+gV6dOjbzRpgm46bZrrfZUvj2OlQQP03/r1cZj6EjEGveOZG4MGDx7w48+caRkU6ufHmIe//kL4desWP0NNPeXhQ6IbU6dyUd2+jWL2zTcokb/8Qkv9Q4e42MxmBELVqpaZSOXKodx5skhFRuJZW7KE/ZYqhWHYvj37tSYkBAXWMBD37GERsDYOCxYkqnPgAAZsUBCGonF7/JgFonRpWyMxRw5bQR8YaDEML1xgYf/vPwRf3bosbHXrJmxUV4CgIBS8CRM49wYN4lzw5vUjR6Io9uyJArh/P9fkjRukorRsiQFnHcHatw+FeNQopT7+2PV7aM1+QkMZIeEqeti/Pw6TnDkxTDdscK2EGmMYTp3yLBJTsCDf0+rV/F+zJtHTBg2UatuW9Bdv5/vlzo3HumBBrouTJ1G0S5Z0nJ5z9y5rhTFjrnlz2+fHjOHaW7UKxSqOSrgYgz4mMJB5f9evE20uXDjmNtu2sU29emTIeDr7zmzmd//hB5T/wYORB46umYgI3n/iRK6tPn1IZ3U1Szc6mmjHrFmcs2+/jWFYuXLMbc+eJQIxfz5Go5GWljevZ5/lRSEkBGPPMA6d3YeH2xqKuXPzu7/yiiV6eesWyvW1a6yv6dMjf7Nk4e80adg+SRJ+K8MQNNJXnz4lfTVNGktULTqa3//JEx43UmGNyGe2bLxHtmwcmxHxSZaM8yo0FGfarVt8lnv3OLfPn+dzBQbarkGvvmoZq2UyWT5zjhzcV66MjlCkiOu1S2ve7/x59AwjSnr8OLpY+fKskcatdOnY6YdmMwGH1atJ5XZ0vRpMnUr0fdcu38x2fvgQw3PgQMdzdOPCu+8i63v2REZ/9BEOVKUItuzahV4ZW2rVIqr46aesMUqhA//7L06r6tVxeM2aRYTSGaGhnItvvcX5uH4950Zcjs0RYgx6R4IZgwb375Nq88sveBy//hpD8auvMEBGjyZ8n5BeyKdPOcnHjUPBvHePFLTPP8dDmjw5Ct/SpQjje/dQBFOksKSPlC5tMQ7LluWWJYvz94yMJBpjGIZly3LxtG/PAmuP2Ywn0jp6GBBAGkby5Dz2yy+83iAoCKXZ2kA8cYJF2T6KWKaMrQJjMrHtjh3cdu7EC2kYhnXr4h18kbzHiZmDBzlHly/HO/fVVzFn1rkiKorX//AD5/Znn2GIpUtHVGDCBIzMWbNizsvbsIFUz99+8yzyMXgw5/a2ba6jbjdvogCsXo1hduiQ6+G+586hJHz4Ycx6CUfcuMF1mS4dyljSpDh6kifHY7xrF+lx5855dx63bMnr2rUjkjl9OsL/1i3Hx6U1il+HDmQG9O9v+/y//xJVnD+fdUWMwdgRn8JOa+bqDh+OAvn22zG3CQ5Gvq1axTnhaO6kq/2vX4+j4vFjzoeOHR1HVrRmPZ44kbX5k0+U6tXLvbPu1i2u4V9+IQrQpQsKZrp0ttsZM3bnzWO9KV8e2f3mmzG3fZkJDbWNLN64gU5j3G7exGAqXJhboUKWCJvZbEkdtTYWo6IwSnLk4D5rVozBVKks54IRbQwK4j0CAzHUQkI4pogIbtHRbOvosvfzw8Dz8+N5sxmjL0cOjjNPHozb4sXRRwzjL316x+tTdLStEWt9u3HDYgCmTo3eYNyKFkX3KFLEu6ifM8LDkVW3biFXXNXaLljAur1zZ8xsjdgQGYlzsUoVn6T7x2DdOhy5//3H/q9fV2rKFL7j0qUJGBQtGvv9f/QR64nWZMwoRXS2Vi2+z2zZSHHv3x9d0hm7duH0bNeO83PZMn4H+7TWuCLGoHckuDFocO8e3ovffuNiHTgQY+PLL/FujRunVI0aCXuMYWEc3+jRKIwmEwtc6dK2w+RTpMCAW7GCBa5xY4zA1Knxrp44wS1NGothaBiKJUviCbQmIoL0sCVLSDcqX94SMXTlrbp/H6Nw9268vydOsH27dpYIYv78tou31izY1gbiyZMYjWnTsjCXKGFZqIsVwzOcJAkLurVxGBZmaxyWLet+6LjgOaGh1AnMmMFv3a0bip83HszgYPYxbhx1Hj/+iALSpQsF3m3bYlxlyYIxmCOH7esXLmRhX7XKs+tz9mwiXXv2uK/p6NQJL7MRsfj8c+fbhobi+GjYkH3v3ev+WP73P5S1AwcstZQbNxLd3L6da6FsWZT8evXc789gyBDL/ufNYy3r0gWjbvt2x6+pUoVUmaAgviNrnj5F2QoM9K6mxgliDMYjhw8TBW7QgAigozS9f/8lSlirFgZbpkye799o8vK//2FgfPMNETpnEZLLlzFO//iDY+rbl+vUlUPBbEbezJ5NpkrbtjSPqFSJtd/aAA0PRwmdN486WaORRePGPjlXX2iiolDYL1+2NRKNW8qUGF6GsViwIOvwK6/w+4WGWoyru3ctxpXxt2E4ms38bxhz9rdXXyWKeP8+6+Hp0+gpGTKwRqdOjSFjpNEmS8bjRuaF1s5vZjPRsKAgzvPs2S23bNm4z5PHok94ks0RWy5e5NzMl4/rwV7PMtAaXXTqVBydZcrE/b21RjYHBuJAiQ89yEhfPnYMI6t7d9Jpe/XiWvzpp7jtf+RIjv3CBRyzRYvyuQoWRG727Yuu0K0b55OzNWbMGM6zGjUIoKxbx3kSEuLbmmQxBr0j0RiDBnfuYGzNm8fFM2AATRkGDyYMPWoUXqKExCjynzyZxbhhQxaYy5e5SK5fx7Dz9+dCefAAg+zwYYRk+/YIzcePSYEwjMMTJ1iwChSwjSCWLctjSZIgfDdtwjBct45IyNtvY+C5q+G7coW6E60RMvv3sygZaaU1a7I/R0Jcaz7XyZNESqxTOR48QFgZxqGxsKdKhVDZuZPb3bu8h2EcVq6csGnAzyNa87v9+SeRopo1WfSbNPFOwBw+TJRvyRIMnZ49Mdy/+gqP6YIF/HYDB1Iz0aNHzMV92jQExMaNngnMjRst3sVixVxve/Ag5+qXXyIwdu50/fl69EAA/fILCsbly669vtHRXJtr12I4bt3K+xiG14MHKAsTJ3JNe9PxbcUKnEZr1yKYGzYkqr9sGeuFI957D6fKrl3c7ClThtotb6K9ThBjMJ558gSF6NQprq8SJWJuExKCIbd8OVHC1q29f5/t24nknz/PdfrJJ84V3KdPqemZNAmlvG9fInnu1t9793D47NvHmnHzJvKoUiXLrXRpDJeHD/m88+ZhzLzzDhHDKlUkQ8RbtMbwsjYOr1whAnPrFr+DyWSJ0OXJE/PvjBktBllkJM6DK1dYG63vw8JYC41b9erOG7BojQMxIAD9RSl+W2e3JEk437JkSThHsNmMrBo2jLKJPn2cRxkjIy1G1Nq1tn0b4sLo0VwbO3e6TtuOK598wvXZvTvf+6FDyPUzZzxrqOOKZcvIfFCKLBajOVunTughV65YAjdXrjh3crVqxWsKFKBHSFgYRvLu3eilvkKMQe9IdMagwa1bGH4LF+JV79ED5XfCBATMkCGulb1nxdGj1FctXIgh1bkzHtgzZ1BojdudOwjNtGlZ5C9eRAlv3x5FwEgZjYy0RA+tDUWjvs86ili0KFENwzCsUgXPV7t2MRsBGERGshj++y9Ka8qUtqmlly5hpBkGYo0a7r/nkBBed/68rZF4/jxRTcNIzJULJfzuXT7j5csYzOXL89lKleLmaT3Ny4JhAC5dyi1VKhStTz5xnTZpT1AQr581C2HepQv1fblz81t16MBiPH48yuWpU7TOL1065vH88APe1c2bPUuh2b4d5XP1as4td5+3Xj1STseN47WuuiRu3sx1d+IEaUpt2vBZXLW3XrGCtWTXLq6t/Pk5b7NmJfV71ixqfx88wPl05Yrn5+Xlyzg7bt60tKSvVg1HyvnzjoXy8OEo0/Pn8572ynPnzlzfPui45lRGOpo38SLd4n2OkhVms9azZzMSxZg76Yh//2V80XvvMWctNuzezay2XLmYaxcS4nzb6Git16xh9EuuXIxPun/f8/d6/Jj5nRMnav3hh5axRRUqMIts6lSO5/hxrYcN47MVK6b1//7HbD7Bdzx+rPXp01pv2qT1b7/xHX/2mdYtWzIiK2tWZiWnSKF1gQKM0vnkE61/+IGROrt3M0bnRZ5zeOUKn7t6da3PnnW97aNHbNu6tW/nMi9axBxRT4axx5WNG/msWmtdvjzzMr//3jf7PnqUGarVqnG9G/zyi9bvvsuosvbtGZHkbDa3ycTYptu3We8yZGC2Z7lyrsdAxQYlcwYTrXyMFdevs8BlysQMsLNnGVqaJQsD3MPCEvoIISyMi6FBA2Yqfv45F49BYCDDp8eMYbh0/vwIUWPBLlVK66FDtb5xw/H+Hz1i4PHUqQyxr1GD2WW5cnHB9+3LsNZatRgO+v77DECOjna8vzlzeO+VK20fDwridUOHat2wIe9RogRCZM4cZr15IzwePWLA6bx5zFHs0IE5iWnT8puWLMn/FSqgOKROzaDfBg34DqdPR/mIraL0vGI2MztywAAW4OLFtR4yBCXL0+/fZNL6wAGUhFq1+M7btmXunXFehIQwGy9LFr7rvXuZRdi9u+NBv9HRWvfpg6DxdFbhf/+x/y1bPNt+2TKUTH9/hkq7IiiIOYV//215bNo0rT/4wPXrXn/ddtjve+8xRFdrzvVp0yzPvfMO152nmM0MATbmFBYqxHldu7bz72DRIgYsZ8jAEGF7ZsxgTqsPcCYjE1wYxfctIYTdiROscZ06OVcwg4O5pnLmjLkee8OhQ1q/8QbzZEePZu6sK44d41zPkEHrTz/lWGNDaChr/PTpDOSuXBnZVqoUcqh3b63btGG9r12bmaUy2/bZEB6udWRkQh/Fs8ds5jzLkoVrwZkeZHDhAk6L/v3db+sNO3eiZ1nrgvFJZCT659WryPq0ad2vA54SHIyuWqwYc7cvXODxCxe0zp2b9aNoUZwRq1Y53sfJk+h5WvMbpU3L7OAaNSzy11eIMZj45WOsuHIFgZUpE0rxvn2c7PnyMYzZZEroI7Rw+TLHmCcPgnHaNIxBex48wKs3bBjenDRpGHCfLp3W9etrPWUKn9uZ8m828/yaNXj73nuP/WTOrHXy5AjklCk5hm+/xWt04YJFOOzfjyL97bfOF8DoaLw8P//M/gsUYIFt0wZFfc8erSMivP+OzGaMie3b8WoOGcL+q1Xj+FOkQKnJm5eF55VXUFqqVMEY/vlnhnoHBDz/nk2TSevz57VesoTfokULPnNsDMCAAK6H999HCJUsyRD0v/+2Ne4iIjBwcubEOXH6tNZjx/KaZcsc7/vCBYzK1193fD47Yv9+9ump1y88XOuCBbVu0kTrt992/7k/+gjD1ZorV3AoOHvtqVN8v9bn7caNnFtac2517mx5bssWjF9vzrN69SwGauvWfM8dO2o9frzj7Q8fxgCuUYNokaPnS5Xy/P1dIMbgMyY4WOuPP8apduyY8+127tS6SBHOE2+idfYcP44DI0sWrYcPd3+t3rvHdjlz4gD866+4y9OICOTGnDk4b2vWRL7lyIFcTJmSteS337jmBcFXnDmjdePG6D0nT7rfftMmdI0ZM3x7HGfPsl9rR+Wz4NNP0c3KlLFECX1FzpzoZt27az1yJI+ZzQQlzpxB5+zSRetJkxy/fsYMHGMG5crh8K5VC+e/LxFj8PmQj7Hm0iUEa+bMGFHr12tdtSrRpW3bEvrobImORgl+800iBe+/jwHjSqm8cQPDzt+fiy5ZMqIKNWtq/c03Wq9YQbTUnWL6+DHCeNIkUh/SpNH61VcJ0SdPjsLdsCGpPoUKEZnbscOz9IibN4lk9OrF69KkQfkdNAil+vFjz78jZzx9ilKzciUKdI8efI7cubVOmpTvJF06vqOUKTEaa9bEOBg3DgP5xAnfpnvEBbMZBe/4cYTD9OlEvGvU4HcpUAADe+hQfuPLlz0zPqKjSfcZMoRzJl06nCQzZ+IddLT977/zfs2aEU04cwbjq0YNDCl7TCYMxyxZSA/zVFE8fBijbM0az7bXGoO0bFluwcGut129mnPX0W9csCAGriN69CBKbU1UFIrq2bNER63TYEwm9nfwoOefo29fPNJaa/311xiTH37oPGIZHIwg/fhjzg17IiO1HjzYJ44PZzJSagbjmXnz6LQ3YgQp2o7q6EJDqY9fuJDGRc4GYXvCuXPU9P71FzVEffu672C9ZAmNJp4+pZygUyfnJQfeYjKRJn34ME2etmyhXEJrau4bNKBkonJlSgqkzlDwhvv3qQtcsoQyhz59XDcxMpu5FqdPpwa/fn3fHUtAACU2gwZRzvEs2bKFz/7wIfXzx475bt+vv87+ZsygDvLQIR7v2JF+GFOmUF6UPDllGPZ88AElIMZYjbZtaZq4aRPlExs3+u5YpWbQM/z8/FoppVoVKVKky4ULFxL6cLzn4kU6qq1fz+yuPHn4v1QpOkG5qjFKCO7fpx5ozhyawHzyCYLWVYGyyUQd37x5dGs0mahbevSIi826g6khQJ1hNtO4Y9486qXKlGGxypGDxjBr19LiOWlS2oMbHczsb9myxRTSjx/ThMNofnHgAHWCtWtbbr4qxDa+l9u3LV3Yzp6lRvPKFWozg4LoSpUkCQpOypQsNHnzclzlytESO00aGih4cjPmKIWGWob/Ors3urJZ3+7epSubMYMpXz5q08qX5+aui5rZzO8eEMDt8mUW0M2b+W6bNeNWs6bjphC3btHhdsoUakCHDqU5xKxZKI09e9L23l54Xr/OuRocTI1g8eKe/Ub//Uc97LRpniu0AQH8PsmT07DC1fyngAC+v8WLKZC3p1MnhFLXrraPP35MjePJkzGvlwED+I2+/dYyYNdoyDFsGN/BuHGefZY//kCwLVxI45dJk/jNL12iFtgRefPSjS04mO3jCWkgk4CcO0fDr5IlufacjWLYvZt63ooVuWbj0vzh8mUUt2XLqD0dMCBmV2BrtOb6nTiR2vJOnVACK1TwvZFmNtNUY8YM1rLQUPafKhU1staNagoUEANRiEl4OOvljz8yCuW771w7PZRibX//fZweixe71p28JTSU66VRI3TSZ010NA6cvn1pcmgtx+JKt24Ym3PmINdPnUKfmTHD0sH7lVfQd1ativn6ggXp0Go01erXD11t2TJ0rEuXfHOcSokx6C2JQT7GiXPnuOA2baL1vOGReOMNuiC6EnoJgf6/ZiBz5tDMo1YtBHTLlq69WFrjUV2+nAvn6VMMwIwZUYwPHcKQK17ccitWjPtChWz3HRqKYTBvHkpH69Z4bO7eZQEZPpwmNY7aXUdEYGiXL28xZsqVo0mGQWQkx2oYh7t28Xzt2ijutWuzGPhibpAjTCY+izGf6dQp2mVfuWIZmms2850Yg3aNmUrGd202s6hGR/N5tGaRS50aI9L63v7vLFkss5esB/A6ajNvHOvNm5ab0S3u3j1+2/v38fKlS4cxni0bBmCDBoxDcGZonz3LgrxqFR755s3xyp0+jWOiUiWMpVatYhqQWtN98KuviGZ8+aVnbZ+jorgeZ83iHPdk7qDxfs2bc64sXcrncobZzPVSsSKeXUcYw3Dnz7d9fMoU3mPx4pivOXIEAXfpEvv+5RcUUqUwTj/9lCY1nnDgAN/tkSM0kHr3Xb7jS5dwVqRMGfM1DRrQwn/HDtazeEKMwQQmLMzSJXvxYscD3o3thgyhs++UKZYB0rHl+nUcpX/+yXr/1VfunXRXr3Id79tHszSz2bLuG/clS/pmjITWls69CxbgkClcmPc8eRJHW8WKrFtly+LQLFnS9axS4cVFaxqcffMN5+LYse67VCvFemzMbB41yrcjUCIi0Kdy5qSjdEI4L27fxuj69lulVq60NEPzBePGsR58/TWBmKZNcVqdPo2s//xzZN+JEzFnDd66xXpx/77le5k8me3mzuU6Dw72XYd5MQa9I7HIxzhz5gxGzLZtdB599AhFsHdvpb74In7b+caWkBAMuzlzUNY/+ADD0FErcmu05vOuWMHt5k0WoJo1MQ7v3cNINkZA3LxJVMKRoagUC+q8ebyuUSM8P2+/zTwYewMgKIiL99gxlINjxyzeISPKZSgJ+fJZhtaeO4cSvnMn90FBGMK1arFQVapEJ8hngda0fzeibPfvWwbi2v8dEEB3x+TJOYdSpbK9pU4d8zGlMCKjopzfBwfzuwQEYDwa7cCN9uC5c2NAGsZf5szuhZbZjNK2Zg1CIDiYNIx69ViIFy9Gufv4YwwbZ22c793DkLl6lfOiXDnPvtdLlxiRkCEDgjBnTs9epxSexb59ceAMHOh620mTiLjt3On8Ozl3jrSVa9csj2lNZ9Tp0x3PDdT/N1dw+nSOv2pVS/dOk8mScuNJlPvJEz7/06c4X7JmxeGQPz+KbsWKMV/Towe/9Zw5ROnjCTEGEwlLlxKRHzwYJcqZ4rhnD9dsuXLMPnM3Qsgdd+6g1P32G+v8118TdXOH1jiujHXfuL92DVlibyTGpSt0VBSRwvnz6ZRdrx4yLls25I0x//b8ea7HMmW4lS7NffHiMrboRSUigvX/p5/QTyZM8GwOrMmk1MyZZMVMnx5354o9UVHsM2VKHC6+nJnnDd99x3V55w5rRqVKyBZfsHo1+vS776I//PUX65jWyMeJE8kGOnwY/cPa4b9wISm8K1daHlu7lt/iyBG+t40b3eu/niLGoHckNvkYZ06dQqHcsQPD6sIFUl6+/x6BmliHnp8/z1DvP/7gIuvcGUHtSb3GlStcYBs3EnXMkoUU0OrVuS9eHIFtGIfWhmJkpMUwzJgR7/Hu3aRe5MjBft3NkIuO5nu2VhCOHcOzbRiIhpJQqhQRttu3+V3++w9P3dGjKM+VK1tulSrF7xBaT9Eapd5IEw0Lc31TyhJ1dHafJg2GX86ccfNMhoczI2/1aozALFkYrdCwIb/lwoWkb7RqxQLesKHr91u1ivqijz9GaDqKYDn6fv74g+jhkCEMufUm6nv+PEpcx454CF1x9CgOi337XM8k0prz98ABnBJKYTx27YoX09VA3EuXOF+PHrUdAN+hAwampzUguXNzLeXPzy1jRpTZd99l3qI9EyeS/v7bbyjd1tF2HyKjJRIRly5R69u2rdYPHzrfLjRU66++oiHFokW+aZoVEEA9a6ZM1KqePx+7/YSE0NBt5kzqcWvWpEtgvnxat2pFPfOyZVpfvBi7xjSPH9NopkEDat4//dTSpj8yktrgpUupt27fnkY9r7xCw6W33qK1/vLl1ANHRcXuMwoJT0AAv2WOHDSI2bDB8+tg61bqwGvWdD9iIjZERdHwrFWr2DXU8xXh4awRR49yDf74Ix2DfcWpU+z//fcZS5Ihg6UhYbt2NEvMkIFeAfajNLp2ZfSNNSdPcr3WrEnzQG/6C7hDSQOZ514++oRjx2iznTMn7YLr1NG6dGmt161L3N0nIyNpjNG6NRdV5840CPFmnMDJk8y46twZgZgmjdZ162o9cCAtf+/etWz/8CH7/+03GtS0a8f3lCIFjWaUYvH98ENee/my5wL93j06df34I4tHmTII6dKl6Rw6dizNVO7epbHJyZPM5Ordm+5SadLQhvjttxnJsWWLtCSPjqbhy++/81ulS8dvO348DU7mz0cBSpcOwbRwoftGLFozoqFTJ77vXbs8P57ISDqLlSxJcxxviYykMU358u7Pq+BgBMe8eZ7tu317vg+D997TesIE16+5fh2Fc/t2ZnVZ8+uvnIue8vrrNFTSmvEvLVvSKbZPH8fbr1uHklOhAl1Y4wlnMlIigwlEZCTRuRUrcN7UqOF82337cNSULIkHPnv2uL//o0eka02dqlSTJjS9iGvNvdlMraK1g/DoUTJCypWzOAcrVMDZ6CiF3hE3b/K5f/3VdRp6eDgOT+so4qlTREuKF7dEEI1oYv788Ve2IMSNU6eIAi5fTuStb9+Ys2+dce4c6dAnTpBG2r6971M3zWauyTt3cMj6qj4vNsybRzT9778pu6hTh4ygo0d9s//wcMpV/P1xdFapQpZBvXp8v3fukGmWOzcR27p1La8tUYLnKlSwPBYSggO7XTsysZo0IYXeF0hk0DsSq3z0GUeO0Pzh0CEEx7//0qjhxx8dp2olJu7cIVIyZw7RpM6dSSX1Nk0oKIiI4Z493PbtI0phHT0sX942YmQyEVmaMYOIxauvkvqWIgXR1Ro1SKOrVo2bpw0OIiKIzFhHEI8fZ5/lypGmV66cpcnL9ev8docOWSKI2bPbRhDLlnXc2OZ5JzCQ78b6ezp9mohXpUos9sWLk3b71198N6+9Rj1du3aklrojNBRh8f33NKD58UfPuwcGBVF7kSwZi7y3ab5akyZ8+jTnujuFsGtXjte+DtAZkyaRTj1jBjWXhQujoGbK5Pp1tWqRqtqhA7+BER29fZtzLSDAswyDnj2JuvfpQ93l7dt8ziRJlPrnn5jbX7xI1LNGDWoxPvzQs8/pJS9tmmjOnP76o49iCjtn64ajxxs0oDlEfLBmDV1GBwwgFcuZcRIezvX666+szR06+Gbte/yYDqYTJ6LcDRpkq7j5gkePLOuZIQPOnSNN1TrFtEIF1/X+O3eSkv7mm9R9eZLBoBSpa2fOxDQSg4IwgK2NxLJlOYYXTa4kdqKiyOjYsoW62kuXSHP87DPP9YyHDykbWrCAtfzzz+PHSDOZyKQ5d47GKAlZv6o1xtmwYegAP/3Euf3nn3wfnjpc3JEnDw6sgACyh8LDyajZto0U1TRpyBZ77z1LFs2dO1xb9+/HlJ3Zs5Mtc+4cRqR1Nk5cEGPQO154Y9Dg4EEukmPH8JZs20bK1w8/WNLIEitao/DPmUMaX4MGGIZNmsQu7dVs5sLbs4cUwj17SDWtWBFF1DASjZqv06dpyFO9Oh7pOXNQykuU4KI/dgzj0jAMjRpATxcfrVksjh/nduIE9+fP47G1NhBLlcKgPHLEYiSePs2iXKIExlGJEpa/ixRJvLUjWrM4Gl1RL1+23C5dwvg2PrvRqCd3bpSobdswACMjWfhbtcIQ9PQ7v3iRXP0//uB3HTCA13vKxYu8Z+PGSo0f7319hOFN/fNPzkFnTTQMli6lUcDhw847MNpz+DDOk1On8FIeOYLn1B2j/1975x0eRdW28fskIZTQQ+8EkpBegITekS6KKKDYQLD3LvbX3hUriqigUkQQEAVEmhTpvQak995C6vn+uJlvN5tNsr0kz++65tpkMztzdrMz59xPfYseiMWLueBuYTadxMczIb9Vq6KPM3o0v5tffMFiNL//zu/rhQtcFFsuMo0qcE89xZ/feMO292knJVYM1qvXQt9/f97JrqC3bO357GzmHD35JL0T7hAJ+/czXLpiRRoCC1v8rlzJaygigt8xVxVLu3iR7/O997i4fP55PrpLFGVmstiVuQdx/XreUyzzECMjTfeaU6c4Dx48SGNU06aOj+HsWZNANB4NI2ViIudGw5MZHu676Sb+iL5aA+Gvv7gtXswCKN26mYpq2Sr2jxyhEfCbb4BBg7jmc6YSb2Gkp7Mi6ZkzTNNwU1i/zSxbRgPizp00JG3axHVbhQo0iKamuuY83brRGGMYq0eO5OPZsxSK99zDubZVK1OBt0mTKMxnzMh/vFatKBTnzOE1XaYM7z3Dhzs3ThGD9lFixKDBv//yBrFlCxfay5ezXO4zz3iugIkznD/PiW/sWBYFueMOWl8Ky5+yhXPnaI0zvIcrVnBBYgjDuDhamk6fZjjT7t284f76Ky3lPXvSs7h6NT/jrVspyMwFor0VRLOyKFrNBeKmTbzpGJZbQyDWqMGx7dzJhcX27Xzt/v30BJsLxGbNuHAwby3h6oXO5cvM+zLaSpi3mDhyhAuYPXt44wsLM21Nmph+rl+f1rfFi03b3r38f3TsSE93QoLtY8/JoSAxErzvvJM37saN7XtvixZxon3pJVpF7SUnh+f+5RdWHi0qLGTfPi5Gf//dVN3T1vNUrUrh2r49v6/t2hX9ui1b6HXt3Jnf/7vvNv3tqaf4vXnppaKPM28eBd2CBcyNffRRfj/LlOE10rBh/tc0a8bJdcmSvIn2LqTEiUFX9lHat4+FTFq25HXkDkNTVhYt7EZVzcKKY2Rk0APyzTc0eNx8s+vuZenpnGfee4/HvOYabl26OFccxha05vxmLg43bOB9Mzqa9+/GjelR3LSJRqUPP2QbDFePwTj/unV8PHaMc4+5QIyLc53npSRw4ABz3Y0tOJhREd268b5rb/TTtm38nk6bRo/UY4/ZP6/Zw+nTzM2vX5853raKVXfSty/nLaNYjNY06HfsyM1VRWTuu4/rvvnzOV/VrMm1WZ06XF/dcQdFX4MGpqrd993Ha/axx/Ie69gxrg1Pn2Z9iNOnuWarUsX5sG0Rg/ZR4sSgwbJlXNDt3Mkv7Y4dLH5x992+60myZPNmTtY//kjLyh138IZgS3hgUWjNz8bce5iWxov0yhWGELVpw89u5kwuRo4coTAdNow3iHXruOhduZKPJ0/Sy2IuEO2pOGlw5gwXAIZA3LqV4i87mzenqCjTo1GafNcu/o8NkZiWxsVOZiYXX6VK5e0rWLp03t+DgkwtJyy3nJy8P585w0VarVqmFhOWP9etS8Fn9OQ5csQURnj4MCfLf/+l57B9e8bed+jAhYe9BWe2bqUHcMIETl7338/wTnvDZi5fprgZM4bfue7d7Xs9wM976FAKymuuofejsMVrdjYnsuuuo0fGXnr2pAD8+WdeL7YslLXm92bQIFoqx4wx/W3+fHpKli8v+jgHDvB7fvgwJ7lGjfj7mTO89/Trl/81117LxfaXX/K76gZKnBg0cNVkd/EiF5xnzzKPqaieZo4yZw7v6ffey/ttYd6o1atpYAkL43fHkftqQWjNe8jcudyWLuV80707r+HUVNeW5y+Mixd5He/ezWgWY9uxg/fOcuV4jzTEovlWp45r8gLPnTOJVEMg7tjBc5gLxMRE9303/I0TJ5iiY4i/s2d5n+vShd6/Jk3sN2IYEVPvvsu58oEHKDpcsf4pjP37Oa/07s08OV/INV27lvPJ7t155/WhQ01tscaOdc25PvyQ3tfRo3nOvn3pxbv+et4XIyK43qhalfclgAac8ePzRgAtXMg5NiyMxrWpU00V0V0RrSdi0D5KrBg0WLKEC7O0NHoG09MZ/zxggP/kCmRk0BLz008MH0xKotWqf3/nPYbmXLhAYffGG5wA69c3lfaOj6c1b/9+LhZSUpj/0r+/SVyfPGkShitXcgsJYY5W+/bcYmIcv7mePEkLodGE3ng8epSLA0MgRkUx1MeaZ9BYD+fmUrgYW1aWqYn9pUvcLl40bRcu8PH8edMxsrLyHsN8O3+ei5fz5ymc69Qx9SQ0fm7Z0vHP49QpepC//57W7aFDaTV3pDCE1rS4PvYYDQDvvmtbewVL0tOZ4/Pff/zslywpWpC+8AK/J3/84djn8MYbFJz33cc2M7by8MM0eqxezdBOg4wMhh3t3190pdvcXHrXDx3ivaVWLb5/Y+J+9tn8r3niCRpc/vc/U46uixEx6AJycynQJk/mvdfWghb2cvgwr12ABpjCRF5GBtMevvqKodtDh7pnDrtyhcZUQxzu2QN06kRh2L0777XemDvPnGHLnJUraZDMyckrGM+c4SKzUaP8QrFxYwo3R8edmWnKhTcE4vr1vP6NMNPoaJ67USPe8/1lfWEvFy/yszBaUC1axM+/QweT+IuNdXyeP3eOxr2vv+bPTzzBuc0TntkNGyh+HnuMkR6+woABNJo+/HDe57/7jkbgEyc4dlfw+++cTx97jOd79VXO7W++SaG4YwfXHcHBFP0nTlAgnjplMmgtWcIxT5pEAbt0KdcrbdrQ6Nurl/PjFDFoHyVeDBosXEhRuHs3v7B163JCLayymy+Sns64+99+o8euZk2TMGze3DUTkNa8AS9ezHYWx4+b8v2MgicnTtBanJXFC9zwWpqHF2ltav2xZAm3U6coDo0G9S1aOL8gvnyZonXbNpNI3LXL5Bm03DIy+Dpzz6BSJqFXpQq3ypW5mf9cuTKt09a8i+ZbSAgFX7VqrrMspqezEexPP9H62qsXP/du3RzPcdm5kyLqwAFWG7Qnr9Cc8+fp9VKKn/+qVcwvKIz585nzt3at4/lQP/3EPIqTJ+1rU/LXXxRrW7ZQ6Jt/ft26cSFQUCVDc5o3Zz5XSgoXQh06MMQmPt56IZwxY2gsWbyYIiMqyvYx24i0lnAhP/ygdfXqWs+a5fJD/z/Z2SypX7s2qy8XxZo1WsfHs3rtoUPuG5fBsWNa//ST1nfcoXWdOlo3asQy8r/84p3qz+PHs0Lx6NF5q3Bfvsw2FL//rvWnn2r9+OOsyJyUxMrd5cuz4nW/fqxo/dFHWs+erfWuXY61pMjJYeuQqVO1fv55Vnlu2ZJjK1uWlZF79tT6nnu0fusttgxZsYLVtX254rlBRgartv/4IyuR9+undePGfG9JSaxA/s47rFZutB9wlNxcrZcsYcXrSpW0HjiQlZqzs13xTmxj0iT+7yZO9Nw5bWHDBlZ8v3Qp/98OHGDrmLJl+f13BTt28JgPPcTf//iDlbO15v8oJYVV7MuX1/rkSX7/e/UyvX7jRt4z583j73/9pXXHjlo3bWr6zrgCSGsJr8+PfktuLr+YrVtz4q1aleXpd+3y9sgcIzubbQKefFLriAit69ZlG4A5c5zvhZObq/UDD2jdqpXW58/n//uZM1ovXszeT4mJbFMREMDPtGdPTh4//8y+NeY39MOHtZ48mTeapCS2l+jYUetRo3jzt3Yud5CdzZvn2bPsb3TkiNbp6Z45tz1kZHBxM3QoFzRdu7KtyNmzzh33+HGtn3hC69BQrd97z7nJ/Ngx/i+HDuVkunhx0a/Zt48T3Pz5jp9Xa62HDGGblAsX7HtdRgYXHfXqcfIz55VXeE3Zws03s12K1uzB9vLL/D8lJFjff8ECrdu148Jq6lT7xmwjBc2R4hl0kGXL6PF94gkaCdzl8Vm4kN6+226jNb6wok2ZmSze8MUX9OTfdptnPFH6akjpvHn0Gv7zDz1iRr6hp0JKd+1ildX69VkEqqgKwgC9GHv3mjyJu3fzODt3MrqkUSNGlEREmB4jIhwLP714kfmne/da3y5dYk6xkRfZqBGNZ5aGx8qVGV3hyP/WSGk4dYrGslOnuJ07R+Pd+fM0hBk/W/5+8SLHZ+TvG1VYmzRxXYGdw4dp0PvmG77Hu+5yrKK6M2RnA889xyJmv/7qe1XoBw1iFNETT1j/u2FQHDfOtsJnRZGVRYP3NdfQS3jqFCPQzpyh4b1mTRpKV62it2/SJBq9n3uOf2/RghVejfzePXtoZDbqOpw9S8+is4hn0D7EM2gFrTmZPf88b9iXLzPs5MUX3R+P7k62b6fHcPp0/tyjBz2GvXo51txda+azbNlCD2FISMH7ZmWx+uXo0QzjiYpiCM3+/Uwibt7clEuYmsoJFuDEtHw5FxVLljBkLzKSXkPDe+iKXlv+QlYWP69t20yTU2QkKxAOHOh8RcFTp5iIP2YMF1OjRpn+F46wdy8njf796el6+OGiE9nT0/l/HTLEuZ5D27fTExceTq//NdfY9/qbbuJC8MUXGdJisGgRJ7MVK4o+xv/+x/fzxhss279xIz32Z85wYWW5oD98mIuNO+7g9TFqlH1jtgEJE3UDRmGZFi0owNyVd378OBdRFy4wVK5+/cL3X7eOuYR16/KadiS82xkyMhj5MXcu59Tduz0XUpqRQe/+1KkMsbWleFRBXLnCse/caRKIxuP583wf5gLR+NnR0NOLF/MLxEOHuFC23HJz8wtE8y0nJ6/YM34+d47h66GhHGdoKLfKlXnvqVDB+qPxc+XKrv+e79/P+6tRMO3ECc4dI0YwSsvTobUnT5pat0yc6Hvrv23beD3t3l1wS6gHH+Sa69ZbmVfpCurX5/9+927+3rQp57WoKBoEOnZkZM+LLzK/8N13ORfffTcNHePHm/6XWVkc+2238Tv19995UzMcRcSgfYgYLAStKXKee45hcpmZ/PmRR7zbWNQVHD3Ki/e333jTTU1lkY5rry16gWFObi6tdXv3UuzZ0mfn0CHGso8dy0nluuto+dy/nyFy//5LYWkuDps357EzMpjHZYjDpUs5oSUnm7akJNcWUPAU+mr1uu3bucg4eNBUhdTYzpxhzlqjRkzYvukm61Up7eXMGVYj/PxzFpd57jnnk7g3b6ah4aGHaB3s0cNUarogtKbhJT2di11nJv+bb+bElJ7O72lR57Zk/HiKuaFDOakZpKfzf3D0aNE9GadM4fv49VdWFX3xRVr3V63i782a5d1fay623nqLYtOWVhh2ImLQTVy8yAXXqVMUIO4qaZ+bS4PN++/TY2KtEJE5mZnM5/n0Uxa8uOMO7+WrHT/ORaKRbxgc7P4qpb//zgIX99/P+5qr20KcP8+aA5ZCcccOXs+GQDS2yEg+FmY8tYcrVyjszp7lfdxcKJ45Q4OTueAzHqtU8W6LDKPF1bJlXIMsWsR7a8eOpoJpzuQWOsuaNWxSP3gw5w5fbCdizHHPPVfwPjNm0HiZmsp1lyvo3p3/s8uX+bncfDPn99tvp/GpXDmunW++meuKkye5Vhs50pRLa05YGI3Zly/Tk2+ZmuEIIgbtQ8SgDWjNCeXpp7lYL1WKibI33+wblaSc5eJFVq777Te+z0aNTHmG8fFFLxxycrjAOHaMNx5bhXJuLieAyZO5eGrYkEJk4EBTVal//+WieMsWTqDmAtFYSO/ezbyytWtN/QeDg/MKxORkihtvJ+0bE/fRo3mrmxqPFSpwsRAZSVFuVCA1turVXTcpnThhCueaNYuifNQo15TknjOHlr633mLIR3Q0PWNFff5ffEFBumKFc4ulrVsZepKWRqvoSy/RgGAPJ0/yO9OrF7+f5nTowOI2RVVU3bSJgt0oZBQTQ+votGkUmgMH5n9N8+ZcuH7+uakamwsRMehGcnMZUTJxIu+FsbHuO9eyZfSe33ADr7OivDQbNvA+XasWvYT2GP3cgb7aX84Qhu4MKTWKaAH0EjoT7WArWtMwYAjEnTt5j9+5k/elqlVN93pDJEZGch70ReHhLIcPmwrHrVzJe1u1avw/G+0PIiK8P0efPcv54uefOWfdeKN3x1MQ69dzbtq1q3Cj5Nmz/L43bsx1lCt46CHO65s2cY786COO47PPuC1ezLVFixYUdkuXMpT16ac5H1rStSs99+vW8Zhz59K77gwiBu1DxKAdaE3B9OSTvLGVL09ryHXXceHp7t5LniA7m5Py9Ol8r4BJGLZvX3CeSnY2J9sLF+gFsbfvTna2SRj++itvXDfeyK1RI4qo9etNAtFoVdGyJbcWLbgZ3qwDB0wC0dgyMkyew/h4/r/Kl6fosNxKl7Y+KWnNMIfTpznRW3s0rLLnzuXdzp7l6ytXpqgz73to/OzOXpeZmaaQrblzTSFbPXowxt8V5ZwvX2Yvvhkz6Pn95BMK3AkTijacLFtGb+fSpc41kAZozU1O5lguX2aOybFj9gvMuDj+7/bvz/v888/z8bXXCn/9lSv8np07x4VtaCi9NB99ZGqKbMmQIfy/PP44vQ4uNjiJGPQAEyaw2t64cbYVGnKU06dpiT98mN73oqpHZ2WxWvbHH1NADhvm/cW3gRFSahioXB1SmpNjCtceO9a9/5eiyM3lPcUQhzt2mLYTJ/h/tBSJYWG8f3iqjYcj5OYygsXIh9yzh3PvypX8/6akcEtN5XztS603cnNZefrZZxkh9frrvjU+S/r04dxtS5Xs5GQaSE+eLDqaxRZGj+Y8NmECPfrLljEFZNUq/q/vuovXb5UqnItTUhiVsHKl9flsxAgaqaZM4XVutKpwBhGD9iFi0AFycylYPvuM1q3MTD5XqxatW0OG0NLh76GkWtNK89tv3P77j711hgzhBG0pDLOyeOFnZ/OidjSfIDubxRImT6YHJSyM1qSBA/OGRJ44YbIwrl7NG1FOjkkYGludOlxEHDlCy5NhfTp/3tQiwmgXYWw5OQx1MMRhcDBDbk6fptU2NJSWXcvHqlV5A6xUiVvlyqafK1VyPNnfUc6cYYXRGTO4wIqI4ATijmIORl5Cy5YUgQ89RAE8bVrR5zl8mK/7+mt+x5xh7VpOlGlpJvHXrh2FV7du9h3ruec4iV28mPd6njuXQnDx4qKPERFB40p0NCvljhjB47ZpA/zyS/79X3qJ95NvvuFn6mIPjohBD7F8Ob12jz1GYe+u615rXm+vv05vsjVvsyWbNtFLWK0arzlXGIJczYkTrOpr5BuWKmUShqmpLKjiyGe6ZAn7oQ0cyAWtLzQMN+fyZVOYqblQNNpjVKjA/5vlZoSAWm6lS3M+y8nh3Gr5s7XnbPn7pUsm0Wc8HjzI+a9RI87VjRrR+JqSwp99xfBgydq1zKfLyWE4dcuW3h5R4fzzD43vO3bY9v198kl6OidOdC531uDPP2lIeuUVzmfp6fyunTrF/3GVKjRwb97MAkDPPst7U0GRNG+8QaH6+edc9zVowJYsziBi0D5EDDqJ1rwRLllCwbRiBUUHQBHSti0FUr9+/h/6ceAA3+P48fz51lvz967LzOQkGxzMG09hFe9sISsrrzBs2tQkDC0XMFpTVBji0NiCgvILxKKKzmRn5+0nmJnJG1zVqp7pZ+QoWnPRYOSDrl5N63r//hRIzhaYsUZWFhdVn33GRWnfvgwRPX2aQrSozysjg2Ps16/w3Adb0JqWyiFDmJ9gYKsnz5KlSykgly/POzldvMjP8sSJot/f9dczpPzGGzlxJiRQHDRqxMnckp9+4v/uxAngmWfsL3xTBCIGPcj+/fQyJCczBNqdwmP1anqbe/Rgnk5RxsisLBZ2+PBDLsbuust3F+vmIaV//UXDX24uhYYR7ZGczEqWtnjST52i9+HgQc5TzkYieIrcXBrYTp4sfDMKxZw4wbkrMJDzYGBg3p+tPWfLz0FBvO81bGgSfY0acU72JyP45csM9//xRxpT7rzT91N/tGaawl13mSpyFsXvv3M+fPJJ1rxwlj17eL3dfTcjDQDTPS41lWK6Vi2mn3zwAeezhQsLPt7EiUzF+Pdf7ueK/tgiBu1DxKAbuHKF4nDiRHoO9u6lxalmTVrIbriBCwRHKnj6Clu3MmZ8/Hh6Lu64g6K3ShUu7q+7ju9vwgTXieCsLFaamjKFnpbwcArDfv24CCgorPPAgfwCsUwZjrtWLebi1aqV/+datXzPamxJejpzJFesoGBZvpwLht69KQC7d7etqI+jrFnDRVXt2vRk5eTYt/jVmpPUmTP8vzq7GP3tNwq/devyGiLmzWM5/iVL7DtedjY/vw8+yF+JLTWVXsOOHQs/xqhRNI689BKPs3cvv8dpaVzYWS6ejM+0dWsaWh580L4xF4GIQQ9jFJY5eZIRJe4qLAMwHHnkSBoZJk1ieGFRbN7MRXDlyryGXVGQyt1obYr0MHLF166lAcpo8m4IxKgo65EJWtMT8fLLDNu+5RYPvwnBqyxcSEGVmsqwaV8OCTVn9myKuo0bbV9bnT/P+86AAfQQOktODuet3r1N6UQjRzL95oEHmPO+fTs/49hYehCvu67g461cycr0VarwvfXo4fwYRQzah4hBD7FmDcXT/PlcBGZlcfJNTKSYueYaTlq+bpWyJDubC+3vvmPoQM+eFIbt2vHGU7s282Zc7RXNyuJnOWUKz2tUpevenSG6heVvGgLx8GEuKI4e5Wb587FjjK+vVYtC3lqPJ/PN+HtICAVEQXmH9pCbSyuvMa5jx/i4dy9voFu2UDC0bs0eQq1beyYc5/JlLqK+/55VDW+5hYL0hhvo9XrsMdvG8OWXDMtZscL5XIbMTE48o0fnn0wuXeL/8Phx+8VxbCwXyL//nvf5p57imM0rjVrjxx8ZpjtpEr+r773HsSxaRMupZTjM+fO8bl57jXkXn35q33iLoKA50kkfvlAQ5cvT6v3CCzQGzpzpvsIylSrRCDlmDO/BH35oKpxSELGxNCK99x6jJl57jQs7X/USAhxbnTrczHP/Tp9mbvnatZyX3n6bkTsxMXm9iPHx9Gzdf78peuevv3jvcEVOleC7nD/Pe/esWTRYFlWN15fIzWX0zGuv2bemqliRxvOlS10zjsBAGtO3bzc9l5RkKnjWsiW9+IGBXGf17Vv48Ro3ZkRTSgpDo10hBgXBJ2nenBtgysWbMIGLw6efZjgYwEmre3d6G1JTfa+vjSVBQaxo1asXJ+JJkygSDh7kBLtkCUMJxoxxrdAtVYrCs2dPU6PjuXNZlnjYMAqk7t0pEFu1ymsZVorhLEXlyeTm0mNlCMNz5/KW7t61K3/PpzNnKDwuX6ZgLVuWW7lypsdy5Shec3J4DmMz/z07myLwxAku8AxBangsmzTh59u8uefDVhcsYLhjy5b8HteoQQ/x44/TKGBrzt+SJfSWLV3qmgXYl1/yc7E2kYSEMDxz+XIaC+yhY0eGKFvSvj0Xj0URE8MwWoDfy61bGXq6Zg29MpZisGJFU/+v2bPtG6sTiGfQA/z4I8O0xo0reoHkLBs3MnKiTRt+T20pnrR1K72E5cvTS+iKysLe5uJFfhbmXsTt2xmKZojDZs14D1u7lmLa2XwlwffQmka5Bx/kuuGdd/wvOmvCBBoHly+331jz5JP0gJ48mb+1gyP060eDS3o6x7JiBY0ra9bQSN28OddAPXoUPY8ZLZWefpoG208+cX584hm0D1+YH0s82dnMgZgxg9aqHTs4caenc6HdoQMn9NatWd3Q2Tw8T2CEkf7wA8VRYiJDO6tWdf+5MzJY3cooPLBrFxf0hufQU+Wrc3L4P0xPpzg0f8zMpDgODOSj+WY8FxrK/7+7Gljby6ZN9IKtXs3wqn79aGV95BEKu+nTKXxsYccO/k9++ME1OXGnT3NBt2BBwWN47jleO6++at+xlyxhTqORe2Nw6hQXy6dPF35NpqfTa2w0ma9QgdUMn3vO1MPKks6dadQYNSp/JVMnKXCO1FoX66158+baF1i+XOs6dbR+5x2tc3Pde64LF7S+7Tato6K03rTJttdkZWn99ttah4Zq/dlnWufkuHeM3iAjQ+u1a7UeO1br++/Xuk0brUNCtK5RQ+vgYK379tV61iyt9+4tnu+/JJGRofX332sdF6d1bKzWf/3l7RE5xpUrWjdsqPWiRY69fvZsrStW1HrhQteM5+mnec0cPszfL13SumxZrTMztT52TGtKPK0HDbLtePHxWn/4odY9e7pmfABWax+Yd/xl85X5UTDj4kWt//hD60cf1ToykhdYgwZa16qldblyWnfooPVTT2n9669aHzni7dEWTlaW1r/8onXVqpxkBw3ie8vO9twYTpzQeuJErYcN07pePX6Ww4ZpPWaM1uvXc4xCwWzbxv9bzZpav/++1pcv8/nFi7Vu1EjrESO46LSVo0e1btxY62+/dd0YH31U67vvLnyfOXO0bt/e/mPn5GitlNZ//53/b9HRWq9ZU/QxmjTReutW/tyiBa/dypW1vu466/uPHKn1J59oXaYMJ1kXUtAc6QcmpuJBq1a0ol97LY1mX37pvtzk8uVplPv+exoY3nyT+aiFGcOCghhG168fvYRTptAo0aWL/6UxFERwsCmvcNgwPpeTQ8Ph7NkML121isaf8+eZwhEdTUOT8digQfH5PIoj588zIunjj5k7+8479FL5cvhzYXz+OZ0BHTo49vp27WiMXr686Dx3W4iMZFRSWhrTGsqVY4rK1q00HJcrx896927bjte4Ma+nXbucH5sgFAtCQkwhkB98wFDBv/9mTsOcOQwtO3eOP+/bx5CyVq3olm/ShOEvYWGuCQVwlqAg5pF17crFyMmTDA0cPpxFFe64w9Q83l1Uq8Yqe4MG0Va1YwfzDf/5h5/vgQMMIUxJYehjSkrBBWlKErt304v2xx/MAfzmGy4uMzIY1vz995xs7cm5uHSJ+992GxearmDXLnoYt24tfL82bRgGlp5uX2htQAA9tD//zO+wOe3a8XuUnFz4MWJiOL6oKE6i584xImDTJuv7R0byfYWF8TEhwfbxOogsaz1I/fr83pw7x3vj8ePuPd/tt7OY2ccfM7/3/PmiXxMVxRDuwYMZYtakCfC///F+WRwJDORc9Nhj9MY3b86F9969LDDTrh1TBj75hCHiFStyvrj9dorHWbNYZTE319vvpOSSm0sR/8QTFBdr17LAyV9/cT3lr3P6uXM05BjpBo5QoQINGHPnumZMERFcT6WlmZ5LSmIY9rRpnN9q1+b1YwthYVwfHDzIKBxBECyoXp1C5uuvKf5WrgTuu48XoxFWeOoUw9nGjuVCu04diqCUFJbZHzWKf1uwgMfIyfHse6hcmQLs1CkufubM4Y27c2fepOfP543F3SjFCf/++ylmtm0DDh3iIqdWLVb769KFIZrXXMPKlL/9xqItJYW9e01V1gwx8swzNFL89Ref37YN2LDBPiGYk8PvYnQ0DQKu4qmnuFitUaPw/cqXp2V1xQr7zxEXZ70SqSEGi8LIFQR43e7cyWMeOEBxbUlkJPeJjLTefsINiGfQw4SEsM/kiy/ympoxg98JdxEVxbnjkUcodCZNKtqIERjInO+77+bC+ptvGPKfkkKD3rXX+k4YuyspXZr/m759KSzGjqUxyZxz53gf3LKF1/aCBXw8dYpzjLkXMTra5PkQXIvRfmr6dM7V5cuzeN2aNfRUFQfefpvfRWcLT3XqZKr+6SyRkczHtRSDq1fTgBwZyTn/9GkKw6LSm5o04Zqibl0Wk7GlErIglFiU4kXTpAkrvuXmsnra/Pncli1jz6SRIznRGxUU9+zhovWHH/jziRO0ToeF5fUmutOrWLUqc/g6d+Zk+847FGE//sik7rJluagfONCzeZGVKlEAdulieu7oUVoYV61iGNedd1KUd+nC8XfqVLT48DcOHGD+2pQpNDbs2sVcN615c3/1VRbIefFFijp7rKxaAw8/TK/cmDGus9AuXMjvv63lslu14oLY0sNXFJ07szBSRkbekL527Zj0rnXh7yk62lSNNDKSn3FyMr2vu3bln+QjIigCBw/2mBj0es6Cuzdfzon48Uetq1XTesYMz5zv5595vtGj7c9bvHRJ6/Hjte7USevq1bV+7DGtt2xxzzi9zcWLWrdrp/W999r+OZ07p/WKFcxHfPxxrXv1YmpCSAhDxO+8U+sPPtB67lymebg7b7Q4cu6c1pMna33LLVpXqaJ1aqrWb77JlIbixsGDTLPZv9/5Y82apXVAAL/XriAkROv+/U2/z5undUyM1qVL855m5OD+91/Rx/r7b63btmXO4MyZzo8NkjNYbOZHwQEyM7VeulTr117TumtXrcuX17plS+YY/vGHKbcrPZ03zt9/54Lg0Ud5UcfF8QIPDeXrBg/W+rnntP7mG16se/c6n2N37BgLGrz+uum5nBwuhNq1Yx7a6NGuu2G5gpwcFhx4/30WF6hUiTe9Bx7QeupUrU+e9PYIHSMzkwuXBx7ghPP008yx1JqLlOnTuYCJjWXepaO5nu++y+/W2bOuG3t2ttZJSVpPmmT7a8aP1/rGG+0/19y5WleooPWSJXmfz81lMZDduwt//Zo1fP9a83sUG8ucyfr1rY8/K4sT6ldfccHjQgqaI6WaqJf59196NB5+mEYxd4e0paUx4qRhQ3q+CmvHU9gxvv2WURYNGtBbOGgQQ9KKC+fPs/BY27Zso+Po/+X8eXoRN23KuwUE0BgUF8ctNpZbcfoMXcHevWzLMmMGozvatqVnun9/epOKK8OG0fD81lvOH+vCBUZpLVjgeO6hObGx9PoZLSZOnTJVPjdSmDIyWDW/qCrex4/TUPq//9Gz3q2bc2OTaqL24evzo+AkGRlcZCxYwG31avZY6tyZW5s2+fuuaW3yJlpuu3fzb7VqmdozNGhAL6P575UrFz5pHjlC79qIEQzDMWf5cuDdd+nJvPdeNjF1Z6NmR8jOZmy88bkuXUqPaufOXDh06uTeZu+Okp5Oz9jixdz+/Zfj7tULePRRTjo5OfRcvfkmFyovvMCGsY6GOE2ezLYTy5cD9eq57r189x29jEuX2r5A27aN4Ta2JrUbHDlCD/qzz/LzMGfwYLbTuO22gl9/+TJDjy9cAK5c4ffZaHPx0EPWw2ajohje/fHH9FC7CGk678McOMDFbVwcv9vuKixjkJHBMOsZM+hdb9XKseNkZ3PBN3YsvfUDBlAYtm7tv3la5pw5w6iQPn3Y481VaM0olE2bWAvAEIjbtvFebIjDuDhGF4SFlRyReO4c59i5cykCjx3j59+vH+fYkvA5rF3L97xjh+uitapXZ57re+85f6zBg3nvuHTJdJ0HBDANZ/RoCvZVq7iWePxx28a2cSNzDZ1FxKB9+MP8KLiQ9HQuQg0Rs34947wNcdi6NVCmTOHHyMxkI9H9+7kdOGD62dhyc/MLRHPRWK8eQ1W7dKF176238oeG7txJS+yUKbzpPPYYQ2B9kawsCu0FCzh5rVnDhZVRCCg62nuLot27OZnOnEnxFxtLq2CHDrxZGx6BzEz2MXrrLd6UR42iSHRm3P/8w4XhvHmuLYJy4gSP9+uv9i1gc3JoqNi/3z5PiL7aBykxMX/u4KefcgIbM6bwYzRpwlDRZs34/V+4kPlEffrwfVhy3XXcHnqICyMXfX+k6bwPU78+v1+3385747Rp7g1HL12axobOnXkffuop3mftNfwEBdHI0rcvxc0PPzC0PiCAovC22/w7rL5KFd7XO3ViOsOoUa45rlJc+NaunbfFTk4Oja+GOPz1V4rQ//5jTpxlWoeR7lGnjn3NyH2FI0co/My3Y8douO7UiffWlBT/fG+OojUNtC+/7Nq0nYLy3x0hPp65midPcs2waRPH3eLq9JKURMOGrRojOprec1eIQUEQCqFs2bz5cZcu0bOyYAG9Hps3s0KaIQ5TU/MXCAgOZmJ2YcnZ587lF4lz55qeO3yYOYR16lCATJ1KD2BsLBPtGzRg3tRXXzFXbfRoLvo7d+aCpWVLd31CjlGqFIV069bsH3f+PD/TP//kAik7m2Wte/Zk+IM7m93m5DCMxgipOX2aguOee1ieulQpCr+sLC44MjN5s373XYZpjBnD0tPOio8dO5j/OWGCa4Wg1lxgDh1qvycjMJCCbu1a+5rPK8VJdPVq63mDn39e9DFiYznRNWvGz3nPHj6uX299/4gIXielS3OxVKeO7eN1ABGDPkJICL3pL7/MBfCMGVx0uZPrruPCbfBg3re+/54FyByhVi1TUaelS+ktjIjg9TZ8OO+D/rior16defkdO3Iefewx950rMBAID+c2YIDpecOTaB6ts2gRMG4cfz51imG/5iKxenUaeI2tbNmCfy9b1rn/jdYsKnLunGk7c4ZzkLFZ/r53L+dHo9XHDTdQ+IaH++f3xFVMm8bPZ/hw1x63e3cWpHEFRnuJ3bv5PXv7bQpXI889MZFrO1vz3o2q286GiAqCYCchIbRIGlbJCxdoNVqwgJPd9u0mEda5My0+pUoVfdxKlbgVVP0qJ4eT2v79vJF8+SXwyiu8GZw4QdFYrRqFYaNGfHz1VS6mr7uOHsKnn3bec+UuKlZkuFf//pwgd+2iMBw3jjkAEREMA2zWzCQOwsPtDwvTmouAtWtN2+rVtKwlJPDGf+YMn/vpJwrw4OD8W4MGrJ6XkuKa9//ffwydfOMN1zSVN+fLL1kB9pdfHHt98+b03NojBgFObAcPMsy2fXvT83Fx/B6fO8fvfEHExtJyesMNpgIxbdpwsWyt2lpkJBd60dG0rooYLDkEBPB+Fx3N7+k33/Be4k4aNmTo+PPPc1H+44/O5RUpRUNJu3b0Pk6cyHv8yJFsKTRsGMWKP1GrFisqd+xI8XTffZ49v7knsW3b/H9PT6e4Mk/r2LCBz1+5YtoK+j09nd89c7FYpgznJcvfAwJo9DQXfhcu8O/G/F+pEuccY6tShXO3+XN16zJSwhfncW+RkUFjypdfur6Y3uDBNFhbGjUdwagYumsXHQe//87v5ebN/HtiIuc2W9vRxMQwykYQBC9ToQIX8b178/ezZ03i8L77OMH06sWwn2uucfxGFRjISaBuXXrThg4Fxo+nAP36a+YFHD5MUWFsK1fyMTCQVVOvu45CJjaWi+qwMB6vTh0+1qrl2aqkBaEUF/8REQz5u3KFYTA7dlBsjx/Pn//7j5OiIQ5r1uTkaQiMzEx6cs+f52ezYgVvusHBFM5lyphExcGDpsm2QwdWa42JcX8eY0YGcxE+/JB5cEZDZ1exZQtz9pYudbykffPm7AlmL7GxJi+6uRgMDKQg3Lgx7/PWXj91Kn82Wke0asVF9549/H6YY3hpk5NpLbVXvNqJD1wpgiWDB/O+NmAA7xVPPeXeRXOpUrTud+oE3HQTozWefdZ5D03FihSBI0fynjV2LBeP8fH0fAwYUHR6gq9Qv77JQ1imjOvvcc5QtiyNjFFRjr1ea84hV67wXm4uGC1/z83l/9Vc+FWs6Btzrr8zejT/h927u/7YjRvzOp85k5E7ztCkCfPhd+6kgfXiRbahMbzmMTE0EuTmFl1xG6Dxa+JE58YkCIIbqFyZwszoJ3fiBHP4/vc/ToJDhrB5fFKS84uUW2+lEBowgNbMF17gxGvNOp2ZSW/M1Kkczxdf0INYvTqtm4cOMY69WjWTODS2OnVoWS1XjpaxwrZSpVy/+CpThguhiAiKgePHuR05QlG4ezdDak+dovC7fJkTrDHJZmXRGle9OkVIRITJg2p4UevX97yldd48Lh6jouiJdHWPpytXgJtvZk6jMz2Imjenh8JeYmP5vVu4kO01zElMZLhnUWLQOG9EBDB7NhfHWlPsWRODO3awSfi2bfaP106kgIwPc/AgPYOxsQyd94RwOnSI11tQEO/3ri4Gk5HBnmdjx3IhOXgwhWFSkuvO4U527mS0zLvv8nMSBFdw9Kgpr69ZM/ecIyyMHrzx450/VrVqvDeEhnItduYM140nTjDyLCKCnsMTJ4oOPT9+nO/51Cnn7zVSQMY+/Hl+FLzMzp3MBxs/nhf9rbdy4epsxcijRxlKV7Mmc1dsqRp29CirS37zDa2jI0ZwcZGZyUXN4cN8NLajRykaMzLyb5mZpp+zs02hlEqZNqDg37U2bYZFzPL3zEwWAqhRw/pWvbopfKZ27fxeMFusbJ5izx56D1atAj75hDmS7uDeezlZ/PKLc+/dKCJz4IB9uZunTlHgak1Dg/mC/KuvWJzn228Lfn1mJq3nZ87wO9i1K0vzGwUpXn457/5ac4IdM4ZFahYutH2shVDgHGmt30Rx2vy9j9KlS1oPHKh169ZaHz3qmXNmZWn94YdaR0Ro3awZW8S449x792r98svsx5ecrPVnn2l95ozrz+NqNm3SumZNrX/5xdsjEYoLN93EFk/uZOBArcPDXXOsFi14rPLlte7dm88lJmq9ciV/vvlm9ja0tYdqtWpaHz7s/LggfQbBjwFhAMYC+KWw/fx9fhR8gJwcrRcv1nrECDaA7dpV6+++0/r8ecePeeWK1nfdxV5+aWn2jWX+fPZHrFSJPdoWLnS8sW9ODnsynjvHHnlnzmh9+jS3U6fYX/DECa2PH2f/xKNH+Xj8OP926hT3PXOGxzh/nr0eMzIcG48vceSI1vffz/6EL7/Mxaq7+OwzraOj+Rm6grZt+T2xl9q1tU5I0HrRorzP//svex4WRUyM1uvWmfoIXr6sdVgYG+1ao1UrrX/9lc29XURBc6SDjUMET1GuHDBpEkPHUlMZPeFugoKARx5hiOrXXzNMOzISuP56hlpnZ7vmPA0bMqx8zx6WoV+0iIaXoUNpBNE+6rSOjQX++IMpFL//7u3RCP7OrFnM+7fWasiV9OrFtJTcXOePFRXFnoJlypjymmNieK8A6OkvXZr5yLYQHc1IGX9FKVVZKfWLUmq7UmqbUqq1g8f5Vil1XCm12crfeiqldiil0pRSzxR2HK31Hq21i8sQCYIVAgIYHjdmDD1wd99N7039+pzM586lN8YeSpfm8e67j/mAf/1l+1i6dGHPrLQ0hgTeey9vWO+9x1AFe99bmTKm3IjKlZnHV6UK8/FCQxn6UL06PXo1a5o8e6GhpqT5ypV5jAoV6BF0NN/NFzh7lp6smBi+j+3bOXm5Kx9x/nwW05gxw3Ulto0iMvYSG8sCCAsW5H9++3Z6/4p6/ebNXGSHhfE7mpBQcBhoZCS/s1lZ9n937UTEoB8QEMBQ47ffZsW96dM9c16jGMy4cQzP792bFR8bNmQxirQ015wnMJC56JMm8ZgtWzLfOTycxagOHXLNeVxJUhLvTXfeafs8JQiWXLjA9c5XXzFaxJ106UIDiyHYnCE2lvPTpUumdCJjngOYQhEUVHDVbEs6d+ax/JiPAfyptW4GIAFAntldKVVDKVXB4jlrTdO+A9DT8kmlVCCAzwD0AhANYIhSKlopFaeUmmWx+XFDH8GvKVMGuPFGJicbFaZGjaIwfPJJ+ypFKcWb46RJDEH98EP7LMTVqrFPz5YtzEvZvJmLiptuojX36FHftTj7IpcvA++8wxyAY8d4c//gAwpfd7FrF/NxJk5ksrqrSE6mBdZeYmIoSC1DNsuVoydj+/bCX28+SUZGcv/WrZkvau27aBSaiYpye96giEE/YtAg5pw+8AA9aZ68j1WsyDD8FSto6MvIoMGuUyemDFy+7JrzVKsGPPww54yffqL3IS6OYehjxtCL6CukpjJ/fcgQ2z0ggmDOqFE08Bhtv9xJw4Y0vDhSSM2S2rV5/2nSxNQf0HyeS0pivv+uXbYd7+WX2fPUH1FKVQLQAQzLhNY6U2t91mK3jgCmK6VKX33NCACjLY+ltV4M4LSV06QASLvq8csEMBFAf631Jq11X4vtuMvenCA4SvXqtOquWgX8/Te9SH370hPy/vtcANtCp05cePzwA0uSX7li3ziUYrL0d9+x7HanTrQyx8ZyYZOURAH73HPM+VqypODFeUkkK4vWyvBw/i8XL2ZuZv367j3v2bO0NL72Gv9nrsQZz+Dly/wcLL+HiYmsElvU641JslkzFohp3Zqec2ueP6OIjAdCZ0QM+hktWzJPdepUVne2977oCmJieC8/eJD3+p9/5n3h3ntZRMoV91Cl2PLmq6+Y5zt4MO9BRgXpkSPZl/HkSefP5Qzt29NoNXAg/y+CYCvLl7P4ynvveeZ8StF4OX++88dKS+PxEhNNzxk9dQFGSFWsSON7CaAxgBMAximl1imlvlFKhZjvoLWeAmAOgElKqVsADANwox3nqAvAvFnHwavPWUUpFaqU+hJAklLqWSt/76eUGnPu3Dk7hiAIDtKsGfD66xRjH3/MhW10NBsQf/ZZ0Vbehg1Z1j8jg9VFHQ0XqlyZ3sYlS7h42L+fuTADBjA0Y9Ei9i9MSGBIZ2KiSSj+/DMX8llZjp3b38jN5eImOpphv9Onc8JyV4Uzc9LTaR3s3ZteCFfTrBlDmu29/8XG0lMXE5N/wWdUFC3q9eZicNs2Pqe1dc+fiEGhMOrWpTDKyGB4lbcWXMHBLPo1ezZzGevWZQRGYiKLSp065ZrzhIQw9WDCBBrsZszgtTh+PD0TyclsvzF3rus8lPbQtSuNjtdeW7RhSBAAhocOH87Ip6pVPXfeFi1c09Nv7lzOX+aVQhs0oDH37Fn+3rw571EXLjh/Ph8nCEAygC+01kkALgHIl9OntX4HwBUAXwC4Vmt90V0D0lqf0lrfo7VuorV+08rfZ2qtR1YqrEmyILiagAB6ecaOpaC76y5akNu2NfXh++MP6xN5uXIUZAMG0FK8bJnz46lShTfFIUPYyuL773nc48c5vrFjaektUwb49Vf+XLEixeLQoQyb/OMPWsaLgycxN5ei+/HHmRv3wQdsfDtvHj0RniAnh6Gh9eq5z1IaFMQeZ/Yu2KKjGdrZoUP+vMGkpKLFYOPG9ACeP8/Qz+3baaAoUwb455/8+zdtyvC48HARg4J1jMIyPXsyXNHW3Bx3Ua8eG9enpXGBu3IlhdqgQVw4uqJoBUBvRGwsQ0lnzqRxb/RoCsb//Y+52126MApk5Ur789YdpXdv3jN79zYZfgTBGloz17RtW14fnqRTJ+D0ac5FjnLgAL/jgYE09BsEBHCuNLyDHTvycdUqx8/lJxwEcFBrbZiKfwHFYR6UUu0BxAKYBsDeckGHAJjHZdW7+pwg+CflytHrNm4cvTSTJ7MH4FtvsRBLjx7ARx9xwWwILaWAZ56hN++66xiu6C4qVaJFa9Ag9pWbMoVjOX2a4aRdu9IS/8EH3C80lDe9O+9k/P/nn9ObtnIlhaWrKu+5msxMLtLuuYef/7330is6fTq9X25udp4Hrem5vXiRFvYAN0qUFi3sn5wqVOB3MyIif95gQgIX4oUZBQIDKQK3bjV5/XJzGVpnbSylS9MrXqaM28WgtIr2Y5RiESejUfWYMaz46U2MYl5durCdys8/swXNiBG8R955J7/brqJUKS6q27blZ3HhAr2mf/1Fo+OBA1wAd+vGLSLCfe15rr+eYbs9etBoZNlDVBAArnUOHgR+/NHzraKSkzm/rFtnEmv2MmUK1wznz+fPlzdCRdu2pSE5MJBzpidyIr2F1vqoUuqAUipSa70DQFcAeWZupVQSgDEA+gL4D8CPSqnXtNbP23iaVQDClVKNQRE4GIB0OhWKB0bMeWIixd65c8wz/OMPiq3AQFq+e/bkzaR3b4Z69u/P0M6PP/ZciEXZshR/zZvnff7YMWDTJnpyDh9mCMaff5p6HJ48yVCKunWBWrVM1UgLeqxXz9Ro3tUcPsyQrtmz+TlHRdHj+s8/9EZ5i5deYi7fggXur7iaksIwM3uJjaUhY/VqLviMfoM1avD5/fsLX+Q2a8aJs1UrGhwOHsxrRbUkMZHfrR9+cGt/SRGDxYCbbmIe3fXXM+z42Wd9ox9plSo08tx3Hw0mY8fy/pmczBC5667jwtSVVKgA9OnDDaDh7u+/KQ7feovPGcKwa1fek13JkCG8P3TrxkVwWJhrjy/4N3/8wf6xK1e6/rtvCzExDN1cscJxMThxIuemdu3yR8rExJg848nJNHqWkFzaB0GBFwxgD4A7Lf5eDsBNWuvdAKCUug3AHZYHUUr9DKATgGpKqYMAXtJaj9VaZyulHgDzDgMBfKu1dkFdWEHwQSpV4oLm+utN+VTGzXPoUHp1evViWOdPP7HK3GefcVHhLWrW5FYQ2dm8cR4+zIXJ6dPMpTl9mjdN4+fTpxlKePIkwwNjYkxbdDRDrgIDbRuT1jzWgQPcVq9mP6z9+1nC/frrWZjBnRVBbSEnh97UX3+lIK1QoejXOEtKCsPZ7CUujiFwsbFM/O/c2fQ3o4hMUWJwxw7Tz9u3M7xv3jzr+ycmMg9r6FD7x2oHSheHOOdCaNGihV69erW3h+ERDh3ivTAyktEThsHCl7hyBZg2jcJwwwaGhg8fzvBtd6M1qxv+9Re3BQtofDPEYYcOrrsHff458O679FK6u+iW4B+kpbEA0q+/Ukh5i7p1OZ/9+af9r/3vP4q8evUY4fXGG1zbGEb5OXP4vTfarZQrx5SIw4ddNvxCUUqt0Vq38MzZ/J+SND8KxYRLlzh5//knBeKlS1xAbNhAb8vYsXmTmf2VS5coFLZsybsdO8bcs3LlaFE0tuBgPgYGsrjCgQP0OpUvz0VI/foUML1783Nyl9fRXs6c4UIwI4Ohwp763+XmcuLauZNePVv56ScuYps04Wf+6qumv73wAj0x5s9ZMmWK6Rj3388QsrZtKU7T0/NbiWfPZu5VQWLRTgqaI33k2yC4grp1GTFx550MjZw+3fWeL2cpU4besyFDuLAcN47VpmvWpCgcPJiLR3egFK+7iAh6K3NyGJEwfz4jUQYP5vU4bBgL4zgjpu+7j/e2Tp14/EaNXPUuBH8kPZ1ROC+95F0hCNDQ6Oj6f/JkrkN69qTRumxZUzsxwJQGYRAWxvVMbq570z8EQSghhIRw0dC3L3//7z9OspUq0etVqxatuyNG0GvjyQpdriQkxHo46sWLrL6ans58v4yMvFtODj8DQwC6qxm8K9i6lR6M3r1pRSxVynPnDghgLsOqVaZQMluIjWWBirvuYoVcc5KTaYwoDPNJMiqKAv+ee/j7tm15S3QDpiqlbgwRBQBorYv11rx5c13SyM3V+pVXtK5fX+u1a709mqLJztb6zz+1vvFGrStV0nroUK0XLOD78CSXLmk9ZYrW11yjdWio1g8/rPXmzc4dc/RorRs00HrXLpcMUfBTRo7UesgQz3+nrfHGG1qXKqX1mTP2vzYpSeuwMK2XLtX633+1rlxZ6/HjTX/PydG6bFmtL1zg70OHah0YqHVamkuGXiQAVmsfmHf8ZSuJ86NQjMnJ0XrcOK2rV9e6Vi2ty5fXukULrZ9+Wut587S+fNnbIxQMpkzh/2ncOO+N4dlntX7pJftec+WK1mXKaH3ihNYhIVw4Guzdq3Xt2oW//vJlvj4ri9/Jjh35fPnyWr//fv79c3P5OR08aN84C6CgOdIvbbVKqTCl1Fil1C/eHosvohSLX73/PsPCf/3V2yMqnMBAFl2ZPJmhdM2bs39heDjD0BxtKWQv5cqxcvScOTQWlS/Pwjxt2zI1wZG2FQ88wLD0Tp2st5ERij8TJzJv9csvfSOXNymJ3/W1a+173bZtjDo6f56ewKZNeU2kpZn2CQjgdbtzJ39v354GzU2bXDd+QRAEqwQEsDH9vn3ArbfyRtenDz1OL73EcMCuXenRmTfPdf2vBNtJT6cn7JlnGAJ5xx3eG0tKChP47aF0aYa8HDzICqJLl5r+1qABvbNHjhT8+rJl6bn97z9TewmAoX3W2qUYxZXc3DLAJjGolKqslPpFKbVdKbVNKdXakZMppb5VSh1XSuUrvq+U6qmU2qGUSlNK5evRZI7Weo/WergjYyhJ3Hgjw+offpj3Pu0H6aHVqgGPPMJCXD/9xHt6XBwjQqZN81y/18aNgddeY571U08xzLt+fYZ4b9hg37FGjADefJNzkCt6vAn+Q1oaDRuTJrE9lS+QkMD5yt6q2m+/zbmzZ08acKpW5aNlETTzKJju3RkiKmJQEASPUbYsewDOmEEr87ZttIofOsQFxunTXBSFhTGHY8AATvizZzMnT3APW7YwNPP8eVojW3g5vbtlS4pBexfHRvP4zp3zVlFTit6MovoXGpNknTq0qJ45YyrFbQ1fEYMAPgbwp9a6GYAEAHl8HEqpGkqpChbPWatP+x2AnpZPKqUCAXwGoBeAaABDlFLRSqk4pdQsi82OTE+heXNW8/vtN+CWW2iU8QeU4sLzq6+YB33jjWw5VL8+8OST+Uvau4ugIFavnjWL12KNGkC/fhzb11/b3lD71ls5/muuYZ6iUPzJyGCLqpdeYiqBr1CrFvPerfW4LYjdu3kNZGWZUnUAGkItr0VzMdi4MR/tOZcgCIJLSE2l6AgPZ5GZ33/nDez991nu+8wZeggHDeJk/sEH9NbUrcuJ/qWXKCg9FZ5UXMnMBD75hCWsH3+cfZV8wTpaty49feYNc23BEINduuQvqZ2cXHTYjVFRVClTRdH27elttIYviEGlVCUAHQCMBQCtdabW+qzFbh0BTFdKlb76mhEARlseS2u9GMBpK6dJAZB21eOXCWAigP5a601a674W23E73p8AGh8WLaLxo1Onwj3YvkhICHD77XwPS5ZQoHXpwvDNsWOZT+0J6tfn3PDff8DLL9OI2KABMHIkC3IUZVy66SaGCvbqxYrEQvHmySdpdL7/fm+PJC9KcS6zxzP45pv0cC9fzpBug8hIeu/NiYzMKxBDQuz3pguCILiEMmV4A5s1i97AAQPY2gEwxbUPGsTQh7/+Yujo0qWsxJedDXzxBRfjdeuyFcObb7JgzblzXn1bfkFODvvjNWvGz3/JEn6uvpAvYWB4B+0hLo7hLq1b89HcK2CLGDSfJA0x2KcPF7PWwt+SkrwvBgE0BnACwDil1Dql1DdKqRDzHbTWU8D+R5OUUrcAGAbgRjvGURfAAbPfD159zipKqVCl1JcAkpRSzxawTz+l1JhzcsECYNTETz/RKGYYy/yR8HDei/fvZ8j5zJkUacOHM9zaE6GwgYEsfjVtGr36jRpR6CUnc94o7Ct33XXMP+zfn20nhOLJ11+z6vk33/jWvGeQmkqjuC0pM3v38rseFsbXmVf7jY9nuxjz77xlRdFatdgyKyPDVaMXBEGwk5YtGZYTE8NY+alTre+nlCl09PXXeSM/fpwCcfBg3sxefpniMDqaOW+ff06LcGamB9+QD5Oby7Dc+HhgzBiWjZ87l15XXyMlxf6cCcMzWLYsQ13NQ1+Sk4sO/zLvNRgezpLcTZrQOGHNU2CUwHfjAtcWMRgEIBnAF1rrJACXAOTL6dNavwPgCoAvAFyrtXabv0ZrfUprfY/WuonW+s0C9pmptR5ZqVIldw3D71CKbVA++IDW/YLuhf5AUBCjOKZPZzpAZCQNTtHRwHvveS7sv04d4LnnmBv2zjuMGGjUiO0pli+3fu326gX8/DPbV7iodYzgQ8yZw+ts9mygShVvj8Y6iYmsxG5Li4kPPqD3+4cfTBWwDSIjWaNh9+68z+3cyfUAwLkuKMhUVEYQBMErlC7N3MAZM1gMYMQI9vMrCkMgDhrEENMlS4CzZ2lhb9OG1vVhw3jDb9sWePppnuPkSXe/I9/ixAm2iIiIYPW/997jZ9Wxo7dHVjCOFJFp3Jj/2/Pn84eKhoXxu1HY/97cYmpUXFOKifhz5+bfPzAQePRRt1qWbRGDBwEc1Fr/e/X3X0BxmAelVHsAsQCmAXjJznEcAmDemrve1ecEN2BUzHz0UbZL8YfCMoVRqxbv69u30yOzZQuvteuvZ2RCdrb7xxAQwGIZkyfzGo+KYmhrXBwX08ctgpu7dqXh7JZbmMYgFA82bmR+6C+/8B7vqyQkMIKnKDGYkcH1TocODI/u3z/v3yMi+GheUbRit27x4gAAWa1JREFURW5Gmk1CghSREQTBh0hNZZGPzEzbwvqsERREq9rIkQwB2biR4aevvsrY+M8+o7cnKoo96caNowfI3xdclmjNMKebb+akt3UrMGECvW29evlmaIw5LVrwu2DPQjEw0NQjsHNnlgs3CAhgWGdhRWRq12YBjzNnTJ5BgEJyxQrH3oeTFCkGtdZHARxQSkVefaorgK3m+yilkgCMAdAfwJ0AQpVSr9kxjlUAwpVSjZVSwQAGA5hhx+sFO0lOZmGZmTN5DftLYZnCUIoNvceNY9GZPn0Y5dGwocl75wlq1GC+2I4dDBvduJGL5gED8orT9u35+Q8bxjA8wb85fJje6k8+8X5j+aKIimKaQ1HzzqxZNGhMnw7cfTfXP+ZERLAYmjGXGRhpEACjs7Kz3Z7yIAiCYDsVKzJn4+WXWSL5vfdM4QyOUqECLb0vvkiL++nTDANKSqLHp2tXoGZNThTPPcdCKhs2MNbe38jMZLhIUhInh1ataDEcN44/+7oINKhcmSFe9vb+MvIGU1P5WnvyBpUyeQfDw7k41Zqv81IIja3VRB8E8KNSaiOARABvWPy9HICbtNa7tda5AG4DYFFWAFBK/QxgOYBIpdRBpdRwANBaZwN4AMw73AZgsta6gBqrgquoXZtFWZSiF//wYW+PyHVUqEBj3PLlvAdnZDB6o1MnYPx4x3oG2otSFHzffcccx969GTnRoAHw7LO85lNTmZJw772cMwT/5MIF5uPefTfTSnyd4GAarYsSg99/z0q+kyczosqSypVZn8HS62cZBRMUROOTIAiCTzFkCMMEp09n/owrF0KBgfQe3n8/J/j9+5lPdscdvHHOmEFrfJUqtKANHMgqdZMnc0yHDnkmtMkeTp6klb1RI3oA33qLHrKHHvLdvIiiaNnSsbzBTZs4mSYm5g2zKcozCNAiu20bjRIVKvB717mz11qbBBW9C6C1Xg+gwIYgWuulFr9nAfjayn5DCjnGbACzbRmP4DrKlqVx6o03KEx++823yuC7gpgYhvm/+SY9cWPHsvfiTTex8EyLFu43YlWsSHF61128/r/9lqF34eH0DM6YweIyGRne7cEq2M/x4xT6rVtT5PsLLVsyVPnwYRpGLTl2jNE/7dtzjVSrlvXjNGyY36hqLgYbNqTBfevW/K8VBEHwOo0asdXE669zATRmDHDtte45V/363G64wfRcZiatw1u2sDDJpEkUjocOUXxVr86CNcZWrx5vrMZWuzbDE13N5cscz/r13Nat483+hhvo+YyLc/05vYFRUXTYMNtfEx/PBSVAT+iKFRRzABed775b+OsNMQmYQkV79uR34ezZvJXaPIBNYlAo3igFjBpFw1SPHgxtHDjQ26NyPcHBvIfdcAPbuXz/Pb04ISEUhUOHAqGh7h9HVBTvE2+8wSIj334LPPYY85CfeooRI5aFOgTfZM8eXjM338xoI3+JjAFozFy0iAZNa+uen35ijuAvvzC3uCCio7kuMCcykt9tgIVqSpXi/HbhAo2ggiAIPkVQEL1y3bpxMfDnnwwdLVfO/ecODqY4iI1lkRpzsrKYi3jokGk7eJDCbN8+bmfO5BWItWpxq1nT9FizJgWGtUkqK4tiZPNmClJj27ePN/PERG6DBzMJvLgVZmzZkiGv9hAXxxwgrSkGJ0ww/S0ykp9nTg69w9aIjWUrE8AkBjt1YpGjP//0eIiRiEHh/7nhBuav9u/P+8Bzz3ERVxypV48C+NlnuSAeO5bzQL9+DPf3RPGPUqX4Wffvz3v9+PE0vj34IIvKjBlDg5/gm6xfz7zUUaNY9dnfSEjgPLZqlXUx+P33LIr3++8mg6c1EhPpYbx0iYYVgAJxi1mgf9267G+6eTM9qIIgCD5J27a8ud97L8OGfv6ZN0tvUaqUyZtYEFeu0JO4bx8fjx6luPjnH/587Bgfz5+nGAwIoEgJDOTP2dnMX4mJoUgxwlUjIylUizuJifR4XrnC8F1bqFmTBoTDhykGH3iAE6pSnAhr1mQOZdOm1l9v5BwCeYvI1KjBPpYiBgVvkpTE3J7bbqMwvO8+5gpVq+btkbmHgAAudDt3pnHt88+5WB0wgKKwXj3PjKNWLRadeeIJFpO54w5+/t2702vZu3fxFeb+yIIFNOB+/rn/etETEthn0FpV7Y0bWfvg8GGGLxf23WvWjPPn7t2MnAFonL50iccPDeVct28fayWIGBQEwaepVIn5MxMm0FP40ktc7PsqZcqwmpdR3rkgtGbMfm4uvVbGY3AwPVIllXLl+Nlt2MB8KVtQihPexo0M7wwK4iTXqBH/3qwZBWZBYrBePVZuPHmSE6ThWYyM5Dg8jBuCjAV/p3Zt9r+bOZNFjsLDKUiKezXAKlXo5dm5k+1eEhKAxx/3bKsgpShEt22joQ5gSGmDBsAzz+Sv2ih4lsxM9hAcPBiYONF/hSBAA0/FihSDltXOf/yRoa9Tpxb9Ho31h3mvQaXypkQ0a0YjtLV+uoIgCD6HUuwTtGIF8PHH7Fvl7yjFG3GpUhSQ5coxbr8kC0EDR4rIGN49pUx5gwZGgZiCMCbJzZvzegZbtqRH0cOIGBQKJDGR4ZM7d7LyYN++rDo6darvFbhyJVWrskDW5s2MGoiMZD7Y+fOeG0PduizecfQovSx//MHPvF07/g88VRFVMLFxI/vTrl9Pw12XLt4ekfMkJ3NO2rvX9FxuLiOjunVjEZiuXQs/RpMmNHBaVsQ2j4Jp1Mj2JveCIAg+Q5MmTIB+4QXmcgnFE0fEoOEZBOwXg4BpkmzalAUIcnM54Z4+7fF+lCIGhSKpXp35g//9xwrJH37I++M77/A7W1ypXZt9Y1et4nUaHs6qpJ7qyVizJvMZs7OZvvDkk+yf+NBDXKzXr88wXkf65Qq2k53NSrRdu7IK7YwZBVfW9DcSEpiiYD4HLllCL/mWLcxnLSo8uUwZCj3LStqWYjAkhNdRceu5LAhCMSc8nFbw224r/iFSJRVHxaAxyaWmOiYGN2/m5BgaygVe69YUhXv22DcWJxExKNhMqVJsx/DPPywYsXUrReHIkfn7jBUnwsJYaOrvv/neIyJY3CUry/3nLluWwu+aa3iv2b6dhX5mz+acVLs2w0qTkihcz5xx/5hKEhs3sp7AX3/Rq3Xnnf5VMbQoEhKYN2s+B06YwGJ6U6bYHgbbuHH+ec8otgZQDBopKsWpn6kgCCWEtm05yfbrx2qeQvEiNpY5f+bN44siOpohMZmZQPPmXAhnZPBvhhgszPpprb1EuXJc+M2d6/h7cQARg4JDNG/OZurbt9ND1aMHPSe//cZFX3EkJobFXaZOZU/Y6GgKtdxc9543IAB45RWTd8pobVO/PiNX9uyhl3bJEi7Khw7lOI8fd++4ijPp6aw0260bCyjNm8dw3eJGYiINCEb45pUrNPS0b89ru1s3244TG8sidubExdG7mJtLo9GJE3zeEIiCIAh+xY03MjSnTx/P5o0I7qdUKXr61qyx/TVly3JhsGMHvXuRkaZQrWrVWJjnyJGCX2/kDGpNL4PRnLd2bS7oPIiIQcEpatakINm7lw3V33yT4c/vv198vVQpKfQUffkl8NFH9MrNmuX+8LchQygE776bn69xvoAAVh2dOJFFPFq2ZK57ZCSNTXfcQU+msTAXCufvvzkn7NlD4XLXXe7p5+sLNG1KQ+iaNfxuzJ7N9z5nDr9vtlYVT07mccxDqKtUYVurvXuB8uVNPXSliIwgCH7LE0/QSzhwoGfCgwTP4WyoaOvWeSe4okJFq1ZlAZ/9+/PuGxXl8XC7YrrEETxNcDAXjytWUJSsW8fwynvvZThpcaRrV77fV19lpc927Zjj506MHOUffqDHKjMz799DQ5nXNns2y/pPm8b70z//MP+rWjUaNV9/HVi4UIrQmLNyJb/Dd9wBfPABMGlS8ckNLIjAQHq8y5ShaBs7lgX0xo0Dhg2z/TjNmrEgnXlFUSB/K6WaNYGlS102fEEQBM+iFPDJJ1z03HOPJEEXJ1JSHKsoaoS7tG+f16NnTxEZ831TUxmy6kFEDAouJzWVeUdbt3Lx16ULc95mzSp+nimlKLI2bKDwvfNOhszaE2lgLw0aUNwdP85znTplfb+AAEYh3H03xWNaGv8nw4fTa/vssywO1LIl9/nkE3o8jxwpOfNbRgYrs6amsm9g8+b0oPbr5+2ReY7ERBaRmTOHgrhmTRosk5JsP0azZgwPT0vL+7ylGKxdu+i5URAEwacJCqLVe/164I03vD0awVU46xls146LM2MBZYsYjI7mPub7duoEXLzIZr0eQsSg4DZq12ZLhn376G14+WWGRX/0EXDunJcH52ICA5mrt307m3Rfey2jSIwQcFdToQK9fi1a0Fu4fbttr6tViwVn3nuP0QwnT7I6bHw8j/G//3EBHxpKI5chEufPZ5uL4iISjxxheHPDhhTKo0ZRyDzxBD/bkkRCAtMlJkwAbr+dPQbt8QoC7J+bk0MhbY6lGKxShUYMia4SBMGvKV+eFu6vv+ZNU/B/wsNpKTcS3G3BvL1EvXr8XhgLP1vEYEQEi9DUr89ci7NnOXEq5dEEexGDgtspXZpicNUqemH+/ZeFTh580H1iyVsEB9NDuGsXIw7atWPjeneI38BANqR/5hmgQwd69eylbFmO8f77gc8/Z5jriRO8f736Ku9J27axgE1MDFsING0KtGlDj+hdd9HD+MEHFBNz5jB/+sABz7XgsAfDMxodTY/qggUsDnPttfw8SyIJCayFsHo1w2Rnz2bDeXsICKBH0dIjbikGMzO5r6UHURAEwe+oXRv4/XfgsceYdyH4NwEBTIC3p19Xw4YUkGfP8nfDOwhQDBaVJxUZyYWwUgyx2baNCfalS7s/78iMII+dSSjxKMX8tdatgUOHgC++oIhJTmaBrh49ik+hjnLlgKeeoqdl1Che46+9xjBSV7/H4cMp0AYNAl56iWLUGZTiwr5mTaBzZ9PzWpuMZpbb/v0UAidO0PNz8iR/LlWKeYrVqjEk1XisW5chrDEx/Nmd7Rq05j31vfcoeO6/n2K9WjX3ndOfiI+neA8MZD5fr14ME7WXJk3yG3fCw1mIJyeHPx89yp9XreI8KQiC4NfExLCs+KBBFIRyY/NvWrTgQqFHD9v2DwgweQBbtzblDd51F7196elcEBW04DA8g0De49SvbxKVHkDEoOAV6talOHr+eYbeP/ccC588+CALeBSXUL2aNYFvvqFQeughCuBPPqFnzZV07Mj7Rt++vJd88AHTGlyJUhQJVavSmFUUWjPs3RCG5o/79tEDtXkz8/ZiYkziMCaG982AAAoUYzN+z85m4RvL7eJF4PRpevzMt4MH+brHH2fvvLJlXfu5+DsVKvB/m5vLasBG6xJ7iY9nyK055coxH3HfPorF//7j7wsXsn+zIAiC39OlC/s79e7NCm81a3p7RIKjNG/ORak9xMTQA9i6NT2Db73F55XiwmbLFi7SrFGnDhcv587lDSuNibHPQ+kkIgYFr1KmDMXf7bfTK/HJJ8wtvPVW4IEH6PEqDjRvTrH200/ATTcxP/jttymKXUXTppyHbrqJBXt++sm71TCVotCoUIFhwQVx4gTvlcb2yy+MuMjNpRfJaFZu/FyqFEWGta1KFeY7JibyMTSUnsjY2OLjdXY1a9bwsylbloKuRQvHjtOyJUON09PzCm7D8BkWRpFfty6rDQuCIBQbbr+dE9jDD9svJgTfoUUL4Mkn7XtNdLQpYT4qinkXhw6ZQqA2bSpYDCplmiSjo9kHDKConDmTix4P5LCIGBR8AqX43W/XjiGHX3xBI0tqKu+t3bq5N5TQEygF3HILc+3efJML78ceo8eqTBnXnKNyZeCPP5jjl5zMPL4uXVxzbHdRvTrFcadO3h5JyeSTTyieV61ihIujREdTqO/ZQ6OmQWQk57mePRkqWqMGv6OCIAjFipdf5uJ/3jw2/xX8j7AwFnI5dsx2D290NBsUA1zotW1L6/+gQabG8oVhTJItW5o8g82bUwTu3k2x6GbEVi74HA0aUCzt3w9cfz0rPEZH0/P+33/eHp3zlC/PPn+rVjE0PTqalUFdVakzMJDFX777juLz1VdpXBIES44dA2bM4HevenXnWhtFRLBKqJH+YP688ZwhBj1cNVsQBMH9lCtH69r99wNXrnh7NIIjKEUhZk9/MCNM1MC836AtYjAiggn3YWEsdZ6eTg9jbq7HwmhEDAo+S9myLI6yfj095/v301OYmsqcuIMHvT1C5wgLowgcM4a5k9dcU3ThKXu45hrez+bPp1fm+HHXHVsoHowZQ6/7rl30Tm/Y4PixKlbkMVavzvu8pRjMzOTPRc2PgiAIfkffvhQH777r7ZEIjmIUkbGVhg1ZoOD8ef5uXlHUEIOFWfsNz2BQkKkSW/Xq/H3pUsffhx2IGBR8HqVoaPn8c+DwYfbC27KFJfHbtwc++4weDn+lWzcK3n79GFb+8MOs2ukK6tShGExJYdioBysVCz5OZibDsdPTgUcfZaXPzZud8yLXrZtfUFqKwYMHPV41WxAEwXN8/DG33bu9PRLBEVq0sM8zGBBgagsBcLGVlsaiMNWr00pamPfC8AwCpnYUSrHYwr//Ov4+7EDEoOBXBAXR4zV2LL3pTz/N5umRkRRVX39NA42/UaoUq41u3crqms2aAV995ZrwzqAghqV+8w1D2N94g9EHQslm6lSgUSMWHXroIVayDg2ll9BRwsPzv75hQwrN9HT+PS2NoeAerJotCILgORo0YG+pBx5wXf6H4DmaN7fPMwjkLSITHMz8v2XL+HtRoaIREZw4taYY3L6dzyckmH52MyIGBb8lOJgRGRMmUBjeey/ztsPCWOH5hx/c0+zdnVSvDnz5JZu3//gjC3v8/DPbKThLz568v/3xBz+fEyecP6bgn2jNUOtKlYB77mGIZ2wseyg7EyqalETvvTlBQTRw7t7Na3PvXjajlzBRQRCKLY88wtyWX3/19kgEe2nUiDmfR47Y/prC8gaLmvAqVmTZ9UOH6AkwBGBKCsdx9Kjdb8FeRAwKxYKyZYEbbgAmT+b1dOut9HzUr88iNJMm+VfBisREhtG9/TbDYJs1Y35XRoZzx61XD1iwgIv25GTxzpRU5s2joeTffxmWDFAMli3rnBhMSWHPx/T0vM8boaJlyrCATEICr1MxmguCUCwJDmYc/iOPsDql4D8oZX+oaHR0fjFomTdYGMYkae4ZjImhSDREpRsRMSgUO8qXB4YMAX77jYa5/v2BceOYPzd4MIu2+EOhL6XowfvnH45/+nR6Vt5/n9UYHSUoiNVav/oKGDiQglPCRksWr7/OkM3bbqM3GuC8k5FhinRxhIgIVrPdsyf/80beYNOmFITZ2f6d6ysIglAoHTqwt9Orr3p7JIK92FtExjxMFABatWLT+IwMU6/BwjByBY2Q0ZwcPpeR4ZEEexGDQrGmcmU2tf/zT4apde4MjB7NcLjbbgNmzzZVN/Rl2rfnWGfNAlauZNjdyy87lx/ZuzfbW0ybBtx1lwjCksI//zBUc8UKtm0xiI2lOHMmfLNRI85hRi68gaUYNK65VascP5cgCILP8+67wPffFy0GBN/C3rzBxo2Ze2N4gStUYEjXqlUUitu3F14EIiGBYTkhIbSW7t3LimxaA3/95dRbsQURg0KJoVo14O672Rt061bm977+Oj2GI0aw6qYrcvPcSVISQ16XLmVxqvBwNq0/dMix49Wvz/edlsbPRgRh8cfwCg4dyrBhg/BwpiYcPux4SHWZMgw1tdZewhCITZuyX2iVKvzuCYIgFFtq1KBn8N57ZYL1J5o3p2fPVgIDWcnQqCgKmFpMVKjABvaFVZdNSGBZecCUN6gUe6nt3w+cPOnQ27AVEYNCiaR2beDBBymq1q7lNfzMMxRHjz8ObNzo7REWTkQEq4Nu3Mj5JS4OGDmSos5eQkLoddy2DbjvPpmvijNr1tD4uG4d8Oyzef8WHEyh1rChc/0ua9XKbwQ32igBPEdaGtspiWdQEIRiz4gRDIf4/ntvj0SwlQYNGKJpbxEZ89Aa8yIy0dF5haIlcXGceLOz8+YNtm/PSXXxYvvfgx2IGBRKPA0aMFxu1Spg4UJ6N/r2pRfuo498u1l7vXrAhx9yoV2rFsPUhwyxPyKlfHlWGd24UaphF2deeYV5p8OH8/tiSUwMPejORDSFheU3StSsybXQqVOm9hItWjjXxkIQBMEvCAxkMZlnnvHP3lclEaVYZW/dOttfEx+f15PQrh3bS2idv8CMJRUq0Euxa1feiqLt2tFC7+a8QRGDgmBGZCTD6PbuBd57j17DiAjg2muBX35xvpqnu6hWjZEoe/ZQxHbvDtx0k335XxUqMLdy7Vr2nRNBWLxYtYrbtm1sgWWNuDgWGHImbzAmJn/YslKc33bsoFjcswfo1Inroqwsx88lOIZSqp9Sasw5f+u9Iwj+SvPmnJQtQzIE3yUpyb5QUSPvz6BmTbaNSEvL33rCGomJDBU1b2Cfmspk/r//tnf0diFiUBCsEBAAdO3KXoUHD7JtxeefM5/3vvtYkt8XxVLFilzo797NnMiuXdlo3tawv4oV2eNw5Urg0Ud98z0KjvHiiwyDvu8+Gg+sER/P/HdnxGBSEnMOL1/O+7xh7AwJAapWZa5uQED+YjOC+9Faz9Raj6xUqZK3hyIIJYfXXmMVuBUrvD0SwRbs9QwaYtB84dSyJRdURXkGzV9vHiZaoQJfGx/v1gWZiEFBKILy5YHbb6dhZs0aLmJvvZXX65tvAgcOeHuE+QkJAZ58kqKweXNWUR0yxDZRWKkSBeHSpcyfFEHo/yxbxjnt8GHg6acL3i8hgd9nZ8Rg06bMP7RsL2Ee+dK0qam9y/Lljp9LEATBb6hUiSFH99zj+9XqBIpBezyDtWoBpUrRg2CQksKQHEPgFVaUwRCDNWqw8qhRNKZDB4btKOXY+7ABEYOCYAcNGwLPP09vxrhxwL599Ox36waMH+97je3Llzd5ChMSGJp3882F5zEDbMkxdy7D1J96SgShv/PCC8yFffttficKon59hm2eP+94akvjxpzvLPMBLcXg7t2cOxcscOw8giAIfseQISyl/MUX3h6JUBRNm3IiPH3a9tdYhoq2bEkxWKECEBrKRWNhr12/3pRXYSzU2rVze+N5EYOC4ABKAa1bA19+yfyokSOBiRNZ0OXOO7nA9aWqnOXLM3d9924amDp2BG65pfAQvSpVgHnz2OLm2WdFEPorixYxp71OHRoCCkMpzkcNGjjefL5WLX73Lb2LkZF5xeCuXUyjsMfwKgiC4NcoBXz2GZP8jx3z9miEwggI4IToSKioQfPmFHhZWUWHijZowJCZ48fzluBu29btuUkiBgXBScqUYV7477/TkBMXBzzyCAtlvPIKe7f5ChUqUNilpfG+1K4dQ14LqupYtSrF4B9/0CMqgtC/0JqhvtnZwOjRtkWZJCbye+JoqKhSzEk0WiYZNGnCdkmZmSYx2KEDew7K90oQhBJDdDStxk8+6e2RCEXhaN6gQcWKDCnbsqVoMWhYY9evz9uct1YtVjWUMFFB8A9q1QIee4z3gunT2aImKopeuH//9fboTFSsCIwaRU9hRAS9nCNHWs9/DA2lIJwxg8ZMwX+YOpX/0+uvp4HSFhISrHv27KFhw/xe59Klafg0vnO7dgHXXEMhaJlfKAiCUKx58UWGELm5f5zgJPbmDVqKQcAUKmprEZmNG+kZNJ9EC8vvcAEiBgXBTSQmMox0zx4uxG++mbnE48f7TouKihWZT7ZzJ0VfYiKriFr2VqxeHZg/Hxg7ll5CwffJyqLhOT2dRexsJSGBaRLO9BqMjrZuWDDyBps25XURE0PhKeshQRBKFOXLAx98ANx/v/TX8WXsbS/RrBlDYMwLSNhTUTQ+nmLSUgy6GRGDguBmqlSht3DnTgqv8ePpOXnhhfz92LxF1aqsjLp5M0MKo6I4vrNnTfvUqAFMmAAMG0aPp+DbfPMN0w8ee4z5grYSHc3/76ZNjodvxsVRhJ4/n/d58/YS1aoxZaZePfa3FARBKFEMHMhwok8/9fZIhIKIiqK4u3jRtv1LleJEZx5aY15RdNu2widWwzPYtClDQz1kKBAxKAgeIjAQ6NePVToXLgTOnOGiedAgtnHwhbyp2rWZW7ZmDasjh4ezAqVh5OrQAbj7buYZ+lKBHCEvFy9SzOfkAE88Yd9ry5Rhfl9gYN4K2fbQtClQtmx+w6Z5EZmICBpIjAgaQRCEEoVSFIKvv86+P4LvUaoUEBubP/SzMCxDRRMSONmVLk2PcGETa0wM9w0IoBX3v/8cH7sdiBgUBC/QrBnngP/+Y6GoO+5gKOm4cab+a96kUSOOZfFiCsPwcBZAy8xkIZnMTIpEwTd54QUgKAh44w3HUg0SEmgY2LjRsfM3bUpjgSH8DMzbSxhisE8fhpSKcUEQhBJHZCQT9u212gmeIymJCyFbsRSDpUubSmdHRRUeKlq2LBdg27fnLSLjZkQMCoIXqVQJeOghXu+vvw5MmcIiG8895xvN7KOigMmTgVmzWC21WTMWxpkwAfjoI2kY7ousWsXcztBQFqxzhIQEegidEYPp6fnbUxgCUGvTzz16UAimpTl2LkEQBL9m1CiGB0nTVd8kKck+z2BsbP7JLzWVVQTNW0YUhCEmbdnXRYgYFAQfICAA6NULmD0b+OcfhmUmJAA33MDCLd4OIU1O5ti++YYVRW+7jX0Lb745b16h4F2yshh2HBAA/PYbQz0dISEBuHzZvvnPnFKlmGNqmXdfrRofT50yicHatSk8Z8507FyCIAh+TUgIrav338+wG8G3SEzM3yupMIzcQHMMMWhMfIURH2+9oqgbETEoCD5GRATw8cfAvn1A167sWRgVxee8Lby6dOECf/Bg4K23GNFw223eF6sCefJJFiX67Td65xwlIYEpLI6KQSBvfqCBUqa5MDzcNCd27Oi4cBUEQfB7rruO4YEff+ztkQiWxMVR3NlazKVuXYbGnD5tei4lhRVFbRGD5p5BEYOCULKpUAG47z4aiL75hkalxo2Bu+6yL3zd1QQFAffcw3tU9+70GN50k++0yyiprFjB4j9vvEFx5Qy1ajHN4b//OKc5QnIyq5Lm5OR9PjycPQYbN6bgzMhgfurddzs3ZkEQBL9FKeCTT5iM72jlLsE9hISwBLyldbMglGJOjbl3MDwcOHeOpdttEYPiGRQEwRylgHbtgJ9+4r2oSRNgwABGHXz/veOLdWepXJlGzJkz6YmKiOCjeAk9T2Ym0LMn0Lkz8PjjrjmmUUSmqLZIhb0+OJgebnMMw2ipUsyP3bMHqFmTXmZBEIQSS9OmDBV97DFvj0SwxNlQ0YAAegePHOFWWKXAunU5qQcGsjS4B0LCiq0YVEr1U0qNOXfunLeHIgguo2ZN4NlnuYB+4QVg0iQuqJ94wnsFOHr1Aj7/nPe6Z5+lt9CZ8ELBfvr14+Pvv7vumImJLHDk6P8yKopzmaVh0zxKxpaIGUEQhBLDM88Aq1ezB5XgOzgiBi09iamp/N82blz4gk0pWlM3bWIxGkcrudlBsRWDWuuZWuuRlSpV8vZQBMHlBAYCffsyRHPFCgqx1q1ZmfG339g43pMMH06jV8eO9Fr26AHcfrtvVEQt7nz3HTBvHjBnDkM7XUVCAr28js5DkZH0Wlvm0RthooCIQUEQhDyULct4//vv940+UwJJTATWrbN9f2eLyMTFUQwmJ+evxOYGiq0YFISSQpMmwDvvUHgNHcqUg4YNWa16927PjEEp4KuvgD//ZGjhzp30WCYm0tDp7cI3xZUjR9ii6pFHOM+4koQE5r876hmsWJGpFpb5rYYYzM0FoqOBzZudH6sgCEKxoU8fVpR86y1vj0QwMDyDtubBWBODKSn0DJpXTysIQww2b+6RIhEiBgWhmFCmDHDrrcCyZfQSpafTW9i5M/sCuju3sHJl5jXecw/D3P/3P3qVjDYCH30kRWZcidb0xDZsCHzwgeuPHxnJ/92GDY7ngYaFcT4zp2JFbocPAy1asC+iIAiCYMZHHwGffmoKoxC8S82aDL2xNdwpLIyTnPnCq3p1NgCuVMn29hLiGRQEwVFiYykQDh5ktMmPPwL16rE66erV7ivy0ro1G50/8wx/r1sX+Ppr4O+/gb/+oido0iQpMuMKnniC1T4XLXLP8UuVYkE0gO0qHCE+Pn8BGcAUJRMTA+zfD1y44Pg4BUEQih316zMJ/777ZML0FezJGwwKYtiWZdJ8q1a0lhclBmNimHMYGcmJ/tIlR0ZsMyIGBaEYExwMDBwI/PEH72G1awM33sh72ief5G2D4ypGjaL4W7bM9FxsLDBrFltkvPsuIx9+/ZWhgoL9LFtGw/Ho0UCdOu47T2Ii20w4mjfYvDnnMMt5zAgVLVWKgtGbrVIEQRB8koceAo4dowVV8D6uaD7fpg2t9EW1jChfnpP7vn20oru5Kp+IQUEoIdSvzwqku3fTa7hiBSMZBg+m185VwqxCBeYtPvRQ/h5znTuz7+pLLzEdIi6OIayeLnjjz5w9C/TuzTnlnnvcey6jPYSjYjAmhpE1lrmr5vnzLVtKqKggCEI+SpUCvvyS/YKkMr73cZUYXLeOOTNFWePN8wbdHCoqYlAQShgBAUDXrszv++8/oH17hhw2bQq8/rrjIYHm3HwzRcC4cdbP378/i2p9+CHDSCMj+Sg5hYVz+jTQqROQlQVMn+7+8yUk0KvnaK/BZs0o9C2raEdEmAyjKSkiBgVBEKzSpg2tfy+84O2RCK4Qg3FxzDts0qTofNC4OFPeoJvDZ0QMCkIJpkoV5hSuWwdMmcJ7VFwc+9bNmOG4x04phjA+/3zBlUSVAq65hjlv330HTJ1KQfrxx8Dly46+o+LL7t1AUhLnj59+Yh66u0lIYA68oxU/a9e23p6iWTNTC6aWLektFgRBEKzw1lvA5MkST+9tmjYFTpwAzpyxbX9rYjAoiBbQKlWKtrLGx4tnUBAEz6EU7zdffklBeMMNbFfRoAHw3HOONbRPTqYH8OWXi963fXu2pZg2DVi4kOGrb74pkTEGS5dy/jh9Gvj5Z36unqBKFYrObdscCyNWioLQsj1TkyZMm7hyhfPr2bOcYwVBEAQLQkM5Id5zT/7cC8FzBAZyYbN6tW37N2tGK25WVt7n27RhiFRReYBGmGhsLC3BbiwkJGJQEIQ8hIQAd9wB/PMPcwkzMnjv6tKFHil7WlS89hormW7ZYtv+LVpQEM6fT6NZkyb0LpZkoTB9OqOEsrOB334Drr3Ws+dPTGQu+3//Ofb6pk3z58qXKgU0bkwvZ0CAtJgQBEEolNtvZ/+or77y9khKNkbjeFsoW5bFGiwnwDZt2LepqJDTpk1ZQCgzk+FTSjkyYpsQMSgIQoFERwPvv09v4T338H5Urx5DS9esKdpQVb068OKLwMMP22fUiokBxo9n+ODJk8wpfPRRepNKEt98wzVAUBAwdy4FuaeJi2NbJFsFvSVGqKklUVGmUNF77gGqVXN8jIIgCMWagADgiy9Yfe3oUW+PpuSSkmJfXkNcXP48i1ataAldt67whVFgIEO2zEuzuwkRg4IgFEnp0sBNN1GQrFvHdgMDB9Jr9PHHFGwFce+9nLumTbP/vGFhDF3dtIlzYXw8cPfd1nvXFSe0Bv73PxaRq1aNhsjUVO+MJTqac5KjRWRatmQRGss8UPO8wYEDOccKgiAIBRAbCwwbRsuo9B70DikpnJBt/fyNUE9zqlQBGjbkwqqoxUznzsCCBY6N1Q5EDAqCYBcNGphaVHz0EcP7mjZl/8I//8yf0hAUxJ6Gjz1mX4ipOXXr0kO5cyfFUXIyMHIksHevs+/G9zh9Ghg0iDmbycnMG2/a1HvjiYqikHPUMxgVxbBQy/YSzZrlz60XBEEQCuHFFykuPvzQ2yMpmdSvT8v0/v227W9NDAJA27ZczBSVN9i5MwspuBkRg4IgOERAAO9TEyZQlHXtynmqYUMKv+XLTUVHunShh+jdd507Z7VqbH+xcydDUJs3L16icPp0hsT++SfDQ+fNY4imN2nWjDmbjlYUDQ9n/rzRV9DAPExUEARBsIGQEGD2bDYLnjrV26MpeShl8g7aQkFisGNHLpCKyhts1YqW2PPn7R6qPYgYFATBaSpXZt7XypXAnDlAxYrAXXfRi/jwwyxG8847DCl1RYhnaKhJFNaoQVF4113+Ky6OHQMGD+Z7yM5mvuSnn9Kr6m3KlWNY8PbtjhWyCwnhMSzTLCIjmVfvSJVSQRCEEkuDBsDMmczBWL7c26MpeaSm2p432KQJcPw4cOFC3uc7dGAyvWWpbUvKlKH4XLLEsbHaiIhBQRBcSkwM20ls2cIcw2rVWHCmbVveF++4w3XVsUNDWbF0506GknbsyMqbc+f6R0pFdjZFX3Q0sGIFBdLGjZ5rHWErMTFAhQqOVxStVy9/r8GKFZk6ceCA8+MTBEEoUSQlsaLbgAGO9X4SHMeeIjKBgQyDsQytqV+fYT+2lNH2QN6giEFBENxGdDTzCzdsYNh7nz7smVe9Oo2a8+c73tjenNBQ4JVX6HUcOBB44gnm2n/9teN5iu5mxQqGzn7+OYXrsGHAokWcI3yNqCjbeuQWRESE9fWKeREZQRAEwQ5696bltXfvwqu4Ca6lRQsm89u6eCkoVLRLF7aYOHu28NeLGBQEobgQEcGq2BMnUrzVrw888wybko8YwfBSy96s9lKmDEXVhg0sWjNjBiNqbrmFwnDXLu97DC9fZk5l//4srKIU+zm++KJvhIVaIzqaY3O0iExycsHtJaSIjCAIgoPcfTe9g9ddB1y54u3RlAwqV+YCxtYJsbC8wQoVii4ik5ICtG4tTecFQSg+XH89q2MGBTFCYtUqeohefpm5aXfeCUya5FxPQaVY0GbmTB6/c2dg8WIa4urWBYYMAcaM8XzfwiVL2HdvyRLmynXqxH6NycmeHYe9OFtRtFUrICMDOHMm/3EdPaYgCIIA4I03GIt/222ShO0pXFFEpkMHhi5Z5lBYEhzMfBJpOi8IQnFBKd7X3nmH1ZkbNWI/veXLWVgrMRH4+Wc+NmoEDB3KXoObNzs2zzVqxMIs48fzfP/8A3TvzpDMhASmXrz4IkWjO+bREydY9O3OO+kNvHyZIZfz5/MzKFPG9ed0NVFRLHJjbT6zheho/t8tw0zj44ueBwVBEIRCCAhg/uCRIwy3EdyPPWIwNpYLGEvPXpMmJqu4l1Ha2zFTbqZFixZ69erV3h6GIAgWvPoqC2kV1Ixea1abXLqUAu6ff5gW0bYt0L49txYtaDRzlOxsitCZM7mdPctCYdHRFEDR0fRahoTYfsycHIb3//gjH48dYxGdnBwWTfnoI6BHD7ca+dxC7dr07J0/b/9nrjX76779NvslG5w/D9SpA5w7xzx7Z1FKrdFat3D+SCUDmR8FoRhx6hQnyAce4Ca4j9WrmZNiizVTa5Y937CBE545HTtyYeOhEJmC5kgfzVARBKG489RT9AzNmgX07Zv/70pRiDVrBgwfzueOHqU4XLyYc93OnSzC0r49Iy5SUxmCbytBQSZh+c47wJ49zAvfto2tnIxG96GhDG01trAwIDOTXr8TJ1g5eutW5iSeOkUPY4UKzJPs04eGwZgYoE0b380LLIqYGIrz7dv5f7MHpTgHLl2aVwxWrMg5Mi2NlVQFQRAEBwkNZZPadu2YczFwoLdHVHyJjwd27wYuXgTKly98X6UY6rRuXX4x2L49e255GT9dlgiC4O+UKQN89hmbxnfpwl50RVGrFnDDDdwAepSWLWMO3iuv8F4bHk7R1aYNjaQNG9ruhQsL42ZOTg5zC3ftomhJS2N0SGAgcOkSC6OkpXFsN93EfoGtWvmv6CuIqCgK3Q0b7BeDAEW9NeOnESoqYlAQBMFJGjWihfWaa2hp69DB2yMqngQHMxdw7VrbPuOkJC5Q+vTJ+3z37sz5vHTJvhAkF1PMliuCIPgT3bvTm/fGG+wXaC+VKgG9enEDWKRk3ToKxF9/ZS4iQKFWrhxQtiwfy5WjV6ppU3rvwsP5s5G/l53N9ItDh7gdO8ZIjhMn+Hj6NOeA1q0pZq+9lvn7xRmjF+KGDcCtt9r/+tRUhvpakpDAY954o/NjFARBKPEkJgI//cSb6vz5DE0RXI/Rb9BWMfjLL/mfb3E1YnPLFh7PS4gYFATBq3zwAQXB0KH0HjlD6dL0yrVqxfYNWrOp+alTLNySns7Hy5eZH7h7N4Xjzp1sqF6zJttbnDzJXoh163KrVYt5f02b8tg1avC+XamSSz4CvyA6msbLoqpgF0T79hT8Z8+yMrdBQgIwbpwrRigIgiAAALp1Az78kD0Ily71zQa2/k5KCvtX2UJSEjBqVP7nQ0KYUzJvnohBQRBKLnXqAM8/D9x/P/vtubKwilLsM9igQdH7Zmez2mhwMMVfcQvzdJa4OArrEycosu39P8XFmSqKtmljet7wDAqCIAgu5OabmcfQqxdzKapU8faIihepqdYFnjXCw1lcwNIaCgCNG9Mq7UWktYQgCF7n/vvpvZs40XtjCApivmC9eiIErVG1KrfsbBbysZcaNfi5Ws55jRuzSqllD0JBEATBSR5/nPkY0pTe9TRtCly4YNuEGBjIBPn16/P/LSmJVeu8iIhBQRC8TlAQ8MUXwBNPsCiM4JskJlIsO+LJU4oht8uX530+IIBeQ+k3KAiC4GKUYlns2rWBO+7I3+tOcBylWM7c1j6BRhEZS7p0YZECLyJiUBAEn6B1axbaeuEFb49EKIjERKY4WDNu2kJUlPWKop060TMsCIIguBijKf2ePcBbb3l7NMULo4iMLRQkBnv3ptf2wgXXjs0ORAwKguAzvPkmMHmy7YY2wbMkJnLOcjTHLzWVbToseeMNYMAAp4YmCIIgFESZMsC0acCnn7L1hOAaXCEGQ0OBUqWAOXNcOzY7EDEoCILPEBoKjB7NXn2SQ+Z7JCUxmsVRMdihAyu5Xrrk2nEJgiAIRVC3LjB1KjBsmNdz1IoNhhi0Jfw2NpZNidPT8/+tZk3gzz9dPz4bETEoCIJPceONQN++kt7gizRsyF6Oe/ZYn8+KwmhWv327a8clCIIg2ECrVsDbbwP9+7OypeAcNWuygfG+fUXvW7o0Gxtby5WIjWULEC8hYlAQBJ/j3XdZhfndd709EsEcpRgqWru2Y4blKlUYrbRkicuHJgiCINjCnXcyT23IECAnx9uj8X/s6Y8UF2ddDHbvzsbH2dmuHZuNiBgUBMHnCA5m7uAHHwCLFnl7NII5iYlApUrW5zNbqFMHWLHCpUMSBEEQ7OG994DMTODZZ709Ev8nMdF2MRgdzWa7lrRpw7Lqa9e6dGi2ImJQEASfpH594Pvv2TfXkb52gntITGT47ubNjr2+WTPHhaQgCILgAoKCaHGdOhWYMMHbo/Fv7PEMFiQGY2KArCzg779dOzYbETEoCILP0qMHMGIEo1m8FD0hWJCUBJw+7bigS00FDhxw7ZgEQRAEOwkNBX77jY3pf//d26PxX+wVg9YmzwoV+P/44w/Xjs1GRAwKguDTvPACqy5L/0HfICoKOHEC2LTJsdd37QqcPw/k5rp2XIIJpVSYUmqsUuoXb49FEAQfJjYWmDmTeYR//eXt0fgn4eEss21Ln8CwMO5rraR2cjL7annB8i1iUBAEnyYwEPjxR24zZ3p7NEJwMEM9jx0DLl60//XJyQwzTUtz/di8iVIqUCm1TinlcBMvpdS3SqnjSql8QbhKqZ5KqR1KqTSl1DOFHUdrvUdrPdzRcQiCUIJISWG46JAhUt3LEYKC6PGzxUIaFETxuGNH/r81b04P4Zo1rh9jEYgYFATB56leHZg0CbjrLuC//7w9GiE+nhW1raU+FEWZMkC5csD8+a4fl5d5GIDVGqtKqRpKqQoWzzW1sut3AHpaeX0ggM8A9AIQDWCIUipaKRWnlJplsdVw9o0IglDCaN8e+Pln4IYbbG+iLphwRd5gfDxQvrxXquaJGBQEwS9o3Rp4/nmgXz/g3Dlvj6Zkk5DA1kqOFpGpUwdYtsy1Y/ImSql6APoA+KaAXToCmK6UKn11/xEARlvupLVeDOC0ldenAEi76vHLBDARQH+t9SatdV+L7bgr3pMgCCWMbt2AceM4ya5b5+3R+BeuEINxcQy3WbzYtWOzARGDgiD4DQ88AHTqxMb0WVneHk3JJT6eVckdLSITEeG4kPRRPgLwFACrmZBa6ykA5gCYpJS6BcAwADfacfy6AMzL7hy8+pxVlFKhSqkvASQppfLVjldK9VNKjTknVhVBEMzp0wf4/HP2IZSyz7ZjjxiMibEuBps2ZUL9P/94vP+jiEFBEPwGpYCPPmJBmfvuY+6Z4Hni450rIpOaCuzf79oxeQulVF8Ax7XWhSZ6aK3fAXAFwBcArtVaO5BxaRta61Na63u01k201m9a+ftMrfXISpUquWsIgiD4KzfcwD6E11xT/JK73UV8PCdEW0RcQZ5BI/ewShXbhaWLEDEoCIJfERTE/MHVq4F33/X2aEomNWsy989RMditG3D2bLER820BXKuU2guGb3ZRSuVr3KWUag8gFsA0AC/ZeY5DAOqb/V7v6nOCIAiu55ZbgJdf5s26uFju3EnlykC1asDu3UXv27Qp+ytduZL/bwkJQIMGHg8VFTEoCILfUb48MGsWMHo08IsUz/cKiYkUdGfP2v/alBS2ltizx8WD8gJa62e11vW01o0ADAbwt9Z6qPk+SqkkAGMA9AdwJ4BQpdRrdpxmFYBwpVRjpVTw1fPMcMkbEARBsMaIEcAjj7Af0JEj3h6N75OYaFuuZalSbDFhraJoQgJLdnu4iIyIQUEQ/JK6ddlq4r77gBUrvD2akkdCAg2hjqSVBAUBISHAn3+6flw+SjkAN2mtd2utcwHcBmCf5U5KqZ8BLAcQqZQ6qJQaDgBa62wAD4B5h9sATNZaS0KPIAju5ZFHgDvuALp3B06e9PZofJtWrYDly23bNybGeuJ8YiJw5gxbfHiwGa+IQUEQ/JbERBY/GzBAWk54moQEGjidqSi6dKlrx+RttNYLtdZ9rTy/VGu9yez3LK3111b2G6K1rq21LnXV2zjW7G+ztdYRV/MAX3ffuxAEQTDjuedYYbRHDynlXRht29o+qRXkRYyPp8ewcmXHejc5iIhBQRD8mj59gFGjWPzs2DFvj6bkEB8PXL7suBiMipJidYIgCD6PUsAbb1Ds9OnDG7+QnxYtKOAuXSp63+Rk62KwShUgNJQTrK1eRhcgYlAQBL/n/vuBIUPYN3dfvuA7wR00a8ZoFkeLnhWniqKCIAjFGqOUd6NGLC7j4dYHfkHZshRxK1cWvW9SErB2rfUqagkJFIQezH8RMSgIQrHgxRfZh7B9e49GV5RYSpcGmjQBNm50rCpo9+6MOComFUUFQRCKNwEBwLffAhcuAA89JDdva9gaKlqzJlCuHLB3b/6/JSbyUcSgIAiC/Tz0EPD660CXLsCqVd4eTfEnORnIznYsPDc5mWsJawXVBEEQBB8kOBiYOpWN0d9+29uj8T3syRssKFQ0IQE4fJhhTo6U63YAEYOCIBQrbr0VGDOGqQ0LFnh7NMWbhATmuTuSNxgYyBYhJaiiqCAIgv9TqRLwxx/Al18C48d7ezS+RZs2zPWzJYzWCBW1JDGRTXybN/eYVVvEoCAIxY5rrwUmTwYGDQKmT/f2aIovCQn07jlaRKZuXY/myAuCIAiuoE4dYPZs4IkngHnzvD0a36FmTaB6dduqoxXkGWzUiDkUCQkeCxUVMSgIQrGkUycaL++9F/j+e2+PpniSkMAolo0bHXt9bKzjrxUEQRC8SHQ08MsvLCizbZu3R+M72Boqmpxs3TMYEADExbGRr4hBQRAE52jenKGiL7wAfPKJt0dT/KhZkwXUrM1nttC7t/X8eUEQBMEPaN8eePddoH9/lpcWKAaXLSt6v/r1gcxM4MiR/H9LSODjihUeKdQjYlAQhGJNs2bAkiXAp58Cr7wiBdBcTWIii8Dk5tr/2oEDgStXgIMHXT4sQRAEwRPcfjstezffLC0nACAlxbZcP6UK9g4mJNBSWrGiR8qjixgUBKHY07AhBeG0acCjjzomXATrtGjBAnOOePgqVmQRmUmTXD4sQRAEwVO89x69XM895+2ReJ+YGODAAeD8+aL3TUiwnisRH88mvr17A7NmuX6MFvilGFRKhSmlxiqlfvH2WARB8A9q1gQWLqTBbvhwtkQQnCchgaGijhaRiYgA5sxx7ZgEQRAEDxIUxKptU6YAP/3k7dF4l6AgToy25E/ExVmfPOPimIfZuzcwc6brx2iBzWJQKRWolFqnlHJYoiqlvlVKHVdK5XvnSqmeSqkdSqk0pdQzhR1Ha71Haz3c0XEIglAyqVwZmDuXLXxuvJG9cwXnSEgAMjJYCdsROnaUIjKCIAh+T2goy3c//DCwZo23R+NdWrQAVq8uer/YWOuTZ0gIUK8eq7Zu2gScPOn6MZphj2fwYQBWywUppWoopSpYPNfUyq7fAehp5fWBAD4D0AtANIAhSqlopVScUmqWxVbDjjELgiDkISQEmDGDhbpatnTcoyWQiAjg8mXrFbJtYdAg4PhxCd0VBEHwe+Lj2X+wXz/bxFBxxVYxGB0N7NoFZGXl/1t8PLB9O9ClC0ujuxGbxKBSqh6APgC+KWCXjgCmK6VKX91/BIDRljtprRcDOG3l9SkA0q56/DIBTATQX2u9SWvd12I7bsuYBUEQCqJ0aeDrr5ne0LmztJ5whqAgoGlTx8VgSgrz6Bctcu24BEEQBC9www3A558DvXq5XcT4LC1b2lZEpmxZoEEDVmGzxMgn7NfP7aGitnoGPwLwFACrtlut9RQAcwBMUkrdAmAYgBvtGEddAAfMfj949TmrKKVClVJfAkhSSj1bwD79lFJjzp07Z8cwBEEoSdx2G/MI33oLuOsuID3d2yPyT1JSgP37WT/AXpQCatViqokgCIJQDLjuOuC334A77wS+/dbbo/E8EREMeTltzf9lQUF5gwkJLCLTpw+wfLlbw2eKFINKqb4AjmutCw0A1lq/A+AKgC8AXKu1vuiaIVo91ymt9T1a6yZa6zcL2Gem1npkpUqV3DUMQRCKATExNOClpwOtWjEqQyiYY8eA8ePzFkpr3pzhtzt3OnbM778HHnnEJcMTBEEQfIE2bRjy8dprwKuvlqy+ToGBbBthS+5kQXmDRkXRmjWBPXvYjN5N2HLktgCuVUrtBcM3uyilJljupJRqDyAWwDQAL9k5jkMA6pv9Xu/qc4IgCG6nfHlgwgTggQfYQ/ejjySHzeDcOeDPP4EPPwQGDAAiI4FvvmEUjDF/JSZynnK0iEy3bjSkCoIgCMWIyEg2YJ8xg43pD5Wgpb2teYNxcdYnz4YNgUuXgBMngFKlXD8+M4oUg1rrZ7XW9bTWjQAMBvC31nqo+T5KqSQAYwD0B3AngFCl1Gt2jGMVgHClVGOlVPDV88yw4/WCIAhOoRQwYgSjMaZMAbp2Bfbt8/aovMvp00Dr1sCbbwK7dzN1Yf9+Gnuff5557QsWMJrl4kWpCioIgiBYUKsWBWFyMi2HY8eWDC+hPWLQWpio0ZTeA5VZXeVzLAfgJq31bq11LoDbAORbRimlfgawHECkUuqgUmo4AGitswE8AOYdbgMwWWu9xUVjEwRBsJmmTYHFi5n73qIFMG5cyZi3LLlyhWkfPXtS/H36KdM/Klbk32+9leGdI0fSaBkaCqxY4dUhC4IgCL5IcDDw8svA/PnAF18A11xT/K2tthaRadKE+RfWel21aGHbMZzELjGotV6ote5r5fmlWutNZr9naa2/trLfEK11ba11qavexrFmf5uttY64mgf4ur1vRBAEwVUEBgJPPcV568MPgUcfLVmCMCeHxXVq1wbee6/g/Xr3ZlrDO+/Q4LtFTHiCIAhCQcTH02rYtSuT9Jcu9faI3EeTJhR4x44Vvl9gINCsmfUJtGVLj7TocF82oiAIgp8TH08v4d9/A2+/7e3ReIbsbHr9Tp+m56+onPWPPgI++QSIigLOnLFu3BQEQRAEAOxH9MwzwHffAddfD0ya5O0RuQelWF3NFjGXkACsX5//eVu9i04iYlAQBKEQKldmAZUvv+TcVZzJygJuvplCcOZMoEyZol9Tvz7n9b//ZsukrVvdP05BEATBz+nRA/jrL4bhvPFG8Qy/sdWzl5pqPc+iYUNOzG4uvCNiUBAEoQjq1KEgfOYZ4PffvT0a93D5MjBwIIuXTZ9OYWcrjzxCD2J6uhSREQRBEGwkPp5V2375hUnply55e0SuxdYiMm3asMiOJUp5xDsoYlAQBMEGmjWjSLrjjuJXKOX0aaB7d6BCBWDaNNs8guYEBQE//8wQ07lz3TNGQRAEoRhSpw7zMbRmWOXatd4ekeswhFxRXs/oaOYWnjxZ8DHciIhBQRAEG2nViqGi113Huas4cPw4eyu2bg388AOLvjlCZCRQrx4wZ45rxycIgiAUc8qXZ5L6Sy+xhPU77xSPZr/16/N9FBXmGRgIpKRYtzR7oKKoiEFBEAQ76NOH7SYGDwaeeIItGPyVy5eBa6+luH3vvaKLxRRFr17sN5iW5pLhCYIgCCWJIUMofGbO5OTkzxMsYF+YZ0Ghoi1bstegG3MqRQwKgiDYSa9ezI3bu5dGu3XrvD0i+8nJAYYOBcLDgddec80xu3Th44cfuuZ4giAIQgmjYUNgwQIgJISJ7BkZ3h6Rc9iaN9i6NfMnLalVixZWpVw/tquIGBQEQXCAatWAKVNYVKZHD+D554EjR7w9KtvIyQEefJCtIMaOdd0ck5TE/MEJE+h1FARBEAS7MSaS4GBg0CBW1PRXbA3zTE2laMzOzv+3KlVcPy4zRAwKgiA4iFL0rq1ZA5w4wRzwG29ks3pfrZJ98SIwYABbQEyb5niOoDWaNmV6RIMGwE8/ue64giAIQgmjVClg4kSKo5tvti6S/AHDM1jUoqBKFU6eXijJLWJQEATBSerXB776Cti3D+jcma0WmjUDfvvNt0Th/v1A27ZAjRqs+lm5smuPHxgI1K4NhIayL6MgCIIgOExwMNtOXLhAD2F6urdHZD+1awPlygF79hS9b+vWXilXLmJQEATBRVSsCNx3Hw17n34KPP00c+D/+8/bI6P3snVr4LbbgDFjXOsRNCcqitWxDx8Gtm1zzzkEQRCEEkKZMrSsBgezB9Lp094ekf2kpNgeKvrvv+4fjwUiBgVBEFyMUpyzNm5kgbCWLVmkZc8e71TLnjGD1bo//RR4/HG35qGjVSvgwAFG9UyY4L7zCIIgCCWE0qWBH3+kRbNtW1Zv8ydatgRWrix6v9RU8QwKgiAUJ4KDgWefZbrAtm1Ap05ApUq8348Y4f7UgNOngcceA+65B/j9d+D66917PgDo2pURPTfeyLm7OLSKEgRBELxMQADw7rvAvfdSEPpis9/cXGD2bODNN4HJk5mcD9jeXiImhmE1HvZ+ihgUBEFwM40aURjt30+v2QcfABER9B7edx/DKl1Jdjbw0UfMW7x8ma0vUlJce46CSE7mY6lS7CO8dKlnzisIgiCUAB56CPjmG+YQvvCC9yuNZmdT6L31Fifd558HTp2iGLzmGk70V65wIi6qCE5gIAvO2OJFdCEiBgVBEDxI5co0aj75JL2FgYGsQvrhh64RhVeusDXTtGls1fTll0DNms4f11YqVGCu/MKFrLQqoaKCIAiCS+nVC1i/nmE37dvbVpzF1WgNfP01+wDeeSdw6BDw7bdM0H/vPRa+2bMHGDKEVt+yZU2ewsLwQt6giEFBEAQvUbUqMHo08Pff7DXbpAlDST/+mPOKvZw7x9zAMmWAefMYceIN6tUDli1jqOiMGb5VUVUQBEEoBtSsyfyHwYOZrP7bb5479969zIn4+mtO4Js3czJv1y5vUn5wMDBsGPMAMzOZN1LUhChiUBAEoeQRG8uIkqNHWeBlwwYgLg7o2xeYPr3wKJiLF2mI/PFHtrWIieHP7qoWagsxMcCWLUBYGOdFf8v1FwRBEPyAgAD2cpo5k+GjTz/t/n6EK1eykE3PnrTixscX/Zo6dTi2FSuATz4pfF9DDHrQiipiUBAEwUcoWxbo14+RJgcO0LP23nvsY3jzzcAXXwCbNgGLFgHPPAMkJLBn4PDhnAvvvpsVQwMDvfs+2rfn+JViNdVly7w7HkEQBKEYk5pKq+j69UCHDpxEjxxx3fG1ZujN5Mm00n79NfDUU/ZNtp07s+fg668XXkymTh0gJARIS3N+3DYiYlAQBMEHCQkBbr8d+OcfFmHp1o0GyQEDWCG0VCmKw/PnOf9NnEgx6M62EbZy/fXApUucO0UMCoIgCG6nWjVW8rzvPmDOHIaoJCdzonS0Wf2mTUCfPqyGVq8ehdzvv1MQ2ktiInMIP/yQxW/Oni1439RUjxaRCfLYmQRBEASHaNKE27Bh3h6JbTRsyLZQ06dTDI4f7+0RCYIgCMWewEBWLhs6lOGiS5ZQfL3yCvDgg8ANNwDh4Xk9erm59CLu3cuQlsuXmd+3ciWF3/PPAz//DFSs6NzYypZltdGwMOYbvvIKx2aNlBSe/5ZbnDunjYgYFARBEFxOo0YMXR0yBNi1i70HK1Tw9qgEQRCEEkFQEEMzO3dmEvuHH9Kjd+yYKc/v0CEKwapVacWsX59ewNKlKdp27GAJcFdh5AO+9hrLiD/4IM9jScuWwK+/uu68RSBiUBAEQXA5rVuztUVwMJCUxPmvWzdvj0oQBEEoccTEsDchAJw5wyptgYEM/axTh+LPE7RqBfzxB4vePPIIMGoUvY6WNG8ObNzI6nGlSrl9WJIzKAiCILic66+n0VVryRsUBEEQfIQqVdjDqX17oHFjzwlBgGLQaBvx2GPA4sXslWhJhQoc26ZNHhmWiEFBEATB5fTsCeTksP1SmzYsgiMIgiAIJZbwcFZWO3qUVeKefhp4913r+xp5gx5AxKAgCILgcoKDaYCdNAlo25btldzd/kkQBEEQfJaAAIo8wzt4xx3AvHksXGNJy5YiBgVBEAT/JjYWmD+fFb8bNADWrfP2iARBEATBi5iHilasCNx6K/D55/n3S0kpvB+hCxExKAiCILiFbt2A7dv5c6dOwMKF3hyNIAiCIHiZVq0YKmPw4IMsbnP5ct794uLYl/DCBbcPScSgIAiC4BZuuIHpEbm5QMeOwKJF3h6RIAiCIHiRlBQWjcnJ4e9Nm1IgTpqUd7/gYD7/999uH5KIQUEQBMEtREcDSjHtoUMH4J9/TPOfIAiCIJQ4qlYFatcGtm41PXfrrcDEifn37dsXmDXL7UMSMSgIgiC4jcqV2VapRg22dFq/3tsjEgRBEAQv0rZt3lCZPn2YR3jiRN79+vQBZs9mjyY3ImJQEARBcBuNG5vSIzp2lLxBQRAEoYTTowcwZ47p95AQoFcvYOrUvPtFRADlyrndiipiUBAEQXAbycnAtm38WYrICIIgCCWebt3oGczIMD03eLDXQkVFDAqCIAhuo2tX9tfVmpExy5e7PeJFEARBEHyX0FAgJoaJ9AY9ewIbNgCHD+fdt08f4Pff3TocEYOCIAiC22jfns3mDx8G6tRhNExamrdHJQiCIAhepFcv4M8/Tb+XLg1cey0wZUre/Tp0AFq0YFluNyFiUBAEQXAbtWsDgYGm6titW9M7KAiCIAgllp49WV3NnJtuyi8Gg4OBTz8FAtwn2UQMCoIgCG5DKaBmTZMYtOy3KwiCIAgljubNmUNx4IDpue7d2XLi0CGPDkXEoCAIguBWmjUD1qzhz+IZFARBEEo8gYEUf3/9ZXouOBjo1y9/VVE3I2JQEARBcCutWgG7d7NwTGIisHMncOmSt0clCIIgCF6kbVtg2bK8z914Y/5QUTcjYlAQBEFwK23bAllZjHwpXRpISABWrfL2qARBEATBi1gLleneHdiyJX9VUTciYlAQBEFwKwkJfFy5ko+SNygIgiCUeOLjgb17gXPnTM+VLk1BOG+ex4YhYlAQBEFwK3XqAGXKAHPn8ncRg4IgCEKJp1QpIDnZZCk16NQJWLjQY8MQMSgIgiC4nbg4U3/d5s2BtWu9Ox5BEARB8DrWQkU7dhQxKAiCIBQvunYFdu1i39ywMOD8eeDkSW+PqniilApTSo1VSv3i7bEIgiAIhWBNDEZFscravn0eGYKIQUEQBMHtdO3KnoO7dvExMRFYt87bo3IepVQZpdRKpdQGpdQWpdQrThzrW6XUcaXUZit/66mU2qGUSlNKPVPYcbTWe7TWwx0dhyAIguAhjLyJ3FzTc0oxVHTRIo8MQcSgIAiC4HaaNweys01VtJOTi02oaAaALlrrBACJAHoqpVqZ76CUqqGUqmDxXFMrx/oOQE/LJ5VSgQA+A9ALQDSAIUqpaKVUnFJqlsVWwyXvShAEQXA/tWoBlSsDO3bkfd6DoaIiBgVBEAS3U748UL068Oef/L24iEFNLl79tdTVTVvs1hHAdKVUaQBQSo0AMNrKsRYDOG3lNCkA0q56/DIBTATQX2u9SWvd12I77qK3JgiCIHiCNm2ApUvzPieeQUEQBKG40aIF8O+//DkpqXiIQYCeO6XUegDHAczTWv9r/net9RQAcwBMUkrdAmAYgBvtOEVdAAfMfj949bmCxhOqlPoSQJJS6lkrf++nlBpzzrycuSAIguAdrFUPjY5m3mBamttPL2JQEARB8Ag9ewIHD7IBfWQke+qeP+/tUTmP1jpHa50IoB6AFKVUrJV93gFwBcAXAK418ya6YzyntNb3aK2baK3ftPL3mVrrkZUqVXLXEARBEARb6dIFWLAA0GZBJUoBN94I/Pyz208vYlAQBEHwCB07AgEBwNatQFAQ++2uX+/tUbkOrfVZAAtgPe+vPYBYANMAvGTnoQ8BqG/2e72rzwmCIAj+TlgYEBgI7NyZ9/mhQ4EJE/KKRDcgYlAQBEHwCFFRnNOMaJjiECqqlKqulKp89eeyALoD2G6xTxKAMQD6A7gTQKhS6jU7TrMKQLhSqrFSKhjAYAAzXDB8QRAEwdsoZfIOmpOSwklz9Wq3nl7EoCAIguARAgOBhg2BefP4e2pq/px5P6Q2gAVKqY2gaJuntZ5lsU85ADdprXdrrXMB3AYgXwMppdTPAJYDiFRKHVRKDQcArXU2gAfAvMNtACZrrbe47R0JgiAInqVzZ+Dvv/M+p5TJO+hGlHaz69HbtGjRQq92s6IWBEEQbGPIEGDxYuDQIW7x8cDx4xSKzqKUWqO1buH8kUoGMj8KgiD4CPv3s8ra0aPMpzDYvRto144J905OlAXNkeIZFARBEDxG797AsWNARgZQty5bLPl7qKggCIIgOEWDBkClSsAWi6CPJk2AdetcYzEtABGDgiAIgsdo356RL5s28fdrrgHmzvXumARBEATB61hrMQHQaupGRAwKgiAIHqNhQxo4//qLv19zjSmHUBAEQRBKLB07Mo/Cw4gYFARBEDyGUkDTpiYx2KEDsGYNcOGCd8clCIIgCF6lQweKQQ/XcxExKAiCIHiUtm1N/QVDQoCWLYFFi7w6JEEQBEHwLg0aAGXL5u836GZEDAqCIAgepU8f4OxZ4PRp/v7CC8yRFwRBEIQSjeEd9CAiBgVBEASP0qIFK2cvW8bfO3dmQ3pBEARBKNGIGBQEQRCKO7VrA6VKAX/+6e2RCIIgCIIP0aED8yY8mDcoYlAQBEHwKErRE2itgrYgCIIglFjCw4HMTGDvXo+dUsSgIAiC4HE6dGCOfGamt0ciCIIgCD6CUmzI+88/HjuliEFBEATB47RuzaJp69Z5eySCIAiC4EO0aydiUBAEQSjeJCUBubnA0qXeHokgCIIg+BAiBgVBEITiTlgYkJMD/PGHt0ciCIIgCD5EQgJw4ABw6pRHTidiUBAEQfA4AQFAYiLbS1y65O3RCIIgCIKPEBQEpKaa+i+5GRGDgiAIgldo2ZJtJv7+29sjEQRBEAQfwoOhoiIGBUEQBK/Qpg0QHAzMmuXtkQiCIAiCDyFiUBAEQSju9OgB7N8PzJzp0f66giAIguDbpKYC69cD6eluP5VfikGlVJhSaqxS6hdvj0UQBEFwjMqVGSqqFOc8QRAEQRAAlC/PfoOTJ7v9VEWKQaVUGaXUSqXUBqXUFqXUK46eTCn1rVLquFJqs5W/9VRK7VBKpSmlninsOFrrPVrr4Y6OQxAEQfAN+vUD6tUDjh719kgEQRAEwYd46CHg44/dHjpji2cwA0AXrXUCgEQAPZVSrcx3UErVUEpVsHiuqZVjfQegp+WTSqlAAJ8B6AUgGsAQpVS0UipOKTXLYqthyxsTBEEQfJ++fYGDB4Ge+WYGQRAEQSjB9OwJXLzo9oa8RYpBTS5e/bXU1c1SonYEMF0pVRoAlFIjAIy2cqzFAE5bOU0KgLSrHr9MABMB9Ndab9Ja97XYjtv87gRBEASfJiICCAmRMFFBEARByENAAPDgg8Ann7j3NLbspJQKVEqtB3AcwDyt9b/mf9daTwEwB8AkpdQtAIYBuNGOcdQFcMDs94NXnytoPKFKqS8BJCmlni1gn35KqTHnzp2zYxiCIAiCpxk+XMJEBUEQBCEft98OZGQA2dluO0WQLTtprXMAJCqlKgOYppSK1VpvttjnHaXURABfAGhi5k10OVrrUwDuKWKfmQBmtmjRYoS7xiEIgiA4z9NPe3sEgiAIguCDVKwI/PabW09hVzVRrfVZAAtgPe+vPYBYANMAvGTnOA4BqG/2e72rzwmCIAiCIAiCIAhuwJZqotWvegShlCoLoDuA7Rb7JAEYA6A/gDsBhCqlXrNjHKsAhCulGiulggEMBjDDjtcLgiAIgiAIgiAIdmCLZ7A2gAVKqY2gaJuntZ5lsU85ADdprXdrrXMB3AZgn+WBlFI/A1gOIFIpdVApNRwAtNbZAB4A8w63AZistd7i6JsSBEEQBEEQBEEQCqfInEGt9UYASUXss9Ti9ywAX1vZb0ghx5gNYHZR4xEEQRAEQRAEQRCcx66cQUEQBEEQBEEQBKF4IGJQEARBEARBEAShBCJiUBAEQRAEQRAEoQQiYlAQBEEQBEEQBKEEImJQEARBEARBEAShBCJiUBAEQRAEQRAEoQQiYlAQBEEQBEEQBKEEImJQEARBEARBEAShBCJiUBAEQRAEQRAEoQQiYlAQBEEQBEEQBKEEImJQEARBEARBEAShBCJiUBAEQRAEQRAEoQQiYlAQBEEQBEEQBKEEImJQEARBEARBEAShBKK01t4eg1tRSp0AsK+QXSoBOFfEYaoBOOmyQfkPtnw2nsQT43H1OZw9nqOvt/d1tu5v634l8ZopideLO87jzPEaaq2ru3AsxRoXzY+AXO++QEm83p15vT2vc/W+JfF6AUrmNeNr14v1OVJrXaI3AGNs2Ge1t8fpq59NcRuPq8/h7PEcfb29r7N1fzv2K3HXTEm8XtxxHl/7HEvyJte7859NcRuPr13vnpgjXb1vSbxeXPG/9sfx+Nr1UtAmYaLATG8PwIfxtc/GE+Nx9TmcPZ6jr7f3dbbu72vfCV/C1z4bT43H164ZwXXI/6JgfO2zKanXuyfmSHftW9Lwtc+mJK4prVLsw0RdgVJqtda6hbfHIQj+glwzglBykOtdEGxHrhfB1xDPoG2M8fYABMHPkGtGEEoOcr0Lgu3I9SL4FOIZFARBEARBEARBKIGIZ1AQBEEQBEEQBKEEImJQEARBEARBEAShBCJiUBAEQRAEQRAEoQQiYtABlFIhSqnvlVJfK6Vu8fZ4BMGXUUqFKaXGKqV+8fZYBEFwLzI/CoJ9yBwpeBsRg1dRSn2rlDqulNps8XxPpdQOpVSaUuqZq08PAPCL1noEgGs9PlhB8DL2XC9a6z1a6+HeGakgCM4i86Mg2IfMkYI/IWLQxHcAepo/oZQKBPAZgF4AogEMUUpFA6gH4MDV3XI8OEZB8BW+g+3XiyAI/s13kPlREOzhO8gcKfgJIgavorVeDOC0xdMpANKuWm0yAUwE0B/AQXDCA+QzFEogdl4vgiD4MTI/CoJ9yBwp+BNyoy6cujBZOAFOcnUB/ArgBqXUFwBmemNgguCDWL1elFKhSqkvASQppZ71ztAEQXAxMj8Kgn3IHCn4JEHeHoA/orW+BOBOb49DEPwBrfUpAPd4exyCILgfmR8FwT5kjhS8jXgGC+cQgPpmv9e7+pwgCPmR60UQSg5yvQuCfcg1I/gkIgYLZxWAcKVUY6VUMIDBAGZ4eUyC4KvI9SIIJQe53gXBPuSaEXwSEYNXUUr9DGA5gEil1EGl1HCtdTaABwDMAbANwGSt9RZvjlMQfAG5XgSh5CDXuyDYh1wzgj+htNbeHoMgCIIgCIIgCILgYcQzKAiCIAiCIAiCUAIRMSgIgiAIgiAIglACETEoCIIgCIIgCIJQAhExKAiCIAiCIAiCUAIRMSgIgiAIgiAIglACETEoCIIgCIIgCIJQAhExKAiCIAiCIAiCUAIRMSgIgiAIgiAIglACETEoCIIgCIIgCIJQAvk/zTqInJzV8xkAAAAASUVORK5CYII=", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "# pickle.load(open('G:/My Drive/Cluster Feature files/all_imp_acsf.p','wb'))\n", + "\n", + "\n", + "\n", + "all = pickle.load(open('G:/My Drive/Cluster Feature files/all_imp.p', 'rb'))\n", + "\n", + "import pylab\n", + "import matplotlib.pyplot as plt\n", + "fig,ax = plt.subplots(1,2,figsize=[15,8])\n", + "\n", + "# def divide_by_first(data):\n", + "# for i in data:\n", + " \n", + "ax[0].plot((np.array(all['dop_exc'])/(np.array(all['dop_exc'])[:,0][:,None]))[:,:50].T, color='blue', lw=1)\n", + "ax[1].plot((np.array(all['dop_exc_acsf'])/(np.array(all['dop_exc_acsf'])[:,0][:,None]))[:,:50].T, color='red', lw=1)\n", + "\n", + "ax[0].set_yscale('log')\n", + "ax[0].set_xscale('log')\n", + "\n", + "\n", + "ax[1].set_yscale('log')\n", + "ax[1].set_xscale('log')\n", + "plt.show()\n", + "\n", + "import pylab\n", + "import matplotlib.pyplot as plt\n", + "fig,ax = plt.subplots(1,2,figsize=[15,8])\n", + "\n", + "\n", + "ax[0].plot((np.array(all['sag_exc'])/(np.array(all['sag_exc'])[:,0][:,None]))[:,:50].T, color='blue', lw=1)\n", + "ax[1].plot((np.array(all['sag_exc_acsf'])/(np.array(all['sag_exc_acsf'])[:,0][:,None]))[:,:50].T, color='red', lw=1)\n", + "\n", + "ax[0].set_yscale('log')\n", + "ax[0].set_xscale('log')\n", + "\n", + "\n", + "ax[1].set_yscale('log')\n", + "ax[1].set_xscale('log')\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": 103, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "# pickle.load(open('G:/My Drive/Cluster Feature files/all_imp_acsf.p','wb'))\n", + "\n", + "\n", + "\n", + "all = pickle.load(open('G:/My Drive/Cluster Feature files/all_imp.p', 'rb'))\n", + "\n", + "import pylab\n", + "import matplotlib.pyplot as plt\n", + "fig,ax = plt.subplots(1,2,figsize=[15,8])\n", + "\n", + "\n", + "ax[0].plot((np.array(all['dop_inh'])/np.array(all['dop_inh'])[:,0][:,None])[:,:50].T, color='blue', lw=1)\n", + "ax[1].plot((np.array(all['dop_inh_acsf'])/np.array(all['dop_inh_acsf'])[:,0][:,None])[:,:50].T, color='red', lw=1)\n", + "\n", + "ax[0].set_yscale('log')\n", + "ax[0].set_xscale('log')\n", + "\n", + "\n", + "ax[1].set_yscale('log')\n", + "ax[1].set_xscale('log')\n", + "plt.show()\n", + "\n", + "import pylab\n", + "import matplotlib.pyplot as plt\n", + "fig,ax = plt.subplots(1,2,figsize=[15,8])\n", + "\n", + "\n", + "ax[0].plot((np.array(all['sag_inh'])/np.array(all['sag_inh'])[:,0][:,None])[:,:50].T, color='blue', lw=1)\n", + "ax[1].plot((np.array(all['sag_inh_acsf'])/np.array(all['sag_inh_acsf'])[:,0][:,None])[:,:50].T, color='red', lw=1)\n", + "\n", + "ax[0].set_yscale('log')\n", + "ax[0].set_xscale('log')\n", + "\n", + "\n", + "ax[1].set_yscale('log')\n", + "ax[1].set_xscale('log')\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": 109, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAA4MAAAHWCAYAAADNS1yXAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAAEAAElEQVR4nOyddXgUV9vGnyW4uzvBHYK7Q4HiUKRQaHFaStHSFmspUCpQirRFg3twdysQ3BIICQQJhLjL7p7vj/udbzabdU/y/K5rrmxWZmd3Z84596MKIQQxDMMwDMMwDMMwGYtMzj4AhmEYhmEYhmEYxvGwGGQYhmEYhmEYhsmAsBhkGIZhGIZhGIbJgLAYZBiGYRiGYRiGyYCwGGQYhmEYhmEYhsmAsBhkGIZhGIZhGIbJgGR29gHYm8KFC4vy5cs7+zAYhmEYO3Pr1q0QIUQRZx9HWoHnR4ZhmIyDvjky3YvB8uXLk7e3t7MPg2EYhrEzCoXipbOPIS3B8yPDMEzGQd8cyWGiDMMwDMMwDMMwGRAWgwzDMAzDMAzDMBkQFoMMwzAMwzAMwzAZEBaDDMMwDMMwDMMwGRAWgwzDMAzDMAzDMBkQFoMMwzAMwzAMwzAZEBaDDMMwDMMwDMMwGRAWgwzDMAzDMAzDMBkQFoMMwzAMwzAMwzAZEBaDDMMwDMMwDMMwGRAWgwzDMAzDMAzDMBkQFoMMwzAMwzAMwzAZEBaDDMMwDMMwDMMwGRAWgwzDMAzDMAzDMBkQFoMMwzAMwzAMwzAZEBaDDMMwDMMwDMMwGRAWgwzDMAzDMAzDMBkQFoMMwzCMXQgIIPrpJ6K3b519JAzDMAxjACGIli0jSkpy9pE4HBaDDMMwjM15+pSoTRuie/eIatcm+vFHZx8RwzAMw+jhwAGiKVOIzp939pE4HBaDDMMwjE15+ZKoXTuiefOIdu8mun+faPNm3GYYhmEYl0KlIvrhB6JWrYiOHHH20TgcFoMMwzCMzRCCaMIEbKNG4b5SpYi2bCGaNIlDRhmGYRgXY9cuoty5if78k+jwYUxkGQgWgwzDMIzN2L0bnsEZM1Le37gxBOLEic45LoZhGIZJhVJJNHcuEtzr1kXOoK+vs4/KobAYZBiGYWxCRATR118T/f03UZYsqR+fPh3pGEFBDj4whmEYhtGFpydR6dJEHToQKRRE3bvDO5iBYDHIMAzD2ITly4k6dyZq0UL34zlzEvXuTbRjh0MPi2EYhmF08/ffRN9+K//fvXuGyxtkMcgwDMNYTVwc0apVRDNnGn7esGHIH2QYhmEYp6JWEz18SNSkiXxfhw5E3t4IdckgsBhkGIZhrMbTE/Np9eqGn9e2LdG7d0SPHzvksBiGYRhGNwEBRIULE+XNK9+XMyeqip486bzjcjAsBhmGYRirUKmIfvsNOYHGcHMjGjKEvYMMwzCMk3n4kKhWrdT39+iRofIGWQwyDMMwVnHwIFGhQkQtW5r2/AED8BqGYRiGcRoPHhDVrp36/rZtia5dc/jhOAsWgwzDMIxVrFuHlhEKhWnPb9gQFUXfvLHvcTEMwzCMXvR5BitUIAoMRNhLBoDFIMMwDGMxwcFEly8T9elj+mvc3JCjf/q0/Y6LYRiGYQyiTwzmyIFwl7dvHX9MToDFIMMwDGMxO3YQ9exJlDu3ea/r3DlD5eczDMMwrkRSEtHz50TVqul+vEIFFJjJALAYZBiGYSxm82aiTz81/3WdOhGdOoXK3gzDMAzjUHx9icqXJ8qeXffjLAYZhmEYxjA+Psj769DB/NeWK0dUoADR/fu2Py6GYRiGMYi+EFGJihWJ/P0ddzxOhMUgwzAMYxFbtqBNhJubZa/v3JnoxAnbHhPDMAzDGEVfJVEJ9gwyDMMwjGH27CEaNMjy17dtS3Txos0Oh2EYhmFMw5hnkMUgwzAMw+jHx4coNpbIw8PyfbRogVZOnDfIMAzDOBQWg/8Pi0GGYRjGbPbvJ+rd2/TegrooXpyoYEGix49tdlgMwzAMY5joaKJ374gqVdL/nNKliT58IEpIcNxxOQkWgwzDMIzZeHlBDFpLixZEV65Yvx+GYRiGMYnHj4mqVzec8O7mRlSmDNHLl447LifBYpBhGIYxizdviPz8iFq3tn5fLAYZhmEYh2IsRFQig4SKshhkGIZhzOLgQaKPPiLKksX6fbVsSXT5svX7YRiGYRiTePDANDFYsSKLQYZhGIbRxlYhokRE1aoRRUQQBQXZZn8MwzAMYxBfX4SJGqNChQzRa5DFIMMwDGMysbEI6+zc2Tb7y5SJqHlzDhVlGIZhHIS/v+HiMRIZJEw0s7MPgGEYhkk7XL5M1KABUZ48ttvnvHlEhQvbbn8MwzAMoxOVCkVhypc3/lwWgwzDMAyTktOniTp2tO0+relVyDAMwzAm8/YtUaFCRDlyGH8u5wwyDMMwTErsIQYZhmEYxiH4+0PkmUKhQkTJyUhsT8ewGGQYhmFMIiQERtJGjZx9JAzDMAxjAf7+CP80BYUiQ4SKshhkGIZhTOLsWfQWtEVLCYZhGIZxOOZ4BokyRKgoi0GGYRjGJDhElGEYhknTmCsG2TPIMAzDMOD0aaIOHZx9FAzDMAxjIQEB5onBkiXTfSNcFoMMwzCMUfz9ieLjiWrUcPaRMAzDMIyFmOsZLF6c6N07+x2PC8BikGEYhjHKkSNE3bohn55hGIZh0hyxsUSRkRB4plK8OHsGGYZhGObwYaIePZx9FAzDMAxjIQEByAHMZIb8KVGCPYMMwzBMxiY6mujaNaJOnZx9JAzDMAxjIeaGiBKxZ5BhGIZhTp0iataMKE8eZx8JwzAMw1iIOT0GJQoWJIqJIUpMtM8xuQAsBhmGYRiDHDpE1LOns4+CYRiGYazAEs9gpkxExYoRvX9vn2NyAVgMMgzDMHpRqVA8hvMFGYZhmDSNJWKQKN2HirIYZBiGYfRy8yaMouXLO/tIGIZhGMYKzO0xKJHOi8iwGGQYhmH0wlVEGYZhmDSPEHI1UXNhzyDDMAyTnrh1i6hLF6Lhw4mOHiVKTtb/3FOn8FyGYRiGSbO8e4cqaLlzm/9a9gwyDMMw6YHwcKJx44i6dyfq14+oUSOin38mqlSJ6Pnz1M+PiCB6/BiVRBmGYRgmzWJpviBRuvcMZnb2ATAMwzD2R62GACxXjujJE6ICBXD/l18SrVkD79/ly5jzJM6fhxDMls0ph8wwDMMwtsEaMViiBNHx47Y9HheCxSDDMEwG4I8/iJKSiNauJXJzS/nYuHFEHz4QdesGAZgvH+4/c4aoY0eHHyrDMAzD2BZLegxKFC/OYaIMwzBM2uX+faLFi4k2b04tBCW+/56ocWOiqVPl+86cIerQwTHHyDAMwzB2g8NE9cJikGEYJh2TkEA0dCjR0qWGjaIKBQSjlxfyB9++RY/devUcdaQMwzAMYycsrSRKBDH4/j0qkqZDWAwyDMOkY5YsIXJ3JxoxIuX9SUmoFDpxIlGnTjCaFihANGkS0U8/EZ09S9S2rX5PIsMwDMOkGawRg9mzE+XMSRQWZttjchE4Z5BhGCad8vIl0Z9/Et2+Dc+fRGAgcgELFiTq3ZuobFmili3RU/Drr4kqVyaKjOR8QYZhGCYdkJhIFBxMVLq05fuQ2ksUKmS743IRWAwyDMOkU6ZNI5o8GRVEJfz9kQc4eTKEn0TlykRduxLt2gUv4l9/ES1Y4PBDZhiGYRjbEhhIVKoUUWYrZI+UN1izpu2Oy0XgMFGGYZh0yNmzRN7eRNOny/c9e4bQzxkzUgpBIuQGtmkDb+CaNTCk9uhB5OlJpFI58MAZhmEYxpYEBBCVL2/dPtJxRVEWgwzDMOkMpZLoq6+IfvuNKEcO3JeQgD6DM2cSjR8vPzc8nKhPH1QSrVABQjFfPkTTDBkCD+G0ac75HAzDMAxjNS9eWJ4vKCGFiaZDOEyUYRgmnbFlC1GRIhB5Et9/j1DQCRPk+5RKosGDEUb68iVRrlwolrZjB/4+e0Z09CiiYkaM4MqiDMMwTBrEmuIxEum4vQR7BhmGYdIRKhXRokVEc+bIRWPOnCHavp3o779TFpKZORPPX7kSQlAiJoYoOZno+HGivHlRXXTCBCK12rGfhWEYhmGsxhZiMB17BlkMMgzDuDDh4UQnThCdPk108ybR06doC6GPfftQJbRtW/n1I0cSrV9PVLiw/DxPT6KDB4l27kyZU//oEVGePMg1zJqV6NIlos8/hxDcsMEuH5FhGIZh7Ad7Bg3CYaIMwzAuxv37CPU8exbir2FDePSioiDuYmKIhg+HSKtWTX6dEEQLF8KTJ3kAZ88m6t6dqEsX+XmvXhF98w3RhQsQjpqcPUvUrh0E5LffEm3diuqjq1cTdetGNHAgxCLDMAzDpAnYM2gQ9gwyDMO4CC9eQOR16oQet8uWEYWEEJ07J1cHff4c3jo3N3j/uneHuCMiOnYMgrB7d/x/6xbR/v1EP/+c8n0mT0ZzeV0Vss+dI2rfHuGh/frB0ygEUf36OK7ff7fjF8AwDMMwtiQmhig6mqhYMev2k449gywGGYZhnExCAip2NmwI4+WzZ+jx17IlQjW1qVKFaPFiiMBmzfC6TZvgEZw9G15BtRqCb+FCogIF5NceOkT08CHRrFmp96tSwVvYrh3+nz8fc+itW/h/wQI0sQ8Otv13wDAMwzA25+VLVEnLZKXkKViQKC4OE7YtSUwk+ucfTPxOgsUgwzCMEwkNRW+/gADk682fD6+cKWTJgiqhJ09CqD14AG8eEcShEAj3lIiNJfryS6JVq+B51ObuXRhPS5TA/xUrYg5dsgT/V6hANHRoak8jwzAMw7gktggRJYKVtWhR21pDT58mql4dVd+WLrXdfs2ExSDDMIyTeP4cnr0WLYh270YUiiXUqwfvYIECqBgaGQkP4V9/pTSGLlxI1Lw5xKcupHxBTXr2RFiqxHffEW3eTPT2rWXHyjAMwzAOw1ZikAjWUlvmDX7zDayrly8T7dlDFB9vu32bAYtBhmEYJ/DffwgD/eYbeN6siWB5947o1Cnk982bB29hp05EHh7yc168gFA0ZHw8fjxloRkiorFjid6/l+eoYsXgffT0tPx4GYZhGMYh2FoMvn9vm335+hJ9+EA0YABRqVKw6B46ZJt9mwmLQYZhGAdz+zbRxx8TrV1LNG6c9ftbtw7ziYcHwkVXr4bI1GTmTKKvv8aco4voaKIbN1A8RpMaNRBSunmzfN+oUWhVIYT1x84wDMMwdiMggKh8edvsy5ZicO9eWFbd3PD/8OFOs7KmKTGoUChyKRSKTQqF4l+FQjHU2cfDMAxjLi9eIPRyzRq56qc1qFTw+I0fj/8TExFuunGj/JzLl4muXSOaOlX/fs6eJWrcWHfbiJo1iXbskP9v0gT5ipcvW3/8DMMwDGM3bOkZLF7cdmGiu3cT9e8v/9+nD9GVK06p0OZ0MahQKNYrFIpghULxUOv+rgqFwlehUPgpFAqp7l1fItojhBhNRB87/GAZhmGsICyMqGtXVPLs29c2+zxyBN6++vXRjP6332Bc3L4dBWHUaqIpU1B9NGdO/fs5dozoo490P9azJ9paSCgU8A6uW2ebz8AwDMMwduHFC9cLE/XzQ+J9q1byfblzI0/j8GHr928mTheDRLSRiLpq3qFQKNyIaCURdSOiGkQ0WKFQ1CCi0kT0v45apHLgMTIMw1hFQgJCQ3v2REVPW7FqFdGECbi9ZQvCOtu3R1XSr74i2rYNUSiDB+vfhxAQg9266X582DBUIvXzk+/79FMiLy+iqCibfRSGYRiGsR3h4bCIFixom/3ZSgzu2QOLsBQiKtG8OdH169bv30ycLgaFEBeJKEzr7sZE5CeE8BdCJBHRDiLqRUSvCYKQyAWOnWEYxhSEIBoxgqh0ablNgy3w80P+4YABCBddvJjo22/x2OjRqCr6zTdEv/wCb54+Hj/G3+rVdT9esSLyBjXTGYoWRdP7/ftt8lEYhmEYxrZIIaKGJkBzsJUYPHUKlmFtmjbNmGJQD6VI9gASQQSWIqJ9RNRPoVCsJiK9JXcUCsUYhULhrVAovD98+GDfI2UYhjHC0qWIVNm40fq+t5qsX4+c8+zZUYSsYEGiNm3wmJsbxFp0dMqqorqQvIL65kuFgqhBA3gCNeneHT0OGYZhGMblsGXxGCLb5AwKQXTnDqqHalO3LprPx8RY9x5m4qpiUCdCiFghxEghxHghxFYDz/tHCOEhhPAoUqSIIw+RYRgmBWfOEP3xB6JCdDV6txSVCp66zz7D/6tWIfxUEnTR0UQ7dxK1bg3PoCEM5QtK9OlD5OODvESJTp3QM5erijIMwzAuhy2LxxDZxjP48iVRjhzYlzbZshHVrk1065Z172EmrioG3xBRGY3/S//vPoZhmDRDYCDy7bZtIypTxvjzzeHMGaISJYhq1YIh8e7dlIXJfv8dzeVXrSJauVJ/L9uYGN0tJbTp0gVCUzOCpXx5VB998MDaT8MwDMMwNsbWYrBAAaK4OBQBsJTbt1HxTR9Nm6IRsQNxVTF4k4gqKxSKCgqFIisRfUJEB518TAzDMCaTkIAWQlOnErVrZ/v9b9woewXXrEF1z2zZ8P+HD0R//omeg5UqETVqlLI1hCYXLuDx3LkNv1+1avir3RNX8g4yDMMwjEthy0qiRLCIFi1qXfuHO3eQd6GPJk0cnjfodDGoUCi2E9E1IqqqUCheKxSKz4UQSiKaREQniOgJEe0SQjxy5nEyDMOYw6RJmIMM9fazlIgIoqNHiT75BB6/TZuIxo6VH1+6lGjQIBR+ISKaOBHeQV3hnKdPw4NoDDc3VCo9ciTl/R07IheeYRiGYVyK58/lidBWWJs3eOeOYc9gkybwDDow/8LpYlAIMVgIUUIIkUUIUVoIse5/9x8VQlQRQlQSQix09nEyDMOYyrp1RFevosCLrYqYabJrFzxyhQohL7BJE9n4+f490dq1RLNny8/v2hU9Dm/eTL0vU8UgEZ7n55cyt719e/TJTUy0/PMwDMMwjE1JToZn0N3dtvu1Nm/QWJhohQpESiXR69eWv4eZOF0MMgzDpCdu3UJT+X37jIdeWopmiKhmn0EitK749FO0sZBwcyMaPx7eQU2CgojevNFd1EwXLVsS5cpFdPGifF+BAgghdXCKA8MwDMPox9+fqFQp21ZuI7JODL5/jxyScuX0P0ehgFi8d8+y97AAFoMMwzA2IiwMRVxWrZJz7GyNry9y4rt0IfL2RupC16547O1bCMVZs1K/buRIogMHiEJC5PvOnEE+o3bfW300boywVO0cwU6dOFSUYRiGcSF8fOwzEVsjBqUQUWMhQ5UrIwzHQbAYZBiGsQFqNTxyffqgCby92LSJaOhQosyZif7+m2jMGFnMLV4M0VeiROrXFS5M1Ls30YYN8n3mhIgSYb9586IVhSZt26IQDeM8FApFLoVCsUmhUPyrUCiGOvt4GIZhnIqvL1HVqrbfrzU5g8ZCRCXc3VkMMgzDpDV++okoKgphmvZCqYTnb9QovNeePbhNhHDPrVuJZszQ//rBg+XG8ULAm2eOGCRCqOjLlyk9jM2bw+Cpr30FYxkKhWK9QqEIVigUD7Xu76pQKHwVCoWfQqGQ/MB9iWiPEGI0EX3s8INlGIZxJVzVM2iokqgEewYZhmHSFmfOoL3Dzp1EWbLY732OHUNvvxo10LuwQwcYKYmIli+HZ1JXH1siiL8CBYju34eQ8/HBsZqbW9+sGVHBgil74ubKhX6HnDdoczYSUVfNOxQKhRsRrSSibkRUg4gGKxSKGoR+vK/+9zSVA4+RYRjG9bCXZ9AaMXj/PlGdOsaf5+6OBsIOgsUgwzCMFYSGEo0YgfDNkiXt+17r1hF9/jmEnRQiSkQUHY3HJk9O/Zq3b5FDWLky2k0kJRH9/LMcImputdMmTeChvH075f1t2nCoqK0RQlwkojCtuxsTkZ8Qwl8IkUREO4ioFxG9JghCIp7bGYbJ6NjLM1i8uGViMCGBKDCQqEoV48+tUAHVRJOSzH8fC+AJg2EYxkKEIBo9GiKrUyf7vldQEMTWoEEoHBMZKYd4rl8PL6Fmb10hiLZvR3pCYiLaUfj7E33zDbyYK1eiLYS5NGigu01FmzYpq4wydqMUyR5AIojAUkS0j4j6KRSK1UR0SN+LFQrFGIVC4a1QKLw/fPhg3yNlGIZxBiEhRCoVGsTbmmLFLMsZ9PVFz8OsWY0/N2tWVEJ9+dL897GAzA55F4ZhmHTIunUQWNu32/+9PD2J+vVDu4p//oEIzZQJ892yZSmPIToaHsRHj9CcXrN1xJdfQgg+e0b0+LH5x5ErF0JVb9xIeX+LFkQDB0J4ZstmySdkrEEIEUtEI0143j9E9A8RkYeHh+O6GjMMwzgKyStoj0a/BQoQxcXB02dO24pHj4hq1jT9+ZUrY6KuXNn8YzQT9gwyDMNYwNOnRN9+i9w9e4sfISA8v/hCLhwz8n/L/v37UeWzaVP8n5CAqqG5cyOvT7uHYMmSREWKIO/w33+Jrl83/3gaNkR4bHi4fF++fEjP0NXYnrEpb4iojMb/pf93H8MwDENkvxBRIgjMokXR18kcHj40Tww6sKIoi0GGYRgzSUoiGjKEaP58iCp7c+kSir00aZK6cMzvvxNNnYrbKhXaThQuDKGnz2iZJw/mslWr8Dmiosw7nnr1UETm7t2U93PeoEO4SUSVFQpFBYVCkZWIPiGig04+JoZhGNfBXsVjJCzJG7TEM8hikGEYxjWZMwfeuPHjHfN+a9fCK0iEEM9x43D72jWkLvTuDe/huHEQdp6e+hvJK5VIQ3j5EmGnHTogdNQc6tRBiKp2EZnWrTlv0JYoFIrtRHSNiKoqFIrXCoXicyGEkogmEdEJInpCRLuEEI+ceZwMwzAuhT09g0SW5Q2aKwYdWFGUcwYZhmHMYMsWoh07kDNnj3QEbUJDiQ4dIvrtN6Lz5+H969ABj/3yC9GUKRB+69ahsMzFi4bDVq9cQQ77mzdEz58T/fEH5hxTK14T4XmRkanFYPPmRJ99RqRWQywy1iGEGKzn/qNEdNTBh8MwDJM2sLdn0Nz2EnFxmHTN6eXEnkGGYRjX48IFhGQeOWKfImW6+Pdfol69kOe3YgXRV19BhD55QnT1KgrFhIYSzZ6NqqJ58hjen5cX9telC9HJkygI89VXREuXmn5MJUviGLTzA4sWJSpUCMfGMAzDMA4nKQktHCpVst97mCsGfXwgBM1pRFyhAtGrV0TJyeYfn5mwGGQYhjGBJ09QLXPbNvMiPawhORlhoZMnI6zzwgWiYcPw2NKlRJMmEeXMCSE4cCDaSBhCCIjB3r3RluL0adw/fjwErqlVrBUKorp1Md/GxKR8rHlzhK8yDMPYFKUScfDv3qGM88OHsIQxjCbPnxOVKWPfym7mikFzQ0SJ0F6iRAlMtHaGw0QZhmGM8P49UffuREuWyCGajmD/fhgH69cnmjkTIZi5c8NY6OWFCJIbN4gOHjTNG3f/PoRc7dooMjN5MsJO8+cnGjUKLSr++MO0Y6tXj+jFCxSRadlSvr9ZM3gspRxHhmGY/0cIhMvdvInBIzwcIXSxsfhr6LZSiVCGnDmx5ciBxtw5cxLVqpVyq1HDeJgEkz6xd74gEcSgOVZPS8QgEVG5crDS2tPLSSwGGYZhDBIXR/Txx/DIffaZY997+XI0iY+LQ06g1Nvvjz/QWiJfPnj1liyBoDPG/v0IEVUoEOpZogTRnTtEHh5EX3+NXMAffkClUGPUqUN04gTyBjXFYPPmCGdlGIah0FAIP81NpSJq1Ag9atzdIeY0RZ7mbc3/s2ZNnagtBAThw4fYLl5EmWQfH8Sta4vEatW4EWp6x975gkSWeQZHGm0DmxpJDNoZFoMMwzB6EAICsHJltJFwJN7eWOP06kW0YQNEVsWKRGFhRBs3wsu3di3WSJ9+ato+d+/GaySkUFEPD6LSpfFe//xDNGuW8X3VqQOReudOyvtr1YLhPyzMNFHJMEw6ISYGzU01hV9oKERfo0ZEw4fDUlS2rO2qbykUCAksU4aoWzf5fpWKKCBAFomHDhEtWoQQwsqViSZOxODOwjD94eOT0kJpDxwRJkrEYpBhGMbZ/P47UlMuX3ZM5VBNli9HTqCbG9ZPv/2G+//6S24qP3cu0fHjph3bo0dIt2nSRL6vY0e8jyT+Pv+caOxYhKQa22e2bBCr27cjguWLL9B6KXNmrPv++4/oo48s+ugMw7g6iYmwSGkKv4AAxKA3aoS4+vnziapUcU5pYTc3eB3d3TFgSiQlYXBasoTop5+Ipk/H4JUzp+OPkbEPvr6YzOyJOWIwPp4oKMiyUM9y5bAAsTNcQIZhGEYH58+jSMvevfqbt9uLoCCiw4exRjl1Ch7Kjh2JIiIgDGfNIlqwAOGr9eqZts9du4gGDEi5LmvThuj6dcxVRPA+xsZijWeIc+eIOndG3qEQWAM2aQLhTIS8QS4iwzDpiLAwoq1biSZMgNgrUAAD1O3bRE2borlpeDiE1ooV8AJWq+Z6PWayZkVD1CNHkHh9/jwW6b/8QhQd7eyjY6xFCMfkDBYsiPMlKcn4c589Q1hPZgv8bw7yDLrYVcowDON83rwhGjKEaPNmjMWOZvVqok8+wXpr6VKiadPgqVu2jKhHD/Tx27wZhm1TEAJicODAlPfnzYtwzytX8H+mTESDB6Niqj6ePIGo3LEDa6rChdGaYtYsonbtEIXFFUUZJh3w+jVCETp0ICpfHoNI5cpIWv7wgejePcSdjx1L1KABhFZaomFDon37YHG7cwei8McfYXVj0ibv3sErXLiwfd8nUya8x4cPxp/r42N5DiOHiVqHQqHoSUQ9CxWqSYsXW7evIkVgfa9Vi8PLGSa9k5QEsTNpElGnTo5///h4or//Rh2EO3cgvgYPhmH+r79QRObLLyG+TO11+OgR8vs0Q0QlOnRA3mDHjvh/yBBEeC1alNqon5wMg/+PP0L4nT+PiJy7d1HIRqUi6tsX/Qu9vbn5PMOkKYTAgOPlhWpT/v4YDCZNQihArly2eQ8fHwxw169jYFKr5U2lSvm/oU0IlFv28MBWvz7i582lVi3Eu/v6Ei1ejNDSceNQVcveooKxLffvw8LpiLwOKVS0VCnDz7PGU1mmDKzTdp5M060YFEIcIqJDRYp4jJZClyzbDxY6y5ahjHvlyhCG9evjb716sN4zDJM+mDoVBiBTiqjYg82biRo3hiFx6FC0f8iaFfmLffpgvfLsGdZqpiKFiKpUWOs9eQIPntRv8Jtv5OfWro3KpJcvw/Onyc8/o6n8uHH4v2ZNhNHeuUM0YgRqMmzahH6I+fPLtRoYhnFR1GpYmPbvhwiMi8PAsHgxBgBzmmTrQqWCB/HiRWyXLkGwtW6NePL8+bHItWQjwmDo7Y1QhYcPU4rDRo3QENXUOP+qVVGtKyAAOYVVqqDnzrRpSIhmXB9JDDoCU/MGfX2JunSx7D2yZ4fICAoyLjqtIN2KQYnoaFivLUUIeJ1r14aRqHx5jJ2PHmERdO8eFkeSMJREoi2LZTEM4xg2b0a7hJs3nePRUqsRgbVqFSJDjh/H7ZAQhI7+9x9Rz54QhqZGZEkhoj/9BM9gdDQM4SVLYj02axbR48coBCgZ1YcMQaiophh88IBo5UoIP2lsq1kTEVV37+J/hQLv8/XXiMC6eZPFIMO4HElJSPz18iI6cACLzd69kRPYsKF1i5fERIgzSfxdvYpFbOvWRP37o2JVmTK2+iSoGimV7E9KgiD09sa2fr0coieJQw8PDICGBtAKFYjWrCH6/nvE6deqhVCJL77ghZ2rc/8+wlYcgali0McHVl1LkUJF7SgGFUIIu+3cFfDw8BDe3t5W7SM+HuPZmTMIp3ryBDkxHTvinMuTB+ffnTtYFN29S5SQkFogVqtmvZGNYRj7cPw4vFtnzmDudwZHjxJ99x1qMnzzDVIffv0Vgi0yEmua48eJjh0zfU1y/z5CQYVAeOe4cfJr/fwQ9vnkCVJnpDn05UusCd+9k3Pe+/TBem7KFHnfSUkY/3LkQO0IhQLv07o1xGapUhCujkKhUNwSQng47h3TNraYH5k0QnQ0Bo/9+zGAVKuGi7p3b3jALCUmBgnCly5B/Hl7Y9+tWmEgaNkSoRbOIiFBrnoqicRXryD0Jk82bVH26BH695QsiRxJ9hK6LvXq4TfycMA0MH06zu0ZM/Q/R61Gcv6bN2gMbAmDBuE6HTzYstdroG+OZDFoAeHh8DZK4jAkBIuojh2x6KpUiSg4WBaGkkgMDCSqUSOlSKxTB4sphmGcx6VLRP36wUjerJnzjqNjRwjSHj0wjty7hzzl6tUx3nTqhPVW9eqm77NzZ6x/zp/XHT2jUqH5fNeuKAgoUacO0b//wpvo7Y256NkzCD9NatVCDv1//8GgTkR09iyM9WXL4rt1FCwGzYPFYDonOBj99fbvx8DRvDku5F69cNFbQlgYYsgl8ffwIYrHtG4NAdi8ORa/royfH6qivnsHD2Dz5sZfk5SEsId//kHydv/+9j9OxjySk3HuhYY6plXI0qU4h6S+T7p49Qp5H0FBlr/PjBmoXmqD3BV9c2S6DxO1BwUKwKDWpw/+f/0aC7UzZ9BWJ2tWiMKOHdHTdOZMPC8mBqFWkkD09MQ4WqpUyhzE+vVheOJoBIaxP7duQQhu2+ZcIXjvHjx0gwZhjunRA9FUU6cibHPNGuQQmiMEr1yBMNuzR38ahZsb0bBhSJURQh53pIb0TZoQ/fAD0ezZqYUgEUJFnz7FuCaJwXbt4FG8dQti083NrK+CYRhLCQiQ8//u34c1aOhQoi1bkJ9nCWFhGCA3bUL+U9OmEH+//IKFrq6BwZVxd0c+gJRM3b07ciQLFtT/mqxZ0c+ne3d4Cb28IAot/U4Z2+Pri5BKR/WMLFYME7exY7K2zUW5chALdoTFoA0oXRrW/BEj5EJZp08T7dyJCntly8riUMqZllAq5Wp8d+8ipOrOHSykNENM69fH+MWLKoaxHY8fQ3T9+y+uT5UKRU8ePoTh5uFDbDEx8NBJW44cuC47dCBq29bwGsJU/vgDRfuSk5FWc/48jIkbNmAsGTYMY4upfPgAkVuoEBwBhpg0Ca3Bjh8n6tYN93XoAINn27ZygUFd1KwJg9idO7KBTKGAIey333DMNWuaftwMw5iBSgWry9GjEIHv3qEB6cyZuIgtbZKqVsPCvX49wkq7dSNauBCWnvSQ76JQwPLWtStCRmvUgLj99FPDlvgmTTDYzZwJC9v69XIpZsa5OLJ4DJFpOYO26HlYrhz6YtoRDhO1M0olxunTpzGu3rghLyI7dsS4op3HLATCizVzEO/cQcRH7dopvYi1a6c9oxzDuAIBATDOLFoE4/C6daiWmTUrQh9r18bfWrUQ6p+YKG+xsaiKfvYsvG/u7hBCU6daZpR8+xaCyc8Pxvdr14h270b/Pjc3jAGDBslVPI2hUhF99BFEbLNmyDs0hBAwcFeujNQahQIpRiVK4Dvq3ZtozBjdr927F99hmTIpK5y+fIlUpJUrUXfBEXCYqHk4e35kLCQgAL3xTp3CwqJkSVQr7N0bIY/WWI1fvIAFauNGtFUYNQq5SraweLky3t7ol5gnD6p1mRKCcfIk0eefY/BfvNhxHilGN7NmoQra99875v3u3kXS/f37+p8zaRImVmsKyDx4gAXA48eW7+N/cJiok8icGYKvSRMUhoiLw+LxzBkUYnj6lKhFCznfsE4dVDEsXRpbz57yviIi4JG+exeLxVWr4FWsWDF1uwtujcMw+gkMxDU3fTquycqVIf68vEzPO2/dGq9PSoKAWrEC+1i1yvwq0itWyL0Ef/4Z+926FZFda9bAMG+OoFq3DmIuPBxC1xgKBVGbNlgPnTtH1L491kTVqyM9aPdu/a+tWRPG0cjIlPeXK4eoiL17HScGGdOQ+vC6u7s7+1AYU4iIwIV58iQEYHQ0Eoh79EDfK2urDMbHw5Kzfj0WGEOGIIG6Xj3rjz2t4OEBa/2qVch9HDcOizZD1vbOnSEEJk3CAkzqC8Q4h/v3TbeY2oJixeClMYSPD65TayhXzu7eePYMOpmwMIzxUjGa8HAsxCRxWLGi4dcnJiKES/Ii3rkDwZg3b2qBWKEC5yEyzPPnuMaaN0fRkypVkOvbtKn1+z5+HHUJmjZFyLcpRecCA1ElNFMmjPcKBa79ixfhgcyXD4aj6dNNO4boaHymZcvwmpcvEaFw/jzWezlyoPWDdnX3RYvg6cyfXxZ/rVvjGG7d0v9+SiWMsQpF6rz92bNhZA8PN+3YrYU9g+bh6vNjhiU5GaEHp05BAD58iAGrUydstWtb3/tGCJQtXrcOceiNGsEL+PHHloeWphfevsWg6+2N0IauXY2/Ztcuoi+/RPjolCm82HIGpUvDelm+vGPeLzkZE15Cgn5vfJkyKLbkqGMyAlcTTSMEBsrFaE6fxnkmhZS2b29ahWa1GpEe2mGmMTHov6opEmvUML1fGcOkdW7exLXk5oYCeHPnwghsS+Li0MJh/XrUKNBnXE9IwDpj3jyiokVhFGrVCr2TS5RAa4fZsxF9RIT8u+HDjb//999jHKlQAeKsYEEYuytVIurbF7mE69cjj3DhQkSYEUEIzp4NQ2ZAAAReiRIwfj56ZPg969aFc2H7dhy3RGAgjJpxcY4JZ2cxaB5pbX5MtwiBMCFJ/F24gAtWEn8tW9pOoIWEIOxg/XpYjkaORMGDsmVts//0xPHjRBMnIrRr3Trjg1hgILxAzZsj3CM95FamFUJD4T2JiHCsEC9cGOGbRYumfiwmBvfHxDincbEOOEw0jVC2LMbmkSMxPzx+DGG4dSvC2cuXl8Vhq1Zyk2hNMmXCNVGxIgpISHz4AK/hnTuYc5YuxaKvatWUlUzr1rW8HQrDuCLv30MkrVsHA/jKlfZrQ5QzJ7xs9etDcF2/ntpD+Po1ruEqVRCOuWMHrvPKlZHj98knWIPs2AHPWs2aqNuQNy/SgvTx6hWe7+2N9UhiItYmN26kjDKYPRt5hA0bYk3YrRu+l4cPcXvnTsxhVasiXSEszHDKUM2aMEA9eJBSDJYtC2PToUNEAwda8m0yTDolJAQXvSQAhYDwGzwYfdJ0LS4tRaXC+6xfj/fq2ROhA23auMwi1SXp2hWD4ujRCAk9dMhw9dCyZeGZ+uQTVB3dvZsXU47iwQMk+DvaIysVkdF1vfr6YpJPA9cYi0EXRqHAIqtmTRSSUCrh2ThzhmjJEuQCNWgAcdihA4xXhgxRRYpgAapZ+CouDmOd5D3csQPXVNGiyBeqWhVbtWr4W6wYRz8waQO1GvPyli04r5VKoj//RHqHIxg4EMacPn3g9ZMM+4GBEHYTJsDzFhUF4Th0KFpYnTkDAdm/P9J2+vbFXHLkCIRanjy43nXx3XcYF3r2RGjm1asYI7QpWBC5id264X2HDoWArVgROcyenjA0TZiA7+/cuZSGJW1q1kTRK13Vr0uVYjHosoSEoJSuNSgUsFLky4eFcv788u2MHm6oSWIiCgZI4u/ZM4ixTp1QeapaNdtPrs+foxjMpk0YbEaNwiDD7RBMJ0cODIhTpuD3On7ccI/GvHmJDh7E85s3Jzp8WO65w9gPR1cSlZDEYO3aqR+zRSVRB8FiMA2ROTO8Bs2awcsRG4vF7pkzEIvPnyOaRMo3rF3b+NySMyfynTVznlUqzFM+PjBsXL+OsdDXFyHSkkDU3CpX5qqmjPMRAgaTHTuQwlGokFyxd8cOVNh0JHPmQBCOHo1rKDAQ4d4TJ2Kt0KABQkp37IABplkzeOj/+AN5jPPny0bFhg1haB4wAOsRbZF35w4K4GTJAnHZs6duIahJq1Z4XYsW8Aw2aYIx49kzXOsHD+KYr141LAZr1MB76xKDDRtiDGFckNhYuI2tQaVCGFREBLbISPm2QiELQ11iUfO2rvty504TVnWdCIELQhJ/V67AatKpEy7wpk3tk6MRF4eqTevXI7572DC0ndC1WGVMI1MmeFIXLsQi6+RJhPHqI3NmhImuWIHBde9e5zaxzQjcv58yLMVRGCoiw2KQcQS5cqFqoVS5MCRELkazciXSATSL0Ziav+rmhvNX1zkcGgpR6OuL83zrVtwOCICxTJdQLFWKvYmMfQkKQl7ctm04fwcPxnx96xbRN98g7FGfN82eZMqECu2tW6OuwJ49MNx8/TVyghMTEX0kVSFdtgxrDJUK14x2f8A2beDBmzIFBWE0r6sxY7B2Pn0ansfVq007xkKFEJU2aBAKzty+jWs/NhaOnUaN0GvZEDVqwDgaFJT6sa5d4eFUq9Puuj7dUq6c9Z5BQyQkpBaJmmIxMhLFOnTdHxGBRNQ8eUwTjrpu58vn2LytoCBcgFLbhxw5cIGPHo2E2gIF7PO+khVs3TpYjJo1QwhEz55cFMBWKBSwwhcujAH96FHk1Bjiyy8RbvHxx2hQP2iQY441I3L/PvKrHE3Rovp7Dfr4GLaiuhDpvoBMjRoeYutW6xLkS5QwrSqgq/HihVyM5swZObysY0csFm3ZfkKphCCUhKLmFhOjWyRWqQJByzCW8uwZcl9370ao46hR8Iqp1ciL27MH3i1nNz2/dw9Gy7FjYaghghFn0CCsVf/9F+GYDRrAgdCrF5wHUgN4TZRKiK81a2DsIcI6sEkTVEd98wavvXjRvGOcOBHrcl9fiNGoKPwfGYmCaBER+gumKZW4lrNlQ/VSzTWvnx9Czm/dsn8UDxeQMQ+PokWFt7XxuwoFfvgcOVJv2bPrvl/7saxZdVsMlUqciPrEor7bmn+zZTPfI6l5O0cO/dbMuDhcaJL37/VrXJRS4RdD3iNb8Po1Bg5PT7jyR41ClSlrW00whtm9GwPm3r2mVSC7dw+CcPRoxPKzddy2qFS4Xt+8cXyO5s8/Y4ySKr1pUrs22o24UIuWDFtNNGdOD1G1quViUAgsbgoXRnRAq1b4W7ly2rqepYiV06chDC9dwjwlicOWLe0nzCIidItEPz98r5o5idJWpgx7ERj9eHsjb/b8eeS1TZokV9qNikKbrNhYzNnO7rkZGwvjS4UKWBNIRZwGDkRbmPr1IeykQjElSiDE9fRp/WPM1q14/qVLeE7VqhBg//0HZ0D//igQaA5RUci/Dw7GfJo7N0I/a9fG/vfuxeP6qFUL1+zKlSnXR2o11uMLFxLNmGHeMZkLi0Hz8ChbVnhb+6Oo1XBxx8en3hISdN+v/ZhKlVIcGhKR5ohMKWcxORkNQRMT5feNiYFYNCYspYWmtlgMC0OIbYMGsvjz8ECIoD2JiUGPGE9PuPGli71Zs7S1KEnrnD6NiWbdupQNofURFITn1agB61+2bPY/xozCs2fwwAcEOP69161DvtaGDSnvV6kwiWr3W3IyGVYMFi/uIT791DrPYNmysGw/e4bf/NIlzCktW8pb/fr2nwNsSXIy5jGphcXt25jHJHHYqJH9P49KhXwkzbBT6XZEBJG7e8oCNnXr4m9a+p4Z2yGEXDzJxwc1F774ImVFXX9/zLetW6NYjLMre6tUKACTPz/CRXv1ggfv8mXczpULIZozZiB89L//cJ4fOmQ4/UGlgkj74w+Egw8aBGGZOzcE5evXlhl3duzAurJnT4hrd3d8z8OGweExapT+1w4ciFD1AQOIxo9P+Zi7Oww8586Zf0zmwGLQPFymtYRKZb6AtPYxtdo0gZk1q+wSVygwEAmB+Oo+fZBwb+8QF5UKli9PT4Q6tGwJD2DPnlykx5ncvAmP3+LFplnfYmOJPv0U1b0OHdJdDp4xnz175GvD0Rw+jByPo0dT3v/8ORbUL144/pgMkGFbS2TObF2FZikUf948hH736kX07bcIubxyBcJw/Xr83k2ayN7DJk1c+zrPkgV5zS1aoMhFTAw+y5kzWMgFBMCAVaMGhHD16rhdrpztPHZubvCWVKiQuqdrdDTaLkni8OBB5C29fo3FboMGEOANGmBRzPNh+kWlItq3DyIwLg7CaciQ1Kkw584hV3DOHHgLnY0QRJMnyx5KhQICtV49GAr37MHt5ctxvP/+izmlbVvjefBubhiTvvsO12rr1gi7XrwYjgJL16b9+iHcVgiEsa5aBTHYqBHGQUNisHp1iNkHD1I/1rgxqqEKwc4LRgdubpgwHTlpKpWWC8yEBKJ374imTYMVpnRpxEBrbuXLWz9Z+vhgkbt5M6wzw4cjLt6WbScYy2nUCBNPly6whE2davj5uXJhMhg3Dp6sY8e49YQtuHnTfr2ijCFVE9XmyZM0UzyGKAOIweLFURTBWpKTIZa8vFCRMGtW9PsaOhR5wZGRqLh3+TIaWd+5A/EkhZW2aIFzxlXJnRv5SVKOUlgYCpE9foxz+tQp3A4Lw6JTWyS6u9vWC5MnDxbE2ovi6Gg5zO76dYTKPX2KkFdJINavj0U2j7Fpm4QErIOWLkWo5w8/wBCuvb6KjoYA3L4dBWSkPDpn89tv6B19+bIsXMuXxzouWzaIwZIlYYBp1Qrrx08+QQisKfTvjzVFbCzR779DaK1fj+/MUu7fx3rl2jXs69NPIcAbNcJ61BA1aqBxva4G9S1boohMQEDKfocM4zQyZ8ZEkyePdftRKhE2dP8+Jqe1a3E7IgKx05oCsXZt4xNTSAhc9J6esH5yNVDXplo1DPKdO+O3+/lnwxYvNzeiv/9GKEjHjkQnThhu4soY59o1LBCcgb5qommokihRBhCDtiJLFiwy27eHJf/uXQjDiRNhIOzZE+Jw7lxEliQkwFhx+TLmhlGjcM5IYaWtWkHAuKqVvGBBHKN2bnR0NM5xSSR6euL2q1fw8GmLxKpVbRsunSeP/B1KJCYiH/LOHWw7d8I7Ubx4SoFYv75rC3IGREZirly2DL/ZunU4D3VdKwcOoGBbhw44B5ydHyixdi2qil++nHLt999/GBsyZUJ10R9/RIG6hw8xdgwfbnpLqp07EemWNSuMH5cvY33bpInlx71tG0JON2zANV2vHoxgrVvjek9I0O+Fr14dY2FkZOrH6taFAL58mcUgk87InFme9DSrRYaHYyK6fx8LBk9PeZDS9iKWLQtR4OkJT1P37hgcOnbUX7WJcR3KlMFAKVnTFy0y/PxMmTBBzJiBReWpU3LSO2MeycnIc9Lsj+ZIihaFGNQOe/HxgRU1jZDucwbr1PEQR45YlxMhWfL14e+PRamXF8b8Tp0gDLt3l6vqqVSwmF+6JOcdKpUpi9LUrZt28+ESEuChe/JE3h4/RpGY4sVTi8Tq1e3b91alwvHcvg2BKP3NmVMOL5X+li3ruqI8oxAfjzDCHTswL/boAbGkr/rk69cwrD56hOIr7do59ngNsXkzQsnPnUOhKU26dcPYcPcucgirV4eYbdkS9R98fEwTtD4+GDeKFEGI99q1WEc2bIi2E5aQnIw1zYULGIs+/hjff1gYPI9SoRt9YjMhAcI3e3aMiYUKyY9FR+NzDR9u304GnDNoHi6TM5hRUKtxcUhexAsXMDFFRSE8p149DH6NG8MT6CrWLcY0QkLQP3L2bMMx9RJCIKxl716EiBhqZs/o5tYt5GvqanLrKPLlQ66YZhntli1RNa1NG6cdli4ybAEZNzcPkT27ddVEhUAuzfjxRM2bGxYOHz4gn9TLC4vBxo2RZ9irF0SH5n5fvoQwlMThq1cYRySB6Ii8dHsjtZyQPInSXx8fzH26RGKxYvYRZ9J3ri0QExJkYdigAb73ihVZINqbpCRUY9+xA0KwUSOESfbpo78dl0qFapULFqCC6KxZrpUvumsX8gTPnsW5rMl//8FxcPUq1nyFC0OAPXuGXMfatZEDaIzYWAiyHj0QajpvHr6TJ0+wzrQ04ujwYeQcXr4MT+CdO0hvmToVonvMGIjDSZP076NyZRhcVq5M6b0nQlpV9uwwENkLFoPmwWLQCQQGyu0g1GpYSHr3hlXn/v2UW65cqb2IVaty70BXxscHAmDHDtOtlAsXEm3aBEFYpox9jy+98ddfMKzY08pojCpVUBCoalX5vsKFMXG6WDhahhWDFSt6iAULrBODx46hknPWrBAwo0dj/DYW7hQbCy+HlxcWWuXKYczv3RupBNpiIzQUC0XJe3jvHp6nmXeYXiIJhID41fQiSrdVqtQisUYN+3nw3r2TQ0y9vRHeGxcHUShtjRpxzr4tiIyEMfzQIVxT1atDAPbvb3zMvHwZQit3boSRulo4/oED6CN48mRqj6YQiBjo3x8GzOhoFEXKkgV/+/SBKDSlfsYXX0BIJyRgTJg4EQbl+vXx3pbSvz8KOX3xBbyyJ07A4PrTTxiLjh/H2LRpk/599OqFzzZkCPajSe/eOL7AQPs5PFgMmgeLQQcRHY0qWJs24WIaOBCLiKZN9U9q0iR5715KgfjiBRaf2iKxeHG2YLoKZ8/CwnfxYkqBYIjff4ewOXPG9FwBBoU7OnQwzRNrL1q2RK5o69b4PyQEltGwMJe7JjOsGLTVZBcejjyaZcvkYmJ16hCNHIlS6sZywpVKLGa9vLC5ucnCsHlz3WkBcXFy3uGlS8iRLVkyZd5hhQoud65ZzYcPKcXh48fY4uNRMEpzK1PGPp//7Vt89zduYLt5E94qTYHYoEHa99zam4QEVN09exZz3KNHWP907Yr1kClG0FevkFpx+TLRL79APLraOX/uHLx+x47prgS6eTMKymzahDSg6tWRZ3zhAjzn48cb9rhJHDqE8NijRyEEX7xAHm3JkvC83bxp2fGHhiKH+eVLjGV79hD9+is8l+7u+L0aNMB3/+SJ/v3MmgWPe+3a+LyazJ+Pz79sGUJQ7QGLQfNgMWhHVCoMfJ6euHBbt4Z1pUcP63rMxcdjQtQUiPfuwSK0fn2aylNK16xbh1CLa9dMt36tXImy2WfOpM4xYHRTqRKurxo1nHcM/fphchwwAP9fvozKldeuOe+Y9JBhxWDduh7i6FHrJrvCheWxW62Gt2/FChh9ihWDZ6l7dxj6Onc2nvcnBMZuLy94E968wfzQuze8Bzly6H6dSoV8dM28Q6KUeYd16qTffPN37+C5kzZp4astEO0Rdq9Ww3MjCcMbN/BbuLvLnsPGjeHJTat5n7YgOhrfy/nzmM9u3MB30qEDtmbNTA/rjIuDIFm+HEJpxgzXFN/Pn8Ogs3277kqmwcEQR0ePouBZrlzwjl29Cg/n5MkoPGjss4WGYj/bt8NLFxeH7+biRXgkY2Mh4izJo//rL4SxbtmC/1++xH6yZMG4FhKCNhMFCkCc68v39fRE/mLu3KnbLnl54Tfs3Rui3h6wGDQPFoN24PFjXAhbtsBbN3w4For2DC0RQo5R//xz5KFxU3PnM2sWBvpTp0z/PdatQzWxM2dM9ypmVIKD8R2Fhtqu55klTJiAnmcTJ+L/tWuxSN+40XnHpIcMKwazZvUQRYpYFyYaHw8r/sCBEHtSuP6LFyiosG4dwjdVKoTBDR2K8b9uXdPe48ULuQDN7dtYNEsFaDSLMOg6toCAlHmHb99iwS15D5s00S8u0zpCQEhrikNvb4gNbYFoj/DaxEQIH8l7eOMGFvn16snew9q1ER7syj0nLSE5Gf0fHz7Ed/DgAW6/f4/wzVatcB63aUOUN695+xYCuWrTp+P8/eUXtGRwRaKicL1NmCDPA9p88gk8oB4eaEsWE4N0ksqVUTU+IgIi6bPPDL/XoEFEpUohbLNcORgd3d0x3jRuDCPEmTOIRjMXDw8YsTt2xP9CYO06aBA+47172Fq3xjpTep42169jLRobi7FJk4AAHGeVKvAW2wMWg+bBYtBGfPgAK42nJ1FQEC7s4cOxQHQk79+j34yfHxaixhqWMvZFrYa3KFcuhEWYGtKyfj1CKS5dSllsgknJgQPoL3b8uHOPY948/NYLFuD/adOw6Jw506mHpYsMKwZz5/YQtWtbN9lVqoQQrMuXYfTr1QvCsGNHWM4TEmCUW7UKVQ6rVEEly0KFMB8MHQoDoSmEhKCYhpcXFnYeHhCGvXphAWiMDx9S5h0+eABvoeQ9bNHCsMBM6wgBca3pQbx1C54MTXHYsKH+IiXWEBmJ97txAwvjJ08gEHPkwO+nuZUtK98uXNj1Qh+JEB7t5wev6LNnsgB89gzHX7s2tlq18LdSJes80+fOwXsWEwOvl4sV4kqBSoVrs1QpzEcKhVw1+OpVeNq8vfGdZcqEUHE3N6wLChSAFzUiAgame/fwneoz3OzYgXnm1i04HA4eRGSMFN7p7499ly6N9aA57VyePEFEwsuXKX+7zp3x+RYuxHnw4QPmvPz59Re6CQvD+axU4tg0j0MIhKCq1fjc9vCgsxg0DxaDVpCYiGIAnp6I9+7RA2Gg7ds7NzxHCAjTKVMQMvD99xm34IxaLQ+04eHyX6lqXNWq9p944+IwkfXqhd/CVP74A96GS5e4YIE+vv0WHtd585x7HKtXo0T433/j/x49UFykVy+nHpYuMrQYrFvX8slOrYagEgJV+nr3hufpyhUIvt69IQzbt8fi5tYthH3v2wcreLZsuJabN4cw7NXLdE9dXFzKAjRlysh5hrVrmzaGxcVBlEjew2vXsGCUwkpbtcLizRWFiK1QqyFoNAXinTsI8fXwQIinhwfmBnO9WKYgBBbSL19iCwyUb0tbYmJKcSjdLlUKi++8ebHly2e7eV0IzIlRUTgmTdEn3U5MhAfL3R1/q1TBuVe9um09zpcuweP0+jUiZAYPdv1w51mzIPg2b4aB8tAhXGvFi+N6r1sXXrzff4fYO3cOr7t+HddbvXrwJkZHywbF0aMREqtZnOrDB4jtw4dhxKhVC2HqHTogL+/ePbnRfNu28Kh272765/jxRwi3ZctS3j9zJvIRvbwgzv/5B1E5np4Qo/ooUgTGjW3bcE1p0qoVPIQnTtjHacJi0Dw8ChUS3l27WrcTNzckr1erhq1KFfPiudVqhBoolfr/WvqYPV/v7w9L6/DhyBmytnm9rQkKghh8+RJeqXr1nH1EtiE6GrH5z5/jNwgOloWetuiLioJFqkABTKTS3yxZsFiLjoaFXFoQNWiAx2xNUBCS5ZcsQaiIqcyZg4H/3DnjhSkyIm3bQhB26eLc49i3DwuB/fvxv7s7vDouGOabYcVgzpweolo16yyfNWtCiK1bh3C/+HjMdZ07Q+xduYIxqW9fCMM2beAh2rABBoN8+SA2/P0RBtqvH+aPFi1MD3NWKuFt8PKSzzdJGLZoYbqVXalEvrkUVnrpEl6rmXdYq5brL8StRaVCBWhNgXj/Pn5nqcWE1Kje0lL95hAdrVsovn2L+SwyUv7r5iYLQ02RqHlbCOxT2qKiUv4vbW5uWMOULg2xJ22S+LNXmw+Ja9cg/vz8MO8NG5Y2ci7XrIEHs0YNnDc9e+L6b9lSrhUwbhzWuaNHowALEXIpa9eGsNq2DXO8QoH5fsYMiLgNG7Cf2bNhUBo5EmuY339HHt7s2TBmCIG5xtMToapECKkNDEQOoKnUrau7FcSOHYh4aNYM89ynn2Id4+GB/F1950Xz5hgXR49GVVFNJk3CeDllCsZAW8Ni0Dw8cuQQ3pUqWbcTIRAXHBMD62NCAi7irFnxN3NmeaLTJfyEwAI8SxY8V/qreducx6x9vamPlSqFgdOVEQIX77RpsDzNnm0fsWNLJOupJPj8/OTbz59j4qpYEZNUxYqwvklCT1v05ctneEJ5/RoDkrQgev4c1mEpz6ZZM9uJ/Pv3EU524IA8YBtDCOSB3rkDC5o5IR/pHaXSeBK7o7h8GRP41asY//Lnx3nqgtdahhODCoWiJxH1LF266ugDB3ws3o8QCEf+808scqpVwyKueHGMRf/9h0VR58743a9cwbnZrx+EYYsW8O6tXInQwX79cJ4cPox589NPsbm7m3dMDx7IlUlfvUpZgMac8UIIjH+aeYfv38OIVaWK7KEqWxZbsWLOzdO1J8nJCAPW7EF49y7CajUFYoMGzusNq+nN0xSJ2oJRocAcZmxzVvTQzZsQgY8eIXLms89cctz8fxITIVxPn0Y+47NnmNfHjUMjeW0v6YULCA+/dQvGy5AQjCGDB+M3qloVhsMGDfB8ISC+t2+HwPT0RMpI584oSPjkCX6vDh0gDocNw7gybRrOUUmYPXiASp3+/qaJ+KdPcXyvX6e+rn19IWIPH8Zz2rTBZy9ZEt+FvjzOzz5DuGjduvA6arJ2LYR0ixYIA7Y1LAbNw6NCBeEtuaUtJVMmDIiS+MuUCSf8q1ewaL14AXfw8+eY9CpVkr2IUu+gypXTb3K7K/DmDRqFvn0LL6F27xtnkJCARZGPT2rhlzUrzpNKlbA4km5XqoRzzV4WyshILOilBdGtWxis27fH92dthc+jR5FUffWq6e0j1GoMqiEhWPBl1JBfbW7fxkT4+LGzjwQLgq5dce4+eIDFv6Gy204kw4lBiZw5PYS7u2HPoLFxpWpVLFjPnkV1w5o1EXGxZw8Mg598gvluzx48v2NHXK+XL8OC3r8/zo3ixeER2LgRFv8uXXDu7NiB8W7ECDzPXCPHy5cwNh04AA9X+/YQhj16WJYfGByMxZ6/P7wM0vbyJRaypUvL4lBbLJYpk76MV1KI6e3bKZvVZ82aslF9/fpYHKfncFtriYzENeLpifP+u+/QGsgVi94Jgd/92DEYgy5fxrq1fHkYaI8cgaDRRVwchNBvv+E6+vdfzP9LluDxWbNgcNmwIeXrFiyAQXzFCvz/6hXWyUWLQpAlJsID6e8P4dyvH4w/48alPO6yZSEUTenD+PPPWB/q8iSq1TCsv3wJb6ZajWin3r3h8Rs4UP8+//sP14g0JkrcuCHnUEvVkG0Ji0HTkIyl9TNnHn3b2ibXsbHInejeHVu7dvongchIWBl8fLBJt/39cVJIIrFqVfm2vcMTMgpCQAjOmIH+NDNnOtYCJwQW7idPYrtyBYNqrVqpRZ+zPT0SiYkQhIcOITSsSROir7/GIsvSc/LPPzH4X79uurBLTsZCMnt2eCPSe+iWKaxahd9m3TpnHwkWxqVKwRu4ezd+IymEz8XIsGKwQAEP0aGDdTmDt25BDJUti9CnwoUhCosXh7f/0CF4q7//Hvft2YPzQQhY8jNnxmIyNBTXc+/emPtWr4YFfcwY7Hv/foyRXboghKpLF/PH6rAwuQDN6dMQKVIBGlv0MY2PxyJVEoeaYjEwEI/lzatfLJYti8VtWp7bpV7A2gIxPl4OLZUEYpUqGXvcViohTDw9Iaw6dMC53a2b6xk4ExJg8Dl6FMeakABjX9euOO7r12GwOXQIawJ9zJiBa2HGDDnS6ORJnAcBAQizfPAAHjZNpGqbb97gu/nlFxxP794ISfXwwDp7xgw8p3ZtXIPaUUxjx2It/c03xj9zgwYIP23bVvfjLVvCu7djB6LNnj+HMSskJHUfQYk9ezBPv3uX2mgbHY21vZsb0npsfW2wGDQPmxSQEQJW8MOHMfncuYOcA0kcmlL5TKmERVVbJPr6YkGuSyRWquSaliRX59UrLGRCQnAx16pl/T5VKjlUWPPv69ewDN26hUEvUyYsREqWhKVapcIAVrAgFlEFC8qb5v+m9iOyJ3FxRFu3Irk6UyaIwiFDzPdoC4FBvWZNWM5MJSGB6KOPIJr//jttL6JswaefIlzliy+cfST4TXPkwAL8t9+wGDTnt3UgGVYM2qpamr8/LPqHDxMlJcEr0K4d0d69EHtt20J8ZcsGUdizJ0LEd+2ShWG7dlj8XL4MQ0L//hiHL1xAQYY+fXB+P3uGxbOfH8aa4cPhiTT32o+PxzF5eWEBW7KknGdYt659xhK1Gp5FbY+i5v8xMfAgGvIuusLYby7v36cMMb19GwviatXwO9esib+1auEzpuexXCpqsm0bvGnDh8OT5GqVbCMiIP68vCDYatXCtdutW8oiTSdO4No0lu5x8yY88t7eeJ6Umyrl/g8ahPNgzhzdr2/TBiJOMihcv44179q1MBo9eYL18Pz5ON9WrUq9jwMH4Ok7dcrwZ5f6I759q1+Uffkl1m7u7ghPXb8eedNz52Ic08W9ewiHDQjA96u9Xpe0wfHjcAzYEhaD5mGXaqLh4biYjhyBVaV4cVkYNmtmflJwaChEobZH8cULDKSaAlG67arlmV0FIeBR+fZbDDjTp0PghIUhPEFzCwlJeTsyMrXwS0pCIYWcOTGYKJV4LDERFaXKlMEgUrIkBhBpy54drw8Lw3kTFiZvmv+7uekWjAULYr/16mHAdkRYkhBYWC1fjgF/9Gj0FtK27hkiOBiLsD179IeY6CI6GqFnbdog1CQjn+OVKmGys4UxwxaUK4eiAN9/L3t0XBAWgzZCqtq8eDFK7BcogPktKAhjX6dOWCSp1Tgn+vXD9Xr3LkTh7t1YILZti/Ht4kUYfHr0kAtJFCuGXO8GDfB8T0+Mm1KbCnPGHAmVSi5A4+Ull8Xv3RvWf0cW7YiNlb2LugTj69eIEtEWiIUKweuYJ49cLEXacuZ0zXExOhrekYcPkR/38CG22FiIAk2BWKtW2vWaJiTgvD9xAuu/6Gicr59+Cu+oKxEZCSPOjh0wWrdtK4dV66rgffo0xM3+/amLrGiSlATv3cyZEDq7dkEYSeGaV65gPz4++tcs69ZhDR0Tg+OaPRv3z5gBo1HWrPiOq1SBiNWV+hMdjTEiKMhwf8tffoGRa80a/c9Zvx7eyTVrcP1NmgQhWLIk1mq6IhdiY7EWL18e30Ht2ikf79YNxzh+PMYzW8Ji0Dzs3lpCpUJs8JEj2AIDsVDq3h0ud2usQ0lJOIG1ReKTJxA2ukRixYqunZxsS5KSUgo5XeLu9WtMSImJcu+XIkXkrXDh1P/nyyeLuZw5sY8LF2B9unwZse2dO2Nr0sT671sIeOW0xWJYmGwouHsXv3+5chBZ9erJm6l9vSzB1xdx/Vu34pz++mtMAqZw4ACE+N275hWpCQ2FGBw6FGI+I/LiBc6toCDXKWLRuDHOhQkTEPbXuLGzj0gnLAbtQHg4LPzbtuF2+fIYG6Oj4c339sbC6Lvv4BHInBnj2r17sjBMTsaiL1MmCEOlEiLw7VtY7keNgkfg9WuIQqllxfDhWMBaYggTAsJEEoYvXsgFaDp3dn7On1oNr4e2RzEiQi6WIm1SpczERN0iUXMz9rj0HEeEdYaFpRSH0qZQpBSHkmB0REVTcxAC8+CJE9guX8ZxdumCrUkT1xmjibAuOnYMc/aJE0j5GDIEwsSQYDpzBjnBe/ei4bohFizAunfkSHhBt26Vq4grlSjKNHkyBLI+IiNRH6FaNewrc2ac4+XL4/+vv8b6OjLScOP2jh3h1TPU5qhRI6JFi/Q3kCeCh3vYMJyr9evjve/fh0dvxw6su3RRpgzO3+HDIYA1mToVBpLq1RGiaktYDJqHR9mywnvqVOt2kiMHFqamtJN48wZWDKlcfu3aWET36GF6vyRjSNUodeUmvn6Ni0lbJFat6nqDrIQQsvdMUwRp/x8amlLwSVYZXaJOcytUCNarJUtgdZo61fAkGBICC5mU+5c5Mwb9zp0xsNqjga8pJCfjN757F9u9e/jr5iYLQ0koVqliWwt4eDgseStWYAG3YoVplWZHjcJx/POPee/39i1CsadOhfjIaKxbh3Nw+3ZnH4lMjx4IWR02DOOci7YCYTFoZy5fhui7cgXXdqFCWID26oWFz4cPsPIPGyYbyoRIGUqanIwFp0IBYahSwaj19Cmu+4kTEVFw6BCE4X//IbR0xAg8buniOzAQYapeXlhwtmsHYdi/v+u1TtKHUikLQ2OboedJ82eJEvjuS5TQf9vWxe+EgAiWhOGjR0izePwYv4PkRZT+1qjhuN8nORlGg/v3ZQGoVsvir2NH560BdKFW47r57z9cm15e+L6GDcN5bcq6zxwheP8+8gpPnECkwJAhKQvELFoED9vJk4bXu8+e4bedNQuhoEQI+Tx/HhFF4eHwyo0ahQrF+vj9d6x/pR642kj5iUFBhtdESUnw0ks5gj/9BC/w0KH43UeM0P26Dh1wnOXK4TWarFsHIalUyr0XbQWLQfPwyJdPeJsTpqaL0FCEvW3ebNh1rk1CAjxKktcwKUkOJ+3QwT5WycRE5F9oCkTpdrZsqfMSq1aFeLSFcJBEnS4hp8vjpfl/1qwYtAoVSh0mqXmfpsjLn988cf3iBQaW+HjkEko90tRqhEMePYpNKkEsef/c3V03nEUILMwlYSiJxKAgfIaPPoJFUF9pZHNJTMRgv3IlPAUTJhgW1lFREKgrVkBMmENAACamRYswsWUkhgzBGPH5584+EpnPP4eRYflyiHUXhcWgg0hKwqJp9WqEQkrzWd++8HS9eoWF3mefpcylkYSh5DFMSoLAI8JCMCkJt7Nlg3dh5EjMpdu2oUBYVJTcpsKasLzwcMzLe/fifQcORLVC7ebR6RWlEuuaoCB5e/cu5f/SfTlyGBeNRYrAQGRNnQOpYI2mSHz4ENFQRYum9CLWqoU1jCVCNTY2ZTsnzfZOb95gcV+jBoRfly7w7LjKGiAkBDma//0nb/nyQZg1bQqjjCl1LCTMEYJRUfCyffcdBJxCAVEnfTcPH8LA4u1t+BiUSrxX3br4LNevYx1WrRoEVKtWEFHz5+PzGtrf48dY5wQE6P6Nli7F76tPLGrSoAHGs7x54bzx8UGEQlAQ0R9/6H7NuHH4PFI1dE2uXUOI6OvXMJLZ8hxiMWgeHgULCu8OHazbSUyMHNbSsiViis0twS+FGkhFaLy9sa8ePSAObbVYN/T+797pFonv3iE/SdOLWK0aBndtEafPayfdzp5dt4jTd1+hQrCyOapQjlotN1H96CNcnMeP47N27477WrRI+6G2YWEIaz16FJ+vUCFZGLZqZX11sydPUMkrMRHlpA218rh0CaFj9+7hezaHx4/hjf37b8NhIOkJtRoLrBs3zJvU7c3s2ZgUX76E5ddFYTHoBPz8UhadcXODMUqlwmJx5kwYE7QX7lIfQUkYJiRg/JXylhMTsb8ePXD+1a+PcWTTJojDihXlgh3WRL68fYucoX/+wbU3bhzGLGeHkboCQkA46xOM0v9Svr2bG8SJtEnN4Y1tuXLhtZkypd4kkfjsGdYsT59i/RIQgLmtWDH8zZcPHsRs2eQ5PCEBxxURgc/x6hVuV6yYsqWTVPG7XDnXqP4pBM5LqUCPVKwnMhLRP02bypulqSLmCEEh8Nx8+ZDWsns3PO3FiuFxpRKCdPRohHsbYtEiXN/HjmHte/YsUqJ++AFr46QkCPB16yAUT57E83VFBAiBSteXLuE31MaUEFGJzz/H88eOxVi1dCnWw4sW6ffs/f47fpdr1zAOahIRgQiq7NkxztmyZyeLQfOw2fwYH4+Tf84cqPwqVeCt6NPHsipBkZEpi9AULix7DZs3d6wYiYuTB1lNkRgaap6wK1jQNQZRXUhtHyQvrdQ/KV8+VK9q397ZR2hbhJCtUGo1Pq/k+XzyBJ+3WzdslrZeUauxgJo9Gx7XOXP0L55mzIDVdc8e861j3t4Qstu3w1uW3rl/H94V7YnF2SxbhsICNWvqruzmIrAYdCJCIH/oxx+xYFcoUKGvbFnMKdOmYaGlK+VCCHgWpFDShATMhXFxWCzGx2Mx9dVXyBFyc8Mc6ukJg1fHjnIpf0vnT5UK+1qzBkVohg7F8dasad33klEQAr9TZGTKxvC6Nu3HYmMxp5i6qVQQINmyYeGeNassHJOTcRzR0XhekSIQLSVLQnxUrAhPY9GiKaON7GWUVipxPHFxqbcPHyD63r6FV1Lzb7Zscn9HaatQwTY5iuYIQSJEA61dC8H09ddEO3eiaJSEqeGh9+7hWr11C+PC9On47W7exJp6+HAIrLNnYVxSqXB8AwbgfXUxbBjqDIwenfJ+U0NEJZYtw7z7118wcJcsifGlalUY2HV9rsOHEfl08SLWzNproFKl8Dnnz0ekma1gMWgeNp8fpcnuyy/hHXz7Fkm5ffpga9TI/MWuFKYoCZWAAJw03btjYitc2HbHn5GIi4M158gRiCAhUvaKzJ4dF/2CBbBIffmlcxLBlUpYV9+8kbfXr+UJISYGz1EqMcnpuq39f6ZMCHNo2hQJ7k2awICRKRMmn5Mn8Z2cOIEBr0cPDKg1aph//O/eEU2ZAk/W6tW6B7zERFwb06ZZVoXy4kXkPxjre5Qe+P13LKQNVT5zBtu34zr56itsLgqLQRchLAzX+/btEHZ58mAhK40XEyfqzwOThKHkMYyLw3X/5g2MQ2o1PIgLFmChGBEhVyP19UUhh+HDsXi2NDQrMBCL37Vr4TEaOxaL37TYCiIjEx0Nb6BU1fX9e8yBwcGpC89lz54yDYUI56Jajb+am3RfcjI8WZqb5NFOSsK5r1JBJOTIgb/SliMH1nelSmEe1v6bL599wlP37YP3e88e04TgzZtYNy1YgLF/+HBcFxKmhocmJUGcSeHfRFg3fPIJxPKLF7jWq1ZF6La0HvHzw1rm4kXda5QNG7CW2bEj5f3mhIgSwfv4009476++gmHq3Tv8Hleu6I7ge/YMocR58sA43rBhysc7d8bv3Lo1aiDYChaD5mG3+fHtW7ny2cyZsObv3w/rVu/eEIatW1uWi/f2LbyFR47AelOjhlyExl49k9ILL17IovrSJSwGJAFYo4bu787PDwOTQoGL2d3ddscTF4dJSJfQk7bgYEw+pUrJW+nS8oSQJw/OoyxZ8Ff7tq7/k5MRunD9urxFRGAgbtIEA2vjxgjRvXkT5+6WLXjPESMwOJtbCffYMeQQtmgBQaNduvrePZSjv3nTsvDHo0fxO506ZTgsNa3TvTs+Z//+zj6SlJw9i3Ft/36X9qSzGHRBjhxBqfYXL2CQKloUC8PJk7HokhbeupAqgkrCMCYGnrpnzxBaljcvrpXZs+Hxef4cY5mnJxb3w4fD0FWqlGXHnpwMI9SaNcjJHjECc7+5qSKMayMEPJSSUIyMxJpA2jJl0v1/1qz6t2zZ5L+usm7791+0TDhyxLT82PBwrKNGjUJv2UaNYGSXagUkJmLOHzPGeHjonDmIUjp0KGXkUp482P+KFTAgRUenFnBr1uCavnIl9Xf58iWO6927lAZ9c0JEifD6WrVwDly/jsgEpRL9GEePxtpeG6USx//xx4hg0i408/XXWOflyoVaFbaCxaB52HV+FAIWiZkzofinTcMEtX8/toAACLg+fWTrgLkkJsIaIgmc+HiccFIRGkOlgjMCyckYHCTv34cP8KZ27w7hYWrVL5UKA9FPP8Hz26WLZcfy4AEsXdev429AAASdJO60xV6pUoj3d0RY8Pv3OKb//sPx3bwJEdqkCfIIBwyAZW/jRgi7jh0xsHXtavrxxcZiotm5E7+Hdt+dJUsQhnXmjGVe2J070a7i0iUs/NIbSUmwFEu5MK7EvXtYPISFGV68Oxm9c6QQIl1vDRs2FK5OeLgQ3bsL4eYG/0r27ELkzCnEt98KERJi2j4ePhRizhwhqlcXolQpIerXFyJvXuyzbFkhFiwQ4sULIdRqIS5dEmL0aCEKFBCiUychNm8WIibG8uN/9kyIGTOEKFJEiA4dhNi9W4ikJMv3xzCOQq0W4qefhKhQAeexKSQnC/HRR0IMHSpErlxCVKwoRFRUyueMHStE797YvyFu3sR18+ZNyvtDQoTImlWI778XIjBQiIIFhXj7NvXrVSohGjYUYssW3fuvVEmI+/fl//39hShcGJ/BVNRqIQoVEiIoCLfd3IQ4fVqI774TYu5c/a+rWVOISZOEmD499WN//40xr0ED04/DFIjIW7jAvJNWNofMjy9eCNG+vRBNmwrh6yvfHxgoxJ9/CtGuHSarvn0xGYWHW/5evr5C/P47JqLcuYXo3Bnv8fy51R8jzfDunRAbNggxYIAQ+fNjgJgzR4j//sOAYQ2XL2PAunfP8PPUaiH8/ITYtk2IyZOFaNYMi5qaNYUYOVKI1auFuH3btRcKKpUQjx4JsX69EIMG4bv8/HMhvL1xjv79txDNmwtRrJgQU6YIcfeu6fvevl2IokWFOH8+5f1KpRAtWwrx22+WH/fKlUJUrizEhw+W78NVuXjR9pOGrbh0SYhMmZx9FEbRN0c6fTKy95YWxKBEYqIQCxdigUkkhEIhRObMWHS+e2f6fh4+xCKtenWM26VKCZElixDZsglRpw7mysBAIeLihNi5Ewvb/PmFGDFCiLNnLZ8vEhIwxrVpI0Tx4kLMni1EQIBl+2IYe6NSCfHll7gmdAktfa8ZMUKIVq1wzRQogLWuJmvXClGtmhCRkYb3lZAgRI0auoXcjz8K0bEj1lBjxwoxc6b+/Vy5IkTp0roNOmPGCPHHH/L/S5bAEGQubdoIceoUbpcujf3u3i3Exx/rf02/fkJMnSpEt26pH7t0SYhGjYTIkQPrH1vBYtDM+bFuXSwardkiIoz/MCqVECtWwKqwbFnqSebDByy6e/YUIk8eiLjVq02/MHURGSnEnj0QH8WK4aKcOhWTnCuLEHNIToYV68gRIebNw0WVLx+E9bp11n1/+ti+HRZmTQtWcDCOYc4cIbp2hfWqdGkcx+LFQpw7l9piltZ4906In38Wolw5fM/r1wsRGwsDxHffCVGmjBD16gnx11/GB38hYFErUgTnqCbPn8Ni9+iR5cf67bcwvsTGWr4PV2TuXHgeHEl0NIwrp08bft7GjVi0u/jYwmIwDaFUYrwtXRreAUkY1q8vhI+PefuShGHlyjC+Zs+OcTpXLiw0ly/HmB4UBJFYrx7GtG+/FeLECdM9k9o8fgyDYKFCEJsHDpjnjWAYe5KYKMTgwRB1pjoi1Gohvv4a4rFIETgerlxJ+ZwbN/DYkyfG9zdzphB9+qT2HkZGYi1w7x6u2QIFjF+HgwcL8cMPqe/fuVOIHj3k/+vWxbrMXCZOlEVl794wND17hjWhPr7/HmJb13NCQvDZypc3f0wzBItBM+dHNzcM0tZsuXJh4bliBUSBIZ4+hTelbVu4qXURHQ1Lw5AhsLg0aybEL7+Y7rrXhUoFN7wkmPLnh+ds40Yh3r+3fL+OQK3GJH3uHLxRU6dCNFetCgtv+fIQz9OmCXHmDAY3exIbC4tSqVKw+FSogIu5QwcsHLy8Uoc6pCeUSiEOHcLCplAheAV9fXGOnT6N86pAASHGjUsZlqGL27eFKFkS3jxN1qzBeWrpokmtFuLTT2GtS08LrxYthDh50jHv9eEDzvP8+XG9lS+P71TfZPzVV1gUuPi5n2HFYObMDUWxYsKqrXt3IR48sMnvYBZqtRCHDwvh4QFjaebM+MUKFxZi1SrjIWjaPHqEBWPp0phDsmVDGFnevFgU//UXROG9e0LMmgVvQJ48CIMbOFCIpUvNN/DFxmK+bdoU7zt/vhCvX5t33AxjS8LCsBbt3RvecVP58UcYSgoUQISPp2fKx4ODIXz27TO+r/PnhShRQvc6dOFCRAMIgXVW9+7G9xcYiHWJtpcyOBjXd3IyDEOlS1vm+V+9GhFSQsAgni0b9pMnjxChobpfs2WLEP37wwCly0BdrBjC1HfvNv949MFi0LzNJsbSpCR4hYYMgVfqo4+E2LpVf+6BUglxV7iwEP/8Y3giS0wU4vhxuMeLFxeiVi1MYrdvmz8BahIUhBO5Xz8cc+PGmJy8va0PpbSUsDAhrl9HqOwPPyA0sX59iO2iRRE+OGoUPG379uGCjo+3/3EFBQmxaxcWu/Xrw53v4QFPa/36OA5nfWfOxt8fi6WiRSGG9+7FYPvmDc6nUqXwu23bhlAQffuoXBneRemcVqsRGrJ4seXHlpiIAXbsWOuuFVchKgrXgiO8nUFBcp6DJO6io2ENLlEC3g5tWrTAYvn2bfsfnxVkWDFYp05DERQkLN7evIH3rEgRLIacIfrVaoiwTp2w4MuXD7+cmxsMFtoLQFN4/BjW/oIF4XUsUQLje968WCivXAkBnJiI53p6wsovhf5XqwYjyfLlQly9atr1efeuEOPHYzHduzfm+Iw6hzDOISAAXq2vvzYvPHHFChgIS5eGQf7HH1M+npyMtKhvvzW+r9BQiMqjR1M/FhkpexZ9fSG2OnUy7Rjnzxfik09S31+njhDXruHYdOXvmcKlS0I0aSIfv0KBaKaWLeGM0MWtW0LUro059c6d1I+3bQuP5pw5lh2TLlgMmrfZPHImOhpWgK5dMVENHYoTXZd34uFD5P907WqahVCpRM7a1KlYdJUvjwv54kXrYo0TE+HRmTJFiCpVMBl+/jkEl61DG+Pi4C3aswchhyNHYhFZpAgu9oYNcRHPmYPv8cYN63IozUWthvd23TohPvtMCHd3TNg9ekCYXLkiC9DEROR7TpniuONzVRISIPiaN8c5tHUrzsmkJAjEDh1g/Zo9W/eCLTgYnsBRo+Rr5cUL68NFo6IQ7vXTT5bvw1U4fBjnm715/RqT/IIFuh/fuBFjT1CQfJ9SCa9gu3ZCHDtm/2O0ggwrBm012YWHI1S5YEGM09HRNtmt2Vy/DiFVuDC8BgoFfsVSpRDmaUm48o0bMOZmywbvY61aGLsqV4YhpnlzGAU9PSEM4+Mh7NauhdGpYUMYC+vUwRy6ejUMrPqiVaKiEO1Svz4+w+LFrh+pw6R9btzAOm/5cvNe9/ff8G41bQoP+vjxqQ2tM2dCtBlbk6rV8JZNnqz78YUL4WARAkLp+++xRjRlTRodjfFp3TqkZS1YIMTBg8jv+/FHpLroEmWmEBaGuU4y3uTMKcSvvwoxYYL+7zMmBt9b375C7NiR+vEJE7De7N3bsmPSBYtB58yPOnn/HsVbmjSB52TSJBQx0bx4kpIQulmkCDxipnow1GqEsMybh9jnokWF+OILeCj1eWBM5dkzXECdOuGk79QJ/5tTYcrPDyJ42TKc6B07ImwgWzZYo3r1gmXm33+FuHBBrs7kaJRKWG2WLYOXtFgxWLwGD0b40f37hi22YWFYOGuHOWZU1GoYFpo1Q0L47t3y9/fkCQb+ggWx4Nq2LaX3PDoaCdbdu8v3WxsuKgTyRsuXR95bWmbMGOs8paYQG4vz2dj7zJ+Pha8kBB49QpjdsGEu/z3rmyPTfWuJIkU8RL9+hktnGytvX68e0WefoRT+y5dE332HliJz56LZtCWtkqzl0SOixYtRMbpSJVS1VanwWdq1QwVoc3uPCoEy9b/8gr6ECgVaRdSti/5vYWGoDP3+PSroenigf5iHB1GZMjimmzdRfdnbG+0satbE440a4W/16vL3JQSet2YNerx16YI+b23auE7LASZ9cOAA0RdfoA9gr16mv27JElzvn3wi9yzetUtuIUFEdPAgWsTcuoVK5IZYt47ozz9RuVy7N2d0NK7lixfxPh06oMXXgAE4dkNtlRISiMaPx7EVKoS2D3nzou3LlStoVVG6NNGTJ5ZfW6VLE12+jL6CtWqhafxHH6Gfor7+v+XKoXtA0aIYLzVZuRIV0K9fR6VwW8CtJczDYa2X/PyItm1DWwKVimjoUGxVquDx27fR76hqVTTm1u7BZgx/fyIvL7SsePAA5f779MEJqq9xrylER6PRptSaIU8euS9ftWr4XE+fptwCAtAOoUqV1FvZss5ZMEjEx6N9wqVL2P77D+0bWrWSt7JlzRsk/P3RR2fdOnzfDBY3x4+jCblSiWa0PXvie42NxYJn2zaia9cwQA4ZglYfROjX4+OD9hX58+P+Tp3QosVSfHyI2rZFW4yuXW3wAR1MUBAWo/36oR/k69dYiFatil5HzZsTtWxp3bVORDRvHibJnTsNP08IiILcuTGRbd5MdPgwJsf8+Ym+/da647AjGbbPYLlyHuLbb/VPdsY+vlqN3/jxYywKP/sMPdJu3SKaPh09uH75BXODMwSMvz/ef+dOCK/793F/dDTasQwfjkVY3rzm7ffdO5zfmzejNVT+/LgGK1SAqCteHN9dQADm8dBQWSBKW/HiEKmSQLx5E73F6tVLKRArVyaKikIfxDVrMHaOHYsWPgUL2vgLYzIUajXRsmVEv/2GtWKjRqa/9quvMM7/+CPac926RXTyZEoR5++P/sQHDhA1a2Z4f76+WDNduIBrVZtFiyCstmxB27Xu3dGPb80aiLAtW3Tv99Uror590VZq4UL0Svbzk6+diAgIxNKlYbCxtPVa164QvT16YBw8dQrGowUL8Jn0vaZmTfQJ37495WPnzqHH4t27+Ay2aM3EYtA8HN6HV7IAbt1KtGMHhMfQoUSDBqHn3dy5RJs24cLr29ey93j/Hhfk/v2whLRqhX19/LFxa40h1GqcrFJPw4AATF7agq9SJct6JtqD8HB8B5L4u38flpyWLfG9tGiBhYK1XLsGK9upU7AeM0AIWAt/+AETx4IFsHpLi8XgYFjwtm2TLX9DhuC+GzfwfYaGYqF04QJRjRqWH8vVq/iNDh40Plm5AomJaL67fTsMMfnyoXF2mTLYChfGwvzqVZzjjx5hkT5+PBbp5vLiBb7nO3ewf2O8ewfvxvPn+F1LloTH6PlzWHxdFO4zaCVXriDSo3x5hGElJckFXqpXR/6Lt7dN3soi3rwR4ptvkNfUtCmibsqWRZinVIl0717LIlHevEG0T4sWyCls3FiI1q0RTVKkCCJe5sxBmOr8+QgLK1sWKSPt2yO8dudO5BiFh6Oy95IlKLpVvjz22a6d/LydO5Fqki+fEMOH47tPD/nPjGN59AjnbJMm5rU4UamQi+vmhroJS5Yg7y0sLOXz4uOR8rRsmfF9RkYijFpfNJWUK/j4Md6zVi055PvNG6Tt6AoBv3ULdTWWLJGvkREjhFi0SH5OYiI+S/v2uM7MKZqjydSp8n63bME+pSro+pg8GSHm9eqlfuzdO0RMNW+eut2WpRCHiTplfrSI5GSUrP70Uwz2nTsLsWkTephUroxJQPuiM5eICITjDRiA92jdGmVxLUm0Twu8eoXPO348BpE8ebBwmTcPyb3WNBQ2xo4dSIZ28WqKTkGlwsKmWjUMeBcvpn7O8+fI7ateHTH9NWpg0ggJsU24qBAIoy5a1DkVEU3l2TOEUBcpgglr3TrkZxirNPbgAcJsK1USYv9+89+3Tx/zcyuHD0dIqZQ8v3Mnwq1dGH1zpNMnI3tvtp7sLl7E+VmxIvJIk5Ox/f038pGGDHFub70PH1CIrGBBFIQpXhyhzTVroh9mzpw4RkvnwlevsPht3hzvMXAgimlNmID3yZkT4fLTpiF0ets25Cv16oW8xgIFMDfNmoUc+oAA5E4fOyY/r2RJFMpp1w7PLVEC4+PVq7b7npj0S3w8roHChVEh15zaElFRmH+zZsX5tnw5rvVXr1I/d8wYrDGNGSoSE5GDqyvXUGL+fKQbREfDyKK9VmjYMLVgev8ea69du1Lef/curiEpZ/fAAfy/YAEKFE6caPh49bFhg1zl1McH39GFC1hj6+tvvGYN1vo5c6ZOPVKrMYaMHGmaoDYFFoPOnR8tJjYW/ZR69IB1sF8/3C5VSnelJUuIj0dLgFGjMDjUr4+L4sGDtGVtTExEkZdjx1DZ6uuvYb0qVw6fq08fNC2/ccPxPc9+/hnfq7OKKrg6SiVyY0uWxO+myzIn5cMuXAhB5OaG0u7u7rCuWXuubt2K60pfaxdHkpyMyWT/fnzeTp1wDk+bhnNcCNniaGou8MmT+H7PnjX9OE6ehIg0tzrvrVuYsHPlgqfj0iUsgF0YfXNkug8TtVcYzPnziGgJCkKo0+DBCMf/9VeiFSuQSzh7tm1CnywhKgrhZb//TlSsGMLcKldGDs+pU4ikKV+eaPJkogkTiLJkMf89Xr0i2rMHEQ3PnhH17o2w+Jw5kQd05QpSEgoXRkh3ixaIoomORmjprVsIHU1OlkNLpRzETJnwuBReevUqXle6NHIh3d2xVaqEvyVL4jVMxub8eYQY16yJ67BUKdNeJwTCwT/7jCgpCRE6Fy4gL/fCBVw3mnh6IiTz5k3DIdhqNdGnnxLFxeFa0cw1lAgNRerD9etEf/+N6BNPz5TP+eEHXCeLF+N/pRJpJC1aID9Ym06d8L7DhyMyqGxZhKl6eiKy5elT8yPmbt0iGjkSkWYqFaKe5sxBasuSJYg60+bCBYyDL14gkqxs2ZSPt2qF6zk0lGjDBvOORxccJmoeDg8TNYWQEKLduxFK+uABLs62bREnbW6+gz6USsRe79+PLVs2hJL26YM4a2dPJrGxCDeTNj8/+fabNxjYKlWSJ8BKlRBCWKWKcxPuhUCC84cP+F51DXgMBrwJEzCYbt6MRY8ukpKwqEpMROjitm2I/+/UCb91+fLI3alQAeeEqd/3X38RLV+Oa6BYMZt9LCLC5BAdjUVodDRRTAy26GgsGqVz2c8PRThKlsSkVL06vodevVLmYsydSxQZiXwPUzlyhOjLL/H9GsuLUKuRu/Tjj+YVFJBo2BBC4O1b5I20b48Jz0XJsDmD9pzshEAhmTlzcG3PnUs0cCCE1ty5SFuYPRvXvCUhzLYgPp5o/Xos1nLnxvVZvjwWiocPIwdKrcZcK+UbWUJgoCwMnz/HnDpwIFHr1lh4SmHdV67gu2raFAvZFi0wxj1+LIs/6eeShKEkEl+/RiGPChVQZObFC4wnfn4YKypWlOdGza1MGefm7DP258ULhO2fOgUR2Lu36a/18UF+oCTsLl4kOnMGueTnz+O80mTLFqKpU1FXonZtw/uePh3n/unT+tOIZs7E+fvVVzivHz5MPT9fvYpx5O5d/P/NN8hzP3xY9/x/7BjSJw4fhjC+dw+pQtIapFQpfD5zSEjAOiQ8HGvnihVxbbm7Y9wYOzb1a96/x/vXqUM0axZyITUZOxYGs5MnkaphLSwGzcMlxaAmAQGYwP76CwvKQYMwqVqTO6WNELBOSsIwPBwDSJ8+mBgtsZSa8p5hYSlFnuZtKUFfEnqaoq9cOectKEwhKYmoWzdc9H/84eyjcW127MDAP3Eizmtd51p8PL7P6tXxna5Zg9e8fInrQ9pCQmAtL18eBZgKF0ayeOHC8lagAHLv8uVDXtvhw5jk8uVL/b5CwCgRHJxy+/ABE4nmFhaGxWVkJI43Tx55y51b/lu6dMpzuWJFw/m1KhWug0OHzM9FlQq8/PWX4ecdOAAhePOmZYaUMWNQkCA4GJ+9QAH8ddEqiCwG7YgQWITOmQPjx9y5qPz3+DEWej4+sOj37++88yM5GUaln3+G+EtMJCpRAkWPPnxAgY2nTzFejBgBT4SlRtgXL2Rh+OIFDK6SMMycGQvEq1dlgXjvHsY5yXvYvDn2oykOb93C91y9OgwwajWOuV07XHsxMTDKSOJQ2p4/x/uVLZvam+jujnEzWzYbfcmMw/H1ReGVQ4cwJn/7rennbWQk5oANG+AlK1cO5+zBgxAu587JxQ6JcP79+ivmlmPHjK9Hly2Dp+/KFf2FkIKCINLu3YMXr08fGDS1USohEB88wNz9ww+4LgoU0L1ftRrzrGRU/ucfGD/XrIH4at0a12bOnEa/phTUqYPvq2FDXNMnTuBYXr/WbbgVAu/Xrx/e/6uvUj7+558w3m7bhvWvtWtcFoPm4fJiUEIIXHizZ+O2uzssmoMHw7NgS54+hSjctw8hL92748Ls0oUoVy7T96NWY7LSFnrSbaLUQi+9hLpERGAinzABVacY/bx5g1Cy0FA5dEObqCiijh1hnLh1C1Y17eqiCQmwyr98iUVdSIi8hYbib1gYJr6oKPxGQsCaWKwYhFdSEhaLSUnYsmXDY0WLyluRIhCZmlvBghCUefPiGrHVuXvqFCbjW7fMf214OKy1W7bge9OFELBkfvedeRZkTQYNQoGbR4+w0CxQANd4oUKW7c/OcAEZB6BWI73BwwO523v3Ik/mzBmE0Tdtip65zkSpRB5uvXoo8lKuHG7v2YNem59/jpzzTJnw2JAheOzNG8tC1f39UdyiYUPkLY8fL8S5cynzuOLj8b0sWSLExx8jPLxMGfTe/fNPhGUnJaEV06lTKFTj4SFElizob1iyJGoPfPONEOvXI1VCM08+Ph6FOQ4exGsnThSiSxeEiGfNis/ZoQN6Ji5fLsTt29b1MGbsz507yNcrUgRpP+bUmdDM8R0wALUqvvwS92/ejPu1+/yqVEjXqFVLd/6gNrt3Iy3DWG7uxIk4bzdswBhhqD7AwIHIqy1cGHmBxpg7F+lX16/j/6++ktsnffyxZa3Bhg9HazQhcC3lzIn8/k6d9L+mUSOkx0yYkPqxq1dRhKdGDdM+kzGIcwZddn60CaGh6IFXujT6sRUogMpI69ejWIytef0aiccdOmBi7NULRW5CQ/F4UpKcv/fXX3L+Xo0aaLJZrBiqWA0fjsTgrVvRa/HDh7SVp2gJ/v4oWnD4sLOPxPVRq9GguVAhJFDr6u0YEoIJaNo0TAIPH1r/ntHRyDFt1w6/V3AwrqO4OOuL1diCTz7BdWUphw6hmbW+wknHj6OghqFemoZQqzHR9+iBxttC4Nq/f9+y/TkAfXOk0ycje2/OmOzUapyD9eujH66XF8SFpycEWJ8+Qvj6OvywUh3j0aMoBFOihFy8avt2jAFnz6IqYblyyF/OkkVuQP/ll0L8848Q166Zlyfu54dqhPXrY46cOBEFKLSFl1qN72fDBvQRrlED83D79mjCfewYcnVPnIAYmDMHQm/RIhThqFcPIrFiRSx6Z89GIZv79+WiGhJJSShedfw4xpwxY1CsJl8+9IVdtAjVTLVfxzgetRrnS/fuOGd//dW8808652vWRD7+v//iPFy5Evv5/HOcM9rjeEIChFjr1jjvjHHlCubq27cNPy8gAAVUHj6EocTY81evxnWwfr3xYxAC17KbG2pzCAHjVNeuuH3pEowh5ho9/vhDFnWnT2Pt8vvvWJvrY+hQVCJt1y71Y3FxuFYHDUJBLmthMej686NN2LMHF++0aRjce/eG5aN/fxSjsLbxvC5CQyEEpfcqVw7WxPLlZWviL7/gQrt3j4uoCIFFQpEisN4xxnn2DIusdu10Wx3fvsXA/cknsLDbokBQUhKMHH36OL7gkCHCwrAQkwwvljJwIIrTaKNWw1CzbZvl+37xApP3+vV4HyFQ9fD4ccv3aWdYDDoBtRrzUt26sH4fOoSF2eLFWCxOmgRDjLO5eBGetcKFYUSpXBlC7P17PB4RgVLy3bvDE+DujnL9tWrJoqtXLwi1nTuFePLEuFHp2TMUHqtXDwv7SZNwHPoMNKGhqIo8ezbaeOTOjff/5BN4EXv1Smn8kYpU7dmDqtr9+6Oqc/bsEJcDB8KjtG8fDLvai+L37zGnT56M3y53brzvnDlYBNuzQjeTkogIeIhr1MBvuGqV+UW/7t7FGF21Kowzv/+ONcqJE/CcubujqmVUVMrXRUXBCNGvn2nv+fQp1qimFED87DNUPR0wANV1DaFWY67OmtV0g+2QIfi8W7fi/w8fsIZNTsb+mjTBd2EO587JxdKCg3E9TZqEcSEyUvdrFiyAgCxRQvfjDRvCmCOJVmtgMZh25keref8ewqxWLVhSwsJgpWzdGlaW0aNRgtdSq78hYmJwsbOV0Di7dmGSfv3a2UeSNlAq0eKgWDEserQJCIA3qm5dhIrYgoQEWL8HDXINj6AQ+Gyffmr9fp4+xeJWW1SeP4/FrjVhYFu3opfa69cYc5RKRAFs2GDVIdsTFoNORKWCKKlVCyFTR49iIfXll7Cs//yz5b2/bIm3N87r/PmxiMyXT/ZkLlyIhXNgIATusGGI0GnaFC1h/vwTC9vevSEOc+SAB3DECHhwTpyAUUtXZIyvL8a+OnUQ8vnVVwgbNTSHJyUJcfMmIir69cP7Zc4MUfvLL/DO6DIOx8dDGGzZggV4jx4w7ObMCdE3bBjGoB07sL6QjLsREfjdZs2CMSlXLiymp0+HyLe2HRaTGm9veOvy58ccde6ceZFVajWE3qefwnj31184B3v0wHXo6wuhUrSo7hZGwcEIRx471rT5IjgYRtu//zb+3Dt3MNdv2YL5yNj1v3o1ro86deDVM0ZoKK7ftWshZiVq1UIYtRCYr3r0ML4vTcLDce5L30eBArjO69eXw1G12bEDY0iuXLoj+caPh8fRFrAYNG0jop5E9I+7u7vF37VLoFYjtrtIEYRhSp6Nly9hda1dG0Jk5kyXDt1K9yxezC0nzOXiRYRcTJ+e2mPn7Y3FY758tomvFwKLo06dsAhydp5MSAg+37Nnttnf6NEYAzTp0kUO7bSU8eNhWRYCIUc3buB9dHkiXQR9cyQXkHEgajUKq8yfjzzb+fNRwGT2bJSy/+knomHDnJ83/vgxCmXs3Yuqn/XrI6f49m1shQqhwme9esg9fvIEBTVKlECxmL59UYzj8WMUvLh/H38fPEABndq1sdWpg781a8p5+T4+qCq+axfyfwcMQKGKJk0Mfy9CoDri8uXIFX71CvuqV08uTNOsmf4qytHRON5Hj1Az4Nkz1BHw80MBjCpVsFWujL9lyyJH+7//iC5dwt+KFVGYo3VrlMwvXtymP0uGICQEdRvWrkVxrrFjiUaNMq/6dWQkqtL/8w9+19GjicaPRyXOYcNQc2L0aLRJyJ6daONGFDnT5NUr5Oj37Yvr0ljhp/h4VJRu3x5VeQ0hBFGHDqhL8ccfKJ7SurX+5z96hHP6yhUcq0Jh/D1+/x059+vX47Ndv47zc9IkjDnTpqFQXJkyuC5NbcFBhP0cO4Z2GO3boxBU376orzFiROrn372LWh9ZsxKtXIkxRZONG1GIZvt2049BH1xAxjw8MmUS3saqZRo7+XPkwEUyYYLzKqS9fo2WBiEhKMKhWd3pwQMMCNu2YTAfOpRoyBCc/IxjEAKD7vv3qLzILSdMIyQElcUiIlB5VLM3z4EDqJhZsiRKMduiwmxcHFGPHqjg+e+/zluMTp+OqoCrV9tmf69foxrpw4dYqD5+jMnr5UvrKgjWqUO0bh1Ro0Yo8V2oECqnPn1qvIqpk+ACMi6EUokw5apV4WU6cwaesGbNEDZ56pSzjxBERiLqpkkTRCXMng2Pu48PPBpffy1Eq1YIoaxcGWkTrVrBSOvuDi/ajRuyN0etRiGa48eFWLoU3nQpv69SJXgP5syBl8bHB72A589HeKBk3DWWM33uHHLWFy9GiN+ZM/D0de0KD1OlSnjfNWuwf2MRRCoVvKGnTyM8ccoUhMtWrixEtmwIq+3SBQaib76BJ6tDB3hMKleGke3nn9H4+9kz5xvcXJHgYHjTOnaEoXPgQNQcMOe7UqlQjGTUKOyjf39cRyoVol7mzsV5cewYvPRFisCDrOv39/FBOtBvv5n23vHx8Eh/+qlpnssDB3BOjxwpxLhxhp+blIQwSsnbePEiDOyGUKlwnl+9iv+/+goh3ELAiTJggPzcsWPhlTeHvn2RjygExoDixfE5pk/X/fyYGISTDhmiO9/x8WNEE9gCYs+gefNjgwYIodC3xccb3x4/xknao4dz8x7UakxYhQtjgtEeQFQqhIaNHo2QrjZt8HwO63AMSUmYHL/6ytlHkrZQqVBdr2hRxNNr8uuvSCTX9npZQ3S0EC1bYlB3RpEjKeTyzRvb7vebb+SE97FjsSiwhvBwLH4lr+2xY1gA796NSdJF0TdHOn0ysvfmimJQQqnE4szdHfPSuXM4jypVEqJbN4gVV+HBAwihIkWQO7d5sxzaplSi+uKmTQh9bdYMAq9QIYxT+fNjcX7smO4FfnIy1hM7dgjx3Xco+lKhAkI3GzZEbtW0aQgHLV4cAvKPP4R49073sQYGIgywf/+UOWAqFcTk338jfNXdHcfWtSvCBc+cMS+KRSokd/gwjmf8eMx1ZcvKQlHKF61RA2N59uwI9RsyBIvwffsQrugqYfqOQlMA5s0LAbh7t3l5Y8HBMEoMG4bzsnp1GAE0zwvJyNKhgxDPn+M3qlhRf0jjzZs4x0wN+U9MhHFgwADTfsPERBgJvvkGf/Xl2UksWABjgzQnJyfjnA0K0v+ao0dxzkmvuXMH56RKlVp43byJ89SctKoffxRixgzc/ucfIapUwdzapYv+15QujSIyugSjSoVzwBY6gsWgk+bHxEScFCVKOL94gr8/JtQWLfSHmSUkIN+hf3+cfL17YwAyNxmZkVGpsCgID8cAFRAAy9rduxhwL1xAIn6ZMrCEbd6MUsT79iGv4/lzTsY3xJUrGMi/+UbOVVWrYYXMkkX/pGYJkZHIAZowwT45t4YYM0aeYGxJcDBE5u3bxidRUzh6NGVVtNhY5EKcOIHvzkXRN0dymKgLoFQiimXBAoRwff89IlsWLkSfsAULbN9KyVKSktCHbd06hLYOGoQWOQ0apIwQUirhib95E61iLl9GTzWFAmFmHTsiXM/DQ3/P0ehoePWlEFOpd+DLl+jNmpSEUMwWLRByV7062jQVKICWO5MmEV27hpZRmv3iNAkOxnOuXEG429272E/LlthatLAs3DM+PmVrKX9/uQ/iy5fohSqFxsbGIiKibFmEzdavj9DZGjXQcsoePY8dSUQE+ujdvSv/ff6cqGtXhAF/9JFp/e6USpxzx44RHT+OUN527dCPt0sXhCZL3L0rX0dz56KV0JAh+E7//jt1j12VCqHRv/6KENVevYwfT3Iyzn+1GqHNpvxOy5YhBPrZM6ILFwz3KrxzB5/r9u2UYaz9+2Nc0BWSSYRroX9/hMESIUKrTh1ErbRsiUi5wEBcJ0Lg2l26FNekKRw5gnDskydxXQ8fjnP26lVc47ro2BFh2nfvoiekNh06EE2dinPBGjhM1DxsPj+ePYsTs39/NNd1VhNXtZpoxQqEr86bhzhxfSFvkZGITd+yBRddnz4IJW3TJuOFM6pUmBSDgnRv795hskpIwJaYKN9OTsbvnT27/FfXbbUag0XTphi0w8MRPvruHf5Kfe+KF8df7dua/5vT9zE9EBqKgT04GOdsyZKYGBs0QCjk27f4nm1BZCQGZGnSdETI6LNnyOvx9dXfnNcaZs3CpFWpEtGmTdbta/ZsNM5esEC+r2NH5DUtXIiFngvCTefTAMnJRJs3oxF25crI6zlzBovTSZMQRp07t7OPUubVK+T7rF+PxfXnn2MO1XcNJyUhN8jTE3l2ISG4v0wZzLtNm0Ic1q5teA2hUuG9Hz6E0Dt3DuNgnjwQYdmyyT18IyNx7c+ejbwlY718ExKQa3X5MrYrVxAGLonDli0hLK1JjVGp0GdWEoj+/hj7njzB+JGQAIGsVuN2vnw47goVMC7XrAlBXaEC5kRn55gS4TiDgjAXvXmDPDdJ/IWG4jetVw9b3boQJ/qMAJoEBUH4HT8Oo0LZshCR3bpBXGinSTx9SjRnDoSW9Jtv2YL83MWLkX+o/ds9fYr1a44caKiuKSr1oVQi/zA6GnOyKWve0FDk2WXNCmHWt6/+5yYm4lqYMQOfQZNVq9Bwfv361K/z88P3EhiY8vv95Rd8zrVrkc86bx4EGBHy+C5dQkqKKbx9i98wOBg9jMuVw/kZEoJzWFd+54QJEJ87d+IYtdm7F79to0amHYM+WAyah13mx9BQojFjYPHZts2wxcPe+Pri4s6dGxeMZs6VLt68wYWwdSuEyeDBmNTq1XNePqQtSEyE2NIn8qQtJAQXaokS+re8eXWLvaxZTf+Orl+HRevECViSJITAoCoJQ02RqOv/zJkxITZtKm/lyqXt38oYQhD9/DPRmjXIv2zYEAsdaQA9fdp27xUTg9+pTBlcP5kz227fuhg8GIuF2bPts38/P0zCFy/C0m8NzZvD2NS+vXzfL79g3Nu4EYsiFzwPM5wYVCgUPYmop7u7++hnz545+3DMIikJ59LChZhHx41D4ZkzZ+Dl+Pxz+1+T5qBWQ5CtW0d09CgW6p9/jmvEkFB5+xYela1b4cEpVgzj3IcP8M55eMhbrVqGPS9BQVh3bN6MtUj79pgjEhJQ3OXMGcxVSiVEVKVKqbfy5VMv6tVqeDglcXj5MnKsNcVh/fq29d5FRcki8dkzvP+zZxC8Hz7AaJAlC45NpZLnbkks1q2L7zJrVtO2zJnxvcTFwUup+Vf7vqgoed3w9q18Oy4OwrRECQiDGjVk4VepknHBGhcHcREcjDn+6lV4AAMDYWyTvH+6POTJyRB/W7bAa/XNNxA6W7bg3GrSBJ6vmjVTvk6thihbsADiaMIE04R1bCxqVYSGwktuqiF20iQYLz77zHgBmBkz8Jvv25d6PnnwAEJS17A2dSp+zyVLUt7/+jUE+Nu3MI6WLIn3IIJhvmxZfO+meGiFwPl15w4KzxQtit+vQQN4Yzt3Tv2a5ctR0GnDBniKbWW81obFoHnYzVgqBCaEb7/FBTZunPMWRkolXP6//YbF2mefmXYsjx/LhWdy5pQLz5Qvb+8jTokQGOQkD1x8vO7bmoOz9hYVhQvVkMgrUQIXtqNCUfbuJfr6a4QPWRJ+IwRE0L17mOSlTYiU4tDDw7Ws6LZi/34YXVauhDfK2xuT3eLF8BzYirg4ot69YeXfvNl+58eVK4go8POzn8d3506iiRMhpseMsXw/sbG4VoKDU06a9+4R9euHSdXXl6hwYeuP2cZkODEokZY8g9okJsIY8/PPWFR/8gn+f/cOc1r37q5neAgLw9y5bh0WfSNHYjNWuC00FOFje/cSnT8P8Ve+PMb1hw8hjCpWhFFH2qpUwV9tT+T9+xiztm7FYvXTTxFOOH48vIfffw9RJYVwSturV3h+3brYJDFTvnzK7/nVq5Ti0N8fBjlJHDZrhvexF9HR8CAGBsLb8/AhhMGrV/hcsbEYrzNnRsSNm1vK45dEpEqFNYZSiefkyoVxLWdO+bb2fXnypF4/lCyJ30D7XBQCa5A3byBGNLegIFn8ffiAYylaFFuRIhAW3bphbtNl+IiJgbfQywsGiCpVcD0ULIjf/eVLGCQ+/1y3p+/FC5yXiYmIFqlc2bTv/uZNrAmbNUOhM1PEExHOky5dsDY5edJw9Nnp01iv3r2rey5Rq3H/o0f4/iXi4iDqvL11r1c7dkR11oQEosOHMS9KtGpF9N138LqaQtu2RD/8ANHdrh2uX3d3fD5JZGpy7Bgqp75+DcdLnTqmvY+5sBg0D7vPj76+EFClSmFSKFLEfu9ljPv34SUsXRqlhjUvHkMIAevU1q2wXlatKoeRSiJNU5SZ+7+x5yYkwEqVPTvc/ZJHTvN29uy6B2dpK1zYNUJItJk7F4OjsUHRVITAxHj9uiwO793DAC+JwyZN8Bu64vdhLnfvIqdh5EiExCxejL/e3ljA2IqEBIicbNkwgNuicqkmb94gl+OffzCR2wO1GoaBjz+GkL571/IF9KlTMHJdupTyfiGwIMqTBx4ce010VsBiMA2TkIDwrkWLcC537IgIgaJFYfBs2NDZR6ib27cx/+/YAbH0+ee4Do2F1EVHY4G/bx8W/PXrY3woWxbXs58f1hjSli1bSnEo3S5fHvPM5s0Qmi1aQHT4+aEqs7anSKmEKLx3L2WOW3S0LBAlkVizphyGFxGBvENJHN66hWNo2VI2TJriHbMVSUmyyPrwwfjtuDgYTnPmxGfS3LTvk7yrkojU9TcpCV6m169xPKVLp95KlJDFX9GiEJrGxuX37yFivLzgCWzWDOdToUJYS3h5IXJjzBikOugSkULA6z5jBrxo06ebtgZRqWCA+eMPpCINGmT67xETA0GaMyfWowUK6H/uhw84vzw95TBOXfTsCSPHwIHyfevXY47TlZNHBNG7dy/WCx9/nDJcc+FCRIj98Ydpn2ncOETzTJwIj6qvL8KZc+TAmlkbf3+IRg8PHLM53585sBg0D4fMj0lJsBxs2QLXsC7XsaNISkJo199/E/35p/knYlISBputWzHQ6xJl5vxvynOzZXOtUCBbolIRdeoEYT13rn3eIzExtfcwJgZW4fHj035S/vv3yHMtXRqTW6tWEFeBgbYVbUlJ8EhERmISsTamXyIhAb2V+vRBJIG92LULk/j16wg9W78eizRL+O47LOh+/DH1YyNGoLjBH3+Ybl11ICwG0wHx8ZjDlizBQrh2bbSCadcOizlHR6+YSnw8hN26dfBiDR0KYVirlmmvPX0aC32pCE2jRvj8kpFPpZKF4dOn8u3AQIyPVasihDImBiF2T57gNbNnY41iTKSFhMgCURKJT59in5L3UBKJxYtj7rl9G0aj69exZoiIgKht2FDe3N1dwziZmIjvJj4eW1ycfFt7S0qSvY6G/hYvju8+b17rjs3XF8L9wAF4wrp0gZApUQKiZ+dOGOKGDMG6zlC/vOBgCMWAABgITDXaBQZCeCkUEGnG0o60ad8ec8OTJ4Y95ELgs9WokTrMU5ulS3FcK1bI9zVpgvO5Rw/dr4mOxvv7+MBQ/uoViskQwZD86ac4RlNYtgyGkxUrEGp78CDOo5AQ/E7aqFQQ/JMnY307b55p72MuLAbNw6Hzo1RcZsAAWDadVVyGCC7+ESMwCa1a5ZLhXBmGd+8QCrJ5s2ELmC15+BD5BK9fY9HepYtj3tdeJCRgcnv0CAutJk0wIXp62vZ9kpMx4C9fjolw6lRMOJZ6dYVAGExiIhrN2ivULTkZFvyVK2F8WL4cFnxTE+W1adEChQh0VV3btg25GPPmoUiBi8F9BtMRsbFoL1OsGHrzjR+PirnTp6Oqsyvj54f2EaVKCdG4MdoLGCuvr0lIiBBHjqBvmtSWoHJlVFdetQpl9KUS/4mJqGx94AB6yn3+OdrAFC6MSsxE+NuyJXrK3bplemXrxERUzN64ES032rfHb1C0qBCdOqEVxpYtaMmRlCTEhw+oOLxwIVrQlCuHY2/TBpWit27FsTq6irOrERKCno4zZqAPZ6lSOL+PHEFl8tmz8XtXqoSelE+emLZfLy+0jJg1C1XlTeXyZbzu558t6xH5009CZMokxLVrxp+7YoUQHh5y1XBDXLuGtiUSd+6gYruxYxw8WIi//pL7m0qoVLguXr40/t5CoE1Mx464ffo0rmWpdYrUckab6tWFWLQIbUTsBXFrCdeeH0NCMGnVrYt+RM4kLg79TkqUwCTBOI/Tp/E7WFvu3xzUavzu7u7okenr67j3tgdqNfoRliqFBZKbm/3avCQnC7FzJwZ+d3chVq82beLS5o8/0CvM3i1F/vkHizSp31J4ONpLvH1r/r5iYtBCQt8xBwcLkTUrmmQbwhk9HIX+OdLpk5G9t/QoBiViYnDtFykiRK9e6HVWpAiuL0uuS0eiVGKB37cvmoSPGIGG2uZeH0qlEPfv41ofOVKIatXQB7RtWyG+/RZjva7+ZeHhWNBWqABRli0beiNmzYqegF98gX3eu2e6CFCrhXj1Cn0Hf/oJv0fVqnJvwWHD8HsdO4a+qsHBEIg//4weiuXLoy9j69YQmFu2YL3k6r+lJSQn47Nt2waB1q2bECVL4rdo0QIGg/Pn0frrs88gNGrWxHOvXzf9PLl9GwKoUiUIO3Pw9MT1dPSo+Z9PCKxvMmUS4s8/jT/33j2IMX1t0bRJTMR5Lhl/xo0zPvcIgdZqUt/nX35J+diQITjnTSEgAL0DhUBv4MKF8RtVr47ehbro1QvvWbu2ae9hCSwG08D8qNkcftUqpy2K/p+LF9F8c8QI17empmfmzkXfNkusbtaQkICBqVAhWGbT+jmwdy+urRo1sKAIC7Pfe6nVQly6hAm8QgUsWkyxaEdHw6NRvLgQL17Y7/iEgNGnVKnUfRhHjcIxmMupU1ikGKJMGfQu1cejR1isli+P47h71/zjsBAWg+mYqCgIisKF0QC7dWvMbbt2OX+eNYX373FNVq+OBtaLF1tnIAwLg+CaM0eIzp0hNitVEmLoUHhFbt2Ct04IiJJp0+CpW7ECoixXLhi8OneGmMudG9/p9OkQJ4GB5n2vcXFCeHsLsX49RF6HDhAZBQtCtH75pRD//oux6uVLIU6elAWiuzuEauXKMF5OmybE2rUYfz98sPw7cgRxcWhyfvgwBNHkyUL07AlRlyMHPlO/fmis7uUFr7G3txC//w7vau7c+Pvnn+gjbSrx8ehn3LQpxuSFCzH3mIpKBUNChQpCPHxo9scWQuBzZM+O69EYsbE49z09zXuPdu1gUImOFqJAARgYjBETg/XB6tVCDBqU8rFNm2CcMQWVCr9hVBSuhXz5cL20bo3zUxfTpwsxbx6+F3ut91gMpqH50cdHiAYNhPj4Y90WO0cSHY0QhDJlMAAzjkephPdm7lznvP+7d7ACFyuGkCVHi1JbcuUKFhlZsiCEwxELwfPnhWjSBF7/o0d1v+eHD1iYFS6Myf/BA/sf19Kluie2o0eFaN7c/P199x1ClAzRty8Ws7rYtw+ff8MGLJCWLsX/69aZfywWoG+O5JzBdERUFHLily+Xe5DmzYsiM9a2VHEEQiC3e906FLpo3Rq5hfqKgZiKWo1cqP/+Q5j4tWuoNtmwoZx7+P498sn//BNh4Fu2oGhPcjLy0apXR8XO69exZc6M4ldNmuBvo0bm58e9f4+CIg8e4O/9+8jnKlUK+aB16iClpVIlhNIHBOBxX1/89fFBqH61atiqVpVva7aWsHXfZLUahU6kHsSa/Yil2wEBqDBZrhyqwGpv7u7ILfT2RsufixdRsK9kSeS/d+6MzZyqrC9eIKd2/Xrkb06caP65ExuLJurv36MYiyXFD69cQd54wYI474xVHB0zBvmYmzeb9z5z5yLVwt1dLqxjCh99hNScNWtStqd49w7neXCwaTUV6tXDtSpdRzVrolBMzZopcxkl1q7Fd3P2LLZKlUw7XnPgnEHzcPr8qFlcZuNG5PM4k1OnMOn06IFiE+mxJYEr8+4dBpRNm3TnYzmC27fR8iIqCoupNm2ccxzW8vQpJtPQUAz2X3xh//cUAhORVAimQAG5+pybGwo/9O+PJtpVqtj/eMLCsCC6cAGTmyZJSVgoPX5semVhInync+YYHquWLcNzoqJS3v/nnxhXvLxQTU3iyRP0i5o4ET2o7AgXkMlARERgDFuxAgszPz+5/Ywjrj9bEBOD4k/r1mGBOXw4qhA2aGCbHOOICBT1uHZNLjCWKxfGzZ495doCb97gGPbtQ6GeL76ASHn9GqLwxg38vXsXwkdTINaubX6hMqUSC3RJID58KDejL1cO41r16tiqVkUlzbdvZYEo/Q0JwViXmIjvSxKG2bLp7jWoUEDkaW4qVer7EhIgBPPnx/gp9RaUNun/8uUh7CIj5X6Emn8fP8Z3V6UKRH+rVijsVbSoed9XbCwMB5s2objP8OEoEGdqqwhNLlxAZUwPD1S4tqS+xalTqI9BhMJBxgTP7t0oZHT7tvntSE6fhiBMSkKV627dTHvd6tUQZV5eOL/z5ZMfq18f44YpRdY++QQFb4YMwfo5Vy6I+nz58F1qc/Ei1gh58mC+01foxhpYDJqHy8yPUnGZgQPRS8mZxWUiIoimTMEJK1VnZBzH2bNEw4ZhADVnkW5LhMDgPGMGJoSlS1ExLq0RHIzJMDYWxWWqVnXM+yqVWLxoVqNLSEC5b13Ngu3F5Mk4lpUrdT8+bBiOacIE0/YXEYHCOUFBhnsh3r2LxWpysmyN//57tJs4cUJ3vytfX0y8d+8aroRnJSwGMyDh4US//45iae7uEBlDh8Jg4cx2T+by5Anm5P37MaZ8/DFa67Rta7vKyWo1DGleXqgW7O4ut12oUwciLD4ei/aICCx+R42SK7gmJ2Ps0xSIL19iPGjZEuuJ5s1TLrzNISkJov7JE4i9J0+w+frCIykJREksFismCz83N8xtRBB9QsgtICTBSIT7pebyMTEpt+hobPHx2J9KJb9e2ofm7eBgebyUehGWLCnfrlzZ8u9DrcY6bdMm/F4tWmAd2bOnZc3M375Fi4nLl3G99O1rmcFh/36i0aNxe8sW41WlX7yA0eDo0ZRGQlOJjUURxCJF4Ik11QMcGIjzskoVVCFu105+bNYsnDMLFhjfz7x5+C0WLED0wdOnKKSWLRuMEdrf4bt3MJAMG4ZzwJZ9kSVYDJqHS82PoaG4gAICcCJpW/IdzcGD6KEyZAgmBamXEGN/5s9Hw+HTp20f2mIO8fEY3JYvRzuBr75y7vFYwosXWNDkyIHbhQo5+4gcgySuHj/Wv+Ddtw8L5NOnTdvnxo1YdBgLw0lIwPf98CE8MseOwet386bh7/+HH3Dcu3aZdjwWwGIwAxMaSvTbb4gUKF0aJeVnzEAkRFqa34SAEDpwANeiry8W3L16wStiqdDS5sEDRACsXAmvlWYY5/37MLBly4Z5onRpot69IQyrVUs5T0RFweN4+TLaTNy8CRHUqpXsCbPW8KlWw0upKRCfPJE9g7rEWnIyPJaansH4eIi+vHnh9StQAH+lTfo/Tx4ILl3eRU3vY5Ei+Gy2PL8ePUIl6C1bcBwjRsC4Uby4ZftLToYX7Oef0Yx99mzDxj5DeHrimipUCC0aZs0y/PykJBgz+vZFxIylFCwID92qVea9rl49GDI6dCD68kv5/uPHEUFw/rzxfezYgbl01y4IWqndRHg4fivtc1sIXKPz5skV0G0Ni0HzcLn5UQj0S/ruOwiwsWPtV27eFEJCsIi7fx8Xua16qzGGUakQgtOyJYShs/HzwySvUiEPwVEeNluxfTvCZgoXxoImI7RS6dkTIb6GJti4OExU/v6mieSPPsIEP3iw8edmywbrshRm9u+/xsPg4+Px3H/+sVubFW4twYjgYJTslwqqlCyJohFptZ3B27fI8/7oIxTF6NRJiJUrUdHTWm7fRnVEXRXHk5PR0mDzZhSMKlwYVSOzZEGlxC++QNGT8+dTFiZLTBTi6lVUFO3ZE0U/KlVCtcx164R4+tQxed5qNY4lOlqI0FB8j+Hhrnke+PmhMmutWqheOXUqCgBZ8z2p1ShYU7Mmip5YW1H899/l4mEDBph2bBMmoG6GNd/5nTsodjRnjvmvlVqzjB6d8v7ISOwzPt6095cqg/r7o2Db4MEokqavBkfDhihe06yZ+cdsCsQFZNLH/PjkCYrL9OrlGpWyduzAhPD99+mzvLMrEhSERYqrFPRRqTCxFyqEindprcDMRx8JkTkzFh2WtFRIS5w6hc9pSh+pvn1R3c8YISEod25qNbpixTAhTpmC3mem4umJ6nB2Qt8c6fTJyN6by052TuT9eyyq8+RBZd+aNXHtpGWio4XYswftGwoWxKJzwQKU7LdUONy4gYJcR44Yf66fH6pSFyqEKpRt26KwVu7cqEg6fDiEqre3XMlUpUIxrVWrUNa/TBmMH/36CbFsGURPWptvrEGtRiXYBw/Q97FRI6y/Jk5E9VRrxapajcqmDRqgtdHBg9aLym+/xe87cyaEkSnzxIYNKDQWEWH5ewuBdfLnn8v9/szh+nUhypbVLco8PFBt3xixsagoqlTit8mZE30Ea9WCQNaF1Ocwf377GD5YDKaj+TExESVoXUUQBAWhpHPduphYGPtz9iz6D7554+wjkfHzQ4Pgpk1Nb3TrCgQHwxNQqBDKlAcGOvuI7INSicl4717Tnr91K65rY/z7rxD9+5t+HA0aoKx/0aLmGbSSkjA5//ef6a8xAxaDTCqCglDuP1cujBHt2zum0q+9SUrCHDJ5Mtq4VKggxNdfC3HunNyQ3lSuXoXnz9S1SHIyRMbHH2PBK4nAVavQTqZmTXzfzZtDPO7ciTY70sL4xQt4HMeORZug3Lnx3EmTYLy6e1cWk2mN6GgI3G3b4O2bOBFGuWbN8Dtlzw7DW9Wq6Bl58qT5v5cu1Gq0GmncGHPEvn3WC0ulEl41Dw9Uhq5QwbTWDt7eOJ+s7bft7Y018ps3OEfMPSdUKsxRuXKlFmXffIPfxxTKlRPi+XPcbtAAXr8KFXCu62LePFTmLlgQldxtDYvBdDg/nj4Nt/PUqaZZ+u2JWg1rTuHC6PNz82ba6N+Ulpk/H+LLFpOBrVCpYNUqVAg9CtOK1XbfPljtOnbEpCsN3umJVatgjTf1uoyIgGckMtLw8zp1Qr82Uxk0CCFjpk6mmvz5pxB9+pj/OhNgMcjo5c0btFjKmRPb0KGuZYizBrUaAmr+fCxWCxWCx37PHtO9/RcvYu4/e9a8937zBh6SZs2w+B01SojjxxFtcOYMegn26gXvbLFiEJALF2LtI41L4eEQsb/9ht+lenV4Yzw8hBgzBovv69fR08/ZKJU4Xn9/eJpXrICI7dgRIZ45cghRpw5CKWfOFGL5coytly7B2Boba7tjSU5Gq6W5c/G716iB97JFKGxoKH639u2xLixZEsdvjOBgiKc9e6w/hh495Gb29erBaGEuI0dCfGv3/D1wAPOeKXTpAm+rEHIfzxw5ILx1sW2bEAMHomfvuXPmH7MxWAym0/kxJARx2PXquYY3JjAQOReVK2NwmzQJA3datdS5MkolmvP+8IOzjyQ1z5/LYUCPHzv7aEyjXz9YXmfPxrnrCteTrQgJQTjX/fvmva5rV8NCT/KqmrNI+eILIRQKLETMJTYW1lprc1h0oG+O5AIyzP/z6hWKO2zbhjz+hg1RHKVbNxREcmYev6149QpF4ry8UNyldWt8xp49DRciOXcOVc/37bOs0nhgIKoK796Nqq69e2N/7dqhD15goNzDULtVRaNGqDZZpw6Kt8TGop7B7dvy5uuLgmENGmArWxYtsnLlSr3lzKm/IJpSidY8YWEoPKT9Nzwc1VQjI+VN+j82Fu+ZPz96CWr2PaxaFcdkz0JsAQFEJ0+icvO5cyiQIvUrbNvWNu996hTqCPTti/zu0aNxX506hl+nVBJ16YLfcvFi647h5k28/7NnOB+mTEFLDqm1k6ns2oXaGBs2pGzzEBaG7y401HhrlClTUAV72jQU4omIQIGfyEhUn82UKeXzb91CJd5GjXCejh9v3jEbgwvImEeamh+FRnGZn35Cg05nT0rif1XN9u/HpPL8OVH37kR9+mDgsbQiFZOS9+8xYGzYgO/VlVCr0eD2hx8wEE6bZl1jZHsTEYEJulAhopkzUV7++HHjk1haYMIETPS6Gt0aYsUKojt3UBxIF2vWoF/S9u2m77NxYyxKFi/GpGcukyejEt/335v/WgNwNVHGZF6+JFq0CAvrV69wbbm5oQdZr15EgwZhYZ/WiYhAxd8DByAgqlUj6tcPFSp1Vfk8eRKPHTyIJtuW8vIlhOGuXShi1acPetNJwpAIlS4fPECbilu30Jzd1xfH6OEBoe7hgVL9WbOiWujDh7I4fPsW4kxqEyHdjo1FAa1s2VIKxIQELP5jYyHmChVCpUrtv1JV0Xz55L/S7Tx5Ui/+7YlaDWF08CB+ww8fILg6d0a/YkurjOoiLg4VQvfvx3oke3YIsgMHTDsXpk7F73P0qHWiVAgUJOvXTxZSXl7oHXjihHn7CgvDef7991jHaFKnDprEN25seB///otenevX47tZvx7C9+ZNbNqtuaKi8J7z52NsWb7cvGM2BotB80iT86OPD9o9lC2Lk9SVKiNK1sb9+zF4t2uHAb5HD9c6zrTIuXP43W/dcmyvOlN58YLos88wGe7c6dxemcY4cQIW6SlTiOrWRePXZcuwwEmr3L2LBcCTJ1ismIOfHzwDb97oNjC1bIly4R9/bNr+/P0xiVasiN5Xq1ebdzxEEJ9TpmBBZ0O4mihjETExQly4gIiYevUQXaBQINeoaVMhfvzRNtU7nU1iohAnTiB0Ln9+FN7atSt1VcUjRxCFcPOmbd43IAA5Z40aIRR1zBhEG+lKj4iLQ07xX3+hAmmtWnLI6LhxQqxda1pOoVqNKITgYLz/gwcIc3TViqKaxMYiLHH0aITX1qghxKxZQly7Zr9jv3kTFTI/+QQFbvbvNy+PdOtW5JGHhlp/LIcP41g0f+PQUKQ8WBKhVqkSwl21mTQJqTDGuHxZDgn18cHnnD4d0XMHD+p+TYkSQmzcaHooqjkQh4lmjPkxIQE5e6VKuW71s7AwJID37Yt47LZtURlMOy6bMR1XzB/UJDERRUY6dcLiyZUZNkyIbNmwaLhzB1XNRo50/ePWhVotRKtWKC9vKe7u+B60efoUeTzmTLDffIMFQ+3aqGZoCUolFpv+/pa9Xg/65kinT0b23tLsZOeiqNU4NxcvxrWXLx/Oopw5hahfH7lg9++n7Zz6mBhU923fHjmGEyagsqj0mby8EM59+7Zt39ffHwtwDw+MAWPHQnAYKvMfE4MF+fLlyIWsXh2/Rc2aSLMYOhRrpl9/FWLLFuQqPnoEAeHqv5FajZSMLVsgTho2xGdr1Qqf5+lT+75/bCyETdGiyHVTq5HTWbq06caA27chHM1NYdBFUhKEoJSjp0nduhDE5jJmDI5Pm127TCuwFhYGIapWY32WPTuKrlWtipxYXbRti5Y2Zcuaf7zGYDGYwebHU6dcp7iMIeLikIw7ciQuuPr1IWzS+mTpaJRKJKF//72zj0Q/ycmw1jZvnrK3lKsRHY1zsWJFTC7R0ah4V61a2quWu3YtrilrCvl8+aXuSeu77yDuTCU+Ht/r6dMo0pMjh+W5xF98gYIRNkTfHMlhoozVREYSbd2KcLXbtxF+ljkzPOTt2iG0tFkz2zWFdyQvX6LX8MaNCA387DOiYcOIrl5FvtWpUwjVtDX+/ggl9fJCuGjz5gh/7NQJ72coVSY6GhEr794RBQXhr67b8fEIpSxeHBFMmk3m9W358iHnMEcO2+TgxcYiHUQ6rvfvcWz37iGnM3NmnDvNmhE1bYq0EVs2stfH+fPIB/TwQChjnjxEX3xB9PQpfpNSpYzvIyQEr//lF+SHWsuqVchZPXUq9e//9df4HY01u9fmwgVco4mJKfMD379HSHJIiPHfuWRJ5LmWKYN+ud9/j7DYtm0xLmgzdiyeN2MGQpNz5jTvmA3BYaLmkS7mx5AQXJyBgUh4r1bN2UdkGKWS6MoVDCT79+MC69MHYXvNmtk3sTo94Mr5gxJqNUL8Ll5EfkmRIs4+It1cvIjvcPJkoiVLcJ+nJwbwH3/EYO3svFxjXL+OMOzz51HcwlKOHydauJDo0iX5PpUKuQ6HD5ueU7ltG85NLy8srMqUwcRdq5b5x3TsGI7p8mXzX6sHzhlkHEZkJM79PXtwnUZGItepWDGET3fqhDmvRg3H5phZgxC4HjduxGdr0YKocmWiHTuIzpzBZ7EXERFIlzh5EkIgNhbfobRZmhsXHy+Lr7AwvI+0SYVitLfISLwuLg7iIUcOWRxKfyWxplbLm0qV8v/YWIg/pVIWpMWKyX9r1sQ5UqaM1V+fWURGQqQcPQrx1bMnvp/evWHcWL/eNDGanIz0hcaNrS8YIx1XlSo4B+rWTf34/v2oYXD8uHn7VamQc3r6NEShJlWrouCRsTmwY0fUTOjaFaL3o4+whqhaFYWOtPn9dxhZTp/GvKnr81gKi0HzSDfzo3DB4jKmIAQsX1IBmnfvkJfUuzcqVGXP7uwjdE1cPX+QCL/tnDlYCJ06RVS6tLOPSDdjxxJt2oQBuWVL3Ofri+IQlSvjusqf36mHqJe3bzHJrlplej6fPuLjsfgIDJQ/7+nTWBCYk7fXpg3Rl18S9e9PlDcvUfv2KAphST5mYiIWRY8f6y5kYQEsBhmn8eYNFrF790JQKZUwfiYno6pg69bw+jRpkjZy7GNjIQg3bkSNALUaxrS+fR2z/vD3x9xy8iTR2bMQTJLXsFUr23pa9CEEUVISRKEkDjX/EuE3zpQp5SbdlyMHxri8eV1jzRYfj/lk6VKsw5YsgRf04EHMlRMmwONlyrEKQTRyJAS2ZPi3lpkz4UVbu1b346GhMGCaUgFUm9KlIXq1c9w//xyFiiZMMPz6yZNR+fabb4jmzsX1sGsX5tSYmNSf/8gRFG/LmZPok09s4zWVYDEIFApFRSL6jojyCSH663teupsfpeIy5cphEZsWJhRNnj9HVSovL1hSOneG1/Cjj9JmaI09+fFHLNbPnHHt6p2//IJqlKdPw6LoaiQkwPoaEYHQl0KF5PunTYNldMcO49XEHE1CAsJPevSwXcXNjz7C5D1gAP4fNgyf+6uvTHv9kyewqr56hYm4alVYSbNlw3lgCYMHY59jxlj2ei24gAzjEqjVaMfz55/oUZYzJ3KyypbFbXd35L6tXIkG5a7etikgAO2v3NyQf/3rr0IEBTnu/ZOTkSs2f74QLVuiAXmHDkIsWIBG6yEhjjuWtEhCAvohliyJHq9Sbl9UFML1K1RAn0lzmDsXBYFslYfv74/c1bdvDT+vTh0UGDKXjz9GIR5t1q8XYvBg469fs0ZuMr9jB+plDBiAtIlnz1I//+lTpFLMmoUCVLaEnJQzSET5iWgPEfkQ0RMiambhftYTUTARPdTxWFci8iUiPyKaZeL+9hh6PF3Oj2mhuIwpvH+PXKju3ZGY26ULGsumlybA1qJU4jv57DPXr3y2ejWSzR89cvaR6ObpU+S2tWmTOod1zx4UMfjtN9fJb1Wrkd/Yv79tj+nPP5HXKwSaPefLJ8SHD6a//uuvMbFJtG2LpvOdO1t+TDt2CNGtm+Wv10LfHOl0sWbvLV1OdukIScz8+KMQrVtjPKpcGY3CK1SAuGndGoU81q1Do+rAQOvyhO3B6tUoODVgAKqR9uiBMdTRNQ0iI1GnYMYMIdq1wxqiYkUhBg3CWH7xYtosFmZrkpJQeKxMGay1bt2SH7tyBd/ZqFH4Ps1h/Xqct+/e2e5YBwwwTTR99RUKO5nL6tVCZMmSej319Cm+H2NcvIjKwkKgGFutWjBGVKyIc1Gb5GQUsVuzBgXtbIkTxeAmIvrif7ezElF+rceLElEerfvcdeynNRE10BaDRORGRM+JqOL/9n+PiGoQUW0iOqy1FdV4XcYTgxInT0IQTpuGKo9pmagoVHUaMgQTTNOmuNjt0JQ6TRETgwXCqFGuLwi3bEEJbG9vZx+JbrZswcCsaxLx90fZ6O7dzRNH9kCtRsGoxo1tv5h59gzlrtVqIf75B5Z+U4mOFqJgQXgIJAYPRgXh4sWNv/72bYjJmjWFaNFCiG+/xb4iI7GQM3cxogcWg0yaICZGiOPHMX/Xq4eK3M2aYQz6+GN4v0qWxJhVpYoQXbui2uevvwqxbx+KYEVFOefY//wTQuDJE1RLbNsW48qiRc4rKqZSwRO7caMQEyfCY5UjByoejxqFBfmtW65bqdvWJCfLgq1jx5QVON+/R9GwYsVwLpnLiRN4rY+P7Y738mUIsthY48/du9cyA+LjxxCD2lW11Wp47V++NPz6kBBcp2o1rt/s2XEsFSroF6fVqqHqfqNG5h+vIZwhBokoHxEF0P/SLvQ8ZwARnSGibP/7fzQRHdPz3PI6xGAzIjqh8f+3RPStCceWccWgEFi49uqFSoNPnjj7aGyD1Adp/HhMMNWrY+F48aJrV6+0F9HRKDH9xReuLwi9vOBlu3TJ2UeimyFDsLi6cSP1Y4mJWJiVKIHQLWcZWBYswALGFr2adCG1mGjaVIhDh0x/3V9/ISxGk2++EWLJEiEKFMACQxdqNb7PIkXw2W7cQETDtGkQl3PnwgO+Y4elnygFLAaZNElwMK6BL75AT7QiReApWbYMJfYPHYIImzxZiJ49YVTJkQPPa9IEhpnvvoNX8fx5+3sVf/sNY4kUyXP/PqIZChTAuBAYaL/3NpWEBLRGWLkSETbVq2Mx/9FH6Hno7e16nldrUSohPtzdIdI1Qz/fvsVvU6AA1leWhPnevWv7OV6lgvFzyxbTnv/mDcJJzY2aSUpCmPOSJakf69sXfRKNUayY3G+0dGl8v/ny4dzXxccfC7FhgywibYWTxGA9IrpBRBuJ6A4RrSWiXDqeN4OIvIhoKBFdI6LcevanSwz2J6K1Gv9/SkR/GTimQkS05n/exFSikYh6EtE/7u7utvvyXRW1GlavwoURDuAqoW62QKVCbPisWRgscuWC9ahbN4SHbN6MwcmV227YguhoWIpHj3Z9QXjyJCYLW/QbsjXx8UKUK4drJSJC93O8vSFOKlRADy5HLhaWLcMkbs9cnC+/FGLKFIheU63kKhW8ExcupLx/6VJ4+9q00d2YOCICeVG1aunOqXjxAh6PqlVTC00LYTHIpAsCA+F1++wzjFnFi6O3599/I6xNrcYWFIRwv82bhZg3D4tSQ17F/ftt51VctAieD81QwcBACI6CBXHtu9o8EBwsxO7d+D6qV0ck0scfC/HHH1hLuPr8qo/Xr+EJrFYNkRdnz8qPBQaif2GBAjAmvH5t2Xu8egUBZCPD3f+zZQs8Z+Z898WLW9bTumxZzFfa/P47BLIx2rWDs0II5KweO4bIlrp1dT9/+nT0bCxUyLbzupPEoAcRKYmoyf/+X05EP+p57g4iiiKiIgb2Z7UYNHXLUPPj48cIN+nTJ/0mU6tUaM7q5YXY8oEDkRCcPTsG9oEDcf/+/UL4+aXdgV0XUVHo7Td2rOt/ru3bMei6Yv7n06c4Xzp1Mmw4OX8e33fNmgilsed3npyMMJOyZS2b4Mzh8GEYVGbONP01R49ibNH+vrZuRY7OV19BGGpy+TIWsWPHGg53VSrREDhzZsNNp02ExSCTLvH3h9dv2DCkh5Qqhdvr1uExXcTFYV1w+DCatWt6FXPmhBCqXRuhqePHQ9xt3Qqvz4sXphW1mT8f+wsOTnl/eDj2V6IEjLdnz7qmoTooCPPVmDHI4SxUCIapv/7CGsIVUatxbOvXw1hQsSKOu08fGOWk79nPDwbkAgUgSqzJ74uIwLnyyy+2+QwSsbGYjy5fNu913bsjRNNc+vSBU0HbyHvzJj6fMSZOhHAUAtfM8uXwwObIofv8XrsWBprmzbGmsBVOEoPFieiFxv+tiOiIjue1IqKH/8svNOTVs1mYqLEtw82PCQnINypdGk2hMwoJCbDqbdmCRe5HH2FhnSsXPIqjRsHyd/q0bROeHU1kJPJKxo93zYlVk0WLICCclddiiE2bhMiaFdXVDKFWYyFVvz68W9u22d5TePu2EA0bCtG+vf5FnS0JDxdCoUhZSMAYXbog1EWbs2eR0yotUiXi4rBYNScf5e5d059rAH1zJLeWYNINQhD5+aH36Llz2LJlQ1VeaTPWt04ItAR49Qql8TU36b7379GOpmxZbGXKyLelLX9+onnzUJHZyyt1H8LERKItW9DKIE8eounT0ZrCVStkv36N7/X0aaITJ4hy50Yfva5dUd05d27nHFdcHCqLHzqECthCoM1P69bYqlWTe1k+fEi0aBGOf8IEtESQqmhbQnIyKlFXrky0cqVtW2R8+y2qzO/aZd7r5s5F65aFC8173aJFRL/+it+3fn35fqWSqGBB9AUsUED/61evRsuvtWuJli8nevYMbUNWrCB69AjXhCaXL+Ocr14dbWVsVDXbaa0lFArFJUIBGV+FQjGPECY6XePx+kS0jYh6EPILtxLRcyFEqproCoWiPBEdFkLU0rgvMxE9JaIORPSGiG4S0RAhxCNrjjvDzo+nTqGE/JAh6EuYNauzj8g5REZiYHzwQP774AEmotq1sdWqhb81azpvoDeHqChMTg0bYgByhd5FuhACfYtevcIE5mqT/4ABOC4/P+M9EoWQm7a/f49eSMOHW3ddhYejSe+GDej19NlnjvktDx7EsW/aRNSrl/Hn+/kRNW+OxaF2X1AfH/Rt2rGDaNQo9BQlIvrtN0yC+/fb/viNwH0GmQyHEOidKgnD8+exQG3XDgKmXTvL+tUmJ6PXqaZA1BaNSUlYAGfOjLFi0CAIhwoViMqXR/srhQI92Q4dgigMCiKaOhVjniN6BVqKEFgvHD+O7eZN9IiUxGGtWvYds4OCiA4fxvd2/jxRgwYYb1u2hEhPTsb3n5SE2+HhaPN07RrR119DCObNa90xCIGxPSQE47kt5/Hz57FGvXuXqGhR81578CD6JZrbfP7QIaKJE4mmTMGmSceO6CH40Uf6X3/pEnrzXrtGdOwYGsuPG4dt82acF5p8+IAWTDNmEAUH4/m2wIlisB4hVzArEfkT0UghRLjG4y2IKEoI8eB//2chos+EEP9q7Wc7EbUlosJE9J6I5goh1v3vsY+IaBmhsuh6IYSZkj81GXp+DAlBM83Xr4m2bcMJyWBwCwqShaEkFJ88QXPYmjVh3ZS2atVg0XQlIiMxITVuDOuUqwpCpRKTV9mymKRc6Tjj4tCvs1Qpojt3TD+2ixeJfv6Z6Pp1LAw0N2PW1/h4TO5bt2LR1qcPhGCxYtZ/HlPp3RtehIIFUzff1cWsWfgdf/019WNRUWgWHxKCzx4RAU+Auzus2LVqpX6NnWExyGR4hICXQhKHFy5AlElew7ZtbTfmREXJ4vDcOYzzZcvCcBQQAJFSvrwsDitUQA/Vkycx706ahMV5WuiZHBODzyiJw/h4eJeqVcP6qlo1bEWKmD/XRUVBFN2+je3WLaxTmjTB9+bmBsF/7x7EX758MEZmzYqer1mz4jvv35/oiy9sI7JVKvS4PXUK51CuXNbvUyIsjKhePaJ//kktoEzhzRu8PjjYvO86IIDIwwOC+sCBlI99/z28qwsWGD7ucuWwBnvxAtfThQtYN/70U2qBKQTmxuXLsQ4/dsz0YzUEN503jww/PwpB9PffRD/8gAXsF1+41oLclVCpYNl8/BjC8PFjbL6+uJglcVi9unzbUDiBvYmMJOrcGaEHy5a57u8aHY0wlkGDICxciRs34PVaujT1IG6M9+8hCP/7D3+9vTFZVqmCrXJleJoDAzFpvHyJ88rDg2joUIRKWWu1NZcXL+BRPnYMv4e/v+HzJjkZoWHnz2ORo4s8eeAhaNkS4WCHDsFjuHWrPT6BUVgMMowWajXR/fuyOLx0CQvaYcPgmbHEa6iPt28xtpUuTbRxI+bVFy+wCA8ISHnb3x+PK5UQkI0bY4FfsiSMdNLmasZYCX9/CFofH2y+vhjjiWSBWKEC1gkFC8IIp1TCEBkbC2EhCUApJDdvXozJMTEQg+XK4TupW1feSpa0/3x//TrR+PE4nh07YCi3FUIgMqd0aaxdLN1H8eKYd42FRGuiVuN8ypKFKDQUIlti714iT8/UIlGbUqWIrlzB++bODWNosWKItNE17zVrhlDdmTOxDrAFLAbNg+fH//HkCdHgwUQVKxL9+6918eMZDZVKXshLAlESjLlypRSH0u2iRR0jziIiiDp1ImrRguiPP1xXEL59iwFx8WKch67E118j3CQgAIO8pajVsFY+fYo8gqdPMaGXKwfLbrlyWBwUKWKrIzefL7/EObtoERZfp08bjhjYtw+T9cWL+p9TrRom0SVLsGj5+f/au+/wJqv2D+Df0xbKLhuBsjcUaBll7ykyFJkCDhyvIuBAX0UcTBXcA0FExYkCLoaKAxEHLgSVIYIICi8KguBCGT2/P77klzRNmqRN8qTt93NdvbTJkyenpcmT+5z7vs/tDJDr1g336IPi7xoZY0nKItETF8fXZmoqJ71OnWJA+MwzXNFo3Zqp4+eck/vVnypVOHk0bhwn2l57zR3EeLOWH8g//5zp8qtX87E1ajBw+vlnvqfGxWUODqtWdQeMZcrw2EBfrnq6cKpdm5kRrhWqAwcY1P3wA68B337LVaMjRzgpai2DEFfa7PHjQNGiDCr69uX5atZ0r6DWqRP9NNpDh1jHt3IlJ0nPOy/8nyueeIK/n2efzfk5jOHE5oYNoQWDcXHMWDlwgKusLVq473O9PgJp2pSTADVr8t9s505+9vvyS9/HN2jAld+DB/mZIC+UI0k+1agRZ3puuol/8IsWAT16OD2qvCE+ni/42rWBs85y324tL1Su4PCrr4DFi5meY4w7QGzRgqtBTZuGv3azdGmm2/TqxRqMe+6JzYCwShVeXHr04AW8c2enR+R2772shejTh2/wORUXx4tStWqx+do6eJCzlq6/z759meqUXTD42GPApZdmf96qVfk6aNGCWQgXX+xYIJgdrQyK+PD336y/euYZrnYMGgSMGcP0N89Vk1BZCzz8MOusn3suuPfEU6d4PVuwgEHhuecym6lBA04o/u9/fK/x/Dp6lKnprq/jxzN/7/qKj2dQ6PqZjHF/eX/vus1aBm3WZv1/aznejAxO/vr7qlSJK2DJyUzt9Lw+Wxs71+uTJxmk3XILs0amT+fni3D7+mv+Laxdy4mI3LjlFv53xozQHjd2LFd1Bwzg5yaXjAxOLuzalf2CyXXXMa35xhu5Cj5yJGvkH3uMrydvd9zBVeB33uExrcKwnqeVwdDo+ujDW2+xucyoUQW7uUykWMtZp61b+cH7yy858/n993zza9WKM7GtWjFYDEdB9m+/sfi5WzfO5sXKBcbbO+/w7+799/2nHTph506OZ8YMzormR7fdxrSjBQv4/UsvsSOavxqGPXsY4O3dy9lrf84/n393x49z5fHXX6Of/uohX6WJGmNqA5gCIMlaOyS7Y3Wxk9z65RdOaD7zDP9/1CgGhrmp/V2zhqtLkycDEycGf23av5+T1gsXcrXysss4npyUZljLYOfffxnAuW7z9eV5X1ycOzj0/H/X93FxfG+M1ettMDIygGXLWC9XrRo/P3iuloXTgQNMBb7jjvBkCL36Kq9nr78e2uPuvZd1kIUKcSLEU+fO7I7bvbv/xy9axM8yzz7LgLBECS64jBjBv1vv+teXX+ZjSpbkpPP554c2Xl8UDIZG10c/XM1l9u3jrJ2ay0TeX3+xPuCLL9xfP/0ENGuWOUCsXz9nM7KHD3PGrVcvpuzF6gXqyScZdH3ySegdxCJp1iwGTN9/zzSl/OSvv5h29OGH/PsC+PdSsybTggoVyvqY227jfQ8/nP25J09mKtPKlfz7/vvv3K0o5JK/a2RQSWLGmNLGmGXGmG+NMduMMe1yOIgnjDEHjDFZ1pqNMX2NMduNMTuNMdlW0Vprd1lrL87JGERCVakS0+Y3bGDKpjHAmWcyOLjvPgaIoerenZ0Xn3iCKzL//BPc4ypX5nvLjh187g8/5HvY+eczxTWUuR1j+B5XogRX55KSuOrlquUrV44f4CtU4JdrRa9CBd5erhyPLV2ajy1ViucqVix2r7OBuDpkt2rFAPCRR9j0K1KB4L//chVt9OjwlYq40kRDnedLSWHa7gcfuCcHXFJTeR0L9HhXFlGDBiwJadGCfwvffpv1+AYNWE/auDEXCURiRvnynFW55BI2fli4MPQXlISmeHHW9l11FWdet21j2svtt7N+a9Uqpi2UKcP9gyZN4izt/v3Bnb9sWc5Wvf02L6Kx+u/pWpU+++zgPxhEw5QpfNPu3j12f3c5tXAhZzxdgSDAv5eaNdlJ1dupU/zwFihFFGCa6AcfsNlM9eq86MWgYCuGHgDwprW2IYDmALZ53mmMqWiMKel1m6+k2EUAsvTIM8bEA5gL4EwAjQGMNMY0NsY0Ncas9PqKoakSKWiaNGGN9+7dDBa++orZE/36saHIsWPBn6tWLaag/vknO5n+73/BPzYujpOcL7zADI7UVK4SNm7Msoht2xhoSPDWr2c2xzXX8Lr32WfMLIoUa7n1QsWK2XfqDFVysrtcJxQpKQzgqlTJGvilpvq+Jnpq3JiPP3mSnxm+/ZYTyNb6rhusW5eZNvXqKRiUGGQMX6Dr1nH2f8gQrgRI9JQqxYvjdde5L3a7dzNlo3x5bsTqqjucMoUX1JMn/Z+vXDl3QPif/2R/rJOmTWPgMHZsbAVea9YwLXLMGKdHEj4nT3Jm/b//zXpf586+m8O89RY7tflq+uCtUiXg44+5Gt2ypf8ieocFDAaNMUkAOgN4HACstcettUe8DusC4FVjTOLpx1wK4CHvc1lr1wE47ONp0gHsPL3idxzACwAGWWu/sdb29/o6EMLPJxIR8fEMxhYt4nvjqFH8/6pVmV20di1TDQMpUYLXswEDmCr4ySehj6V8ee4Dt3Ura6++/hoYOJDX0Zo1mRVzxRVMA1y+nIFiLE04Om3zZtaEDh/OFdZvvmFdZqRXN++5hwHWM8+Et5GPZxOZUFSuzOtimzb8+/UUzMpgsWL8+9+5073qZwzPu3591uMTE91dcRUMSsxyNZepWZMvhDVrnB5RwVa2LC9qkyezscnBg9yjxlrux1SpEnPTn36aOfjeypXjG9yePewO99dfUf8RAoqLY7roDz8wMIwVlSrxQ8Tzz7tr6/K6V17hDGp6etb7/AWDCxcyayAYn3/Of89evThpkVeDQQC1ABwE8KQxZqMxZqExJlNvRWvtUgCrAbxojBkFYCyAoSGMoyqAnzy+33v6Np+MMeWMMfMBpBljfFazGmMGGGMWHD16NIRhiISueHEGg2++yXr4xo2ZVlqrFic03303+1U6YzipOW8eg7gnn8zZOIxhRtNTTzGN9M8/OQk6aRJXNPfsYTOrs89mamfNmlz5uuIK4MEHeX0sSBPfu3cDF1zAoL5rV65qjR0b3g3k/XnhBXakXr48vPsUuuTkmmMMVwdd2yZ5atKEpSKBJhFcqaLlyjHY27+fgeGWLb6Pb9CAq+n79oW2qi4SVYmJnL15/HGuitxwAxtCiPMSEoBOnZhOumkTZ0N79WLL7vr1WWt4222caXXlv5csyRqucuWY9njwoKM/gk9FizJV+amnHNuTzqc+fdil7IorYjawCcl99/lvl92pE2txPGf2f/mFH+qCqev4/Xf++7lqDvN4MJgAoAWAedbaNAB/AchS02etnQPgHwDzAAy01v4ZzoF6Pdcha+3l1to61to7/Byzwlp7WVJSUqSGIZJF5coMvjZt4t6ipUoxo6ViRQZ6jzzCroy+DBjAJmJ33MGmMidO5G4shQoxFa9vX25i/8ADLLvYvp2B4po1zIxISWFK3803szt4lSp8zPXXc3J106b8k3L688/A/Pm8nrVowYB4xw5eC4oUic4Y3nmHZTFvvMFMoEjIycogwL+FQoVY4uCZQZWYyHTOQJ3FPesGGzXi31WrVtxX2JcGDRhk1qkTs6UUIm69e/MNcds2zvpNn+7/DV2c4UrPeeklrgzedRdnsS69lKl9o0ZxNg7gzGvv3tzv6fvvnR23L5Uq8YPENdcwBTZWTJvGus0uXdi6PK/65BN+KDj7bN/3V67M1CvPC9/TT7PQP5iOoDfdxO1Wfv+dH+jS0pgOFEzaWJQFEwzuBbDXWvvp6e+XgcFhJsaYTgBSALwC4LYQx7EPgOeuWMmnbxPJs5o1A269lSlyu3bxGvTZZ7zu1K/vDgg82+43asRjduxgwPLrr5EZW0ICA7/evZlZ88gjnAA7coTvj+PHMxvnjTfY3KR0aX72GTKE454zh10j16zhh/g//ojMOMNh1y5O6nfsyN/vBx+wvvKnn3hNi2aX5w0b2EV22TJuqxUprVszOyUnTWR+/JFZM77qBkNpItOwIT8zd+3Ka6GvhZTGjd2r6UoVlTyhQgWuOj3/PFeU2rblm8v8+exAKLGjcGG+Ac2ezfz/L79kADN/Pt+sXn6ZAf111/Hf8PPPnR5xVikprCUYMiS2Jh5Wr2adS9u2MRncBOW++zjznl13T89UUWuDTxFdv55/X/fcw9WA/fvdXfdicOIhYEKUtfZnY8xPxpgG1trtAHoAyHTZNsakAVgAoD+AHwA8Z4yZaa29OchxfA6gnjGmFhgEjgBwXgg/h0hMK1eONWnDh/N98+uvGWjdeScwbBibqPXty68GDZjBctNNXL1asIC3R4MxXK2qXh3o3999+7//cpXn22/d+xpu2pR5j8P4ePfG9+XLuzuS+vpvlSrsQBoJx48zsF21itsrHD7MmsCbb2aDmMTEyDxvIDt2cPV3wQJmn0RScjL/LX/6KbTVx5QUBvlduzJV1HPvv2CCwYYN3Z1DXSuDw4ZxLN9/z9s8paZyIuLZZ3O2PYqII4xhjVF6Ooux33yTf8Q33MC889GjuSLg1JuN+FatGmcCL72UTUBuuAG4+27Obj76KDvBLVrEf7tY4krNPOssBhmR2Ow2VIUKsZa2Xj2mTsdSKmsw9uxxb3Kbnc6d+YFs/Hg2HkpMBNoF2FDh+HH+jd1/Py9sycn8kFS9Oi96X33F31sMCbY6ZgIY4BUGsAvARV73FwMwzFr7PQAYY84HcKH3SYwxiwF0BVDeGLMXwG3W2settSeNMePBusN4AE9Ya/1UmYjkbXFxfD9ITWUN/NGjTEF/801OIiUkuAPD9u3Z0K5HD97n1DUgMZGNs/w1z7KWqz+u4PDQIQZhhw7x+2++cd92+DCb7iQlcUWoSRP3V+PGoQWJx44x4PnpJzYueest/i4bNOB185lnGFCHs0FLTmzYwF4F06b5z0gJJ9dn1c8+Cz0Y3LyZDYmefJIT5i6pqVzRzE69egz6Tp1iYLhqFRdSEhLYUM07GExJ4cpyvXra21vyqEKFOMszYADfzJctAx56iEHHkCEMDDt0yLv77eRHxjDA6tWLK7xjxjBV44EHmGI6axb/G0vGjWNh+5AhnEn2tfddtFWvzr/3s89m6lO/fk6PKHgPPwxceGHg1KDOnVlPYy2bK1x1VeDX8rx5/N0MPd06pWpVd3vvZs34gWhItlukR12e3HQ+FNpUV/ISa5ku9+ab/Fq/nteoEyeYvvfYY1zlyusyMvjzbNni/tq6lWmFZcowgEhMdH8VLuz+/z/+cAeAf/7J99nq1Vn/160bg+hY2qv32WdZ8vHooyw1iJYZM/j7mT07tMdVrcoylW7dGMC7GuocOcKJ9SNHss+qqVmTAXl8PDOv9u7lFhPdu/tujtSkCT+PBdOlOxBtOh8aXR8j6McfuVryzDOsWRs9ml+ee5lJbPjnH6Yo3HknP/x//jn3+7vtttgK4k+d4geAqlWZ6horYzvzTNaX/O9/bHwT6/76ixelzz9np7/sWMtjFy7k63fPnux/xhMnWAT/0kus1wCACRPYwOGqqxg8P/ccu5g6wN81Mgp980QkWMa4V8kmTWI94Ycf8sP1K69wdalKFTbyGjCAKytOr3rlRFwcg4aaNTNn5GRk8L328GGmpvr6KlGCQUm1agwaY/XnP3mSWUivvQa89x7/raIpPZ2fbUKVksLyhho1WGLj6rhdujSD7O++y7rC58m1rUTfvsBvvzF4r1ePk6G+uNJPwxEMisSM6tWZ+nHjje49ZDp35gtrzBjWDFSo4PQoBWD3sGuvZTvp2bNZDD9/PtMcnnwyOi2mgxEfDyxezJXmuXOZuhgLnn6aqZDjx7Pjbqx77jn+DgMFggA/lHXuzL+LSy4JHOy+8AIDP1cgCGReGWzalHVCMSZGP0aJCMC923r35vvQd99x26GUFHbR7tOHza5GjuT77549To829+Li+P7csiVTZF0rfYMGsfZszBgGxK1asdFarAaCv/zCcX/zDVM1ox0IArwWbdjg7qYeLFeqaLduWbdUC6YztmvD+bg4LoK4Oor6+/sMphZRJM8yhi+c++7jMvm0aUz5qFePM3pLlmhflVhRujTbeX/9NS+8S5bww3ss7blUsiRnGGfO5CxxLKhQgRMfzz3HOsJYZi3TuCdMCP4xLVpwVv6KKwKfe84czgJ7cm3A+8MPrIP5+eeY67oXox+lRMSXGjWYPrpqFQPFdu3YzOudd/jhv25d1hg++yzTLkMNBCT33n6bHaTbtmUDm7JlnRlH2bJcyQt1y4amTRnEduvGFU1PwQSDDRu6n9PVRKZHD672+qpKUDAoBYarIPy555jnPnQoc/9d2yGsXZt3OzPmJ8nJXO369FOmFFapws6QsaJWLa4QjhoVO50pJ09moDNsWOANaZ20bh3Tdnr0CP4x+/dz9bhateyPe+MNrt727u2+zVqm0C5fzotq/foM6ENdHYxw8KhgUCQP6tqV7yU1anDVcPhwTja9/DLfa5Yv576GSUnMhpgwgdkuX3+d+/0LxbcTJ5gRdtFFDMZnznQ+u8jVRCYUrpXBLl24gOG5JUTLlsGvDALu7SU6duRn3N27sx7fvDmDwXxevi6SWcmSwPnnc/bom284c3LVVfygf9NN2mslFqSmMqWhVy/uCTRqVOT2ewpVt27sMDpoUGysMiUmMnX1yBGufseqhx5iOmuw9ZbHjzP188SJ7PdUPHWKNaY33ug+94kT/LtZu5YTDLt38+8pI4MbOXtu5uvLb7+x2227drwwR3CiSMGgSB5VvDibn734It9XRo/mBOa11zK7ZedOZiXNmsXavHfeYdBYujSDhCuu4KT0hg2+94CT4H39NbeL+Pprlgd17+70iCg9PfStsxo3ZkpyyZLMZPN8fDB75rpqBgH3ymDRolzJfvPNrMdXrMj7/G1ML5LvVa3K1r1ffcXuTSdOMABp2ZLt6X/+2ekRFlzGuGdXP/6YH8oXL46N2atx4zjbO2ZMbKwon3su3/TnzuUHi1jz009Mdzn//OAf88wzvCi2bJn9xXT+fF7Ihg933zZvHl+777/P2hFr2SHvuusYGM6f7/tcGRkMqOvU4YeK6dO5N1UE62IUDIrkcZ068TPEGWcwxc+z/X/p0lxFnDSJmUnbtvE96d57+Z790UfsrlymDNMaJ07kcTt2xMa1Ltbt2MGJv969eT1euTK2ekK0bh36ymCxYvxsumNH1rrBChXYifuHH/w/vmpVZlYdOZJ538GqVYEPPvD9mLQ0pYqKAGDr+bvu4uzI7NmcfWnYkB0bn3+eLy6Jrrg4XhhTUvjvc/vtrPd0egbLGK50HT7MVSmnGcMtG+LjeUGMtVrYefM4a16yZHDHnzzJGtKbb+YHJH/1kPv3A1On8vyuVcHDh5ke9NBD3Hi5cGGu9AG8MFeowKDZ+4PWqVPufTA3bWK6cq9eEd97ScGgSD5QrBgDvJdeAqZMYYDiL6OhRAmm7U2cyP11v/mGAeKcOWyA99prQM+e3CDetdftypU8RujHH/l+3b49O7/u3AlceWXsNbRJS2O22b//hvY4zyYyodYNGsNU5e3bObH5ww+8vjVp4r+j6IQJwTV2Eykw4uP5RvzUU+xEOGYMVymSk4ELLmCqh4rCo6dQIabcnDjBwCA9nW+GDz/s7Kpc4cKcAX7mGY7Paa1aAf3780Jw/fVOj8btzz+ZChVK45gXX+QsZqdOQJs2rP3z5dpr+YGgcWP3bdOmcaXU1T3OtfE8wFn7PXv4gWHtWvdjrGVH2927gdWrQ9skOJdi7KOLiORG+/acSC5dmuUOH30U3ONKlGD35Ouu4/Vkzx4GEa7O1Q8+yMnpWrU4sTZvHrMXCtJnkYwMTtYNHszfbYUKTKecMoW/v1hUrBhTPb/6KrTHuYLBTp2YGePZDyDYjqLbt/P5y5dndk7Hjv4n0vv04YS7iPhQvDhn+N54g+kdaWnsWFi9Oj9wx2Cr+nypaFGmjG7axH2fPviA9WSdOjlb41mxIvDqq5yR3LjRuXG43HEHV8tee42/r1iwcCHTpOrWDe74jAzW2Nx8M793rQx6r+StX88PWq7jAH4weO45pne6JCezbgfgRbFYMbaCnzvXfcytt/KxK1ZE/UOFgkGRfKZYMe6fe//9nJiaOjVwnbIvZ5zBTJgZMxgEHTrEzyLdujFAGDKEq4dnnslsiLVreX3Mbw4cYLZWvXqsDe/bl8Hy7bczvTbW5aRu0BUMlirFFb316933hRIMAvy9ffcd0K8f8PvvsVHaIpJnnXEGcPXVrMl6+22uWPXvz9m6ceOApUv5piWRUbIkL4QrVjDYWbeOjWU6d+aHf6cK8FNTeeE/+2znm9wkJ7snKy67zL0i5pQTJ5g65b3lQ3ZWruQkTM+e/D45mauw3jUSN9/MFN1ixdy3PfII27p71oxUreoOBgHOftavzzqMffuYprV4MYNnz3NFiYJBkXxq0CB+aP/wQ3aG9NXJMRRxcfy8cfHFwBNP8AP+d98B//kP68MmT+Z7X3o63/8ffJDbILnqpvOazZu5x2yDBvw5Fy/m56/LLgu+5CAW5KSjqGt7CYB/O+vWue9zBYPZ/Zt61grWq8f6w0aN+DcU6lhExI/GjTkrtXs3VyLq1GGNUf36nNEZP54phAcPOj3S/KV8ec6QLlgAPPoog/CNGzlr1rs368WcMHQoMGIEg1On03auuYZBac+ebNji5CzgCy/wQtSqVfCPuf9+/gyeXUfbtMlcN7hmDdNdPBvSHD/ODwsXXZT5fJ5pogAviD/+yFn1Bx/kCv+KFY41HVAwKJKPVanCa9Y55zAoWLw4vOevWJETkXffzevgr78C99zDScrt2zlR2rgx39+6duVnk3nzGCRu3840/lhiLTte9unDa3qtWgxkHn+cv79gu1HHktatQ18ZrFePqZ1//82u1p7Xv8qVGdRlN9nbqBGz2Vzn2rGD/1+6tO+OoiKSC3Fx7HY4aRI/UP76K1caatbknkJ163KGZ8IE7j/k9MpRflC1Kus2Z81iY59q1bgBcOvWTCl0velF26xZzOufOdOZ53cpXJjNUz7+mEXrd93lzDhcG8H/97/BP+brr/kBZciQzLe3beuuG7SWDRWmTuXqvMsbb3Aypk6dzI/1TBMF3Hsw9ezJrqLTp/PC6RCHd8ESkUiLi2MtYPfuTFF/803WvEdidatoUZZPdOrkvs1arg5u3gxs2cJVpSVLGEzs28e9+KpWzfxVrRo/x9Sowa9IZE1Yy3TPTZv4tXEjV/7KlWM9+IgR3Dopr2vShBOQv//OtM9gFCrEa9XWrZwMvfhi/r6M4VeTJrwvOdn34xs0AHbt4meAevXcTWhq1fJfgy8iYZKQwFWQVq345n/yJN94165l7dRFF/ENtmtXfnXpApQt6+yY86LatXlB7dGDF9QBAxj01KvHi+DSpZkvhtGQkMCVsFatGLz06RPd5/fUuzdnhmvV4ixxt26cVY2m11/n78RzI/hAHnyQe295d/Bs04Zd+gAGfUeO8IOCp6eeYoMnb8nJnIhxadiQq/nlyrFD8IUXBj++CFAwKFJAuNL7rr6a78/PP8/3tkgzhmUuZ5zhTr93sZZdT/ft46SZK0DcsIHvm3v2MJApUcIdHFatynNVqpT5vxUrZp6g83yOAwcYiG7Z4g5Kt2xhkJmayn4MY8ZwhbNu3by5AuhPQgI3dt+wgdfiYDVtygnSVq34OWfHDk54Au6VP3/X18REfk769tvMK4OpqZxMF5EoSkjgh/D0dK6QnDjhDg4ffZQfXmvXZmDYrRvr3/JCQXQsSEnhauxZZzH469qVtQQ1a7Jo/9572XUtmipX5gV++HCmhVSrFt3n93TfffzwMXMmZ6M3bgx+VjK3rAXuvJMpmMFe1H/9lQHfd99lva9lS9ZP/P03awWnT2fXX8/HrlnD1XhvvlYGt27lhbRZM86ShnKBDjMFgyIFSPHi7K780kvcQ3fiRDZF8Xw/iyZjmDpYujRXm3zJyGAwt2cPv/73P+7jun07Vxx//pn/PXCAZRJxcfx54uPdWz0kJvKa3aQJg5wRI/h9LO0JGEmuVNFQrjXNmrnrBl1dtT2Dwc2bs3+8q+5wyBAG9CdPcgHi2Wdz9jOISJgUKsQXdZs2bKpx4gRni957j80vxoxhmlu3bgxuOnVScJid9HSmuwwdyhqIZs04U/bee2zus2MH0wmjOcvYpQtr3oYN46bnEd6nzq8aNTgD/c47/J385z8MVKPxu3j3XX4wGDYs+McsWMC6Gl8fDooX54eIu+7ih4vBgzPfv3gxJwWSkrI+1ruBTKVKnAmfOpVpvW+/7WgwCGttvv5q2bKlFZGsfvzR2q5dre3c2dr9+50eTXhkZFh74oS1//xj7d9/W/vHH9b+/jtvL8iefdbaIUNCe8wbb1jbvTv//957rR03zn3fO+/w7yY7M2ZYe8MN/P8aNazdscPaAwesBfjvEgkAvrAxcN3JK1+6PopP//5r7UcfWTtrlrU9e1pbooS1LVpYe+211q5aFbkXcF732GPWtmlj7alT7tt+/pm3jRxp7bFj0R3PqVPWDhxo7cSJ0X1eb8eOWVunjrUrVlibkmLt449H/jkzMqxt187a558P/jHHj1tbtaq1mzb5P+baa60tX97a1auzPl/z5ta+9Zb/8RQp4n7tvPiitUWLWrtunbVr11rbqlXw48wFf9dINZARKaCqVeNkXbt2nMyKtWYuOWEMM6ISE1m/WKIEUxzzU9pnTuSkiUyzZkwTtTZz3TyQuUGMPykp7pXF+vU5OV6hAv991qwJbSwiEkWFC3PT2ptu4orFoUOsoypThqsilStz5WnGDHYOy8neRfnR2LFMSXnsMfdtlSpxhfDkSdZJRLOza1wcGwmtXOnshvRFirCJy5QprJO74YbAF5DcevNNrryFsiq4bBnrGpo393/MqVP8t+zVK/Ptn33GD1E9evh+nDHujqKnTvF30aEDsHMnP4Rt387XmUMUDIoUYPHx3B82NZWpk7qm509167LWPZTPIZUru5v/pKWx/s+1j2TlymwOk921y3N7Cs+6wQoVmL0jInlE4cL84HrzzQxsfv6ZewkdOcL91MqXZ1vpuXP5odbmwb2EwiEuju2yb7kl816PRYuyqUuXLpxZc+27Ew1lyrCW8cor3Zu/OuGcczgz++WX3A5lxAimR0aCtdzAferU0Gpg7r+fKa3+/P03a2z+/Zep1Z7mz2etaFw2YZWrbnD5cjZs6tyZ/yaFCzMV29VpzQEKBkUKOGP4Pnb8OGsIC+p1PD+Li2MjmFBWB41xB3RFinClb8MG932BVgdr1eJ2W0ePZg4G69QJvGm9iMSw4sWBvn3ZIfKrr/iBdtgwvkH07Mk6sbFjWRv2yy9Ojza6mjVjzaX3VgZxcdz24eabWRu2dWv0xtSiBQOwwYOdSwEyhn8vN98MnHceu2lmF3jlxsqV/EBz7rnBP+aTTzhb2r+//2NmzOCkSMOGmS+mv/0GvPJK1r0FvbnqBu+6i01tGjVyB+jt2zu6Ca+CQRFBoULMkPjwQ75fS/6Tm1RRwN1ExiVQMBgXxz0mN2/OHAy2aOHcFlxCxpgBxpgFR48edXookh9UqsQP+E88wW5Rb7/NzotLlrBrYvPm3APxzTfZRj+/mzqV6Q/r1mW976KLGAz06uW7Y2WkXHIJVyVd+wQ5oU0bBlP33cdU2nXrOBMdThkZXBWcNi37VTpvDzzA2XB/K4mbN3Nblvvu4wrv+++773vmGaBfv8Ad6ZKTue/iL79wpdS11yDA2dovvgh+vGGmYFBEALDb8+uv8z1x6VKnRyPh1rp16BOPru0lAH6OWL/efV8wdYOulcX69d0ToN26sQO3VqCdY61dYa29LMlX1zuR3DCGH3KvvBJ49VW+2OfPZ8vo229n4Ni1K1fJPv2U9VP5TcmSTDkcNy5rOiHArSZmzOAq6q5d0RmTMUzj/f57js0pd9zB5z92jOmSt92WObDKrWXLGNANGhT8Y/buBVav9r+yl5HBLqgzZrjrZV1jtpZ/3//5T+DnSU7mRMm113KMdesCP/zA+pyWLbmynpER/LjDSMGgiPy/5GRumXTllcBHHzk9Ggkn18pgKEGY5/YS7doxGHQ9PtgmMps3M2X0559ZctGuHa93u3fn6McQkbwkIYEv+ltu4UrQzz8zhfLXX5lKWrkycP75rKn77TenRxs+gwezS9t99/m+f+xY1l326MHV1GgoUoTB0uzZ4Q3AQlG7Nve1nDqVwdBzz7F+MBwXhBMn2JjlzjtD6xrn2k7F3+TYwoW8aF12Gb/v1IkXwxMnuJp34gTr/wKxlg1kXEFn0aJAlSoMCMuXZx2hQ2kzCgZFJJPUVODpp5lur3S+/CM5mZORoXzuaNKEWSwnT3IP5fh4TiwDoa0MJiTwur99O1CxIr/3lUElIvlciRJMqbvvPmDLFs5QtW3LDUhr1OCH6tmzOYuUl9MHjAEefphdNP296V5xBXDVVQwI//e/6IyrZk1e4EeOZGDihClT2Ihl2zaujt54I1fyclvP+MQT/Pl69gz+Mb/8wr0Fr7rK9/3/+x/H++ij7rTTcuX4PF9+ybrY884LLvh8800+tlgx920xkiqqYFBEsujblxkR/fpFtxO2RI4xodcNFi/OmvcdO/j4jh1ZVwpwte/AgexLgBo3dgeMruDRGAaETk1Mi0gMqVGD6ZQrV/KD+Y03Mnjq359vMuPGAatWuVsZ5yV16rAOzV+gAbCJyiWXMCCMVrOd3r2Z/jN0KButRFvZslwVveoqBvwTJzIQuuCCnE8A/P03MH06U5FDMXUqV6Zr1856n7X8PV1xBdNkPHXpwu6fL7zAwDqQH35gvaB32nDDhu5gsHVrBYMiElsuvZTXikGDmN4veV9um8h06uQOBl0lD9l1K69cmX87v/3GwNDVQK9+fWDjxtDHLyL5WNGinIGcO5cfnlet4grMnDnAGWdwQ9xHHgH27HF6pMH773+5Arpypf9jbriBqZI9ezJ9NhomT2bDk0mTovN83iZM4IVh4ULOED7yCFfh7rwzZ+d76CF25GzdOvjHbN3KtNmbb/Z9/0sv8QI3ZUrW+zp2ZE1NlSoM6AK56y7WFR49yq0pXDwvjFoZFJFYNHMmr8VjxjhW1yxh1KqVe3uIYLnq/gBe/z74wH1fo0bZd0g3hoHfd99lTitNT+dnPRERn4xhnvp//8s0gj17uILz6af8wJ+SwiDqww9juwlNkSIMbidMyH5189ZbgQED2GU0GrWTcXFMF129mt0woy0hAVi0CLjpJv7bJiYy+Hr4YeCNN0I712+/AXffzQ8sofjvfxkUly2b9b7Dh7liuXAhx+atXTumiQazKrh7N/Dii8A113CG1DMl2PPC2KIFsGmTI3/PCgZFxK+4OODJJ5kO6L1tkuQ9roZloWTieE5cpqQwk8m1n3JKCie9s+MrGOzcGfjjD36JiARUpgwwfDjw1FPA/v3A44/zQ/r48Vw1vPBC7vXm1D562enVi9sqzJrl/xhjeH+3bkCfPsDvv0d+XElJwMsvc3XQiSLuJk343K7tLqpU4XYkF14I7NwZ/HluuolNDho0CP4x777LC9KVV/q+/7rr2ASofXvf91eowBW+du0CP9eUKZwMqFSJxfs//eS+z3VhtJYddytXDlyMHwEKBkUkW4mJ7BC+ciUzOSTvqliRXc9dTWCC0aSJOxiMj+e10ZUq6rlq6E+DBsy0qV+fXdRPnODj4uPd6aciIkGLj2dwNX06V1K++IJpD/PmMaA46yw2/IhWU5Zg3Hsvx+SqD/PFtTF7q1ZcJYxGnWRKCjt6Dh3qSBCC667jrOCjj/L7Dh1Yx3fOOcEF9m+9xXTi2bODf05ruap8++2+V/3eeYfB4h13+D/Hm2+yA2igFJcvvmBt4XXX8ftq1TIHg+XKcfXY9beak/SdMFAwKCIBlS3LPQhvvVUdRvO6UK819eoxy8VV5uBZN+jqFpod18pgkSK8Du7cyf8CrKcXEcmVGjW4QvjWW/ygff75XOlKSWFO+syZnHlysjtplSrcXmPcuOzH4epCWr06V7ui0eClVy/WZfbrx1XXaHKli95yizuwuvxy/ruNHZv97+rIETbfWbjQ/7YQvqxYwVnJoUOz3vf336zte+QRzpz68+KLTHHx3HzXm7UMAqdPZxddIGswCGROv0lNBb76KvifJUwUDIpIUGrXZqO38ePzdsfvgi7UGvXERNaNuiYBPOsGa9Vit9nsMpoaNGAwCGTuKFq5cub6QxGRXEtKYjrpc88xp/3OO9mUZdAgXsSuugpYs8aZIvgrr2R92/PPZ3+cqz6jSBFg1Cju7RNpF1zA4Kt//+in2jZqxDqU885jgxVjWGe5Z0/2DWWuuYYBbO/ewT+Xtdzofto091YRnm67javOZ53l/xzHjnFl8KKLsg8GX3oJOHSIaa8u1atn3WrEs4YiNZWr3VGmYFBEgnbVVcxmWLbM6ZFITrVsGXrDMs+Jy9ated36809mawVqIlOvHgPJjIzM17zGjZUmKiIRVKgQ0L07cP/9zFFfsYK58tdey1mq++9n8BEtCQnsejllStYtBnwd+8ILXP269NLoBK8338wmJkOHBh5fuE2axOfu1o1F6UWKsJ7x4YeZluRt5Uo2FrrrrtCe59VXGWwOGpT1vrVrud/l/fdnf4433+RYe/ZkDYSv/ZX++IPB6rx5/Ld0CbQy2Lw5g8Eoz7grGBSRoBUqxPe2a66JTn27hF/LlmyCFspni8aN3Y1iihTh5OUnn/D7QHWDpUpxsn7fvszBYLt2vC0ak94iUsAZwzerKVO4r82iRXwTq1mT+8gF6oQVLh078jmXLAl8rKtg/7vveNGNdIDg2uIB4O8kmgFJXBwDvwED+DvavZub3C5dypU1V3oJwNnMSy7h6ml2qZzeMjK48jd9etZN4g8eBEaP5t9FxYrZn2fpUgbMiYnce8nXfk3TpjFY7Ngx8+2BVgYrVeJF1jtgjDAFgyISko4dWWIwdarTI5GcKF+eNaChNGvzbCIDZK4bTEkJrm5w+/bMq4ipqUDhwpmv8SIiEWcMG5W88AKDwIoV+cG9Rw92JI30DNWNNzL9MZhgq3hxNkhZt46BTKQVKsRgZ+PG0Ddwzy1jGESNH8+LzDffsGPZrFlcyfv9d67KnXkmG8506RLa+V96iYGWdwpoRgbTZEePZifX7Bw7xpXKwYP5ffv2WVNFt2zhth1z5mR9fKCVQcCRVFEFgyISsjlzmE3hQJ2zhEGoqaKeK4NA5rrBpk2D6yj63Xfcm/e773jtbdyY9zlQHiEiQlWqMADZs4dbHMyZA9Spw+6UkdoAvk8f5tj7Sn/0pXRp7ge4ZAn304u0EiWYUvvoo8GtYIbbxIns5NmlC39XJUuyWUvr1mwO9NprvtM8s3PsGLegmDkz66rgnDlMx50xI/B5Vq8G0tK4ggcwxcU7GJw9m41jKlTI+vjy5TkWz7rMM85gWu7Bg/w+LU3BoIjEvgoV+J56xRXajD4vCrWJTP36bPTmamzXoQPw2WfubSICBYOulcGkJKaN7t3L5jPHj3MPaRERRxUuzAYm69dzBWnbNqBuXTZV2bgxvM9lDLc2CGU7hIoVueXB3LnubRgiqUoVYPlyNr357LPIP5+30aNZR3DhhcATT7B75/79vIAkJ4d+vttv54qbZ7MZaxl0PvooV4kLFQp8nmXLMnchdQWDrlXe/ftZz3jppb4fb0zW1UFjHG8io2BQRHLkkksYCD75pNMjkVCFur1EkSIsdXCllpYuzcZ8GzfyM8Px4+6N6H1xbS8B8Jr37becGK9Rg9s5iYjEjFatWDu2Ywc7YA0YwEY0r78evtnPoUM5K/bRR8E/JjmZAeH06dHp4paaCjz+OPf8865zi4aiRYGRI7llyJYtXL0dN46zkYFqEzxt3QrMnw888ID7towM1mEuXsx/g+rVA5/n77+ZsutKEQVY11iokDu4mzcPGDECKFPG/3mqVw+8vYSCQRHJC+Li+L53002Ry6aRyGjZkoHcqVPBP8ZfqqirL0N2q4OetYING7r3XU5L4+etP/4I/WcQEYmoChWAyZPZiXTsWF7smjblSpVr49WcSkgArr8+tNVBgCmsq1YxKHrvvdyNIRgDB7L76oABzr5RV63KAOvaa9lBtGdPBsaBZGRw38CpUzlzCTBN87zz2Elt3Tr37YG8/DJXAs84I/PtLVrwXP/8w1XGiROzP0+1almD6yZN3BfYOnWYMnrkSHDjCgMFgyKSY2lpnLi78UanRyKhKFOGn3NCad7iq4mMq24wUDBYuzZw+DC32GrY0J0N07QpSy9CmRwXEYmqwoWZtrhxI/Dgg2ywUrMmG5scOpTz8154IVMwA+XZe0tNZdrk8OHRWUG69lpuAn/eeaHNIEbKiBGsZRw9OnDK7JNPMnXl8sv5/b59rD+Mj2f9X+nSwT/vE09wUsBbWhqDweef50xrw4bZn8fXyqBnMBgXx4tjFJsyKBgUkVyZPh144w19oM9rXFtMBMvXyuCHH7JUIlAw6Hlt81wZTE/nfe+/n7OfQUQkaoxhx9E33mDq4s6drCucMAH4/vvQz1e0KDfvDXWvPID78T3yCDtj7toV+uND4dpy4u+/uR9glPfA86lLF85G3nsv0z19Ban793Nl99FH+TOsWcMN5QcPZge8okWDf75du5iaOmBA1vtatGDx+9SprAUNxFdHUc9gEOCWFaGkwuaSgkERyZVSpYB77mEzGe0Zl3e4MluC1aRJ5oAvOZlN3r79loGia7XPn+bNGQy6agYBZtz88kt0sp1ERMKmaVOuOm3Zwu6bbdoAQ4aE9qYK8MK5ciXr4UI1ZAj3TezTJ/ui7XAoVIh1iu+9x3TZWAgI69XjXpFff83gafx41gBu387bhg/nrOX8+bxgjR/PBjyTJ2ftKBrIokXAqFHcW9BbixYMTAcODG67C197DVatytRjV81N06YKBkUkbxk+nOl+Dz7o9EgkWKEGgw0bsqPoP/+4b+vYkSvCrlXD7D4fpKYyGKxalV21jxxhd9F69Xj7X3/l9CcREXFIlSrsSLl7N98Q+/dnkBbsJvalS3NLi3vvzdnzjxvHWo1+/SJf01emDDt+vfEGA6pYCAjLlOEq7eOPs0X10qX8XfTvz5bZx4+zBm/tWtY5hLolBcBVx0WLfKeIAuwm+u+/TKcNhq+VQWMyp98oGAwPY8wAY8yCo0ePOj0UkXzPGE643X47G6RJ7EtLYwlMsNfzxEQGbp6fcdLTgc8/Z9fzuLjsJ6ebN2d5izHcd9C1OtilC+vxP/44xz+KiIizSpQArr6aqaNt2jCNc/Rodwvm7Fx9NfDMMznvxDZtGmf3zj3Xvf9PpJQvz4Bw9Wo2C4iFgDA+HmjblimsL7/s3vfo3Xe56nr99WxpnVPvvsvZ7mbNst63fz9TfVu25IpkMFzBoPfvzjNV1LWBb5R+v/k2GLTWrrDWXpaUlOT0UEQKhPr1OUkZ7OSYOKtCBaZ5/vBD8I9xpXq6tG7N665rUtOzwYy3pk0ZAJ44kTlVtGNHfr4oWTJnP4eISMwoVozBx86dvCi2bcs957LbmqFKFa4mPvxwzp7TVdNXvDib0kR6899y5djJ8+23WSMXCwGhp6uvZpOZdu3Cc76FC4GLLsp6u7VsTHPZZUDXrsGn2pQowf2avJsPeQaDZcqwBicn6cM5kG+DQRGJvsmTuX/d6tVOj0SCEWqqqHcwmJrKydBjxwIHg8WLc0J0+/bMTWQ6dGBAmp6eox9BRCT2lCoF3HorWzZXqMBUjIkTuZLky3XXMb3mzz9z9nwJCexmuWcPG5lEmisgfOed4JqmRMvy5UwzmTkzPOc7eJBpqKNGZb1v8WI2Drr5ZndH0WD5qhtMScmcetO0KWsfo0DBoIiETdGirBucMCH32zBJ5LVowVTRYHkHg0WKcJVv06bAwaDr8Zs2Zd5ewtWIJtgMGxGRPKNsWdZPbN3KdMYmTRg8HT6c+bj69bm6tHBhzp+raFHglVeYcvr887kadlDKlmUw+Oqr3OrCaYcOsSHPokWcfQyHp54Czj6bBe6efvmFXUwXLWINRagzq/72GvRMDY1i3aCCQREJq7POYmBw991Oj0QCCXUy0xUMemYFuVJFgwkGXU1kGjTIvMdhp05sCiciki9VqgTcdx9Xen77jW+Cs2czrcLlhhvYmjs3dX8VK3J17Oqro/OmWrYsA88JE6KW0ujXxInAsGG8oISDtcBjjzHN1/v2cePYUKZVK95Wrx5rPn/7Lbhz16iRNRg84wym+LqK7xUMikhedv/9vO7t3u30SCQ7rsnMYEs+KlbkaqBnIzRXE5lQVgbr1mVqqGsrknnzWOoiIpKvJScDCxZwK4LPPmMQ8dhjfDNs1YppE4sX5+45mjblBunnnpt9rWK4tGrF5i1jxji3Kf3LL7N76KxZ4TvnunVczW3fPvPtS5cyteW229y3xcVxtjPY2dUaNbIGz8ZkbSKjYFBE8qqaNZlBcfXVTo9EslO1KgNBf2UsvvhqIvP550Dlypm3SfL32K+/ZkBZubK7eU3x4qFv+yQikmc1bAi89BK/nn+e9WIvvwz8979cMcxtE5j+/dnNbeDAnNchhuL661m3eMcdkX8ubwcPAldeyZTNYsXCd17XqqDnxenAAa5APvkkL2Se0tO5+XwwfAWDQOZNexs14mb3Uai5UTAoIhFx3XVcKVq1yumRiD/G5DxV1KVRI2DfPuDo0cCbz1etym6iv/zCLCnVCYpIgdamDbBmDdNppk9nM5KMDAaGuXXttdzyYPToyHcYjYsDnn4aeOih6Ob8//svMHQou32Gq3sowJrOlSu52uliLYPOCy7gv5u3Dh2ADz8M7vw1avhOnWrUyH0RTUzkzLpnTUWEKBgUkYhITOR1YeLEzGURElty21E0IYHn+OKLwKmixrgfr2BQRAR8Y+zbl2/EEycCR44wb/6993J/3nnzWMd2003hGGn2kpP5fKNGAb//Hvnns5Yrd2XLhq97qMtTT3Hz+vLl3bfdfju7h06b5vsxHTqwk2kwqbL+VgY9g0Ff30eIgkERiZg+fbjyNGeO0yMRf3IbDAKhNZFp1oypogoGRUQ8xMUxkPrxRzacGTkS6NKFHTtzupdf4cJMRV26lCt3kTZ4MNC9O7t6Rnr/wWnTeBF59ln+7sIlI4NB7bhx7tuefpppo6tWZU0PdalUiYX1nttD+FOxItN3//or8+0KBkUkP7rvPq4Qfv+90yMRX0JNE23QANi7N3MZSno6g8FGjYJrIqOVQRERPwoX5ibyZcsCF18MjB/PVac338xZgFW+PDuMTpoUnX3r7r+fqY3hXq3z9PTT/Fq+PLx1ggDTdosU4e8cYDB+/fXAG2+w2D07HTsGlyoaF+d7r8Fq1biqevQov1cwKCL5QbVqfB+dODHyE4USutq1mZXkve2VPwkJ3BLLtWk8wPKJTz/l7YHKGzxXBqNQCiEikvf07g2UKcM33C1beAGdNIkzb8uXh14D2KQJZ2aHDgX++CMyY3YpXhxYsQJ4/HHguefCf/61a9mUYOVKrsaFm2tV0BjWP5x3HrBsGQOzQIINBgH/HUU9N+JVMCgi+cU117Ap1vLlTo9EvMXF+U79zI739alGDX42iYtjc5jsakSbNGEQWKECP5NEo7RERCRPMYYNZaZN4yzqiBHcZuCGG4CpU9l99PHHgX/+Cf6co0cz7fSyyyI/M3vGGUypvOYabtEQLtu3A8OHAy+8wLqEcNu3j7Wao0bxQjVgANNDg927MLfBIJD5AtuwIbBjR8S37FAwKCIRV7gwMHcucNVVwN9/Oz0a8Zaayv3/guUdDBrDCesNG7jSuHOn/8cWLcoGadu3c4stpYqKiPjQvTtXvlz7DsbFAUOG8I32oYe4WlWrFhubBJva8cADfPOePz9y43Zp0oTbZgwdGp43+oMHgbPO4vYV3bvn/ny+PPYYazX/+otND2bOBAYNCv7x9eoxQA9mf8dgOooWL86Z0whv2qxgUESiont3dn6+/XanRyLechsMAplTRQNd91U3KCISgOfq4MmTmW/v0YM1bG+9xZWjunU52+ravNWfokXZTObWWxlURlrPngzezjor+01oA/nnH+Dss7kqOHZs2IaXyYkTDAYvu4wrg6NGsWYzFMaw1vCjjwIf629l0DNNFIhKqqiCQRGJmrvv5oSkasViSziDwWBqAT3rBj1rD0VExEPXrmw08swzvu9v2pQboH/zDQO91q25srVxo/9z1qvHBjXDhrFgPNLGjmUgd8klOUtP/eUXBpPVqwMzZoR9eP/v1VcZVL/6Kuse/G0hEUiwqaIxtL2EgkERiZqqVbnd0fjxaiYTS5o04eTyv/8Gd3y9esxaOXHCfVvr1uxKWqdO4GDQtTLoCgpFRMSPadMYBHm+4XqrWhW4804W57dqxVq3Pn2Ad9/1fbEdOpQB1kUXRediPGsWLxpPPBHa49atA1q25GpbuLeQ8DZ3LmsqH32U6a3x8Tk7T5s2bK8dSM2avoPBunXZsttVD6pgUETymwkTgJ9/BpYscXok4lKkCIO4YLZHAoDERE7SetYGli7NzrEJCYGDwaZNOZEd6h6HIiIFTqdODBAWLQp8bKlS7Dq6axebzowfz5m6JUuyNiG56y42TLnvvogMO5PERHYWvfHG4PaZyshgcDt8OBvlTJ+e8+AsGJs3c1+kBQu44XygLSSyk5rKi+nx49kfV6UK6yC9jytUiLWgrgupgkERyW8KFWKq6KRJ6iQZS8KVKnr4cOA6wGrV2HG0eHE2FPrll1BHK9kxxtQ2xjxujFnm9FhEJAymTePqWqAAw6VwYa76bdnC+sAHHuAb75VXch+9kycZoC1ZAsyeHXwHzNxo0gSYMgUYMyZzDaSnjAw2xmnenDWRn3/OFc5ImzGDF6W77gJ69crduUqUYDC3eXP2xyUkMOjcuzfrfSkp7sc3asTAMIIruAoGRSTq2rcHzjwTuOUWp0ciLqEGg9417gCDwa1bOQF96JD/xxrD1cHNm/PP6qAxJt4Ys9EYszIX53jCGHPAGJPlU4Qxpq8xZrsxZqcx5sbszmOt3WWtDbHzgYjErHbtGBSEmmYZFwcMHMiGJu+9ByQnc3uKypXZHGXLFjZNGTGCKTuRNnEiN4m/887Mt588Cbz0Ei9Es2fza+1ajjfSPvyQTXVmz2agGg4tWwbXoMdfR9FmzZg+AwDly3MF15jwjM0HBYMi4og77wRefDF/BAL5QThWBtu2BT75JPjN512potFoahcFVwHwmctjjKlojCnpdVtdH4cuAtDXx+PjAcwFcCaAxgBGGmMaG2OaGmNWen1VzO0PIiIxyLU6GMregp4aNAAmT+Zq2xdfcEZu9mzgwgsZcPTunf0mseEQF8d014ce4sb0jz3G7TIqVGCHudtvZ71dv34RDX7+365dfK62bbnRfLi0ahXchc1f3aB3QX2RImEbmi8KBkXEEeXKseP05ZdHfD9VCYKrqUtGRnDH+woGmzZlxkuNGsHVDX79NSdQ8/qEgDEmGcBZABb6OaQLgFeNMYmnj78UwEPeB1lr1wHwtWFYOoCdp1f8jgN4AcAga+031tr+Xl8HwvEziUiMSU/nrN1Cf28zIahRA7j6ajZo2byZAeGPP/LCfNll7lTScDp2DFi9Grj3XtaLnH02vx84kBeT9euB/v2jEwQC7KTarx+7sHqvVOZWy5YMuAOpWdP3diCuC2SUJETtmUREvFxwAbNeFiwArrjC6dEUbOXLs/fA7t3cOD6Qhg1ZG5iR4W7wlpDAzyuJiYHrBps147/9jTcyaymPux/AfwGU9HWntXapMaYWgBeNMUsBjAUQSmFKVQA/eXy/F0AbfwcbY8oBmAUgzRgz2Vp7h9f9AwAMqFvX1+KkiMSsadMYMA0bBlQMUxJAlSoMDEePZrD5zz/A9dcz579OHaBxY87+NW7MusNDh1jo/fPP/O/Ro0ClSryvenX+t1gxdhj77jt+bd/OWb/mzYG+fbl9w+LFDERHjYpscxhfTpzgimSdOix079QpvOdPTeXv7/hx1m/6U6sWO756q1mTwepvvwFlyoR3bD4oGBQRx8TFAfPmAd26AYMH83oiznGligYTDCYl8eunnzjJ7NK+Pa/vgVYGU1JYrlKzJq93hw5xUjqvMcb0B3DAWrvBGNPV33HW2jnGmBcAzANQx1r7Z6TGZK09BODybO5fAWBFq1atLo3UGEQkAlq04CremDFssBLOrRbKlwdeeYVbTnz4IYO67dsZ1GzbxmYze/fyuEqVgDPOYJfTpCQGhtu3A++8w4vCX3/xvvr1OfM3ZAhnCpOS3M+XmsrmMFOmhH9lLjvWcva5aFHW4k2bFv7VyOLFeSF1Fcb7U6uW75rBuDh32+3OncM7Nh8UDIqIo1JS2PRs0iRuIyTOSUvj5O3gwcEd70oV9Q4G33wT+DNAqFOqFCe2d+92P29um7g5pAOAgcaYfgCKAChljHnWWjva8yBjTCcAKQBeAXAbgPEhPMc+ANU8vk8+fZuIFDTTpwM9erC+7uabw3vu1q15/nPPZQF4aiq/IiEhgY0DWrdmwDRsWGSex9usWcDGjfzd3Xorg99IaNWKqaLZBYP+0kSBqAaDqhkUEcfddhvwwQcsUxDntG7N3gLBatIk696EbdsyQNy1K3AvAte1buRIfi7Ii6y1k621ydbamgBGAFjjIxBMA7AAwCAAFwEoZ4yZGcLTfA6gnjGmljGm8OnnWR6WH0BE8paEBKZYzp3LDqHh9p//MIC59NLIb0hfvjzw8svc8sLVPTOSnn6a+xauWsX9FSdPjtxG9sF0FE1O5l6D//6b9T7vJjIRpGBQRBxXvDjw4INs5uXrPVGiIz2djdyCbSKTkpL1+l26NFcKq1cPvM1Ss2ZsWvOf/zBVOB8rBmCYtfZ7a20GgPMBZGkhZ4xZDGA9gAbGmL3GmIsBwFp7ElxJXA12LF1ird3i/XgRKSCqVOHm6KNHh3+jVmNYv/Htt9HZkD4tDbj/fmDQIGD//sg9z7vvshZy1SrWM+7bF9nVyGCayMTHMyD011E0GgEyFAyKSIwYNIjlBXff7fRICq5KlVjSsXNncMe7Vva8tW/Pmvevvsr+8W3acPur/MJau9Za29/H7R9Za7/x+P6EtfYxH8eNtNZWttYWOr3a+LjHfa9ba+tba+tYa2dF7qcQkTyhd29g7Fg2YAl3S+5ixVg/eNddvhuchNuoUdz3sG9fNqQJt48+4l6KS5awEc4ddzAwjGRKSmoqA+pAKTK1avlOFXXNtgY7O5sLCgZFJGY8+CC7TgcbjEj4tWkDfPppcMc2acKUUO/PIe3bsyt5oH0LO3fmc2k1WEQkB6ZO5RvwzFCyzoNUowbw/PMM1Hw1OQm3m24CunThzHBO91L05ZNPgHPOAZ57juffuJEXpwsvDN9z+FKsGNtub9yY/XH+gsEyZfjlr6YwjBQMikjMqFkTmDGD2w799pvToymYXKmiwShZkg3lvIP3Dh3YUC7QNTApidfKYINPERHxEB/PgO3RRyOzgtetG/f/Oecc4O+/w39+T8YwXfSMM8K32vn55/xAsWgRV1IBrgpOmhTxjdwBsIj+k0+yP8ZfR1GAq4uBUmzCQMGgiMSUceP4nj14sFaMnBDKyiDgO1W0bl3W5AeziX23bpHpgSAiUiBUrgw88wy3m4hEzd1VVzFlMRoNZeLiWAv5++98vtxsfP/WW+wUunAhN5cHmLa5di1w2WVhGW5AbdsGvqBm11G0efPAKTZhoGBQRGLOPfcwO+KSSyJ/7ZHMWrRgh9BgA3FfwaAxQNeu3Hx+167sH9+1q4JBEZFc6dGDAc7IkYFr1EJlDFcet22LTkOZxER2GN23Dzj77MD7FPny2GPA+ecDL73ElUGX2bOBCROAEiXCNtxsBbsy6C8Y1MqgiBRU8fHcc3DHDm4DJNFTrBgb+QR7/fHXRKZzZ15vA52nUyc2XAv35xcRkQLlllvYZbRbN24CH06uhjL33gu88EJ4z+1LyZLAypXsatalS/A/z8mTTGudMwdYt44XGJc9e4Dly4HxoWzxmkt16zKY/d///B8TKBjUyqCIFFTFivF9+/nngSeecHo0BUt6evCpok2b+t5ConNn4I8/Al/HSpZkBtL69SEPU0REXOLj2STlzDOZ7x/uFaUaNYA33mDa6KpV4T23I3pc2wAAKuxJREFUL4UKMcXznHOAdu2Ad94Bjh/3f/wHHzC15csvgY8/5qympzlzmHpapkxkx+3JmMC1F5UqAX/95XsFtFYtNlA4fDhyYwSQR7f5FZGCoGJF4PXXGVgkJ7vrvyWy0tNZVhGMevWAvXvZW6BYMfftjRtzkjaYIO+KK3jdFxGRXDAGuO02dubq2ZMbrHumSeZW06acpR0wAFi6lKt2kWQMcPPNXGG76SZg+3aufPbqBZQrxwA4Ph547TVgzRrWmAwdysd52r2bK5rbtkV2vL64UkXPOcf3/ca46wabNs18X1yce0PeCG7Gq5VBEYlpDRoAy5Zxb92vv3Z6NAVDKE1kChXiBOzWrZlvj4vjNTCYyekLLsiczSMiIrkwfDhX7664gpv3hrP4vk0bBlZDhwbeVD1cRoxgm+udO7lR/MaNwKuvct/AZ58FqlXjRWjYsKyBIABMn87udBUrRme8nnLbRCYKqaJaGRSRmNepE/DQQ0D//sz+SE52ekT5W6NGLNE4fBgoWzbw8a69cVu1ynx7nz6crPVeNRQRkQhLT+eK1IABXBGbNw8oXDg85+7enSmc/fvzTb5x4/CcN5AKFYDzzuNXsL79Flixgk0InJCeDmzYwFQZf5vcB9pe4oMPIjU6AFoZFJE8Yvhw1n336wccOeL0aPK3+HigZcvgJ32bNfO9atulC699Tl2DRUQKtGrVgA8/BA4dYuvmcG5gPnAg0zL79AEOHgzfecPt1lu5r2Dp0s48f+nS/HfILrXJ4Y6iCgZFJM+4/npez845R3sQRloom8/7y2Jp3pyToVFohiYiIr6UKMGtGoYMYYrnc8+F79yjRnGVbuzY2NwHauNGBsMTJjg7jvbtsy+gr13b/z5MTZqwXiaCv18FgyKSZxjDbY7Kl+cWQoE2NJecCyUYTEtjwOd9rSpUiI3S3n8/7MMTEZFgxcUB117LjdhnzmQR/tGj4Tn3jBmsK3jkkfCcL5ymTAEmTwaKF3d2HO3bs8bFn+xWBosWBV580XctZJgoGBSRPCU+HnjmGV57Jk2KzcnI/MC1vUQwv98KFXit9VXy0LgxJ2dFRMRhqamsXytZkrN42QUowSpcmHtATZ3qe9NZp6xZw3rByy5zeiTBB4MOfaBRMCgieU6RImwk9vbbLFmQ8EtO5mTyjz8Gd3xamu+gr0MH7vUrIiIxoFgxNpO57z5g8GDgzjtzH4TUqwfcdRcwciRw7Fh4xpkbGRmsK7njDiAx0enRMM3zjz/8bz5fujQL7A8diuqwXBQMikieVKYM97998EFOSkp4ufbKDaVu0Fcw6Gr4o5ReEZEYMmgQN2h/7jnglltyHxBecAFbS19/fXjGlxuLFzO4GjbM6ZGQMUC7dtnXDWaXKhphCgZFJM+qVo2b0l9zDfDuu06PJv8JtW7QVzDo2m5i8+bwjUtERMKgShWmUy5fzs3dcxMQGgPMn8/9DZcvD98YQ/XPP6wVvPvuiNbZhSw3dYMRpmBQRPK0lBRg6VJmp2zZ4vRo8hdX3WAw/AWDcXFAUhKDdhERiTEVKnA2dcUKBlG5CQhLl+ZK46WXAt99F7YhhuShh5iq0qmTM8/vj4JBEZHI6dyZk4CDBnGjdAmPVq2YRXTyZOBja9bk5vIHDmS9r3p14KOPwj48EREJhwoVuEK4ahVw0025Cwjbtwduv50b0kf7gvzLL8CcOcDs2dF93mC0bs29Bv/5x/f9CgZFRHLn/PMZDI4YEVzwIoGVLs1GMlu3Bj7WGP91g6mpWrUVEYlp5ctzhfCNN7gdQ24CwosvBgYMAIYOBU6cCN8YA5kwAbjkEjZsiTXFirG99hdf+L5fwaCISO65JgNvuMHZceQn4agb7N4d2LtX24CIiMQ0V0C4ejU7cebGnDls/T1hQnTe/F95BfjqK+DWWyP/XDmV3ebzCgZFRHIvIYF7sy5fDjz9tNOjyR/8BXj+jt20KevtXbuym+i2beEcmYiIhF25csDKlWzVvW5dzs8TH8+unh9+CDz8cPjG58tvvwHjxwMLF3KT9liVXd1gzZrch+nUqagOCVAwKCL5TJkywGuvAdddF/yKlvjXvDknW4PRtKnvdNDq1dlIZuXK8I5NREQioGpV4MkngVGjgF9/zfl5SpViY5rbb4/sBeD664Gzz469pjHe2rdnAb2vldKiRYGyZf3vRRhBCgZFJN9p3JgThIMHO/K+mq80b86a92D2CWzQANi5M2vNpjGc9FRHURGRPOLMM9mm+8ILc7dRbK1awKuvAmPHAh98EK7Rua1cCbz1Vu7TWqOhWjUgMRH4/nvf99eu7UiqqIJBEcmXBg4ELr+cAaG/5l0SWLly3Bpi9+7AxxYtygllX9e59HRgwwbVDYqI5BmzZgGHDgH33Ze787RpAzz/PHDuucHXHQTj3XeBiy5ifUipUuE7byR16OA/VdShukEFgyKSb02Zwom2IUOA48edHk3eFUqqaOPGvlNFO3TgCmEwnUlFRCQGFCrEur85c3Jfd9GzJzelP+us8OxB+P77bB/+0ktAu3a5P1+0ZFc3qJXB4BljahtjHjfGLHN6LCISu4wBnnqKjWXOO09bTuRUqMGgr4CvWTNmx6xdG9ahiYhIJNWsCcybx8DryJHcnWvwYGDmTKB3b7aYzqmPPuK2FS++yI2G85JAweCuXdEdD0IIBo0x8caYjcaYHFeAGmOeMMYcMMZs9nFfX2PMdmPMTmPMjdmdx1q7y1p7cU7HISIFR6FCvF78+SdLHxxo1JXnhSMYTEkBfv+d+xqLiEgeMngwV/Quvjh39YMAawcnTsw+XTI7GzcC55wDPPss9y3Ka5o35+rf0aNZ76tVK7aDQQBXAfDZGNwYU9EYU9Lrtro+Dl0EoK+Px8cDmAvgTACNAYw0xjQ2xjQ1xqz0+qoYwphFRJCYCLz8MrBvH+sIc3stK2jCEQwmJQEVKnBlUHWDIiJ5zF13Ab/8Atx0U+7Pde213G7inHOAe+4J/qLw3XcMSh99lKuLeVGhQkDLlsAnn2S9L5ZXBo0xyQDOArDQzyFdALxqjEk8ffylAB7yPshauw7AYR+PTwew8/SK33EALwAYZK39xlrb3+vrQDBjFhHxVKwYO1xv2QJcdZUCklDUrQscOMCVvUAaNuT12tcKbFoaU3a//Tb8YxQRkQgqUoT7Nr3yCtNGc2vAAODTT4ElS7gtxG+/ZX/8Tz8xAJw5k0FkXta2LX92b1WqAIcPA8eORXU4wa4M3g/gvwB8zqdba5cCWA3gRWPMKABjAQwNYRxVAfzk8f3e07f5ZIwpZ4yZDyDNGDPZzzEDjDELjvpahhWRAqlECeCNN4D164EbblBAGKz4eKBJE24xEUiJEkClSr5r4Js1AypXZt2/iIjkMeXKcY+g6dPDs29gzZrcbqJWLc46nnce0z8PHnQf8+efTDfp3RuYMIFppnlderrvYDA+HqhRI7j23WEUMBg0xvQHcMBauyG746y1cwD8A2AegIHW2j/DM0Sfz3XIWnu5tbaOtdbnxiLW2hXW2suSkpIiNQwRyYOSkoDVq4E33wRmzHB6NHlHOFJFmzbltU7BoIhIHlWnDvcNvOgi4Isvcn++woWB++/nbGO3buwOWrcug6LixYGKFZkaOmoUMGlS7p8vFrRpw+6svmakHUgVTQjimA4ABhpj+gEoAqCUMeZZa+1oz4OMMZ0ApAB4BcBtAMaHMI59AKp5fJ98+jYRkbArVw54+202IStePP9cXyIpJ9tLDByY+fZmzYBffwV+/pnXQGPCP04REYmwNm2AhQv5Jv/RR1zZy62qVYFLL+XXv/+yyL9CBaab5LeLRdWqbGbwww8M/jw50EQm4MqgtXaytTbZWlsTwAgAa3wEgmkAFgAYBOAiAOWMMTNDGMfnAOoZY2oZYwqffp7lITxeRCQklSpxv9q5c7n1kWQvNTX4vYL9rQzWr8/sH2t9b0wvIiJ5xKBBbCbTr1/ut5zwlpjIIKlkyfwXCLr4SxV1YK/BcO0zWAzAMGvt99baDADnA9jjfZAxZjGA9QAaGGP2GmMuBgBr7UlwJXE12LF0ibXWx7bFIiLhk5wMvPMOMGsW8PTTTo8mtrVowQDv778DH9ukCbA5ywZCbB7TpAm3mVi3LvxjFBGRKBo/HujVCxg+XBv5hsqVKurNgTTRkIJBa+1aa21/H7d/ZK39xuP7E9bax3wcN9JaW9laW+j0auPjHve9bq2tf7oOcFaoP4iISE7Urs2U0RtvZKmC+Fa0KGv+Pv888LEpKcD27cDx41nvS01lmq7qBkVE8oF77+V/VW8RmjZt/K8MxnIwKCKSHzVsyAZp48axuYz41qEDy0MCKVaM17MtPvI7mjfnBPL69eEfn4iIRFlCAvDii+zKtmCB06PJO1q2ZCG+96ypq2Ywiu3OFQyKiIArVq+8AowZA2zItndywRVsMAgwrfTLL7PenpoK7NnDJjKHDoV1eCIi4oTSpbmR7y23AGvXOj2avKFkSc6afvNN5tuTklgz+euvURuKgkERkdPat+fE5sCBUa/fzhM6dOCKXobPHWczS0vzHQw2a8YVw1atfGfIiIhIHlS/PvDcc8CIEeoQFqw2bYBPPsl6e+3aUf0dKhgUEfFw9tnAlClA375RnZjLEypVAsqWBbZtC3xsixa+u4+WKsXz1K/v+xooIiJ5VM+ewK238r/h2IMwv2vXznfNRP36wHffRW0YCgZFRLyMGwecey4wYEBw3TMLkmBTRVNTuYfwqVO+7ytVSiuDIiL5zrhxwJw5wJlnAg89FNXatzzHXzDYoAG7sEWJgkERER9mzQLq1QNGjlTHbE8dOgAffhj4uKQk4IwzfE9uNm/OPYU//TS4lFMREclDhg5lkPPkk8CwYcDRo06PKDY1bAgcPgz88kvm2xs0AL79NmrDUDAoIuKDMcDChcCxY8B11zk9mtgRjiYyzZsDO3Zwi4koTn6KiEi01K0LfPwx6wJatvR9MSjo4uKAtm2zrg42bKiVQRGRWFC4MDtmv/468NRTTo8mNjRqBPz2W9aJTF/8NZFp3pwppG3bqm5QRCTfKlIEePhh4PbbgT59gMceU9qoN1+povXqsYGMrzqLCFAwKCKSjTJlgNdeA66/XjVuACcyW7UKbvN5fyuDNWsCf/zBoHDdurAPUUREYsmwYawveOAB4MILgb/+cnpEsaNdO66geipWDKhYEdi9OypDUDAoIhJAo0ZMGR0yBNi/3+nROK916+AaxblWAL0ngo0BmjYFqlblqqvqBkVE8rkGDTijai3TQmK1RiAjg0HYN99EZxWzTRu23vbefD6KTWQUDIqIBGHgQOA//wEGD2bzk4KsVavggsFKlbiS+PPPWe9r3hw4eBCoUAH47LPwj1FERGJM8eKsuZgwAejYEXjhBWfH89dfwKpVwMyZwHnnsdV1iRJAp07cZ6p6dWD8+MgGZaVKcV/Br77KfHsU6wYVDIqIBGnKFK5mjRtXsMseXMFgoN+BMUBKCidYvTVvzmvfgAHAihWRGaeIiMQYY4DLLgPeegu4+WZeUP/5J3rPby2bAZx5Jlte33UX6xb69mVN4y+/AD/9xJq9t98GypdncLh2beTG1L591s5sUewoqmBQRCRIxgCLFgEbNgDXXltw0xuTk3k93bcv8LFNm/oOBps1YzDYvz+wcmX4xygiIjEsLY0X04MHGQx9/33kn/PIEa4AzpgBXHQRsHcvg7zZs4Hzz2cNRMmS7uMbNgSmTgUWL2bd4/PPR2Zcvtp0K01URCQ2lSgBvPcer2EjR0Z3QjNWGMNrZjBNZPwFg02bctKzVSvgf/8D9uwJ/zgLKmNMbWPM48aYZU6PRUTEr6QkYMkSBmZt2rDBTCQ6aFrLVb60NK70ff45g7ukpOAe36MH8O67wK23MnBbtiy8GxB37Mhg0DPdRsGgiEjsKlOGGS7Wslv2b785PaLoC7ZusGlTYPPmrLcXL84Vxp07gX79gtvIPhYZY4oYYz4zxnxljNlijJmWi3M9YYw5YIzJ8hszxvQ1xmw3xuw0xtyY3XmstbustRfndBwiIlFjDGsIP/4YePVVNpfZtCk85/79d+CRR3ghmjgRePBB4KGHgKJFQz+XawbzmmuYWjpmTHjGCLDFNgD88IP7tqpVmb569Gj4nscPBYMiIjlQpAhr31u04KTeTz85PaLoCjYYbNIE2LbN92SvK1V04UJg1KjwjzFK/gXQ3VrbHEAqgL7GmLaeBxhjKhpjSnrdVtfHuRYB6Ot9ozEmHsBcAGcCaAxgpDGmsTGmqTFmpddXxbD8VCIi0VS/PrBmDXDFFUDv3sCNN+Ys9SYjg+k7F1zABjDvvccAcOtWFqnnRkIC24qvXcsL4PLluTufizHu1UGXuLio1Q0qGBQRyaG4OOC++4CLL2ba5JIlBaexTMuWwTWRKVmS2yX5KgdxNZEpVCgyY4wGS3+e/rbQ6S/v30oXAK8aYxIBwBhzKYCHfJxrHYDDPp4mHcDO0yt+xwG8AGCQtfYba21/r68DYfrRRESiyxhg7FjWFuzaxe6e3huyZ2fVKqBuXa7epaUB330HLF0KdOvGc4dL0aKcxbzyyvCt3HXokDVFpmFDBYMiInnBtdcyu2XqVG49URD2IqxcmdfDYPbE9Zcq6goo8zpjTLwxZhOAAwDettZ+6nm/tXYpgNUAXjTGjAIwFsDQEJ6iKgDPtee9p2/zN55yxpj5ANKMMZN93D/AGLPgaBTSj0REQlapEmdXZ8zgRXXSJKZM+nP0KIPI8ePZEXTTJuDqqzkTGSldurDG4YYbwnM+75VBgJscb9sWnvNnQ8GgiEgYtG3LfWNTUrji9dRT+X+VMLdNZFq3ZiOevN6V1Vp7ylqbCiAZQLoxJsXHMXMA/ANgHoCBHquJkRjPIWvt5dbaOtbaO3zcv8Jae1lSsM0TREScMHQo8PXX3Ky2UiVeYC+8ELj/fn5Nn85AsWlTIDGRx/boEb3xzZkDvPwysGNH7s/VvDk7qR32SA7RyqCISN6SmMiJzLfeAu6+m6UP4Ww4FmuCrRv0t9dghQpA6dJsIpMfWGuPAHgPvuv+OgFIAfAKgNtCPPU+ANU8vk8+fZuISP5WoQLw3HPcFuLpp7kNxY4dTCM9cYJpKs8+C8ybl3lbiGhISgIuuYQ1ibmVkACkp2dOi9XKoIhI3pSaymyPPXtYr/77706PKDJatQpuZbB5c//N4YI9R6wyxlQwxpQ+/f9FAfQC8K3XMWkAFgAYBOAiAOWMMTNDeJrPAdQzxtQyxhQGMAJAmDoXiIjkAYULs2PbZZcBc+eyM+iMGcB11wGdOzs3rnHjGIyGI+2+fXvgk0/c39etyw8Sx4/n/tzZUDAoIhIBpUoBK1YANWoAnTrlz26jrVoBX34ZOM2zYUPg11+5t7C3YFNNY1hlAO8ZY74Gg7a3rbUrvY4pBmCYtfZ7a20GgPMBZNlZ0RizGMB6AA2MMXuNMRcDgLX2JIDxYN3hNgBLrLVbIvYTiYhIcJKTucfUE0/k/lxt2mQOBhMT2RE1wukzCgZFRCIkIYGZK6NHA+3acRul/KRcOX4FKpeIi+M1zldTuNat83YTGWvt19baNGttM2ttirV2uo9jPrLWfuPx/Qlr7WM+jhtpra1srS1krU221j7ucd/r1tr6p+sAZ0XuJxIRkZBcfTVXKn3toRSKNm2Azz7LfJ4o1A0qGBQRiSBjgOuvZ1A4eDA7juanOsJg0zzbtfMdDLZsyRTS/PQ7ERGRAqRNG+CMM4Bly3J3ngoV+OUZ/EWhblDBoIhIFAwYwJTKjz5iR+pgtmTIC4Jd2Wvf3vfKaFISs2y2bg3/2ERERKJi1izgxhuBY8dyd562bYFPPXYnatRIK4MiIvlFlSrA6tVcIUxPB95/3+kR5V6wK4Nt2nAbiRMnst6X11NFRUSkgOvenQ1u7r47d+dp2zZz3WDDhloZFBHJT+LiuC3SCy8AQ4YAH37o9Ihyp0UL4KuvAqd5JiUBtWrxWG8PP8yto0RERPKsu+/m/oe56RjnKxg8ciSiGxcrGBQRcUD37tw6afDgzO/7eU0oaZ7+6gaTkhgki4iI5Fm1anGridtC3UrWQ7Nm3EPxjz/4vWszXmPCMkRfdPkVEXFI797Ak08CAwfm7TTJYDef91c3KCIiki9MmAC8/LI7mAtV4cJAWprvmdMIUTAoIuKgs84CHnuM/82rKaOhdBTNy6ugIiIi2apYEejcGXjppZyfo0cP4J13wjemABQMiog4bNAg4OmngXPOyd31wynp6cEFg/XqsfThl18iPiQRERFnnH8+L+o51asX8Pbb4RtPAAoGRURiQJ8+7DQ6cSLw0ENOjyY0qamsGfznn+yPi4tj4OjZNVtERCRfGTAA+PprYM+enD0+PR344YeozZwqGBQRiREtWnAfwrlzGRT++6/TI8pq717grruARx8F3n0X+PtvoFgxoEED351CvbVpo2BQRETyscREYNgw4Jlncvb4QoWArl15kY0CBYMiIjGkZk02Wdm3j4FTLGzG/vPP3Apj+HA2Otu5kw1jbrsNqF0bmD2bq4PBpIp676crIiKS71xwAVNFc7olRO/eUUsVVTAoIhJjypYFli0Dxo9nHfr8+RHdYsivnTtZutCoEbB4MdClC7B7N1cFH3uMDW/eeQf48kvgrbeA998PfE5XfeGpUxEfvoiIiDPS04GMDF4gc6JXL15Yo3DxVzAoIhKDjAEuuYQB1/z5wHnnAX/+GZ3ntha45x6u4p15JnDwIPDaa9w+qVSpzMempAAvvsj9El97Dfjxx+zPXb48UKEC8O23kRu/iIiIo4wBRozgTGpO1K3LbSa2bQvvuHxQMCgiEsMaNuR2Q8WLA61bRz5t9N9/gdGjuTL52WfAtdcCCQmBH3fffbz29egROGhVqqiIiOR7I0dytjQjI/THGgP07BmVLSYUDIqIxLiiRYGFC4H//pepmlOmsK4wnKmWJ04Au3YBffuyK+iaNawHDFZCAoPVOnWAK67IPrNFTWRERCTfa9IEKF2aneFyIkpbTCgYFBHJIy66iHV5GRkMuCpVYippTrNIjh0D7rwTqFqVK4+dOrHMYckSBqChSk8HOnRgicSTT/o/rk0brjqKiIjkayNHsgNbTnTvDqxbx9naCFIwKCKShzRuDNxxB7dx2LSJ3Ue7duXG9W+/DRw9mvUxf/wBbN4MrFwJPPUUm7/cdRdTUD/7jPsbHjvGDqazZwPx8TkbW+vWHNOLLwI33AAcOuT7uObNge++47YUIiIi+dbw4cDSpcDJk6E/tnx5pttEePZUwaCISB6VnAzcfDM7fPbpw60eqlYF6tVjqUFKCjNUzjiDWx498gi3LfrsM+6F++yzwMsv87icBoCeXOmfKSl8vhkzfB+XmMigduPG3D+niIhIzKpTh7O2772Xs8dHIVU0iLYAIiISy4oWZafPceNYR7h9O/DTT0DlygwYy5RhLXqk1aoFHD/OjelvvZUB34QJvBZ6c20x0aFD5MclIiLimOHDWX/Rq1foj+3ZE5g2DZg6NezDctHKoIhIPhIfzyCsTx9uEF+2bHQCQYDP06YN8MknrGe85ho2u/ElPV11gyIiUgAMHQq88krOav86dmTKT046kgZJwaCIiISN57YRV1/Nrtg//JD1OAWDIiJSIFSvDtSvzzqNUBUtykL8uMiFbAoGRUQkbNq25cogAJQowQ6oDz+c9bgGDbiZvb8mMyIiIvnGsGFMFY1BCgZFRCRsWrdmYxhXNsyVVwKLFmXdiD4uDmjZEvjii6gPUUREJLqGDAFee42F9TFGwaCIiIRNqVJsnPbNN/y+Zk2gSxfg6aezHpuers3nRUSkAEhOBho1Yu1EjFEwKCIiYeVqIuNy1VXA3LmAtZmP69o14h2zRUREYsPgwWwkE2MUDIqISFh51g0CQOfOTBPdvDnzcV27Al99pbpBEREpAM45h6mip045PZJMFAyKiEhYdegAvP++eyXQGG6z9MILmY8rUgTo1g1YvTr6YxQREYmqWrW4TcRHHzk9kkwUDIqISFg1asQtkbZvd982fDi7Y3unip51FrBqVXTHJyIi4ohzzgFeftnpUWSiYFBERMLKGKBvX+DNN923tWjB2zdsyHxsv3487uTJ6I5RREQk6lx1g94zow5SMCgiImHnHQz6SxVNTgaqVctcYygiIpIvNWkCFC4MfPml0yP5fwoGRUQk7Hr2ZFnEsWPu24YP5567GRmZj7366piaJBUREYkMY4CBA2OqPkLBoIiIhF1SEpCWxkYyLikpQPHiwGefZT72wguBTp2iOjwRERFneKfOOEzBoIiIRISvVNGhQ4GlS50bk4iIiKM6deJeS4cPOz0SAAoGRUQkQvr0ybqp/NChwLJlSgsVEZECqkgRbsD7zjtOjwSAgkEREYmQ5s2BH38EfvvNfVtKClC0aNZUURERkQIjhlJFFQyKiEhEJCQArVoBn37qvk2poiIiUuC5gsEYSJNRMCgiIhHTrh2wfn3m2wYOBFavdmY8IiIijqtbFyhWDPjmG6dHomBQREQip23brHsIpqUxffTgQWfGJCIi4rgzzwTeeMPpUSgYFBGRyGnblmminnsLJiQAHTsC69Y5Ny4RERFH9e2rYDCnjDG1jTGPG2OWOT0WERHxr2JFoHx5YNu2zLd37QqsXevEiERERGJA167Ahg3A7787OoyAwaAxpogx5jNjzFfGmC3GmGk5fTJjzBPGmAPGmM0+7utrjNlujNlpjLkxu/NYa3dZay/O6ThERCR6fNUNdumiYFBERAqw4sV5gXz3XUeHEczK4L8AultrmwNIBdDXGNPW8wBjTEVjTEmv2+r6ONciAH29bzTGxAOYC+BMAI0BjDTGNDbGNDXGrPT6qhjMDyYiIrGhbduswWCLFqwb/PVXZ8YkIiLiuDPPdHyLiYDBoKU/T39b6PSXdx/ULgBeNcYkAoAx5lIAD/k41zoAh308TTqAnadX/I4DeAHAIGvtN9ba/l5fB4L+6URExHHt2wMffZT5toQEoEMH1Q2KiEgB5qobdHCLiaBqBo0x8caYTQAOAHjbWvup5/3W2qUAVgN40RgzCsBYAENDGEdVAD95fL/39G3+xlPOGDMfQJoxZrKfYwYYYxYcPXo0hGGIiEi4NWsGHDgA7N+f+fZu3YC33nJmTCIiIo5r2BCIiwO2bnVsCEEFg9baU9baVADJANKNMSk+jpkD4B8A8wAM9FhNDDtr7SFr7eXW2jrW2jv8HLPCWntZUlJSpIYhIiJBiI/3XSM4ZAiwbBlw/LgjwxIREXGWMY5vMRFSN1Fr7REA78F33V8nACkAXgFwW4jj2Aegmsf3yadvExGRfKBbN2DNmsy31aoFNGrkeLmEiIiIc/r1A1atcuzpg+kmWsEYU/r0/xcF0AvAt17HpAFYAGAQgIsAlDPGzAxhHJ8DqGeMqWWMKQxgBIDlITxeRERiWPfuWYNBABg9Gnj22eiPR0REJCZ07w588QXgUGlbMCuDlQG8Z4z5Ggza3rbWrvQ6phiAYdba7621GQDOB7DH+0TGmMUA1gNoYIzZa4y5GACstScBjAfrDrcBWGKt3ZLTH0pERGJLkybAH38Ae7yuDMOGAatXO3YNFBERcVbx4kDHjo4V0ScEOsBa+zWAtADHfOT1/QkAj/k4bmQ253gdwOuBxiMiInmPMUwVfe894MIL3beXKQP06AG8+ipwwQVOjU5ERMRBZ50FvP46MDSU/pvhEVLNoIiISE75SxV99FGmi4qIiBRIZ53FJjIZGVF/agWDIiISFV27cmXQezulChXYcVRERKRAqlULKFsW2LAh6k+tYFBERKKifn3gxImsdYMiIiIFXu/ewDvvRP1pFQyKiEhUGAN07gysW+f0SERERGJMt25ZN+SNAgWDIiISNQoGRUREfOjUCfj4Y+D48ag+rYJBERGJGgWDIiIiPpQtC9Styz0Ho0jBoIiIRE1KCvDrr8D+/U6PREREJMY4kCqqYFBERKImLo57637wgdMjERERiTGutttRpGBQRESiqnNn4P33nR6FiIhIjOnUCfjkk6jWDSoYFBGRqOrUCfjwQ6dHISIiEmPKlOE+TJ98ErWnVDAoIiJRlZYGfP89cOSI0yMRERGJMf37A8uXR+3pFAyKiEhUFS4MtG4NrF/v9EhERERizKBBwKuvAtZG5ekUDIqISNR17KhUURERkSzS0lgzuHVrVJ5OwaCIiESdgkEREREfjOHq4GuvReXpFAyKiEjUtWsHbNgA/Puv0yMRERGJMQoGRUQkPytVCqhXD/jyS6dHIiIiEmO6dAF27AD27Yv4UykYFBERR2jzeRERER8KFWJX0VdeifhTKRgUERFHDBoEPPNM1BqmiYiI5B3nngu89FLEn0bBoIiIOKJHD+DUKWDtWqdHIiIiEmN69wY2bgQOHIjo0ygYFBERRxgDTJwIPPCA0yMRERGJMUWLAn37cs/BCFIwKCIijhkzhltM/PCD0yMRERGJMVFIFVUwKCIijileHJg0Cdi+3emRiIiIxJh+/YDERCAjI2JPkRCxM4uIiARh8mSnRyAiIhKDihcHli+P6FNoZVBERCQfMcbUNsY8boxZ5vRYREQktikYFBERySFjTDVjzHvGmK3GmC3GmKtyca4njDEHjDGbfdzX1xiz3Riz0xhzY3bnsdbustZenNNxiIhIwaFgUEREJOdOAphkrW0MoC2AK40xjT0PMMZUNMaU9Lqtro9zLQLQ1/tGY0w8gLkAzgTQGMBIY0xjY0xTY8xKr6+K4fmxRESkIFDNoIiISA5Za/cD2H/6//8wxmwDUBXAVo/DugC43BjTz1r7rzHmUgCDweDO81zrjDE1fTxNOoCd1tpdAGCMeQHAIGvtHQD6h/tnEhGRgkMrgyIiImFwOpBLA/Cp5+3W2qUAVgN40RgzCsBYAENDOHVVAD95fL/39G3+xlHOGDMfQJoxJkt7HmPMAGPMgqNHj4YwBBERyY8UDIqIiOSSMaYEgJcAXG2t/d37fmvtHAD/AJgHYKC19s9IjcVae8hae7m1ts7p1UPv+1dYay9LSkqK1BBERCSPUDAoIiKSC8aYQmAg+Jy19mU/x3QCkALgFQC3hfgU+wBU8/g++fRtIiIiuaJgUEREJIeMMQbA4wC2WWvv9XNMGoAFAAYBuAhAOWPMzBCe5nMA9YwxtYwxhQGMABDZjadERKRAUDAoIiKScx0AjAHQ3Riz6fRXP69jigEYZq393lqbAeB8AHu8T2SMWQxgPYAGxpi9xpiLAcBaexLAeLDucBuAJdbaLZH7kUREpKBQN1EREZEcstZ+CMAEOOYjr+9PAHjMx3EjsznH6wBez+EwRUREfNLKoIiIiIiISAGkYFBERERERKQAUjAoIiIiIiJSACkYFBERERERKYAUDIqIiIiIiBRACgZFREREREQKIAWDIiIiIiIiBZCCQRERERERkQLIWGudHkNEGWMOAtiTzSFJAI4GOE15AL+GbVB5RzC/m2iKxnjC/Ry5PV9OHx/q44I9PtjjCuJrpiC+XiLxPLk5Xw1rbYUwjiVfC9P1EdDrPRYUxNd7bh4fyuPCfWxBfL0ABfM1E2uvF9/XSGttgf4CsCCIY75wepyx+rvJb+MJ93Pk9nw5fXyojwv2+BCOK3CvmYL4eonE88Ta77Egf+n1nvvfTX4bT6y93qNxjQz3sQXx9RKOf+u8OJ5Ye734+1KaKLDC6QHEsFj73URjPOF+jtyeL6ePD/VxwR4fa38TsSTWfjfRGk+svWYkfPRv4V+s/W4K6us9GtfISB1b0MTa76Ygfqb0Kd+niYaDMeYLa20rp8chklfoNSNScOj1LhI8vV4k1mhlMDgLnB6ASB6j14xIwaHXu0jw9HqRmKKVQRERERERkQJIK4MiIiIiIiIFkIJBERERERGRAkjBoIiIiIiISAGkYDAHjDHFjTFPGWMeM8aMcno8IrHMGFPbGPO4MWaZ02MRkcjS9VEkNLpGitMUDJ5mjHnCGHPAGLPZ6/a+xpjtxpidxpgbT988GMAya+2lAAZGfbAiDgvl9WKt3WWtvdiZkYpIbun6KBIaXSMlL1Ew6LYIQF/PG4wx8QDmAjgTQGMAI40xjQEkA/jp9GGnojhGkVixCMG/XkQkb1sEXR9FQrEIukZKHqFg8DRr7ToAh71uTgew8/SszXEALwAYBGAveMED9DuUAijE14uI5GG6PoqERtdIyUv0Rp29qnDPcAK8yFUF8DKAc40x8wCscGJgIjHI5+vFGFPOGDMfQJoxZrIzQxORMNP1USQ0ukZKTEpwegB5kbX2LwAXOT0OkbzAWnsIwOVOj0NEIk/XR5HQ6BopTtPKYPb2Aajm8X3y6dtEJCu9XkQKDr3eRUKj14zEJAWD2fscQD1jTC1jTGEAIwAsd3hMIrFKrxeRgkOvd5HQ6DUjMUnB4GnGmMUA1gNoYIzZa4y52Fp7EsB4AKsBbAOwxFq7xclxisQCvV5ECg693kVCo9eM5CXGWuv0GERERERERCTKtDIoIiIiIiJSACkYFBERERERKYAUDIqIiIiIiBRACgZFREREREQKIAWDIiIiIiIiBZCCQRERERERkQJIwaCIiIiIiEgBpGBQRERERESkAFIwKCIiIiIiUgD9H8fTv2HkHLogAAAAAElFTkSuQmCC", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "# pickle.load(open('G:/My Drive/Cluster Feature files/all_imp_acsf.p','wb'))\n", + "\n", + "\n", + "\n", + "all = pickle.load(open('G:/My Drive/Cluster Feature files/all_imp.p', 'rb'))\n", + "\n", + "import pylab\n", + "import matplotlib.pyplot as plt\n", + "fig,ax = plt.subplots(1,2,figsize=[15,8])\n", + "\n", + "\n", + "ax[0].plot((np.array(all['dop_exc_acsf'])/np.array(all['dop_exc_acsf'])[:,0][:,None])[:,:50].T, color='blue', lw=1)\n", + "ax[1].plot((np.array(all['dop_inh_acsf'])/np.array(all['dop_inh_acsf'])[:,0][:,None])[:,:50].T, color='red', lw=1)\n", + "\n", + "ax[0].set_yscale('log')\n", + "ax[0].set_xscale('log')\n", + "\n", + "\n", + "ax[1].set_yscale('log')\n", + "ax[1].set_xscale('log')\n", + "plt.show()\n", + "\n", + "import pylab\n", + "import matplotlib.pyplot as plt\n", + "fig,ax = plt.subplots(1,2,figsize=[15,8])\n", + "\n", + "\n", + "ax[0].plot((np.array(all['sag_exc_acsf'])/np.array(all['sag_exc_acsf'])[:,0][:,None])[:,:50].T, color='blue', lw=1)\n", + "ax[1].plot((np.array(all['sag_inh_acsf'])/np.array(all['sag_inh_acsf'])[:,0][:,None])[:,:50].T, color='red', lw=1)\n", + "\n", + "ax[0].set_yscale('log')\n", + "ax[0].set_xscale('log')\n", + "\n", + "\n", + "ax[1].set_yscale('log')\n", + "ax[1].set_xscale('log')\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [ + "C93uMwva!hnGRa7" + ] + }, + { + "cell_type": "code", + "execution_count": 125, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "[,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ,\n", + " ]" + ] + }, + "execution_count": 125, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig,ax = plt.subplots(1,2,figsize=[10,5])\n", + "sns.heatmap((np.array(all['dop_exc_acsf'])/np.array(all['dop_exc_acsf'])[:,0][:,None])[:,:50],ax=[0])\n", + "sns.heatmap((np.array(all['dop_exc'])/np.array(all['dop_exc'])[:,0][:,None])[:,:50],ax=[1])\n", + "plt.show()\n", + "fig,ax = plt.subplots(1,2)\n", + "sns.heatmap((np.array(all['dop_inh_acsf'])/np.array(all['dop_inh_acsf'])[:,0][:,None])[:,:50],ax=[0])\n", + "sns.heatmap((np.array(all['dop_inh'])/np.array(all['dop_inh'])[:,0][:,None])[:,:50],ax=[1])\n", + "plt.show()\n" + ] + }, + { + "cell_type": "code", + "execution_count": 85, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAWwAAAD7CAYAAABOi672AAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjMuNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8QVMy6AAAACXBIWXMAAAsTAAALEwEAmpwYAAAh+klEQVR4nO3de7glVX3m8e97Ln3hKhcFWggmiigRbEMHfUIekTQabJXWqImXRzvqpOMTUXQyE0h6RnQcFWWUyQwTkwZxFMG7PCCicvE2JkFRJNAKGmlpwG6bCEp305fTZ+/f/LGrTbFr1d61zzl7d1ed99PPerr2ql/VWlV777XrrFpVpYjAzMz2fWN7uwJmZlaNG2wzs5pwg21mVhNusM3MasINtplZTbjBNjOriYlhF3DHb76oMG7wqvZBhbjvxcPJ5be0dxXypqKVyJtOLj/dLsbuTiwPMNUurmM6ETudiAPYnSgrtXyr3U4u34pifio2SA/FbCeGaI5JleLKYkUxr8z4WPH3f1zpY4LJsfFE+cXYBePpj+iEivkLxqrllcam1qliPQeNXZTIX0gxb0HJvlqYOK6aTLwv4yXvVSo/VVL6UwntxOct9Q3aXbKGqUT+rsRnHeDyDZ+v/oErsfsX6yuPVZ48/LdmXd4oDb3BNjMbqcSBU1O4wTazZik5em8CN9hm1iwlXY5N4AbbzBolWulzTE3gBtvMmsVdImZmNeGTjmZmNeEjbDOzmvBJRzOzepjXJx0lPQVYCTweCGAjcE1E3DnkupmZDa7BXSI97yUi6Vzgk4CA7wC3ZNOfkHTe8KtnZjagdqt6qpl+R9hvAH47InbnMyV9EPgBcEFqIUmrgdUAbz/sRF524LFzUFUzswrm6xE2nfvBLEnkH0X5vWKIiLURsSwilrmxNrORarerp5rpd4T9VuAmSf8K3Jfl/QbwJODsIdbLzGxmGnyE3bPBjogvS3oycAqdk44C7gduiSi5R6mZ2V4Urd39g2qq7yiRiGgDN4+gLmZms9fgI2w/ccbMmmVEfdiSLpR0l6TbJV0l6TGJmGMkfU3SnZJ+IOmc3Lx3SPqZpNuytKJfmW6wzaxZol09zc4NwNMi4iTgx8BfJ2Kmgb+MiKcCzwLeJOmE3PyLImJplq7rV6AbbDNrlhGNw46I6yN+/WzCm4GjEzGbIuLWbHorcCed84Ez4gbbzJqlNV09zZ3XA1/qFSDpCcAzgG/nss/OulQuk3RIv0LcYJtZswzQJSJptaTv5tLq/Kok3ShpXSKtzMWsodP1cUVZlSQdAHwOeGtEbMmyPwQ8EVgKbAI+0G/TfPMnM2uWAU4mRsRaYG2P+Wf0Wl7SKuCFwPKISD6tXdIkncb6ioj4fG7dm3MxlwDX9qvv0Bvsp9z8Pwp5f3XvumJgyZ8nMbWjmPngz9OxG+9P5G0u5LUf3JpcvrV1qpj3q2I/186HxpPLb/nFomLeI8W8R1rp3T6V+INnt1TI26X0H0Y7U7GJ0F3FMABSPXpTZbGJ/NTXpEXyM1x+mWyXCdIVSOWm9spYuviStc5Oap+U2Z3YL7sGii3uwamSfb2V4ndrV+KE287kJwB2Ji652BXFde5sp8c/TyWWn0osP2dGdAWjpDOBc4HTImJ7SYyADwN3RsQHu+YdFRGbspcvARIN46M1pksk1Vib2fwT0aqcZuli4EDghmxY3t8DSFoiac+Ij1OB1wB/kBi+935Jd0i6HTgdeFu/At0lYmbNMqIj7Ih4Ukn+RmBFNv0tSv6gi4jXDFqmG2wza5b5/AADM7NaafCl6W6wzaxZanjb1KrcYJtZs/gI28ysJnyEbWZWE26wzcxqwqNEzMxqwn3YZmY10eAukRlfmi7pdXNZETOzOTG6BxiM3GzuJfLOshn5WxZeevmnZlGEmdmARvSIsL2hZ5dIdlOS5CzgiLLl8rcs3L35RyX3SzMzG4LWrG/qtM/q14d9BPCHwC+78gX801BqZGY2GzU8cq6qX4N9LXBARNzWPUPS14dRITOzWZmvDXZEvKHHvFfNfXXMzGaphicTq/KwPjNrlvl6hG1mVjvpRys2ghtsM2uWaV+abmZWD+7DNjOrh2i7S8TMrB580nHmHnrF6kLed3+4pJC3cXI8uXzq2vnxAX5Ag4WFvGkV8wB2Jp5tvCNRge2kK7BdxQ/KtgXFq652kL4Sa3tMFesUxf64nZFefioRO5WKjZLYdrW8svzpxDpbJX+eRsUTQ+NK3z0hlT+m4mdokOXLYicrrndskOUTD9IuK3+Bil/TyVT90w/nZkGi/MnEN2sR6e/gAWPF8sdZVAwcB5XUodts7onR14i6RCRdCLwImALuBl4XEb9KxN0DbAVawHRELMvyDwU+BTwBuAf444jovkjxUYa632zflGqsLS3V2Fpa1cZ66NpRPc3ODcDTIuIk4MfAX/eIPT0ilu5prDPnATdFxHHATdnrntxgm1mzTE9XT7MQEddH/Pro52bg6AFXsRL4aDb9UeDF/RZwg21mzRJROeXvLJqlYh9uNa8HvlRWI+B6Sd/rWv8REbGpU+XYBDyuXyE+6WhmzTLAScf8nUVTJN0IHJmYtSYirs5i1gDTwBUlqzk1IjZKehxwg6S7IuKblSuZ4wbbzJplDof1RcQZveZLWgW8EFgeJWfSI2Jj9v8Dkq4CTgG+CWyWdFREbJJ0FPBAv/q4S8TMmmVET5yRdCZwLnBWRGwvidlf0oF7poHnAeuy2dcAq7LpVcDV/cr0EbaZNUpMj+wBBhcDC+l0cwDcHBFvlLQEuDQiVtB5psBV2fwJ4MqI+HK2/AXApyW9AbgXeHm/At1gm1mzjOhKx4h4Ukn+RmBFNr0eeHpJ3IPA8kHKdINtZs3ie4mYmdVEg+8l0veko6SnSFou6YCu/DOHVy0zsxlq8FPTezbYkt5C58zlm4F1klbmZr9nmBUzM5uR0V2aPnL9jrD/DDg5Il4MPAf4r5LOyeaV3jggf/XQ5Rs3zUlFzcwqabWqp5rp14c9HhHbACLiHknPAT4r6Vh6NNj5q4c2n35a/X7GzKy2ooZdHVX1O8L+uaSle15kjfcLgcOBE4dYLzOzmWlwl0i/I+zX0rlG/teyu1O9VtI/DK1WZmYzVcOGuKqeDXZE3N9j3j/OfXXMzGbJ47DNzGpivh5hm5nVTUz7CNvMrB4aPErEDbaZNYu7RMzMasINtplZPZQ8+KUR3GCbWbP4pOPMHfB7xQcB/+7YxkLe1Lbx5PJj48Vfy7GJ6r+g0S5eQV82TLO1u3jhZ7tVXD4VVxrbrv4UtlRd09JxGku9nam8hVWrhFR9X48lYjXLh9C1EvsUoDVdXPHu3cXP0K7d6Y/4jlYxf1sUl39E6c/ltrFivX6VDmVbYr9sU/FDuIX0vS22xXQh7xESee3dyeUfau0o5O1oTxWXb+1KLr8zkb+jVVx+x3QxD2DXdLFe0+30ts7F1XjhLhEzs5pwg21mVhPN7RFxg21mzeIuETOzumhwgz3LU0JmZvuWmI7KaTYkXSjpLkm3S7pK0mMSMcdLui2Xtkh6azbvHZJ+lpu3ol+ZbrDNrFnaA6TZuQF4WkScBPwY+OvugIj4UUQsjYilwMnAduCqXMhFe+ZHxHX9CnSDbWaNEu2onGZVTsT12fMBAG4Gju6zyHLg7ojYMNMy3WCbWbMMcISdf/5sllbPsNTXA1/qE/MK4BNdeWdnXSqXSTqkXyE+6WhmjTLI8wvyz59NkXQjcGRi1pqIuDqLWUPnyVxX9FjPAuAsHt1t8iHgXUBk/3+ATsNfqm+DLekUICLiFkknAGcCd1XpbzEzG7XEhaEzX1fEGb3mS1pF5zm3y6P3TUyeD9waEZtz6/71tKRLgGv71adngy3p/KygCUk3AM8Evg6cJ+kZEfHufgWYmY3UiC6ckXQmcC5wWkRs7xP+Srq6QyQdFRGbspcvAdb1K7NfH/bLgFOBZwNvAl4cEf8N+EPgT8oWyvcLXfb99f3qYGY2Z6JdPc3SxcCBwA3ZsLy/B5C0RNKveyAk7Qc8F/h81/Lvl3SHpNuB04G39SuwX5fIdES0gO2S7o6ILQARsUNK3L0mk+8XemTNy5s7it3M9jmjegZvRDypJH8jsCL3ejtwWCLuNYOW2a/BnpK0X1bgyXsyJR1Mo6/YN7O6avBD0/s22M+OiF0AEY/aDZPAqqHVysxspqLqbYrrp2eDvaexTuT/AvjFUGpkZjYL7el52mCbmdXNfO4SMTOrlZivXSJmZnXjI2wzs5qo/mzU+nGDbWaN0vMC8Zpzg21mjdKebu5NSN1gm1mj+Ah7Fh66/qFC3l33Fu9WWPabeNDEVCFv8cLdhbyJiepnGsre0J27Jgt5O3YXd9G2djEOYMvYeCFv61ixP21LMayzXhUrtj2Rt6PkItOdifxU3o6S25ntjFax/JLYqUR+K3G2p+y7M67iflmg4r5eoPTOSuUvIpE3mV5+4WSx/EWJuEUlW7A4kb2IdN/pYYk+1WPbxXod1Eov/5jEB/bghcX36sAD05+LxQcXl194UPEbN7ZocXJ5xor57Z3FsOlH0vXf8XDx+7L14dTenhvuwzYzqwkP6zMzqwkP6zMzq4lW2ycdzcxqwX3YZmY14VEiZmY14SNsM7OaaHuUiJlZPXhYn5lZTbQa3CUy8PgXSR8bRkXMzOZChCqn2ZD0Lkm3Z09Mv17SkpK4MyX9SNJPJJ2Xyz9U0g2S/jX7/5B+ZfZssCVd05W+APzRntcDb6GZ2ZBFVE+zdGFEnBQRS4Frgbd3B0gaB/4P8HzgBOCVkk7IZp8H3BQRxwE3Za976tclcjTwQ+BSOreFELAM+ECvhSStBlYDvPfY43n1Yx/frx5mZnNiVCcdI2JL7uX+pG+dcwrwk4hYDyDpk8BKOu3qSuA5WdxHga8D5/Yqs1+XyDLge8Aa4OGI+DqwIyK+ERHf6LEhayNiWUQsc2NtZqM0qi4RAEnvlnQf8GoSR9jA44H7cq/vz/IAjoiITZ06xybgcf3K69lgR0Q7Ii4CXgeskXQxPlFpZvuwdqhykrRa0ndzaXV+XZJulLQukVYCRMSaiDgGuAI4O1Gd1K/CjDtjKjW+EXE/8HJJLwC29Is3M9tbWgMcOUfEWmBtj/lnVFzVlcAXgfO78u8Hjsm9PhrYmE1vlnRURGySdBTwQL9CBholEhFfjIi/GWQZM7NRGuEokeNyL88C7kqE3QIcJ+k3JS0AXgHsGbBxDbAqm14FXN2vTHdvmFmjjPDuqhdIOj4rcgPwRoBseN+lEbEiIqYlnQ18BRgHLouIH+xZHvi0pDcA9wIv71egG2wza5QoefLPnJcT8dKS/I3Aitzr64DrEnEPAssHKdMNtpk1Stt36zMzq4fW4Bdw14YbbDNrlAY/IcwNtpk1y6j6sPcGN9hm1ig+wp6Fw895ViHvWd/6XiHvoe9MJ5ffsW1BIW96uthHtXNXelPaiVst7m6NJ2OnEg/v3BnF2F1K/4K3krlFkyUnRRYmjgxSH762qvfRjSXWOVZyALJAxW1dlNh+gGmK70skLuBSydHORCJ/ItH3OFmyrQsTsYsSeQtKyk8tvzgxLndByXu1OJG/uKSlWJS4y9DixJmxBSWP+071yW6dKu7/bQ9NpivwUDErdRS6K9L7emfiPdieyNsynt7X2xKr3ar0jj0pmTsYN9hmZjXhLhEzs5qYLvkLuAncYJtZozR4GLYbbDNrFvdhm5nVRNtdImZm9eAuETOzmnCXiJlZTXiUiJlZTbhLJCPp9+k8BXhdRFw/nCqZmc1c4uLmxuh5jbOk7+Sm/wy4GDgQOF/SeUOum5nZwNoDpLrpd1OK/M0JVgPPjYh3As+j81j3pPyTiD/81e/PQTXNzKqJAVLd9OsSGZN0CJ2GXRHxbwAR8Yik9N2aePSTiHd8fE0d94uZ1dR0g7tE+jXYBwPfAwSEpCMj4ueSDsjyzMz2KXXs6qiqZ4MdEU8omdUGXjLntTEzm6XEXXIbY0YPP4uI7RHx07mujJnZbI3qpKOkd0m6XdJtkq6XtCQRc4ykr0m6U9IPJJ2Tm/cOST/Llr9N0oru5bs192mVZjYvjXCUyIURcVJELAWuBd6eiJkG/jIingo8C3iTpBNy8y+KiKVZuq5fgW6wzaxRRjVKJCK25F7un1plRGyKiFuz6a3AncDjZ1qmG2wza5RpVU/5IchZWj1IWZLeLek+OsOcU0fY+dgnAM8Avp3LPjvrVrksG5HXkxtsM2uUQbpEImJtRCzLpbX5dUm6UdK6RFpJZ/k1EXEMcAVwdlmdspF1nwPemjsy/xDwRGApsAn4QL9t871EzKxR5vLCj4g4o2LolcAXgfO7Z0iapNNYXxERn8+te3Mu5hI6/eA9+QjbzBqlreppNiQdl3t5FnBXIkbAh4E7I+KDXfOOyr18CbCuX5k+wjazRhnhhTMXSDo+K3ID8EaAbHjfpRGxAjgVeA1wh6TbsuX+JhsR8n5JS+n8UXAP8Of9Chx6g739E98q5P3o1sMLeQ/EwuTyC6K4+xcm/uiZVPpt0gB/ILUqjrgfj/Q6U/VKfXrGSy4SnUxkL0rc2/eAkvv97lbxD6ZWIq5d8ran9mDZ3kvVIPXn2ljZtiZWPFnMYmHJty+VvyCxzsXt9AoWJj5Xk4mtHS/ZA6nPVZRsayuRvyvxHm4dG08uv3msGLstsbN/NZau67bEd2NL4pPxcEwll98au4vrbO8qxrV2Jpd/ZKoYu70ktudZu4pGdS+MiHhpSf5GYEU2/S1KrgqPiNcMWqaPsM2sUaZreVunatxgm1mjNLe5doNtZg0zb2/+ZGZWN01+4owbbDNrlHaDO0XcYJtZo6RGRjWFG2wzaxQfYZuZ1URzm2s32GbWMB4lYmZWE03uEul58ydJz5R0UDa9WNI7JX1B0vskHTyaKpqZVTeqBxjsDf3u1ncZsD2b/ls6T1F/X5b3kSHWy8xsRlpE5VQ3/bpExiJiOpteFhG/k01/K3fnqYLsqQ2rAT7wtONY9RtHlYWamc2pJvdh9zvCXifpddn0v0haBiDpyUDxFl6Z/FMc3Fib2Si1icqpbvo12P8BOE3S3cAJwD9LWg9cks0zM9unNLkPu2eXSEQ8DPyppAOB38ri788/2sbMbF9SxyPnqioN68sez/4vQ66Lmdms1fFkYlUeh21mjdLkk45usM2sUcJH2GZm9eAjbDOzmmiXPCR7rkl6F7CSzm/EA8CfZg/g7Y67B9hK586v0xGxZ3j0ocCngCfQeWr6H0fEL3uV2W9Yn5lZrYxwWN+FEXFSRCwFrqX3Q99Pj4ilexrrzHnATRFxHHBT9ronN9hm1igt2pXTbETEltzL/Rn8N2Al8NFs+qPAi/stMPQukcUrf6eQd9JJ9xYDp3ckl9f+ixJ5+xUDJyerV6qdfqNi11Qxc8euYtz2dF1jWzG2vaN4QWh7W/qZGK3txfe7tTMRtyv9Ozs9VcxvTxfzBvmLUSXPxxufLO7DBfsXt2viwHRhE4cWP3rjjz2gkDd2xGHpeh1xRDHz8b9ZXP7IJyaXHzt0STFzcmEhK3ZsTS7f/rcNxdj77krGxj3rC3mtn/6skDd195ZCHsDW+xYU8n7xi/0LeZt3Lk4u/8DEeDE2kfeQinll+ZMqfq7GSH9YxlKxZR+sOTDKPmxJ7wZeCzwMnF4SFsD1kgL4h4hYm+UfERGbACJik6TH9SvPR9hm1iiDXJouabWk7+bS6vy6JN0oaV0irQSIiDURcQxwBXB2SZVOze7D9HzgTZKePdNt80lHM2uUQYb1ZUe7a3vMP6Piqq4Evgicn1jHxuz/ByRdBZwCfBPYLOmo7Oj6KDonLnvyEbaZNUp7gDQbko7LvTwLKPSJSdo/u7UHkvYHngesy2ZfA6zKplcBV/cr00fYZtYoMaJhfcAFko6n0/ZvAN4IIGkJcGlErACOAK5Sp89+ArgyIr68Z3ng05LeANwLvLxfgW6wzaxRpkd0pWNEvLQkfyOwIpteDzy9JO5BYPkgZbrBNrNG8aXpZmY1Me9vr2pmVhcj7MMeOTfYZtYovvmTmVlNzPaS831Zz3HYkt4i6ZhRVcbMbLYionKqm34XzrwL+Lak/yfpLyQ9dhSVMjObqfn81PT1wNF0Gu6TgR9K+rKkVXuu3knJX59/2TfvmMPqmpn1FgP8q5t+DXZERDsiro+INwBLgL8DzqTTmJcttDYilkXEstc/+8Q5rK6ZWW/tiMqpbvqddHzUPRAjYjed69+vkZS+l6OZ2V5Uv2a4un4N9p+UzYiI9E2hzcz2oukGjxLp2WBHxI9HVREzs7lQx9EfVXkctpk1Sh1Hf1TlBtvMGqWOoz+qcoNtZo3iLhEzs5pwl4iZWU20Yp6OEjEzqxv3Yc/Cz//3Dwp5d/zb4YW8BybSF10uTOz7xe1dichdLEj0XY0l3ryxkvdzWirk7dZ4saSSq/K3jxXzdxZXySMl15duV7Fi22gV11kyznRHInZXydHGztR6Y7qQNxXFOIDpxL7eFcWNnS4pvxXF97CVGNofpQ+SvrOQI75RyBtXemen8sdLLvydTHwGJhLLp+LKYhdSjB3XwcnlF6Rix4v7enJid3L5cYrv6yTF5ccSeQD7JcrfX/sV8o6Z2K9yYznMY+A6XsFYVWOOsFONtaWlGmtLK2uErWhfObLdV+oxDI1psM3MoNlH2P1u/mRmViutaFdOsyHpXZJul3SbpOslLUnEHJ/N35O2SHprNu8dkn6Wm7eiX5lusM2sUUZ4e9ULI+KkiFgKXAu8vVCXiB9FxNIs5mRgO3BVLuSiPfMj4rp+BbpLxMwaZVRdIhGxJfdyf/rfKHA5cHdEbJhpmT7CNrNGGeQIO/+wlSytHqQsSe+WdB/wahJH2F1eAXyiK+/srFvlMkmH9CvPDbaZNUpEe4D07w9bydLa/Lok3ShpXSKt7JQVayLiGOAK4OyyOklaAJwFfCaX/SHgicBSYBPwgX7b5i4RM2uUubw0PSLOqBh6JfBF4PyS+c8Hbo2Izbl1/3pa0iV0+sF78hG2mTXKCEeJHJd7eRZwV4/wV9LVHSLpqNzLlwDr+pXpI2wza5QR3q3vAknH07lwcwPwRoBseN+lEbEie70f8Fzgz7uWf7+kpXROVt6TmF/Qs8HO+l1eAWyMiBslvQr4PTrXBa/NnvFoZrbPGOEokZeW5G8EVuRebwcOS8S9ZtAy+x1hfySL2U/SKuAA4PN0hqecAqwatEAzs2Gaz5emnxgRJ0maAH4GLImIlqSPA/9StlA2NGY1wH9f8lReeejRc1ZhM7Ne5vMDDMaybpH9gf2Ag4GHgIXAZNlC2dCYtQDrT3xec/eeme1z5vMDDD5M58znOLAG+Iyk9cCzgE8OuW5mZgNrtefpAwwi4iJJn8qmN0r6GHAGcElEfGcUFTQzG8R87hLZc8Zzz/SvgM8Os0JmZrMxn7tEzMxqZV4fYZuZ1UmTH2DgBtvMGsVPTTczqwl3iZiZ1cR8vtLRzKxWfIRtZlYTTW6wiYiRJWD1XMcOY511Kr9Odd3b5deprnu7/H2hrk6J/TfSwuC7cx07jHXWqfw61XVvl1+nuu7t8veFujoVk584Y2ZWE26wzcxqYtQN9tr+IQPHDmOddSp/kNj5Xv4gsfO9/EFih1W+dVHWr2RmZvs4d4mYmdWEG2wzs5pwg21mVhNDbbAlPUXSuZL+l6S/zaafWhK3XNIBXflnVijjYyX5z5R0UDa9WNI7JX1B0vskHZyLWyDptZLOyF6/StLFkt4kqfS5lTY4SY8bIPawYdZlLlXdriZuUxZbm+2qu6E12JLOpfPcRwHfAW7Jpj8h6bxc3FuAq4E3A+skrcyt5j1d67ymK30B+KM9r7uqcBmwPZv+WzoPEH5flveRXNxHgBcA50i6HHg58G3gd4FLZ7wDZmmUXxhJB0u6QNJdkh7M0p1Z3mNycQdJeq+kyyW9qmsdf9f1+tCudBjwHUmHSDq0K/YCSYdn08uy54Z+W9IGSad1xS6T9DVJH5d0jKQbJD0s6RZJzxh0m4a1XcPYpjq9V4Nskw1gWFfkAD8GJhP5C4B/zb2+Azggm34C8F3gnOz197uWvRX4OPAc4LTs/03Z9GldsXfml+uad1tu+vbs/wlgMzCevdaeeV3LHgxcQOfhxA9m6c4s7zG5uIOA9wKXA6/qWsffdb0+tCsdBtwDHAIc2hV7AXB4Nr0MWA/8BNiQ3wfZvK9l++sY4AbgYTo/nM/oWudXgHOBI3N5R2Z5N+TyPpeV/2Lgmuz1wpJ93AZ+2pV2Z/+v74q9Izf9NeB3s+kn03VlHJ0f/+cDrwTuA16W5S8H/nnQbRrWdg1jm+r0Xg2yTU7V0/BW3GnQjk3kHwv8KPf6h13zDwC+DHyQXMOazRsD3kan8Vma5a0vKf8zwOuy6Y8Ay3IfrFtycevo/IgcAmwlayCBReQa/Vx8474w+fcjsb3596r7/VgD/COdH5jubfpP2ft4Yi7vpz0+KxPZ9M1l25u9/n5u+t4e8ypt07C2axjbVKf3apBtcqqehrdiOJPOkd+X6AyWX5t9KH4CnJmL+ypZ45vLmwA+BrRK1n00nQb54u4PQy7mYOD/AnfT6eLYTedo9BvA03Nxb8vyNwBvAW4CLqFz5H9+Yr2N+8IA1wN/BRyRyzuCzo/Qjbm8O4GxrmVXAT8ANvR4nz4IHEj5j+ubszr8AfAO4H8CzwbeCVzeFfvPwPPodF1tAF6c5Z/Go3+wKm3TsLZrGNtUp/dqkG1yqp6Gu/LOEfGzgJcCL8umxxMflCNLlj+1z/pfALynT8yBwNOBk/Mf8q6YJcCSbPoxWV1PKYlt3BeGzl8X76PzY/BL4KGs/u8j1yUDvB84I1GnM8l1cyXmvwi4Gfh5j5jnAJ8Cvk/nx/I6YDVd3WrZe/kVOgcCT6FzfuJX2X79vUG3aZjbNctt+mW2Tad2xXZv1y+z7Xr/HL1XZ1V4r05PbNef57cLWFp1m5yqp71egbqlri/MQ10NwSG5uL3RuE3kYio1bLn4pwBnkJ1PyNc3Ebc8Eff8knUup9PNtRh4Wmqdfdabin1qlVjgFP69y+i3gb8EVpTs03zsCcB/rBh7IvBfUrEDlv/MqrGJZS+vGPexinGLgc8M8J2out5K9XQqT740fQ5Jel1EfGS2cZIWA0+MiHVV1zmb8tUZqfMmOj88S+mc9L06m3drRPxONv1m4Ox+cYOsc4axf0HnB7NXXc+n04c/Qeecxyl0usPOAL4SEe/OrbM79pnA1yvGJtc7y/J7xXaPhoLOX1tfBYiIs0riROfI+FFxg6xzluWXrtMGsLd/MZqUKOlPn2ncsGK746g4Uqdq3L4Qm8WNA/sBW4CDsvzFdI3+GUbsEMuvNFKKzl9fVUdUDTL6as7Ld6qe/IiwAUm6vWwWnb7sgeKGFTvIOumcV9gGEBH3SHoO8FlJx2bxg8btC7HTEdECtku6OyK2ZMvskNTuWucwYodV/jLgHDonsf9zRNwmaUdEfKMr7uSKcYOsc1jlW0VusAd3BPCHdE6g5An4pxnEDSt2kHX+XNLSiLgNICK2SXohnYuPTpxB3L4QOyVpv4jYTqfx6Gx85yrX7kZwGLFDKT8i2sBFkj6T/b+ZxPe4atywYgdZpw1gbx/i1y0BHwZ+v2TelYPGDSt2wHVWGqlTNW5fiCUb856IOZzc8MlhxQ6r/ERM35FSg8QNK3aQdTqVJ590NDOrCd+tz8ysJtxgm5nVhBtsM7OacINtZlYTbrDNzGri/wO7PnwTbd0pnAAAAABJRU5ErkJggg==", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "sns.heatmap(np.log(np.array(all['sag_exc_acsf'])[:,:50]))\n", + "plt.show()\n", + "sns.heatmap(np.log(np.array(all['sag_inh_acsf'])[:,:50]))\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": 69, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_asarray.py:102: ComplexWarning: Casting complex values to real discards the imaginary part\n" + ] + }, + { + "data": { + "text/plain": [ + "[]" + ] + }, + "execution_count": 69, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "from scipy.io import loadmat # To load .mat files\n", + "from pylab import * \n", + "# plt.plot(rfft(data[0]['spikeindices']*1/20000-np.mean(data[0]['spikeindices']*1/20000)))\n", + "plt.plot(rfft(data[0]['input_current']-np.mean(data[0]['input_current'])))" + ] + }, + { + "cell_type": "code", + "execution_count": 104, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "360.0" + ] + }, + "execution_count": 104, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "len(data[0]['input_current'])/20000" + ] + }, + { + "cell_type": "code", + "execution_count": 118, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "[1.+0.j 1.+0.j 1.+0.j ... 1.+0.j 1.+0.j 1.+0.j]\n", + "25001\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "# Import our favorite functions and modules\n", + "from scipy.io import loadmat # To load .mat files\n", + "from pylab import * # Import plotting functions\n", + "rcParams['figure.figsize']=(12,3) # Change the default figure size\n", + "# data = loadmat('matfiles/ECoG-1.mat') # Load the data,\n", + "I = data[0]['input_current'][:50000]\n", + "V = data[0]['membrane_potential'][:50000]\n", + "E1 = I # ... from the first electrode,\n", + "E2 = V # ... and from the second electrode.\n", + "dt = 1/20000 # ... to get the sampling interval,\n", + "T = len(E1)*dt # ... and the total time of the recording.\n", + "N = len(E2) # Determine the number of sample points per trial\n", + "scale = 2 * dt**2 / T # Scaling constant\n", + "\n", + "# Compute the Fourier transforms\n", + "xf = rfft(E1) # ... for each trial in E1\n", + "yf = rfft(E2) # ... and each trial in E2\n", + "\n", + "# Compute the spectra\n", + "Sxx = scale * (xf * xf.conj()) # Spectrum of E1 trials\n", + "Syy = scale * (yf * yf.conj()) # ... and E2 trials\n", + "Sxy = scale * (xf * yf.conj()) # ... and the cross spectrum\n", + "\n", + "# Compute the coherence.\n", + "cohr = abs(Sxy) / (sqrt(Sxx) * sqrt(Syy))\n", + "print(cohr)\n", + "f = rfftfreq(N, dt) # Define a frequency axis.\n", + "print(len(f))\n", + "plot(f, cohr.real) # Plot coherence vs frequency,\n", + "xlim([0, 50]) # ... in a chosen frequency range,\n", + "ylim([0, 1]) # ... with y-axis scaled,\n", + "xlabel('Frequency [Hz]') # ... and with axes labeled.\n", + "ylabel('Coherence')\n", + "title('Coherence between two electrodes')\n", + "show()" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "base", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.8.8" + }, + "orig_nbformat": 4 + }, + "nbformat": 4, + "nbformat_minor": 2 +} diff --git a/notebooks/STA.ipynb b/notebooks/STA.ipynb new file mode 100644 index 0000000..07aff85 --- /dev/null +++ b/notebooks/STA.ipynb @@ -0,0 +1,148 @@ +{ + "cells": [ + { + "cell_type": "code", + "execution_count": 7, + "metadata": {}, + "outputs": [], + "source": [ + "import os\n", + "import pickle as pkl\n", + "from typing import Set\n", + "# from jedi import settings\n", + "import matplotlib \n", + "import numpy as np \n", + "import matplotlib.pyplot as plt\n", + "from numpy.lib.function_base import append \n", + "import scipy.io as spio\n", + "from scipy.io import loadmat, savemat\n", + "import importlib.util\n", + "from sklearn import datasets, linear_model\n", + "from scipy.sparse import data \n", + "\n", + "\n", + "import pandas as pd \n", + "import matplotlib as mpl \n", + "import seaborn as sns \n", + "import matplotlib.pyplot as plt\n", + "\n", + "\n", + "from sklearn.decomposition import SparsePCA\n", + "from sklearn.cluster import KMeans\n", + "from sklearn import metrics\n", + "from scipy.spatial.distance import cdist\n", + "from matplotlib.ticker import NullFormatter\n", + "from sklearn import manifold, datasets\n", + "from sklearn.preprocessing import normalize\n", + "from sklearn.decomposition import PCA\n", + "from sklearn.preprocessing import StandardScaler\n" + ] + }, + { + "cell_type": "code", + "execution_count": 1, + "metadata": {}, + "outputs": [], + "source": [ + "import sys\n", + "sys.path.append(\"C:/Users/Nishant Joshi/Downloads/Old_code/repo/single_cell_analysis/scripts\")\n", + "from utils import loadmatInPy" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "metadata": {}, + "outputs": [], + "source": [ + "data_c1 = loadmatInPy('G:/My Drive/Analyzed/'+'asli_6-8-19_E1'+'_analyzed.mat')" + ] + }, + { + "cell_type": "code", + "execution_count": 62, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "I = data_c1[0]['input_current']\n", + "V = data_c1[0]['membrane_potential']\n", + "spk_ind = data_c1[0]['spikeindices']\n", + "sta = []\n", + "TIME = 50\n", + "for i in spk_ind:\n", + " sta.append(I[i-TIME:i-10])\n", + " \n", + "plt.plot(np.arange(-(TIME-10),0),np.array(sta)[:,:].T,c='grey',linewidth=0.2,alpha=0.3)\n", + "plt.plot(np.arange(-(TIME-10),0),np.mean(np.array(sta).T,axis=1),c='r',linewidth=4,alpha=0.5)\n", + "\n", + "plt.show() \n" + ] + }, + { + "cell_type": "code", + "execution_count": 63, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import random \n", + "random_list = random.sample(range(1000,len(I),100),len(spk_ind) ) \n", + "TIME = 50\n", + "rand_sta = [] \n", + "for i in random_list:\n", + " rand_sta.append(I[i-TIME:i])\n", + "plt.plot(np.arange(-TIME,0),np.array(rand_sta)[:,:].T,c='grey',linewidth=0.2,alpha=0.3)\n", + "plt.plot(np.arange(-TIME,0),np.mean(np.array(rand_sta).T,axis=1),c='r',linewidth=4,alpha=0.5) \n", + "\n", + "plt.show() " + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "base", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.8.8" + }, + "orig_nbformat": 4 + }, + "nbformat": 4, + "nbformat_minor": 2 +} diff --git a/notebooks/UMAP_Louvan.ipynb b/notebooks/UMAP_Louvan.ipynb new file mode 100644 index 0000000..29d7200 --- /dev/null +++ b/notebooks/UMAP_Louvan.ipynb @@ -0,0 +1,3034 @@ +{ + "cells": [ + { + "cell_type": "code", + "execution_count": 297, + "metadata": {}, + "outputs": [], + "source": [ + "#@title imports \n", + "import os\n", + "import pickle\n", + "from typing import Set\n", + "# from jedi import settings\n", + "import matplotlib \n", + "import numpy as np \n", + "import matplotlib.pyplot as plt\n", + "from numpy.lib.function_base import append \n", + "import scipy.io as spio\n", + "from scipy.io import loadmat, savemat\n", + "import importlib.util\n", + "from sklearn import datasets, linear_model\n", + "import matplotlib.pyplot as plt\n", + "from scipy.sparse import data \n", + "# from plotnine import ggplot, geom_point, aes, stat_smooth, facet_wrap\n", + "# from plotnine.data import mtcars\n", + "import pandas as pd \n", + "import matplotlib as mpl \n", + "import seaborn as sns \n", + "import matplotlib.pyplot as plt\n", + "import pandas as pd\n", + "from sklearn.decomposition import SparsePCA\n", + "from sklearn.cluster import KMeans\n", + "from sklearn import metrics\n", + "from scipy.spatial.distance import cdist\n", + "from matplotlib.ticker import NullFormatter\n", + "from sklearn import manifold, datasets\n", + "from sklearn.preprocessing import normalize\n", + "from sklearn.decomposition import PCA\n", + "from sklearn.preprocessing import StandardScaler\n", + "\n", + "from sknetwork.clustering import Louvain,get_modularity\n", + "from sknetwork.data import karate_club\n", + "import sys \n", + "sys.path.append('C:/Users/Nishant Joshi/Downloads/Old_code/repo/single_cell_analysis/scripts')\n", + "from sknetwork.clustering import Louvain,get_modularity\n", + "from sknetwork.data import karate_club\n", + "from UMAP import *" + ] + }, + { + "cell_type": "code", + "execution_count": 298, + "metadata": {}, + "outputs": [], + "source": [ + "\n", + "def plot_UMAP_clusters_single(data_inh, neighbours,distance,labels, res_louvain, random_state, c_list ,use_kmeans=False,save=False):\n", + " \"\"\"plots UMAP for excitatory and inhibitory cells \n", + "\n", + " Args:\n", + " data_inh (ndarray): cell X feature matrix for inhibitory cells\n", + " data_exc (ndarray): cell X feature matrix for excitatory cells\n", + " c_exc (array): _description_\n", + " c_inh (array): _description_\n", + " neighbours (int): number of neares neighbours\n", + " distance (float): minimum distance between points\n", + " \"\"\"\n", + " data_umap_scaler = StandardScaler()\n", + " data_umap = data_umap_scaler.fit_transform(data_inh)\n", + " data_umap = normalize(data_umap)\n", + " \n", + " neighbours = neighbours\n", + " dist = distance\n", + " reducer = umap.UMAP(n_neighbors=neighbours,min_dist=dist,random_state=random_state)\n", + " mapper = reducer.fit(data_umap)\n", + "\n", + " fig = plt.figure(figsize=[8,8])\n", + " ax12d = fig.add_subplot(1,1,1)\n", + " \n", + " louvain = Louvain(resolution=res_louvain,random_state=random_state)\n", + " adjacency = mapper.graph_\n", + " labels_exc = louvain.fit_predict(adjacency)\n", + "\n", + "\n", + " clusterable_embedding2d_exc = umap.UMAP(n_neighbors=neighbours,min_dist=dist,\n", + " n_components=2,random_state=random_state,).fit_transform(data_umap)\n", + "\n", + "\n", + "\n", + " df_2d_exc = {'UMAP1':clusterable_embedding2d_exc[:, 0],\n", + " 'UMAP2':clusterable_embedding2d_exc[:, 1],\n", + " 'class':labels_exc}\n", + "\n", + " ax12d.set_xticks([])\n", + " ax12d.set_yticks([])\n", + "\n", + " sns.scatterplot(data=df_2d_exc,x='UMAP1',y='UMAP2',hue='class',palette=c_list[1:len(set(labels_exc))+1],ax=ax12d)\n", + " # sns.scatterplot(data=df_2d_exc,x='UMAP1',y='UMAP2',hue='class',ax=ax12d)\n", + "\n", + " # ax12d.set_title('UMAP clusters for excitatory neurons 2D')\n", + " ax12d.legend()\n", + " if save:\n", + " plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/exc_umap_cluster.png',dpi=200)\n", + " \n", + " plt.show()\n", + "\n", + " return labels_exc" + ] + }, + { + "cell_type": "code", + "execution_count": 299, + "metadata": {}, + "outputs": [], + "source": [ + "pal = [\"#00202e\",\"#003f5c\",\"#2c4875\",\"#8a508f\",\"#bc5090\",\"#ff6361\",\"#ff8531\",\"#ffa600\",\"#ffd380\"]" + ] + }, + { + "cell_type": "code", + "execution_count": 300, + "metadata": {}, + "outputs": [], + "source": [ + "\n", + "waveforms = pickle.load(open('G:/My Drive/Cluster Feature files/waveforms.p','rb'))\n", + "waveforms_exc = np.array(waveforms['exc'])\n", + "waveforms_inh = np.array(waveforms['inh'])" + ] + }, + { + "cell_type": "code", + "execution_count": 301, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "[]" + ] + }, + "execution_count": 301, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "plt.plot(np.mean(np.array(waveforms_inh[:,:169],dtype=np.float64),axis=0))\n", + "plt.plot(np.mean(np.array(waveforms_exc[:,:169],dtype=np.float64),axis=0))" + ] + }, + { + "cell_type": "code", + "execution_count": 302, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "(886, 172)" + ] + }, + "execution_count": 302, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "waveform_combined = np.concatenate((waveforms_exc,waveforms_inh),axis=0)\n", + "waveform_combined.shape" + ] + }, + { + "cell_type": "code", + "execution_count": 303, + "metadata": {}, + "outputs": [], + "source": [ + "df_waves = pd.DataFrame(columns=['waveforms','condition','exp_name'])\n", + "for i in range(len(waveform_combined)):\n", + " df_waves.loc[i,'waveforms'] = np.array(waveform_combined[i,:169],dtype=np.float64)\n", + " df_waves.loc[i,'condition'] = waveform_combined[i,170]\n", + " df_waves.loc[i,'exp_name'] = waveform_combined[i,171]\n", + "df_waves_acsf = df_waves[df_waves.condition.isin(['aCSF','ACSF'])]" + ] + }, + { + "cell_type": "code", + "execution_count": 304, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAcwAAAHBCAYAAADkRYtYAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAABlkElEQVR4nO3dd5wdVf3G8c+UW7f33eym90IIIfQm0qQqvYnS8QcCiiigdBQBBaVIExBRERWkSJMmvYYSSirpbXvfvW3K748NN1l2E26S3WxInvdf3DNzZ87si82zM3PO9xi+7yMiIiLrZg50B0RERL4OFJgiIiIZUGCKiIhkQIEpIiKSAQWmiIhIBhSYIiIiGbDXtbG4uNgfNmzYJuqKiIjIwHv//ffrfd8v+XL7OgNz2LBhTJ8+vf96JSIispkxDGNxb+16JCsiIpIBBaaIiEgGFJgiIiIZWOc7zN6kUimWLVtGPB7vj/70mXA4TFVVFYFAYKC7IiIiW4D1Dsxly5aRk5PDsGHDMAyjP/q00Xzfp6GhgWXLljF8+PCB7o6IiGwB1vuRbDwep6ioaLMNSwDDMCgqKtrs74JFROTrY4PeYW7OYfmFr0MfRUTk6+NrO+jn2WefZezYsYwaNYrrrrtuoLsjIiJbuK9lYLquyznnnMMzzzzDzJkz+fvf/87MmTMHulsiIrIF6/fAfLj1BbZddBzFn+/DtouO4+HWFzb6mO+++y6jRo1ixIgRBINBjjvuOB5//PE+6K2IiEjv+jUwH259gR/V3cgypxYfn2VOLT+qu3GjQ3P58uUMHjw4/bmqqorly5dvbHdFRETWql8D85rGe4j5iW5tMT/BNY339OdpRURE+ly/BuZyp2692jNVWVnJ0qVL05+XLVtGZWXlRh1TRERkXfo1MCvtHqujrLM9UzvssAPz5s1j4cKFJJNJHnroIQ477LCNOqaIiMi69GtgXlZ4OhEj1K0tYoS4rPD0jTqubdvcdtttHHDAAYwfP55jjjmGiRMnbtQxRURk8+N4PkuakyxqTFDXniTueAPWl/Uujbc+jsrdF+h6l7ncqaPSLuGywtPT7RvjoIMO4qCDDtro44iIyOaptj3Fnz9s4v73GzEM+P52BYwpDjGmOMz40vAm70+/BiZ0hWZfBKSIiGxdXlnYzj8+buKoSfnkh02mL49RkRNgfkOCwohFWc6mXVzja1m4QEREtmye7/P2kg7O2KGI5z9v4453GgjbBlV5AWzLoKY9tcn71O93mCIiIuurrj3FHsOy+dmzK/FXtb26qAPbNGiOuzTFXG49tJKxJZvu0azuMEVEZLMzryFJp+Onw/ILLy9sZ/9ROSxsSnLj63XEUu4m65MCU0RENjt5IYtALwlVmRugLLvr4egbiztY0JikJe5skj4pMEVEZLOTEzYJWAbbV0bSbZYBJ04pYFFTEoDJ5WGem9fGKQ8vYU5d/69//LUMzFNPPZXS0lImTZo00F0REZF+MCgnQHvCY3xJmPN3LeacnYs5b9cS/v5REyOKgpywbT4Hj80lbJt8UpPgqpeqaU/07+PZr2VgnnzyyTz77LMD3Q0REekntmVQmWcztjjEAx828Ye367njnXrO3LEIPJ+dq6IMybfThQzeWxajrqN/H832+yjZZP3fiS+7DD+5FCM4mHDVNQSLj9+oY+65554sWrSobzooIiKbnU+r45z/5AoOHJPD5XuXYVsGtgnNcY8n5rQRdzwOGZvHxLKuUbKDcmxyQv17D9ivgZms/zuxRf8HXicAfnJJ12fY6NAUEZEtU3Pc4f3lncRSPv/+rBUDg5m1cQ6bkMdvXq3FWzV09o3Fnfz2wAqiNvxy/wqKs/q3kEG/xnF82WXpsEzzOrvaRUREerG0OUl2yGSfkdkADMoN0JbwmFuXSIflF/71STOPnzSC3YZm9Xu/+vUO008uXa92ERHZevm+z/LWFNe+XMv05THO2KGQwmg+YdvA8X2sXm7xApZJZV4Q0zD6vX/9GphGcDB+ckmv7SIiIl9Y2Jjgs5o4Actgbn0CgD++18jgvADblhdRErUYWRgiYEJqjQVLTt2+kIDV/2EJ/fxINlx1DZjRL50x2tW+EY4//nh22WUX5syZQ1VVFffee+9GHU9ERAbOrNoYd7/bwMXPreSa/9Vw+g5FTFy1GsnSlhRXv1jNRXuWAj5X7lvOcZPzOWJCLn85egg7DY6u++B9qF/vML8Y2NPXo2T//ve/90X3RERkgK1oTfDYzFYe/qwFgJp2h5ter+PCPUr4rLarGME3R+Vw3at1tMZdrt6vnMMn5G+yu8o19fu0kmDx8RoRKyIivZrfkOLJ2a092ps6XXKCJnuPzOasHYtwXJ/csEVVXnAAetlFq5WIiMiAqelIUZFjU/ulogNVeQEeOXEYg3IDhOzNo8bO5tELERHZKpVGAxwyLq9bofXhBQGmVUUYXhjabMISdIcpIiIDaNtBEZa0JDhv1xKSrk9B2GJaVZRxm3Cdy0wpMEVEZMDkhS1OmFLIkuYUKdejKi9AJGANdLd6pcAUEZEBZRoGwwoGbjBPpjafh8PrYenSpey9995MmDCBiRMncvPNNw90l0REZAv3tbzDtG2bG2+8kalTp9LW1sb222/Pfvvtx4QJEwa6ayIisoXq98B8YlYLN75Wy8o2h4ocm5/sUcph4/M26pgVFRVUVFQAkJOTw/jx41m+fLkCU0RE+k2/BuYTs1r4xXMriTtd5eVXtDn84rmVABsdml9YtGgRH374ITvttFOfHE9ERKQ3/foO88bXatNh+YW443Pja7V9cvz29naOPPJIfv/735Obm9snxxQREelNvwbmyjZnvdrXRyqV4sgjj+TEE0/kiCOO2OjjiYiIrEu/BmZFTu9PfNfWninf9znttNMYP348F1xwwUYdS0REJBP9Gpg/2aOUsN29onzYNvjJHqUbddw33niDv/zlL7z00ktMmTKFKVOm8PTTT2/UMUVERNalXwf9fDGwp69Hye6+++74vv/VO4qIiPSRfp9Wctj4vD4bESsiIjJQvpaVfkRERDY1BaaIiEgGNigwvw7vD78OfRQRka+P9Q7McDhMQ0PDZh1Ivu/T0NBAOLz5racmIiJfT+s96Keqqoply5ZRV1fXH/3pM+FwmKqqqoHuhoiIbCHWOzADgQDDhw/vj76IiIhstjToR0REJAMKTBERkQwoMEVERDKgwBQREcmAAlNERCQDCkwREZEMKDBFREQyoMAUERHJgAJTREQkAwpMERGRDCgwRUREMqDAFBERyYACU0REJAMKTBERkQwoMEVERDKgwBQREcmAAlNERCQDCkwREZEMKDBFREQyoMAUERHJgAJTREQkAwpMERGRDCgwRUREMqDAFBERyYACU0REJAMKTBERkQwoMEVERDKgwBQREcmAAlNERCQDCkwREZEMKDBFREQyoMAUERHJgAJTREQkAwpMERGRDCgwRUREMqDAFBERyYACU0REJAMKTBERkQwoMEVERDKgwBQREcmAAlNERCQDCkwREZEMKDBFREQyoMAUERHJgAJTREQkAwpMERGRDCgwRUREMqDAFBERyYACU0REJAMKTBERkQwoMEVERDKgwBQREcmAAlNERCQDCkwREZEMKDBFREQyoMAUERHJgAJTREQkAwpMERGRDCgwRUREMqDAFBERyYACU0REJAMKTBERkQwoMEVERDKgwBQREcmAAlNERCQDCkwREZEMKDBFREQyoMAUERHJgAJTREQkAwpMERGRDCgwRUREMqDAFBERyYACU0REJAMKTBERkQwoMEVERDKgwBQREcmAAlNERCQDCkwREZEMKDBFREQyoMAUERHJgAJTREQkAwpMERGRDCgwRUREMqDAFBERyYACU0REJAMKTBERkQwoMEVERDKgwBQREcmAAlNERCQDCkwREZEMKDBFREQyoMAUERHJgAJTREQkAwpMERGRDCgwRUREMqDAFBERyYACU0REJAMKTBERkQwoMEVERDKgwBQREcmAAlNERCQDCkwREZEMKDBFREQyoMAUERHJgAJTREQkAwpMERGRDCgwRUREMqDAFBERyYACU0REJAMKTBERkQwoMEVERDKgwBQREcmAAlNERCQDCkwREZEMKDBFREQyoMAUERHJgAJTREQkAwpMERGRDCgwRUREMqDAFBERyYACU0REJAMKTBERkQwoMEVERDKgwBQREcmAAlNERCQDCkwREZEMKDBFREQyoMAUERHJgAJTREQkAwpMERGRDCgwRUREMqDAFBERyYACU0REJAMKTBERkQwoMEVERDKgwBQREcmAAlNERCQDCkwREZEMKDBFREQyoMAUERHJgAJTREQkAwpMERGRDCgwRUREMqDAFBERyYACU0REJAMKTBERkQwoMEVERDKgwBQREcmAAlNERCQDCkwREZEMKDBFREQyoMAUERHJgAJTREQkAwpMERGRDCgwRUREMqDAFBERyYACU0REJAMKTBERkQwoMEVERDKgwBQREcmAAlNERCQDCkwREZEMKDBFREQyoMAUERHJgAJTREQkAwpMERGRDCgwRUREMqDAFBERyYACU0REJAMKTBERkQwoMEVERDKgwBQREcmAAlNERCQDCkwREZEMKDBFREQyoMAUERHJgAJTREQkAwpMERGRDCgwRUREMqDAFBERyYACU0REJAMKTBERkQwoMEVERDKgwBQREcmAAlNERCQD9kB3YGviJVbgeZ2Ydj5moHiguyMiIutBgbkJ+L6H0/Y6fnI5XnIFpl2EEZlAIGfHge6aiIhkSIG5CbixWXiJBcQX/wS8NgDs3P0xBl+HnTVpgHsnIiKZ0DvMTcBP1ZOqvTsdlgBO63N4sY8HsFciIrI+FJibgGFYuLFZPdr9VP0A9EZERDaEAnNTCFZh5+7To9kMjx6AzoiIyIbQO8xNwA4Pg4oL8VM1uB3vgBEmVHkZVu5uA9012cS8xFLcjg/xvVbMyESs6DYYhn4NRb4O9Ju6idg5OxMZ/QheYiGGlY0VGY9h6AZ/a+ImltA57xi8+BxCFT/Biy/GaXkOK3sXrOxdMM3AQHdRRNZBgdmP3Nhs3M4Z4HtYWVOwIuMx7QK8xOe4HR+CmY/vNeC7MUw7f9XdhkJ0S+S77XidnxHIPwgj+AMSyy7Bd5oJVl0FnoPb+Ql+sAorWDrQXRWRtVBg9hO342M6Zu+H7zaBEcLK3Y9w1TU4Lf8hsfwa8FOYkW0Ilp6JF5uJa5fgJRYSKPg2hmEMdPelD7mJxcSX/Ayn6VEA7ILDCRQcg110FF6qCd9twk+tAC+GGSjCMKwB7rGI9EaB2U9Sjf/C9zoID74RrAi4bXjJhSSWXQW4AHixT3BansfK2RW35UW8jvcwg4Ows1XQYEviND2RDsuuz48SGfMf/GQNfnwW8ZU3gJ8AK5/oiHsIFBw2gL0VkbVRYPYTNz6bUOUVJKpv7Lp7AIzQCEKDLiax4lfp/ZzWF7GypmJGxmFYebidnygwtyC+75NqeqyXLSb47av+X/C6mtxmYovOwYpuhxkavAl7KSKZ0AuzfhIoPg0vsTgdlgB+YgG+24xhr35PZUUn47a/g2EXAj5+qh43sXQAeiyZ8lJ1OG1vdY12dTvWua9hGNi5e/dsN3PxUnWkw3IVP1WDl6rty+6KSB9RYPYTK3sXvNinPdq9+CKM4CAADKuAQOFROC3/BQw8N9417cSLb+Leiu97uB0fkWx4iFTTU3jJZT33cTtw2j+gY9YBdMzai/bPdiK25ELc+BJ831nrsQOFR2NGVpdANKNTMQJlmOFRQPf31YZdghEoxU0sxun4GKfzM9z4gj67ThHZcHok20+sQB6BwmNx29/s3p6zG4HwaLzOj/D9BPFlVwIeZmQCbsODGGYOZmjIgPR5a+W5HXidM0l1zsOODMdPLSfV/CxWZAJW1jQwLNy29/ANCy+xADtvT1LOSnyngVTdvVhZ24MPVtY07OwpPY5vRcaSNfZp3NhsDMPAjIzDDJSR8hOEqq4lsfwy8B0ws4gMvxun5UXcVe+zMUKYwcE4ra8SKDwc087b9D8gEQEUmP0qUHAobufHpOr/BIZJoPgUzNAwDCOAl1hAqvFhDLuU0NAbSVTfiZ01iWDZ2RhmaKC7vtVw2t4iXvNHAtlT8VpeJNHYiZ23D15iKU7L8wRKTsePz8H3kzjNT+O2vYphlxAs/xGphofwYp/hdryP2/YGwZJTwMrCjvSs4GQGyzGD5d3aAtGx2OHh2Ll74TsNmOGRuIkVuO3/xovPJ1X3x1V7GoQH34DbMR0zr2fFKBHZNBSY/cgMVREZ9nvsgoPwYrNJtbyEGRxEYsWvMCMTCZX/CM9pJFn7ANGR94Hv4La/2zWZPToFK2sqhhkc6Mv42nPji7ru6L0YVnQSVnSbVe3z6fz8OELl5xFfciHgYQQqMQoOxkvMx0+twE+tINX0OIYRwG17FQDfqSOx7HJCVVeRWHY5ZnAQqfg84ssuJRqZCL0E5toYZhA7e1r6c6rhn5ihEaTq/7LGXj6J6t8RHnxtX/w4RGQDbZWB6aaa8NrfxG1/FzM8Eitnd6zwiH45l2GGcNveIFl9U1dDzo7gO3idM0h0zkjv56WqiS04BT8x/4tvEh3zGIH8A7sdz0suw2l7Gy+5GCsyGStnJ0wrt1/6viVw45/TMefQ1T9XI0zWuGexc3bFjc3setwam8MXg2+CZT8gvvQS8FMYgXLc9jexs3chsfL6Lx3ZBy+GXXAkXnwh4ILv4m/kgB0jUIKfXN6j3U+tBLtwo44tIhtnqxv048YW4LY8j5dYjBmdgJuqpXPB6Xj9ODLVzt1z9Qej5+NWwy4Et32NsATwiS+5BM9pTLd4yWo6559CbP4JJJZeQufcg0nW/BHf9/ut7193Tuur3X+ufpz4imvx3RiGmQ2+u0YtVwvcDvBTXbu6rZh2MV6qGiM4tMexzdAIrOwdSTX8Nd1mbOT7ZyuyDYZdzJd/Ne28/VWsX2SAbfF3mKnYfPzEPLz4XMxAJb5TR3zxeau2GoQqryJYfCJubGa/zX2zsnchNPgGEsuvwm1/m0DRiaQa/pbuQ3jorXiplen97fxDsbKmADa+05K+s3A73sNte6XbsRMrrsbK2g7DDGJFt8OwsnBjc3A7PsD3U9jRbbGytu36fmIxuB0YwSpMe8u+K/VSDbgdH+DF5/bY5sc/x/djmFlTsHJ2xwyPBCPYFZRrFkL3OgETp2M6ofKziS/9edfgHMDKOwAjOJzEwh+kdw9WXISdtf1G9duKTMRL1RAZcR/xZZfjJ5diF3yH0KCLscMjN+rYIrJxtujAdNrew2t/i/jSC9Ntdt7+2AWHr6q84pOo/i3BsvMxQ8P7rR+mXUCo/HwChd8BLwV2PsGSU/BStV2PhCMTcTs/AkyCZWfjdn7SVT4PcNpeIzLsNqzwCDy3vcexAwVH4qdW4iYW4KXqMYND6Jx7CL6zaq3NVY8gvcQiYkt/gR2djBEcQaj8TKzI+H675oHkeymSNbeRWHkDkWF39dhuFx6FueqPkHDVNTgdM4iMuL9rQE9oGEagDD9VA0Ci+kaiox/FSzUQGflQ1+CcQBlW9jQMK5/sie/gJhdi2mVYkYkYVtZG9d2wcwjk7oMbn01kxH0YZjZmeBymHd2o44rIxttiA9PpnI3T+hyJ6t93b295jlDlFatLlbmtGDgYZrhf+2MYBlZo2OqGQEm37VZ0O6Jjn8Jp/V+3u0jDDOK0vkKi5lbM4FBCgy4lseLXgItdcAReqobYglPS+4cGXY5h5a0OTD9OovpmjEAlofIf4yeX4DnNXe9BPQM7PAzD6t9r729dBQAMzEBx1+fEAhIrb8AMDceJzSZUeRnJmjvx3RYCRceBEcJ3OzGsKFZ4xOr310VHAWBnT8NpeQkvtQI7dz/s7J3ACICf7BGIVtZkrKzJfXo9hp3dbSCQiGwettjA9GIzu/6Rc1t6blz1jgq6FnH2vFjXY7kBZJgBAnn7kKy+Od1mhkZghkYRX3TWGm2jCVX9kmT1jdi5exNffG634ySqf0Oo7IckVv4m3eYlFhEuPYtU479w29/CimyD79Tjxz8h3vAnQhU/TYfNpuL7Pr7XjmFmbfAKLV6qiVTTI6v+gLAIV12OnX8ovpcAP4WXqsYmRbLmTwQKjwIrC6f5aSwzCuv4A8mKTMCKTOhli5bfysSyVA3T47NY4dSxTWgU24XGkm3pDlm+/rbYwDTMAF6qGitrZ9yOt9fYEASz6y7BjEwiWHoGVnRbzEDZAPW0OztvX5yWZ7v+u+BwkrV3dNvuJeZhBkoID/sDvtPa8wBerOsa1xAsOYVE9c3gx7Hz9sOLzSRZezehqitI1f8FO3dvzPxvZdQ/343hxueB72CGR27QRHo3NpdU87OAgxmoxIhOBbeBrrvEMnynHsPKxgyPWuviyh1eDKPlv6QWnZ1uiy04lejoR7Fy98TK2x+35TkMw8awi9I/RyNQRqj0DC2j1k8+TczngtqbeD8xK912ffF5jAgMImAEqLCKGRIsJ2jojw/5+tliA9OMTsZd/htCgy4kWXs7butLmOHRBEvPxghvQ9b4V8CwMQJlWJtRZR07/xCspsdx217DMALgJXrs4yUWkqz9I+Hhd4CZDd7qd5tW1s5YOXtihB8Fp5ZgxU8xo9sRMCLgx/BT1dj5hxIoGwFuEt9P4cZmEviKwPS9OG7HR7gdH+G7DSTrH8AMVBGqvBQ7exqGlZPR9XlOI8n6v+CnVpJqepToqH+Sqr4ep/kpzPBYghUXYASGkGp8CtMKEiw5tcdj0JmJBfyz9Tl+XP9Ij+MnG/5OVsHBRIb+nmTtH0k2PEyo/DyMYCWGEcSMTMAKD8uor7J+Pk3M54WOd7qFJcAvG+/lT2VX8Lumv1HvNnNS7sFMDY1lu/A4TP3hIl8jW2xgWqGhREbcidP2FoHC47Bz9sJL1XQVPncbMbN23+SPITNhhUcQHf1PvNhcMIJ4TsMaFV8AKx8r7wDCkYmYVimRUf8gufxq3Nin2LnfxMrekc7PjyM65gms0BDMYAWpjs/wEnNIrvxt+jDBsvMxo1MIFh2H9RXTFXwvQbL+n/hODb7TgGFlEa66lviSC3Gan8bzfEIF38zo+rzYfAwjQLL+AUJVvyZZfStOy9MAuO1vEpv/EeGqazCtMJ7bjts5Aztn1/T3G50WflBzLS4ePwiUE/nS8c3Q0FU/x1GEB/+aUMXPMKwcFYDoZx/H5/F85zsk13jd8YV2r5O34x/zSuwDAC6uv5Uri85kfmoZu0a2pWozeboj8lW22MAEsKMTcDs+wml+GjNY2TUBfcUv8eKzMMNjiAy/u9s/xpsL0y7CzNml678DpZjhkaTq/4oV3ZZg+bnYWVPT+zptb2EEyglm74jb/g6JZZcB4HXOAK8NcMGpJ7nyd93Okay5lcjIBzAC5VhZ615OzIvNxXdqSCz7xeo+hkcTqvoVyerfELDLcEOlWNFJ6zhKF8PKXjUiGMzQMBLLLvnSyTrxnUYSK35FqPJqvFWjVb+w1Knhs2RXMfJ5ed9lcvMTXY+hAcycrneVX5zLMDECRV/ZJ9k4MS/BtY33MSU0FtMwiRphOv3VCwgcmLUrb8U/6fadP7X8h50ik3io7Tn+WHYpRXb+Ju61yPrb8p+HOPU4Tf8EUsSXXogX73pc5MXn0jn3cNz4woHt31cwQ4MJV1xA9oRXiYz4Y7ewBMDKw2l9gWTNrbgd76abfaeezjkH0f7ZrvhuE18sWr2ah+924ra/jxlc91/4nttGsvbO7m3xeeC1YUUnk2p4gNiiH+E5vQyw6uV6rMjEVZ9SXY+Uv8ywAEjW3IZpV3TblGVGCK16/3Va2394Y+j11FRejjH0VrInvIydtd1X9kH6VovbxnvxmXh4PNz6ApcWnca08ASKzDxOzj2Ew7P25o3YjG7fyTajxLw4r8Q+YEZiHrFeXj2IbG62+MD0kosAMKzc9Ny6L/huE27sk16+NTA8tw3f7X1pL8PK7jEAxkuuIFn7J4Klp3ffNzgU320GwE9V4yUWr6oes+bxCvDdZqw1qxCthWFlrZ6msgbfT2BGJuDFZ+O2v4qX+Oo/Pgwru2seZGgMieo/EKq8tNt2K2saXmJR1wczghEa1G378MAgrig6E4AGr4WTWv7J7XYYv/jEdI1Y2bQKrDz2ie7IXc2P8L28Q/ggPpupoXH8rvQnnJV3JMudOvLN7u+4T807jOc73wHg3fhn/F/Nr/k8qXVgZfO2RT+SBbCyd4Wa2wCzq4pLt3ULzV4H1WxqXqqeVNOTJGtuxbBLCA+6GCt397WOEP2C2/4WqdqbsfMPJlR5JV7ss67yaVY+iWU/T++XWHENkeH3kVh6Sdco29AogoMuIVn/INHht31l/8zIBILF3+9+l2nYmHYpsYWnpj9nusqKnbUtWeOfxe38DIxsIqP/jRf7DHwPP7WcZO3dAIQrr+wxIMsyLE7MOZBtgqNY5Kyk3CpicmgUeVYvd6qySYTMABcUnMjs5EKubLiLsYGh/F/+kewamUyBlUvST5FrZTEvuZhOP8FukSnc0fwv4n6ScquIdr+T/3S8SsCwua30Z4T0vlk2U8a66pBOmzbNnz59+ibsTt9z4wtJ1f+ZVPOL2Lm7kFyjkEGw/ELMnG8QzN93QKYZuPEFuO3v4CWXkli25p2WRdb4/2Hn7LzO78eW/oJker6l1TWX1IxihceRanxo9Y5GmMiIv+G0PIaVvUdXsPkuVu43sEJVmfU1sYRkzV2k6u/HCA4mWPYDEsuvxV91Bx+q+Dmhyl9gmBs+XcBpexen9UW81AoCBYdiZ+2CYWc2+lYGXqPTwkJnBVEjzMhgVbepI51enBavnaTrcF/b4zzZ/hoTQyPYITyR6xr/RNxPYmLy/tC/MCSw+jG847vYqx7Ri2wqhmG87/t+j+ohW/4dZng4FH0XO+8APLedcHAwfqoeK2cX3M4ZpFZcgdv0L4KlZ2Jn77DJ+uUlV5KsfxAzUIrT/N8vbXVx2t/4ysC0omu+r3Px4nMJlJyKGd0BGv8B+IBJZNgfsHP3wgwW4iUWYQYqMLOmYK7HQAsrNITw4F8SKv9h1zxWtxXTysaNze1aiix7p40KSwA7Z0fsnHUPQJLNV6GdR+Fa5uVGzTBRMww2XBE8gwOiO3Npw+081fB6ep+hdjlRo2vc86eJ+fyt9Wk+TMzluJz9OSBrFyrszW9Uu2xdNpvA9FJ1eMlasPKww5nd9WTKiozCaW8kueJa7PyDMCJjSTU+Qqr+zwC4He/jND1BdPzL2NH+r6/qOS2kGh8mVXsHvp8kWHJa1/SPxn+m9zHMr65JaufsSqDoeFINfwfADI8nVHY+Znh414LIyRWYwSrMyHgMM4gZ2A1ydtvgfhuGgRFc9de/nYsZOkq1b2Sd2twOWr0Oiq0C4l6cRc5KWr0OCqxcKq1SZjAPAAuT60vOo9jOZ0FyOUcsv5AGr2sQ2Xvxz1iYWs5lRWfoblMG1IAHpu97OC3PEVv8I/zEAqycvQlVXIARHIodHddn5zGsAtzOD3A73iEy8kFSDQ9274fbhNvx/iYJTLftdeJLfpL+nKy+iVDFxauLEFj52Dm7f+VxzOAgwsNuI1h2LvgJzPCodMUiK2s7LI0YlU2kwWmmxeug1CpIl8F7J/Yp78Vn0u510uA0sXN0Mjc3PcRukW0pNHM4u+AYTs47hGavndGBIUwMddX0nZlckA7LL9zV/G9Ozj2U4cHKTX5tIl8Y8MD0YjPpnHdkur6r2/Y/En4nwfKLoA8D0wyPJDzkJuKL/q9r4I8RWL2c06qBQEYvk677mhtfQHKNO8kvOK0vEaq6CnyHQN6+Gc1pBDCtHEwV6pYB4vs+r8U+4pK6W9k/a2eKrDzGBYfh+z6fJD6nIlBCvdNEZaCUJ9peZWignLtb/s200HiSvsP5Bcf3qDNr9TJ43zJM5iWX8u/2/7FLZDJTQ+MIrxoc1O52Mj+1DBePEjOfeq+ZEqtABRGkzw14YHbVJe0eVG77O/jJhTjxxdjhngv3bgjDMAkWnYAV3QbPjROqurprwrvbCmY2XmolvhHAaX8fK2vbrxyhuja+24Ebn4PvNGKGhmOtUdTdjS+k8/Pju1a/+HL/QsOx84/EDg/qsU1kczUvuYTjV17CTwq+yz0tjxEygpyZfwSX1d+BT9eAwrPzj2awXU5+NI8Vbi1Pd7zB9MQs9o3uRIvX3iMwJ4RGUGWXscxZPQ3s1Lxv84emf/J64iMA/lJ+NQdl786yVA1X1N/Fa7EPubX0Z1zQeBOfJD+n0irh1rKfMTYwlFK7UCX4pE8MeGCadkGPNsMqwPdSeInF+IESjD5a6cCwItjZO+J7KeJtL5FccW16m114NGagnFTz03jJJZiB8q51CAM9+7c2ntNCYuVvSFbf1FUA3bCJjvoHgbx9urZ3fozX+SFG4eEYdvHquY1mFnbuPpjWuqdl+L4DWBiGsd7XLtIfFqSWU2jlsdSpocZt5McFJ/CrhnvTYRnAJmqEWeyspMZpZHJoNLtFtuWN2AxK7UKKrfwexxwaqOCB8qt4puMN5iQXs1N4EgtSyxkWGsQO0Qn8rulBrm64h10ik3ml8wMe63iZ20p/xjWN9zAruZAKq5hzCo7hlw33stSp4ficAzgl79Buo29FNsTAB2ZkmzUWdO4SLD8fMzya2OdHYo57HjtrSp+e04t/TnLlDd3anMZ/Ecg/jFTdPSRTKwGwC44gMvRGzAzfm7gdHwIeoYqf4XsdGHYJiepbMYJDsCOjwcwmVHExbvt7BEvPXPU42MPK2ZX48muxc3aDXkq5eU4LTutLJGvvxLBLCJX9H1b2LlpxQwZcvpVDhVXEklVFQUxMYv7quc0/yD+SB9ueZYVTl267sOAkhtuD2CW8Ta9zLhNeikfaXmRyaAzvxWdyVcMfSZLie7kHU2jmcXnRGViYuJ7Hq6vq0waNALOSXYUzTs47lCvr7yZJ15OrW5ofotlr44aS8wholRTZCAMfmIEiwkNvxi08Gi+5BMMuxLAHkWp9HbwOYO3zRDeU73V8qYBBFy+1En9VWAI4Tf/GLToGs/CIzA7stZNqfAR/jYo34apr8ZM1OG4j8eWX4XW8j5W9M4ZdQHzpLwgUnYDX+ARm9g4YX1pUOt2P5meJLThpjX49Stb4V7TIsAy48cFhbBMcTbGdz8ux6bR6HZRbRVS7DUDXdJI1wxLgTy1P8HjlTYwJ9f66ZXFqJcV2AYudlbwcex+Ak3MP5cPEbB5ofQroCuaJwZHsEpnMw+0vYmFSYObS5LXi+i57R6dRahfyaucHLHZW8mDrs5ye9x0mhgZ23Vv5etssblGsYDlGoAIvsQKn9S1i8w7BqbmOQPGpmKFRfXIO33fwUvW4iZV4bjNmpHsZNcMuwnd7ri/pxuZmdHwvWYOXXNEtLAGSdfeAadM599t4HdMBH7f9LZI1dxAedifgk6q7k2D+Qd3mRXYNDnqcVNvrJFZe/6WLSeG0/i+jfon0pzwrh58Xn8peke05L/84/t32Ej8p+C7DVtUANvyerw86/ThNbiuzE4tw/C/XOIZat4GrG/6Iu0b943K7iBmJeenPHh4/q7+ZXcKT2SsylRc63+XCwu9SZZcxOjiEWreR5zreYq/oVM7IO5x8K4dFyRW4vZxPJFOb9A7TSdbgJ1bgp5ZgBquwIhMwrK6JylZ0An5yGV7sY4zCYzGj22Dn7Y/ZB5Ve3NgcErX3YwbywIhihYYQLD0Tp/VFnJYXsaKTCRSfhNP6Wo/vZl6f1MN3Gnu2OvX4qZoe27zEAvzEovRcUHONdzlO29t0zjsK36nFLjgCu+A7mCVngGGQWHkLfvLzrrUyRTYDRVYeu0Yns3NkEifnHYqBwf5ZO/NRYi4hI0DECHV7THtszn78qO5GlqZquK7kXA6O7k5xID+9falTi4/P/OQypoUnMD0+k1QvT4SWpmqImmHuKb+cucnFeJ7HTSU/5sSVl5Kia/+/tD7NtcVn8+viH1LjNbIotZKRwb6d5y1bj00SmMlEPUbnW6SaHgMjQCB3P2Irfk+49LsE8g8Augb/BAq/gxufBF4CM1j5latoZMJzGoktvoBA3j7El18NXifYhUSG3YGVszd2/mEYVh5O2xsEy87GypqMn1xGqvFRAkXHYvUyorU3ZrACMzIGsFhzZZBgyemYwV5Gvhp2er9AwdGY4a47aTfZSLLuj/hOLRg2dv5BJFfegBefC2YWoaqrcNtnYOXutZE/GZG+ZRomQ9cYWPNefCZPdrzGr4t/yBMdr7LcqWOf6A60uO3MTy0D4KK6W0gUp9gvayeGB7p+T4qtrmpBj7b/j4sKv8++kR2ZEhrDTwq+S8xP8Ejbi9S4jRybsx/ldhEBw2bnVU+Mnm57nfMKjgPgjdgMdolsw9/bnuPjVXenu4encHPZTxmmAUCyAfo9MH3fw+h4g87Pj+GL95Gp+geIjvgriRXXYoZGY0W6JiwbZgg7OnEdR1t/XnwBVnQiiZU3dIUlECo7j9jCM8H9YnK0QXTsczjNj5GsvgXMCOHKy7ELj814kWk3vhjDLiM69iniy6/FT8wjUHwyodLTMewCAiVndFsIOlT2Y9zkSsJDbyGQfxCG1VXZx08tw4vNBiBQcATJ6tu6whLA6yCx5EKiox/VMlay2dszOpVmt5WlTg3tXifn5h3LFQ13ditKkMKh3m3iuY63OSu/a6zApNAozso9gtJAIR/F5/L9vEP4ZcM9fJSYS56Zzdn5R9Ppxvhu3sEEDJs2t4NZyUWsdOpJ+Sn+3fYSi5yVnJDzLRzfTYclwOvxj3i+423OyD98k/885Ouv3wPTTawkWXcv3Qbv+A6plmfBjK5aq7E/WRhmZPUjUTOna+krd/UvrWEX4bQ+v7qQuZsgvuQCouERWMGD1nl03/dwmp8htuBUfLcJI1BGZMSfMCPbYAZK01NAgmXnYYbHgtsAZgS3cxahQZf0rGbkJ7FydsfteA8re8du5fK+4MbnEODgDf6JiGwKRVYep+R/m8+TSwkYNgtSS0nS/dFqkAAGBq/FPkgHZoVdzOTwaM6pvZ7fFv+I6xrvp9Qq5KLC7+P5PgtTy/le7iGMCFbS6rbzm8a/cHvLvwCwsfhF0Wnc0vQQi52VzEst6dGv12IfKjBlg/T7oB/fi+P3VkHHT2KGRmIEyvv1/GZkLEZwOIbdNQLVMIPgdV9z0sreBaf5mR7fdTo/w/eS6zy+F59H5+fHp4PfT9UQm38S+Ilu8yXt6FiCRUdj5eyBFZlEuOqytZT+8zGsQgJFx+OlmjCCQ3rsYdiFX3XZIpuNUcHBXFhwEqfmfYdbSn5KjtE1rzpihPhp4Un8s+15dg+vfmLS5LZxU9PfACiwcpkYHEEKh+sb/8xvmh7gk8TntLodAMxKLkqHJYCDy+3N/+LonH2Yk1zMDuEJPfrzjcj2/Xm5sgXr9ztME5dg8cnEWl9co9XAzj8Ew8rDCvVvbUjTimJGJhIeehOxRefiOw2YwaF0/a3gAeCnVmKGR+OtWkzasIsJDfoZTvv7dMzel0DJaZjhsfiJBZjBwZjRyZirVmXwkkvB7x7AvtOAn1wGX1rL0QxWYAZ7f3fitL+Pl1iM0/IMhhnFjE7DsHIID/kNsfnfT58jUHQiVkQLJcvXi2EYVASK2Y6x3FhyAdVuPU1eK/e3PsmY4BB2i2y7xt5+uvCBj0+ZVcifW59Mb/0suYCXY9PZN2tHat2eA+3q3CZyzCzq3CbGBYexc3gb3o53/W7vG92J7UJ9V3JTti79H5iRUXheK5ERD5Cs+xOGGSBQchoExxLI7vnXX3+ws7bF8WJEht/dNXXEyiE6+t8kqm/Gd+oJlP4AKzwap+U58NoJlv2Q+LIr0+883fa3CVVehg/EFpxGaNAlhCp+hmGFMQOlrBm+XRcdTd/RZiLV+iqd847oCuPw2K6Rs2Y2ZmgoPjlERj2En1qOYeZihsdg9XEhB5FNZXCgjIWpFbzU+S6DA+WcknsYO4e3YZvw6uljBVYuPyk4iXNqr+PD+Bwa3OYex3k19iEzEwsYHhiEiYm3xu/f2OAwlqSqKbeKqHObOTn3EPaIbIdhGDS7bax06xntDu5Rkk/kq2ySBaR938OLL8DDxDBDWMFBA1LezU0sxndaMEOVmHYRvhvDx8G0uqauuLGZuJ2f4DtNxBef1+27hl1MoOR0DDwSK39D9sR3sLKm4HtJknX3EV98Pl3vaS0iI+4jUHRcRtfouQlii87AbXuLQOHh2Nm7E1tyYXphZoDomCcJ5O/fhz8JkYG1IlVHndtEsZVPZaC0x/ZWt4PXYh/yUMt/2Strey6qv6Xb9hNyvsX4wHC+mbUDc5OL+XHdTTR7bYwODObSotOZlVhIm9/JPS2P8sP8Y7mx6a8AZBsRTsw9iH2jO/LNrE23/q18vQzoAtKGYWJFRjHQK9lZoaGwRrlWw4qwZqRZkQlYkQkk6x/s8V2MIL5T1/X+0Azjuc1YdL0TDZacgp29M15qZdf6k+FxGf9B4Du1mHYpZsG3SdbeQ7L2j4TKzsM3LNyO9wiV/RA7Z4+Num6Rzc2gQAmD1lLZCiDXyuLg7N05OHt3FiaXs090R17sfBeAicERVNqlvJ+cRWmgkKNy9mFccBgfJGbzSeJzzqj+ZbosHkBgjYUU9onuyPJULc90vKHAlPU24KXxNkdW1tSuyj9OQ7otWHIKydo7sAu+jRkcihkclt5mmCGsrClYTFnvc3nxBZihEcSX/Cjdllj5a8LDbidc+RiGFsyVrdywwCAOjO7KtPB4PN9nqVPNb5v+wgUFJ9LkdFXnGhMaylKnhgq7JF20AGBScGR6gNBekansEpnMJ4l5HJi1K58kPmeEXUnWquIpIl9FgdkLKzKOrHHPkaz/O15iAVbWVJzWF7uW7ApPIFBwJL7b0TXHdCMLoPtuM07L0z3aUw2PECo9faOOLbIlMAyD3aNTOL36Gj5Nzge6Rro2uW18K2vX9H57RbenxCrggfKrWeHUUWzls314PHVOI6OCVTzV8QaX1N+Gj88Lne9yVM6+NLgtXFp0GhV2ZvOtZeumwFwLK7oNobICkg3/ILH8Kgwri/DQmzFCY3Fa/osZqsJ3arBzv7FRoWnYRRi9rIZiZWkkrMgXRgeH8NeKa/gwPoeVbj2tbge7R7djSmhMeh/bsJgcHs1kRnf7bqcX4yd1v0+PvAWocRuJGmH+0PZP9o3uyOE5e2+ya5GvLwXmOpihKkIV52PnfwvfacRpe43k4m+t3h6ZRGTE/dhZkzf4HFZkAn7uvjhNT6QfARt2IcGiEze6/yJbksGBcgYHykl4SSzDws7gdUXCS9HotXJhwXcZHxzBotQK4iRpdFvSi0pPj89UYEpGFJhfwTBsDCOAm1hCcuWN3bZ5sU+7ytZtRGCagUIC+YdgBofgxeeAEcDK2h4rMuarvyyyFeptDc3eOL7Ly53TeT8xixF2JVc13MVipxqAkYEqLizoWjJvSmhsv/VVtiwKzAwYdhngr1qf80vWWEXBjS/GSyzEsKJY4YkYdlZmx7ci2Dk7QU5mhd5FZN183+flzulc1nAH81PL2CW8DaflfYffNv6FQ7P3pNwuot3r5IScA9k1suF/8MrWRYGZATOQhxEail1wGE7TY2tsyMIIDCK+4jdY0W1IVP8et/UlMLMJVVxEoPgkrFAvK5WISL+ak1zMydVXppcVeyv+Cfhwbck53ND4AEucaiYHR3Nj6Y97nQcq0hsFZoYC2Tth4GMEKnCan8YMjyNU/kNinx+NGR6B2/FuV1gCeO0kll+GGR2PFTpsYDsushWalVzYbQ1OgF2j2/LTupvT7R8n53FWza94uvIWSuyCgeimfM0oMDNkmEECuXtiZe0Egy7GBzo+3RHfbcLK3pVk3X09vuPF52/6jopIt2IFXzAxeoTogtRyFqZWKDAlI/2+WsmWxrRCXQXU/ST+qsLPXrKaYPn5hAZdQrD0B7BqAVxTi9SKDIgKq5hDs/bs1jY+OLzHflEjTMjIbBCRiO4wN5AZrCRYehbJuj9j5+xCouY2/MQCjEA54aprSLW8jJmt0lsiA2FMcAh7R6exTWgUCT9J1AhTYhZwTM5+/LPt+fR+Py44gZF2/66YJFsOBeYGMgybYPmPsLJ3Ir7kp/ipruHqfqqa+NKLiI59Fjs8coB7KbJ1yrGyOCr7m8xOLabBbWGoXcEgq5h9vB0YFRhM3E9QaOaxZ2Qq2fZXr1ri+R4OLo7vgu8TVTm9rZICcyNYoSF4yWXpsEzzYrgd07GzpmKYod6/LCL9KsuKsr01vlvb4dl7syC1nDavkyF2GcUZvLv8JP45d7c8wtzUUr6T/Q0KjBwiZpi9o9uTa2X3V/dlM6TA3EiGlQtmBLzYmq3gtuIll2HpLlNks2EZFqODQ3q0fxL/nM8SC2jyWqmwi5kaGoePzyuxD/goMYfhgUqq3UYurb+d/8s7iqF2Oa/EPuDQ7D17OYtsqRSYG8mKTCBUdS2JJRfAqlqVwbKzSbW+SrDk5AHtm4h8tTnJxbwW+5A7mv/FCrcegN3D27JndHuubVw9+v38/OPZOzyNDmKUWAU81fY638ratdcRubJl0ijZjWQYJlbuPoSH3ESo4mJClVfitr9HqOR7mL0UVReRzcvi5Arei89MhyXA6/EZJPwkISOQbvtjy6PUeo38pvEBXot/xN5ZO2CS2bq3smVQYPaBQHQcdv7BmNFJGHYh4SHXEyg4YqC7JSIZCBg2M5MLerQvd2opsvLTn2N+gqARwMXj/tb/4OFiab3arYoCs49Y4WEEi44hWHwyVnR7DI2iE/laGGJXsHt42x7tIwJV1DqN6c/7R3fmrdjH6c9vxGb0ery4l+TD+Gweb3uZt2Of0Ob2UoNavpb08L2P+E4bTuen+Kll+E49ZmgkRnQqdlAL04psrpJ+krifYO+sHZiVWsQ78U8xMTkl91D2jkxjevQzZicXs390F8JmkNua/5H+bm+rnHi+x8NtL3B+3W8BGGQVc3b+0Xwv7xCyTP0R/XWnwOwjTudMkjU34zT9e1WLQXjYH7BKTsMw9J5DZHP0RNurLHaqubbxPg7M2jW95NeM+FwGBUq4rfQiFqZWkPBSnFV7bfp7k4Kj+Ga0Z2GShakVXFx/K0VmHj8sOJYFqeW8n5jN8Fgle0W2J6JpZl9rCsw+4Psufmr5GmEJ4JNYdhlWdCp29tQB65vI1qbTizMjMZeZyQWUWIVMDY2lKlDWY79FqRVcWPd7zszvGm/wTMebPNPxZnp7vdvMpNBIiuz8ru1VtzAnuQjbsBgXHEa53fPpUYvXRsxP8NPC73FD45/TtWsfbf8ff6+4lsnBUXT6CSrsYoXn15ACs08Y6bqya/KdBny3ZQD6I7L1+k/7q5xde1368w6hCfyp/EoqAt0DrsVtp83v7LWWbKVdSonVvajB4EAZg3sJ3i9/b2JwBNVOQ49C779pfIAz8w7n8Y5XsLG5rOh0Rgar1vfyZABp0E8fMAwTMzQSvjQfy8raHjM4dIB6JbL1WeHUcWn97d3a3kvM5NPk5z32HWSXMCJQyRPtr/DjghMIrLp/KDBzuaP0EsrswvU+f5ldxB9KL+51W9JP8X58Fodl7cWE4HDejM2g0Wld73PIwNEdZh8xojsSGXE/8aUX4yeXYWXvSqjqaqzIiIHumshWI+4lafbae7S3d6vE1aXELuDusks5t+Z6/tP+GhcVfp9RwcFMDo1m6EasNLRNeBS7O1O4t+UxXLx0++HZe5PyHf6v9tfptsWpan5ceCJZZniDzyebjuH7/lo3Tps2zZ8+ffom7M7Xn9PxGb7XjBmoxAoPG+juiGxVEl6S82t/y7/aX0i3BQnw4uA7mBDq/Y/XRqeFGreBfDO3x2PbGqeB9+Oz+Ty5lLGhoUwLTQDAxaW0lzvQRqeFBreVJ9pfJmpFeK3zQ5q9NvaJ7ki+mc0VDXf1eFT7QtUdbBfuOeJWBo5hGO/7vj/ty+26w+xjdtbEge6CyFYrZAa5qPD75JpZPNz+IiMDVVxZdFava2F+odDOo9DO69He5nZwdcM9PNT2XwB2CU/mkOzduaP5EZJ+kvMLjueo7H3SBdw/iM/mnJrrmZtazLXF53B5/Z1cV3wuL3S+w41Nf+GH+cf2CEuARre5by5e+p0CczPjewnc9uk4nTMwAiVYoZFYWdtiqKKISEaGByu5tuSH/LjgBLLMKLlW1gYdZ25qSTosDQz2y9qJX6zxfvQX9beTa2ZzQu63qHEaOLX6KpY6NQDc3vwwVxWfxZzkYg7N3otSu5CoEabSLmW5U5s+RtQIMzQwaCOuVjYlDfrZzKSa/4vT9gp+Yh5u85M4ba/htE/Hc5rW+b06p4nZiUXUp9a9n8jWwDYsKgIlGxyWADEvnv7vEYFKPkn0HDj0p5YniHtJVjh16bDMN3M4MfdbNLvt7Bbdlh3CExhtD+ad2Cecn388YwJdq6VU2qX8teIaRga6Rsp6vse85BL+1zmdTxPzSfqpDe679A/dYW5GvFQdXnwOyZrb8J1VhaAb/k54yO/xkksJFhzaY33N5alaZiTmMT+5lAdbn2VSeBSn5B7KTpFJqnMpshFGBKvSd4QtXjtFVs/HtkMDFdiGRZ6ZQ5YRpsOP85PC73J9w/20+10DjcqtIh6rvIlDcvbExODw7G9Q4zZRaOV2G4n7XOfbnFZ9NXE/iYXJtcU/5Lu5BxE2e057kYGhwNyceAnwYqvDcpVE9e+wc/ciZQTw3Rb8VA2Bgm/zASlOqb6KlW49ESPED/OP5cmO17ij5WEKrTzGhYYNzHWIbAEG2SU8WPErbmz8C2/GP2a70FgKzFyavK6pIGEjyA/zj6HOaSKIzfUl53NX8yO8HfskHZYA1W4Dj7X9jwsLT0pX/fryO9MlqWrOqbmeuJ8EwMXj4vpb2TEykcmh0ZvoiuWrKDA3I0awAsxeHiF5nRhmGK/jPTCjOB0zSNU/wEfl/8fKVUsSxfwEv236C5cUnsJ1jfdzWt53GMewTXsBIluYSaGR3Fn2c5q9NgqsXLYLjWNGYi5JUkwJjuHj5OccU38xbV4nPyv4HreUXsiP627qcZxZqYUsTlUzLNj7dJUGt5lmr61bm49PtVOvwNyMKDA3I4ZhYWXvAEYI1hhNFyg+iVTDQwRLTyex/CoiI+4ntuBR9ja6z93y8Yn7CYqtPMLoMY5IXwiZQcrMIgDGhoYyNtRVjOSVzvc5t/aG9H6/bLqXoGlzbM7+fJSY2+0YE4MjmZ9aSrldxGfJ+SxKraTMKmRiaCQFVg6lViGlVgG1btcYhG1Dozkkaw+a3Dbei33GhOBwsqzoJrpiWRsN+tnM2Dl7EB3zBHbBtzGjUwhVXIyfXIph5eE7TYCP7zQAUNDL3zthI8T5+cczQotXi/Srt2Of9mi7t+UJdg1vy6m53yZqhMk3c/hRwfG8Ff+YcquIR9v/x/7LzuHMml/y7RUX8OvG+2h1O6gMlHJ32aUUmrmMCgxm78g0ftV4H2fXXse3lp/L3S2PEveSA3CVsibdYW5mDMMgkLc3RnA4fqoat/01zMgEjEApyZrbAAPfi2EEhxAKDiVqhOn04xgYnJ9/PNNC4xkVHNzrpGoR2XgrU3WkcKi0S3psGxUYzIjAIPaIbEfEDJHyHf7e+l9Ozfs2QTPAxXW3dNv/3pbHOSp7X3aMTGSP6Ha8NPgulqaqOXLFz7rtd23jn9g3aye2CY3q12uTdVNgbqbsyDBc0wJ3ArEFp+K7TWAECVVeiee2Eir/EXZkLC8PvovFqWqKrXxGBwcTUYktkX7R4cV4ov1VLq+/g1avg5tLf8qoQBWfp5YBXYOAflL4XaJWhAOydmFooJwlTjVHZO/NhOBw5qeWdxsM9IUvBhFBV4H35U4tSVLkGFEuKTqZQjOfeq+ZxckVDLJKKOqlyIJsGgrMzZgVGowVGow58S3c2EzwEjgdMzDtXOy8/bCC5YwERgYHD3RXRbZ4H8Rn88Pa69Ofz6u9gTvLfk7ICBLzE0wIDk+X3wuZAbYNj2FbxqT3r/JLGR8YxqzUonRbyAgwzO5euGBwoJwyq5CfF57GEmclj7a/wt7RaXzizqfabeDonH3Js3L692KlVwrMrwErPAIr3PWLGCg4FEPzskQ2uffjs7p9dvG4ov5OXhp8FyV2wVq+tVqhnced5b/gwrrf8V58JkPtCn5XegFjgkO67Vdpl/D38l8zJ7WIBcnlDA8M4jeND+DjMyYwhPHBEewW3bZPr00yo8D8mlFYigyMil4WjB4RrCJqRGh228kywwSMdf+TOik0kn9WXE+t20iemd1r0M6OL2KZW0ORlc/40HCubbwvvW1uagl/anmCHSITCBqBjb8oWS8KTBGRDEwJjeXqoh/Q4rUTNoL8t+Mtfl54Kjc3P8hj7S8zNTSOs/OPZnJ43fMmc62stZbs+yy+gOnxz/DwCZshtguNZdvgaGYk56X3eT3+EYuTKxkdGtLrMaT/KDBFRL5Cs9vGbc3/4MG2Z9Ntvy35EQ+1PscDbU8CMD+1jJc63+O5wbczbAPX01zoLCdGkvtaHmd+ahk5ZhaXFZ5OvOUx5qQWAzAlNIawEfqKI0l/0DxMEZGvMDu5qFtYAlxZfxd5X7pTbPBamJNYtEHn8H2f+Yml/LX1aeavGnnb5nVwUf0tfDf3IKCrYPt3cw+iMtBzSov0P91hioh8hSa3tUdbux9jUnAkk4Ij+TQ5P90e2sBxBj4+USvCrOTCHu3ZZpSri37A2OBQJodGYxq61xkICkwRka8wMlBF2Aimi6MDTAyO4PnOd5gQGsHU8DgeaH2K7UPjGB8ctkHnMA2TccFhVFjF6RrRq89fyU6RbbC1AtGA0p8pIiJfYXRwCPeVX8GIQFfJyZ3Ckzg2Z38ea3+Zf7Y9z6jAEP4z6CbOzT+OBanlNPZyR/qFFredFre9121TQ2P5ZfHZBFk9AvbsvKPZJjRaYbkZ0B2miMhXmJlcwNk113FCzrcYExzCkx2vc0XDXfj4WJjkmlmcUH0ZbV4HAN/O2otfl5zbbb3LNreDt+KfMDe5GB8YFxjKzpHJ5KxRVD3LinJY9p6MDgxmsVNNiZXPuOAwcjZiIWzpOwpMEZGvMCuxkGavjb+1PcMJud/ihc530tv2i+7MX1ufSoclwOMdr3Bc7v7sb+8CQNxL8F58Jv9oe44n2l/FAI7M2YeQEWTPrKndzmUaJhPDI5nIyE1ybZI5PZIVka3Wh/E5PNDyJH9teZq3Yp/Q5nb0ul/Y7JrGsXNoEvtEdmCYvXrayLjgMD5LLujxnZWrVhWqd5r4W+uzvBP/lMfaX8bDw8Xjn23P835idj9clfQX3WGKyFbprdjHHL3iImKr1p4dEajkyqIzOTBrN5rcVgJGIF1gYHJoFAdGduXgnN05ceWlnJL3bfKsLLKMCFNDY/ksOZ/n17jrhK6BOgDT47OYHvuMJW5Njz68E/+kn69S+pICU0S2OjEvwe+a/pYOS4AFqeV8lJiLhc3F9beQZ2bzi6JT2SsyjSGBCn5SeBLfr7mCBCnubHk4/b0/lF7EsTn7Uec28VFiLiEjwKWFp7NtqKvw+ifJz3kz8QkHZ+3G218KyKnhcZvmgqVPKDBFZKsT8xPMTy7v0V7vNjMnuYilTg1LqeH4lb/gP5W/Z3JwFO1+J8ud2h7fafHaKTbzebD8V9S6TUTMEMMDg7BWjWodGxzKMqeGcquYKruMZU7XnebIQBWHZ+/dvxcqfUqBKSJbnUIrl2Ny9uOGpj93ax8XHMYbsRnd2t7o/IhFyRW0+53sEZnCa7GP0tsMDIqsPJrddu5seYQWr43vZO9NpV1KZFVg7hCeyGFZe3Jt432clvcdSu0CRgaqmBoex6BeFqGWzZcCU0S2SifkfosGt5kHWp8iy4xwbv6xlFtFPN3xRrf9cq0sftlwDzGS/LHsFzi+x1vxjymxCvhF4anc0/QYB+Xsxi3NDwHw59aneKji1+yXtRMAxVYePy44kRNzDyTXzKYyUEKlXZo+/kqnnnfjn/Fp4nMmh0azQ3gi5XbRpvtBSMYUmCKyVRocKONXJedwZt4RePgMDVTwdvwTDAx8fACKrXy2D4+n1mvCx+eU6qv4S+lVeAUnsDC1gmavjR8XnsivGu/tduybm/7O7pEpOL7DvS2P8+vGP+HgMtSu4P7yK9OB2eZ2cGX9XTzc/mL6uyfmHMivi39IlhXZdD8MyYgCU0S2WgHDxjQMPk3MZ0ZiLuNDw3m+6nbejn9MlhFhx/AkhgTKOSRrD/7T8SpJP8VsdwlX1txFCgeA0YEh7Je1U7epJXE/iY/Px4nPuabxnnT7Ymcll9bfzoMVvyLbijIvtbRbWAL8re0ZTsv7NttaYzbND0EypsAUka3WnOQijlj+U6rdrjmTUSPMY5U38oP8o7rtd3nRGVTYRTQ4LTzS9mI6LAHmpZbwbWMvLExcPADOLTiWqBlmqdNzKskb8Rk0eC1kW1FiXrzXfiXWqFkrmw8VLhCRrdYLHe+mwxKg049zZ/MjOL7bbb8RwUp+WXw2FxednB7luqaIEeLw7G+yR3g7/lJ+Dd+MTANgkF3cY98pwTEsS9byaueHJPxUuj7tFyYGR/Rok82D7jBFZKu1KLWiR9vnqaUk/VSPYueWYTE8UMmJuQfyu6YHu23bMTKR8wqOw8Pv9r1tQqM5O+9obm/5FwAFZi4n5h7IESsv5PS8w3m47QX+r+AoFiZXUGLlUxUoY1JgJMV2QT9crWwsBaaIbLUOyNqF+1qf6Nb2/dxDiJrhXvc3DIPv5R5Cuxvjz61PUmDlcE3x2WwXGotpmD0e2RVYOVxcdDJH5HyTVq+d5alaft5wOw4uWWaYeq+ZVzs/YNfIttzd/G+Sfooz849kSLCc0jUKt8vmwfB9f60bp02b5k+fPn0TdkdEZNNpddt5ov0Vftl4HzEvzrkFx3FS7sHdVhnpjeO7rHTqCBrBr9z3C51enAOXnZtebPrQrD1Y7tRyfM6B/LT+9932vbHkx5ycd+gGXZNsPMMw3vd9f9qX23WHKSKbrQa3hemxmXyYmM2Y4FB2DE+iKlD61V/MUK6VzXfzDmbfrJ1xfZdBdgk1biMzEwspsfIpWcujUduwGBwoX69zRc0wx+Tsx6cNXYH5dMcb3FzyU16Pfdhj37+2Ps1xOQcQNoPrf1HSbxSYIrJZSvkp7mx+mJua/pZu2zuyA3eV/ZwiO69Pz/VFoYAXOt7hx3U3scKpY7g9iD+UXcxOkUl9dp7DsvZkVnIh/2h7HtuwCBk2RXZ+j/0G2+W0ux0KzM2MRsmKyGZpQXIFtzQ91K3tf7H3mJNa1C/n+zA+h9Oqr2aFUwfAQmcF36++guWpnvVjN0SnF+d/seksTVXz44IT+EXhaUwIDGdCYDil1uo72SwjzCHZuzMntbhPzit9R3eYIrJZSvgpHNwe7TEv0cveG292chHtfqxbW53bxJJUNZV98Bj4k8Tn/LjuJgBej8/g+JwDmJ1cxITgSL6feyiGYeD5HlEjRLXTQIGZu9HnlL6lO0wR2SwND1SwR2S7bm0lVgFjgkP65XwBLAyMbm1BAuSa2X1y/C9PYdkpPIkH257lhqb7MQ0D3/cJGDYTQyN5pO1FxgaH9sl5pe/oDlNENks+cE3R//Fe/FNua/4XU8PjOD//+PUebJOpykApZ+Qdzt0t/063/bzoVMaF+ia4Sq3uo2mbvDYAWr0Orm9cvWrK/WVXckf5JX1yVyt9S4EpIpuVuJfk3fin/LLhXt5PzGLH0CTuLvsF24RGEzID/XbeyaExtLodDLJLaPc6GRccxo7hiel1LTf++KM4IecAHmz7LwCe72Fi4q0qpwcwITiCCcERjAxW9ck5pW9pHqaIbFZe7fyQH9T8ihq3kWH2IHaNTKbTi3NN8f8xKND/60fWO02kfJeKQM+ydhur0WlhdnIRnX6CYXYFM5MLuaDuJpq8ViYER/C7kguYFpnQ5+eV9aN5mCKy2Wt0Wmh2W/lu7kHkmznMSS3mpY53GRoYxMzkgn4PzHY3RtgIU2z3/dJaDW4Ld7U8ws1Nf8fB5ZuRaVxfch7/G3wXrV4Hg+xiCiwN9NmcKTBFZLPg+C5vxz/h0oY7qLCKqLLLeKzjZQBWuPXMqJ7L84NvZ1xwWJ+fu8Pt5MXYdH7f2FUj9seFJ/DNyDSyrGifneO92Gfc2PTX9OeXYtO5v/VJriw6k8FGWZ+dR/qPRsmKyHqLeXHe6PyImxr/xt9anmF+ctlGH3NWYiGvxD7A9z32jE7liY5Xu23v9OPMSSza6PP05o34x5xSfSUzknOZkZzLydVX8mb84z49x/uJWT3a/tP+Ks1uW5+eR/qP7jBFZL091/E2p9Zcnf482C7j5pILGWyXMThYTsDI/J+WNreTpzpe51eN9xL3EhyTsz+DrBKyzQitXseX9jZ6PcbG+kvr07227Ze180Yfe35yKctStYwJDOO8/OO4s/kRkqQA2CE8kew+vIuV/qU7TBFZL3VOE5c33NWtbalTwzuJT/lZ/S3c1fwIMxMLMj7eu/FPOaf2OlY4dTR6rdzZ8jA1biNn5x/dbb9xwWEE1mPEquv3LHqwNsVWz1J7JVZ+xt9fm3djn3Fn8yNc3/RnPkrMIWDYnF9w/KrjF3Bu/rEEjf4b+St9S3eYIrJeUji09PIY0fU9/hebzk6RSZyw8hc8U3kLFRkM0nmm480ebc93vs1u4SncUXoJHyRmk2tm0enHWb6qbN26VKfqmZGYx7zUEkqtQrYJjmJ8ePg6v3NizoE81Ppc+s4vSIDvZO/9ledaG9d3mZlYyI/rbmR2chEA78Q/Zc/IdpyQ/S0eqbiBEcEqhvTTnFLpHwpMEVkv5VYRZ+Qf3q0oegCb8cFhXFBwItsGR1MfaWZ+avlXBqbne1TaPfcZYpezX3RHflp/M3VuMx1eDMswebrylnUeL+mleCv+SVeZOy/G/S3/4Xt5hxAxQgwLDVrr97YPj+ee8svSK4dU2MVcWX8391ZcxrDA2r+3Nu/EP+XTxPx0WH7h1diHHJ29H9/I6jFjQb4GFJgisl5Mw+SU3EOJGGEeaH2SCruYM/IO58qGu1nq1BA1wlxadFpXqZ5euL7LnORiZiUXkvRTDLJLKDLzaPBaAAgbQc4pOIYxgaH8tuRHzEsuJe4n2TE8kW3DY9bZt0+Sn/N0xxs80f4KUTPM6Xnf4bXOD5gcGsUw1h58K5w6flh7PV8sAd28qgrPJ4n56x2Yju9wR9PDTAmP7XW7Fob++lJgish6GxQo5YLCEzkoazcWpVZycvUVjAhU8qOC4zEweLztFeojzQwJlvd47Phi53uctPKydGH1o7L35eeFp9LgNVNqFbJdeCyTQqN4K/YxF9XdyuzUInYNT2b3yJSv7NfT7W/w7/aXgK6Sczc1/Y1LC0+j2W1f5/c8PIrNfA7L2RMLi2avjX+0PofjOxn9PBqcZlq9DkqsAgKGTb3XzILUMqaExvBRYm56v4OzdmdycFRGx5TNjwJTRDbYuNAwljrVHJy9O3lmNnc0P4zrexyVsw8jg1UsSVV3C8xqp4ELam/qtgrJw+0vMDo4mF83/ok/l13FYLucBcllHL/i57T5nQC8Gf+YM2p+yZOVv6N4LYs6N7qt6bBc0zKnlv2i6x7tWmTmc2r+t/lVw73E/AQVVjGXFp3OhOCIdX7P933eiH3ET+tuptgqYHRwCKflHcaZeUdwes01nJt/LHtEpjInuYhvRqdxYNZulAZ0h/l1pVGyIrJRBtvljAkM4c+tT6aX5Hqo7TmqnXoKvzT6tNVrZ6Vb3+MYSb9rsM3M1AK+X30FM5ML02H5hXmpJSxxatbaj6gRZkwvK3yMDQ5lQqjnoJ+U7/BW7GPOqbmeR9v/x2X1dxDzu5YOW+nWc2fzIxR+ReWdecmlPNf+Nr8sOpupobG0e50sTK1gx+BEbi+9mOc63+HjxFzOzT+W7+YeTFVABQq+zhSYIrJRRgar+DTZcxrJc53vMNzuev/n+R5tbgdlZiHbh8Z328/AIGwEmRaewPzkMl6LfdjrmpchI0Cu2X3Ooud7dHpxAMJmkAsLTiJqhNPbxwaGsl90J0yj5z9178dncdjyC3io7b8sdlbif+ml6yJnBbVu4zqvvdltZcfoNtzb+jgzkvOYEBrOXc2PMNtZxLG5+/NM5S08UH41u0a3JWKG1nks2fzpkayIbJSAYTM1NJanO17v1j41NI6IFWJOYhF/av0Pr3S+z4FZu3J18Q/4ad3NzEwuIN/M4ZLCU0j6KaaExnBPy2MAvNw5ndNyv829rY+nj3dl0VmMCKxexWNmYgF/bnmSd+Kfcnj23hye/Q12iEzg+arbmZVcSMQMMSk4cq13dY+0vZReKSRkBHtsL7MKKfzSIs4pP0W100CT25YO2NOrryZF17vO12IfclnR6bzS+T5TQmMosvPX74cpmzUFpohstIOyd+fPrU+x1Klm1/Bk9o7uwG6RbVmRquP71VcyL7UEgLnNS3gn/inXFP6ANxMfk/RSgM81Dfek50ACRM0wFxV+n4Oz96DaqWdoYBDbhEam7xSXpmo4ZsUlrHS75mV+0vg5nyQ/5/clPyFo2GwTGsWQQPk6iwL4ayyr9XznO5yRdzj3tDyGj0/ECHFb6UXdpsUsSa3k0/h8PkzM4dbmf5DCYUpoDBcXncw1Dfek93uq/XWOzdmfhJ/sk5+tbD4UmCKy0cYGh/JE5U0sSq3gzy1Pcm3jfViYnJR7MD8tOIlzaq9P34W9Hf+UiBVi18i2zEwsYFRwCDuFJ/FavGsO5FC7grPyj6TIzmcve2qv55uTXJQOyy882v4/DozuxjMdb5BnZVNo5nFa7rfB8AmZwR4rgRyZsw9/bn0KD4/34p/h+A7/rLgO8BkSqGDkqrvZNreDRamVzE8tY3FqJTc1r55/+lFiLhV2MduHxvF+YjYAlmExKTiSkrUMTpKvLwWmiPSJIYFy/tX2QnqFEQeXP7U+wfDAII7POYAH2p5K7xsxw+wU2oZvRLcHYJvgKOamFoMP7V4ntzY9RIldwCFZe/Q6n9HupVathUnIDDA7tYj6eDPH5OzLS7H3uLzhToqtPK4qPoudQpNZ6qwk5icYaVfxeOWNPNDyFEnf4ft5B7NTeBvC5urHs4tSK/hZ7S2MCw3jX20vcEzOfj3O+0ZsBsfk7JcOzLPyjqDKLCWgkndbHAWmiPSJTi/O4+0v92j/LDGfb2btkA7ME3K+lb57+0KRnccu9mSean+d71Vfnm6/u/nfPF11C5NC3ecujgsOY9vQaGYk5qXbTsk9jJua/sas5EJOyPkWuWY2K9w6flRwPO/GPmNWchGfJRbQ7LVTYObwq877+F3pT7iz/OdrvaZ/t73Ei7F3mRIeQ63bSI7Zs1D6lOAYRgWqODZnP76T9Q12jUwh2+r79TRl4CkwRaRPJLwkU0Jj+exLI2Yr7GKKjHyuLf4hpVYBO0e2IcvsGShtbgfLU7VcWHASxqpVSe5o/hdvxD7uEZjldhH3ll3Oy53T+Sgxj72j00j5Dve3/ocbis8j7qdo8zpo8dq5r+Vx7iz7OefUXkdi1fSVfSM7cWLugfy+6UEmBIfzzawdekxJiXsJnux4DQAXlywjzPzUMr4Z3YGXOt8DoMDM5ZyCY9g3a6e++SHKZk2BKSJ9Yk5qMQdk7cLznW9T6zYBMDY4DA+fWamFTAqNZM9o7+8kAWYnF3F/yxMcnvtNUr5DlhnhV8XnpMvUfdnwYCXDg5Xpzw+2PMtPCr/Lbc3/ZIlTDXTVpL2y+Cweb38lHZYlVgGTw6P4v9pfp79b0VzCfypv6na8kBFkj8hUZiTm8c+2F/hRwQnc0PgA+2XtxMWFJ1NqFTLYKltrCTzZ8igwRaRPJP0U85NLOTZnf8JGCNMwqHEamZVcxHRvJkW9LKG1psfaX+a4vG/xq4Z705WAzsw7nBNzDsro/LtFtu0WlgBLnGqWpqox11hH8zvZ3+D+lv90++5Kt46Pk593C0zDMDgh9wCe7XiDz1PL+GvrM/yy+GwG2cWU28VUWiWUBYoy6ptsGVS4QET6xKjAYF7oeJehgQr+3vZfbmh8gOVOLduHx/Fm/ON1FjF3fZcKu5hbmx7qVjbv7pZHifnxbvvGvSSzE13vIzvcWLp9aLCC+amlPY49J7mYQ7L2SH8OGUHivUz5cLyedWPHBofx+KCbeHTQb7mt7Gd8J/sbHJS9O1PD4xSWWyEFpohskHqnmRc63uWvrU/zeudH5JhRris9j3nJJdxQfC5XFJ5Bm9fJ75r+xq+Lf8ik0Mi1HssyLEYGBtPotfbYVrfq8S5AjdPAFfV3ssfS09lz6emcXXsdi1MrAZifXMY+0Z7vEveIbMek0EhuL72Y0YHBzEws5OTcQ7vtk2WEGR/qvW5seaCYPaNT2TWyLcUqRLBVM3x/LWvwANOmTfOnT5++CbsjIl8HLW47l9b/gQfb/ptuu7LoLP4v/ygAOrwYST/FUqeGXDOLuJckYNgMDw5aazGBxcmVHL3yZ8xPLU+3WZg8WXkzjW4LETNEtdPA2bXXdfve5YVncGj2HnxnxYV8I7I9MT/BY+0vYwDfyz2YHxWckK72817sM25vfph8M5s8K5v/dU5nZGAw5xQczfbh7iX7ZOtlGMb7vu/3WLRUgSki6+3d2KccuPy8bm1BArw65I+MDg5Jty1OreTy+jt5suM1LEzOyDuc8wuOX+uakB/EZ3Nm9S9Z6Kwgz8zm+uLzuKP5X8xIzmNsYCjjgsN4vOOVbt+ZEhrDmXlHcvaqQTxTQ+PYOzqNXDOb43MOoMhe/e406Sd5Pz6H/3a8SbGVzzejOzAmOKTXeZ2y9VpbYOr/EhFZb21eZ4+2JCnavVi3tkfb/rfG1AyPO1seYVp4Aofn7A10vY9ckFpG3E8yPDCIqeFxPFN1KyucOnKtLG5teogZya65livcevYL9Fyma7fwtnSs0Z8PErP5IDGbUquAo3P27bZv0AiyS2Qbdolss3E/ANkq6R2miKy3EYFK8szsbm2TgiMZalekP3d6cR5t/1+P777c+T4A9U4T1zbey15Lz2S/ZWdzzIqLmZtcTIldwLbhMeSbObwW+yj9vTavAwO6LcA82C7nxNwDGR8ajvmlf87OyDucsrXcyYpsCN1hish6Gx6s5J8V13Fpw+18FJ/LPlk7cnnh6RTaq+u1ho0gu0Ym82lyPhOCIzgie29ifoLhgUFUOw1Mj8/kD83/Su//QWI2dzX/m+tLzsM2LPLNHA7P3psbm/6a3ue25n/y+KCbcHFxfZdxwWHMSi7mt40PcFXxWTQ5rUTNMEVWHjuEJ2zSn4ls+RSYIrJBpkUm8M+K62n3Oqh1m3mpczrPdLzJ7tHt2C40BsuwOCn3YN7onMHh2Xvzy8Z70989KGt3xgV6Lvb8XMdbXFJ4CsV2PoZhcGLugSxILeOx9lcIGjYXFpzEhNDwdCH1uYnFnFJ9Be1+jKnhcbwV/4SPEnMAKLbyeXjQDWzzpSpBIhtKgSkiGyzXymJhajmHLf8RHavmS9qNFo9V3sgukclMCI3gj+WXcdiKH3f73n873uSAkp7vI6eGx5NrZaU/Dw1UcGvpRfy04PsEDJuhgXIsw0pvn5taQrsfI2wEiZihdFgC1LvN3NH0L24p+6kG9Uif0DtMEdlgKd9hUWol38nemxyjqzC5g8vtTQ/j+F0FCGzDot5t7va9oBEgZAT5RmT1QMRBVjG7R6bQ4rZ32zdihhgbGsqIYGW3sATINiIYGBSauax06nv0b3piFu1evEe7yIZQYIrIBlmSWskv6v7ALxv/SNQIc33J+YwPDgeg3mvC87sWaK6wi9nvSwUFfN+n3m0iaoa4qPD7XFhwEodm78U/Wv+7zkWfv2xkoIoz8g6n2m1keKCyx/bvZH+DPDOrl2+KrD89pxCR9eb6Lnc3P4phGOwd3YHnOt5mVmohPyv8Pj+t+z1n5h1B0OwKvqgZ5heFp5FnZtPgtuDisn/WLgy2ypgef4inO95IH/fBil+RZ2Wv7bQ9DA6Wc1zOAYwMVBEygpydfzR/bH6UFA4HRnfj+JwDMAzjqw8kkgEFpoistxq3iffjsxgSKOfh9hcBWOys5P34LP5UfgU7hCcS9xLUuI3km9nkWzlMCo7kPx2vMiU0lvGB4WwTGsWjg27kzdjHNHtt7BqZzHahcevdl23DoxkZqKTJa+Pbxl58L/dgUr7DULuCLK1LKX1IgSki6y1qhDgoa7duI18BYn6CNq+TucnFPNn+Gg+3v8g3IzsQ95M82tE1J/P9xGz+2/k2T1XezLjQMMaFhm10f7KtKNlW1zvUXPQIVvqH3mGKyHrLt3LYITyRbDPaY5uBwb/b/8cfWv5FjdtIZaCUxzpe7rbPMqeGOclFm6azIn1EgSkiG2RaZAI/LzylW9uYwBBKrUL+0/5qus3Hx8TAwGBCcAQjA1UAWEbv//x4vsecxGJe6niXTxKfk/BS/XcRIutBj2RFZIPYhsWxOfszKjiYt2OfMtguZZfIZJY6tRRaeVS7DQC81Pke5+YfR56VzfT4TCJGiB/kHcm4wPBej/tsx1ucXnM1CT+FiclVRWcxKTSSuJ9kfHA4g1etPCKyqSkwRWSDZVtR9opuz17R7dNtpmHwvdyD+Hn97QCUWUWMDw7jrNpr0/s83v4KU8JjKP/SIsxLUiv5Ye31JPyuu0oPj8sb7uTiwpP5deOfGGyX849B1zI2OKz/L07kSxSYItKnhgYGsU90R/5cXoaPzxudM7i39fFu+6RweL7jXaZ+aQ3KGqeRFq974QIfn4SfBGCpU81jba9wUdGwfr0Gkd4oMEWkz40IVhExQuy/7BzGhYanixisycVN/7fju7we+5AP43MoswqpcRvT22ysbsUM3o1/2r+dF1kLDfoRkX6x0FnJCreeN2IfcVD27t22WZjsF11dS/aj+ByOXnEx/+14m4sLT6bYyge6St9dVPh9Hmp9Lr3v4dl7b5L+i3yZ7jBFpF/kmFEMDBJ+imc63uSKojN4pfNDCs1cTsv/NlPDY9P7zk0u5qris3g39inPd7zDDcXnU+s2Mi00gWc63mCpU42Fyam532afrB0H8Kpka2b4vr/WjdOmTfOnT5++CbsjIluKuJfgN00P8PumvwNdd5XXFv2Q7+YdSNgMddv3v+1vcnL1VSRZPYXkyqIzOSn3ELLMEItS1YDP0EDFetWaFdkQhmG87/v+tC+36w5TRPpF2Axxbv5x7BmZyjKnliq7lMmhMYTNEI7v8mF8Ns92vEXQsDEwu4UlwL/aXuTk3EMJGAFGBwcP0FWIrKbAFJF+k2/ldJty8oUP43N4JfY+lmESNoPUOy099rFWlTsQ2VwoMEVkk1qRquPqhrt5M/4xAGEjyN1ll3Jf6+PEV00fAfhJ4XfT9WFFNgcKTBHZpGYk5qbDEiDuJ7mr6RHuKL2E/3a+TacX48Tcg9glss0A9lKkJwWmiGwSzW4bMxJzmZ9axs8LT2VWciGPtnetYPJBcjaWYTE7sZD7K65S+TvZLCkwRaRPtbkdfJqYT43TQNgMUWjmMik0grub/831TX9O73dQ1m7sE92RFzvf5cCsXVmarOGm0gsUlrLZUmCKSJ/xfZ83Y5+wILWMmckF/KvtBWzD4paSn/Lbpr902/fpjje4uPBkLEwuKPguo4ODsQ39kySbL/3fKSJ95tPEfBJekhIrnzdiM0jhkPIdZqcW4dKzPN6k4EjOzj+GLDM8AL0VWT8qjScifaIx1UKL20ab38HD7S+ya2QyVxSdSY6ZxXKnlnFfWmGkyMxjYmikwlK+NnSHKSIbLemnmJlcyPuJWVzdeE+6PcfM4uz8o7ix8a/cX34V/2h7jpc632X78AQuLzqDIYHyAey1yPpRYIrIRnux412e73yHZzve7Nbe5nXg+T4VdjEjgpXcWXYJjV4reUY2WVZkncesdRr5PLmEpJ+i1euk3C5adUe67u+J9BcFpohslMZUC3c0P8zo4BB8etamLrbyeLzyJoYGKgAYZJZ85THbnA5e6XyfFC6/ariXarcBgDPzDufCwu9RZOX17UWIZEDvMEVko9R7zbT5nTzV8TrH53yr27YcI8q2oTHpsMzU9MQslqSq6fDinJR7MIdm7QnA3S2PMiM+t8/6LrI+FJgislECRoCjsvehzm1idnIRFxeezF6RqZycewh/q/gVO0Qmrvcx291OFjvVXFJ/K79peoBqt4ELCk4EYJlT09eXIJIRPZIVkY0yLFDBxOBIflF4Ko+3v0J7Zydn5R/JuMBQRoY2bJWRZr+dv7U9k/78XvwzxgaHMsQup8wq6quui6wXBaaIbBTDMJgaHkvYCDIpOIqQEWCQXbLBYQldAdmzbSbn5x+vpb5kwCgwRWSj5VrZ7Bztu2Lp4780ZxNgx/AEtguOY0Swqs/OI7I+9A5TRDY708IT2Ck8Kf15mD2Ig7J2o8jOHcBeydZOd5gistnZITKRq4t+wKzkQmJekjGhwQy2yqgKqjC7DBwFpohslqZFJjAtMgHXd7EMa6C7I6JHsiKyeVNYyuZCgSkiIpIBBaaIiEgGFJgiIiIZUGCKiIhkQIEpIiKSAQWmiIhIBhSYIiIiGVBgioiIZECBKSIikgEFpoiISAYUmCIiIhlQYIqIiGRAgSkiIpIBBaaIiEgGFJgiIiIZMHzfX/tGw6gDFm+67oiIiAy4ob7vl3y5cZ2BKSIiIl30SFZERCQDCkwREZEMKDBFREQyoMAUERHJgAJTREQkA/8PLr5WXmo8X0kAAAAASUVORK5CYII=", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "\n", + "waves = normalize(np.vstack(df_waves_acsf['waveforms']))\n", + "labels_wave = plot_UMAP_clusters_single(waves,\n", + " 15,\n", + " 0.0,\n", + " random_state =24,\n", + " res_louvain = 0.09,\n", + " use_kmeans = True,\n", + " c_list =GMM_PAL,\n", + " labels=np.repeat('acsf',len(exc_df_acsf)),\n", + " )" + ] + }, + { + "cell_type": "code", + "execution_count": 305, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + ":1: SettingWithCopyWarning: \n", + "A value is trying to be set on a copy of a slice from a DataFrame.\n", + "Try using .loc[row_indexer,col_indexer] = value instead\n", + "\n", + "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n", + " df_waves_acsf['labels'] = labels_wave\n" + ] + }, + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
waveformsconditionexp_namelabels
0[-42.39658509537936, -42.25354377641199, -42.1...aCSFNC_170711_aCSF_D1ago_E10
1[-42.00564968889042, -41.91154647502775, -41.7...aCSFNC_170711_aCSF_D1ago_E10
2[-52.319933683987855, -52.05997415145055, -51....aCSFNC_170711_aCSF_D1ago_E20
4[-44.3112696337653, -44.242371915010956, -44.0...aCSFNC_170712_aCSF_D1ago_E10
5[-29.719481002321544, -29.489948852695743, -29...aCSFNC_170712_aCSF_D1ago_E20
...............
873[-60.17497069071394, -60.07396287303469, -60.0...ACSFxuan_9-5-19_E11
875[-54.29433135445728, -54.170348798466286, -54....ACSFxuan_9-5-19_E31
877[-48.9878163181611, -48.87714724519227, -48.77...ACSFxuan_9-9-19_E11
880[-58.603949620629905, -58.492983247291434, -58...ACSFxuan_9-9-19_E31
883[-53.5824149457678, -53.463329529370995, -53.3...ACSFxuan_9-9-19_E41
\n", + "

471 rows × 4 columns

\n", + "
" + ], + "text/plain": [ + " waveforms condition \\\n", + "0 [-42.39658509537936, -42.25354377641199, -42.1... aCSF \n", + "1 [-42.00564968889042, -41.91154647502775, -41.7... aCSF \n", + "2 [-52.319933683987855, -52.05997415145055, -51.... aCSF \n", + "4 [-44.3112696337653, -44.242371915010956, -44.0... aCSF \n", + "5 [-29.719481002321544, -29.489948852695743, -29... aCSF \n", + ".. ... ... \n", + "873 [-60.17497069071394, -60.07396287303469, -60.0... ACSF \n", + "875 [-54.29433135445728, -54.170348798466286, -54.... ACSF \n", + "877 [-48.9878163181611, -48.87714724519227, -48.77... ACSF \n", + "880 [-58.603949620629905, -58.492983247291434, -58... ACSF \n", + "883 [-53.5824149457678, -53.463329529370995, -53.3... ACSF \n", + "\n", + " exp_name labels \n", + "0 NC_170711_aCSF_D1ago_E1 0 \n", + "1 NC_170711_aCSF_D1ago_E1 0 \n", + "2 NC_170711_aCSF_D1ago_E2 0 \n", + "4 NC_170712_aCSF_D1ago_E1 0 \n", + "5 NC_170712_aCSF_D1ago_E2 0 \n", + ".. ... ... \n", + "873 xuan_9-5-19_E1 1 \n", + "875 xuan_9-5-19_E3 1 \n", + "877 xuan_9-9-19_E1 1 \n", + "880 xuan_9-9-19_E3 1 \n", + "883 xuan_9-9-19_E4 1 \n", + "\n", + "[471 rows x 4 columns]" + ] + }, + "execution_count": 305, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "df_waves_acsf['labels'] = labels_wave\n", + "df_waves_acsf" + ] + }, + { + "cell_type": "code", + "execution_count": 307, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Plotting: 471 Waveforms\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "full_data = normalize(np.vstack(df_waves_acsf['waveforms']))\n", + "f, arr = plt.subplots(1,figsize=[6,5])\n", + "\n", + "print( \"Plotting: \" + str(full_data.shape[0]) + \" Waveforms\")\n", + "for i in range(0,full_data.shape[0]):\n", + " arr.plot(full_data[i], c = 'k', alpha = 0.04,linewidth=2.); \n", + "plt.show() " + ] + }, + { + "cell_type": "code", + "execution_count": 315, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Plotting: 249 Waveforms\n", + "Plotting: 196 Waveforms\n", + "Plotting: 26 Waveforms\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "plt.plot(np.mean(df_waves_acsf[df_waves_acsf.labels==0]['waveforms']),c='k')\n", + "plt.plot(np.mean(df_waves_acsf[df_waves_acsf.labels==1]['waveforms']),c='b')\n", + "plt.plot(np.mean(df_waves_acsf[df_waves_acsf.labels==2]['waveforms']),c='g')\n", + "\n", + "\n", + "f, arr = plt.subplots(1,figsize=[4.5,3.4])\n", + "\n", + "full_data = normalize(np.vstack(df_waves_acsf[df_waves_acsf.labels==0]['waveforms']))\n", + "print( \"Plotting: \" + str(full_data.shape[0]) + \" Waveforms\")\n", + "for i in range(0,full_data.shape[0]):\n", + " arr.plot(full_data[i], c = 'k', alpha = 0.03,linewidth=2.);\n", + "\n", + "full_data = normalize(np.vstack(df_waves_acsf[df_waves_acsf.labels==1]['waveforms']))\n", + "print( \"Plotting: \" + str(full_data.shape[0]) + \" Waveforms\")\n", + "for i in range(0,full_data.shape[0]):\n", + " arr.plot(full_data[i], c = 'b', alpha = 0.03,linewidth=2.);\n", + "\n", + "full_data = normalize(np.vstack(df_waves_acsf[df_waves_acsf.labels==2]['waveforms']))\n", + "print( \"Plotting: \" + str(full_data.shape[0]) + \" Waveforms\")\n", + "for i in range(0,full_data.shape[0]):\n", + " arr.plot(full_data[i], c = 'g', alpha = 0.06,linewidth=2.); \n", + " " + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "['xuan_16-9-19_E4',\n", + " 'NC_171010_aCSF_D1ago_E3',\n", + " 'NC_170814_aCSF_D1ago_E9',\n", + " 'asli_25-7-19_E4',\n", + " 'NC_170626_aCSF_D1ago_E2',\n", + " 'NC_171220_aCSF_D1ago_E1',\n", + " 'NC_170801_aCSF_D1ago_E2',\n", + " 'NC_170815_aCSF_D1ago_E6',\n", + " 'xuan_9-9-19_E4',\n", + " 'NC_170626_aCSF_D1ago_E4',\n", + " 'xuan_10-5-19_E4',\n", + " 'Payam_02-10-19_E6',\n", + " 'xuan_24-5-19_E4',\n", + " 'xuan_9-9-19_E3',\n", + " 'NC_170620_DopD2D1_E1',\n", + " 'xuan_6-12-18_E2',\n", + " 'NC_170717_aCSF_DopD2D1_E1',\n", + " 'NC_170725_aCSF_DopD2D1_E3',\n", + " 'xuan_10-5-19_E6',\n", + " 'asli_6-8-19_E4',\n", + " 'NC_170830_aCSF_D1ago_E4',\n", + " 'asli_18-7-19_E1',\n", + " 'NC_170626_aCSF_D1ago_E1',\n", + " 'xuan_14-1-19_E3',\n", + " 'xuan_26-6-19_E5',\n", + " 'NC_170725_aCSF_DopD2D1_E2',\n", + " 'Payam_16-10-19_E5',\n", + " 'xuan_10-5-19_E5',\n", + " 'NC_170630_aCSF_D1ago_E4',\n", + " 'xuan_22-1-19_E2',\n", + " 'xuan_15-5-19_E2',\n", + " 'xuan_27-3-19_E2',\n", + " 'Payam_26-9-19_E3',\n", + " 'NC_171110_aCSF_D1ago_E3',\n", + " 'Payam_25-9-19_E1',\n", + " 'Payam_16-10-19_E3',\n", + " 'NC_171207_aCSF_E1',\n", + " 'asli_31-7-19_E1',\n", + " 'NC_170623_aCSF_D1ago_E4',\n", + " 'NC_170811_aCSF_D1ago_E2',\n", + " 'xuan_14-3-19_E3',\n", + " 'NC_171207_aCSF_E4',\n", + " 'xuan_29-3-19_E2',\n", + " 'asli_28-6-19_E2',\n", + " 'NC_170816_aCSF_D1ago_E1',\n", + " 'NC_171010_aCSF_D1ago_E1',\n", + " 'xuan_8-1-19_E1',\n", + " 'asli_24-7-19_E4',\n", + " 'NC_170814_aCSF_D1ago_E2',\n", + " 'asli_31-7-19_E4',\n", + " 'xuan_9-5-19_E3',\n", + " 'NC_170830_aCSF_D1ago_E6',\n", + " 'xuan_13-6-19_E2',\n", + " 'xuan_14-5-19_E1',\n", + " 'asli_31-7-19_E3',\n", + " 'xuan_26-6-19_E6',\n", + " 'asli_2-8-19_E2',\n", + " 'NC_170726_aCSF_DopD2D1_E1',\n", + " 'xuan_11-10-19_E1',\n", + " 'NC_171211_aCSF_E2',\n", + " 'xuan_27-9-19_E3',\n", + " 'asli_12-7-19_E2',\n", + " 'NC_170704_aCSF_D1ago_E2',\n", + " 'NC_171110_aCSF_D1ago_E1',\n", + " 'NC_171207_aCSF_E3',\n", + " 'xuan_24-6-19_E1',\n", + " 'Payam_10-10-19_E5',\n", + " 'xuan_15-3-19_E1',\n", + " 'Payam_5-9-19_E2',\n", + " 'xuan_21-1-19_E5',\n", + " 'xuan_9-9-19_E1',\n", + " 'xuan_7-5-19_E1',\n", + " 'asli_2-8-19_E3',\n", + " 'Payam_5-9-19_E1',\n", + " 'xuan_27-3-19_E4',\n", + " 'xuan_19-3-19_E1',\n", + " 'Payam_24-9-19_E1',\n", + " 'xuan_21-1-19_E2',\n", + " 'NC_171006_aCSF_D1ago_E7',\n", + " 'NC_170815_aCSF_D1ago_E1',\n", + " 'xuan_26-6-19_E2',\n", + " 'xuan_14-5-19_E4',\n", + " 'xuan_16-7-19_E2',\n", + " 'asli_5-8-19_E4',\n", + " 'xuan_9-1-19_E3',\n", + " 'Payam_25-9-19_E2',\n", + " 'NC_170627_DopD2D1_E1',\n", + " 'xuan_9-5-19_E1',\n", + " 'Payam_02-10-19_E1',\n", + " 'NC_170728_aCSF_DopD2D1_E2',\n", + " 'xuan_12-6-19_E1',\n", + " 'asli_6-8-19_E1',\n", + " 'asli_6-8-19_E3',\n", + " 'NC_170914_aCSF_D1ago_E1',\n", + " 'xuan_16-5-19_E3',\n", + " 'NC_170816_aCSF_D1ago_E2',\n", + " 'asli_1-18-7-19_E2',\n", + " 'NC_171211_aCSF_E1',\n", + " 'xuan_16-5-19_E1',\n", + " 'xuan_27-9-19_E2',\n", + " 'Payam_10-10-19_E4',\n", + " 'xuan_20-9-19_E1',\n", + " 'NC_170615_aCSF_E1',\n", + " 'NC_170830_aCSF_D1ago_E1',\n", + " 'NC_170815_aCSF_D1ago_E3',\n", + " 'NC_171110_aCSF_D1ago_E2',\n", + " 'NC_171207_aCSF_E6',\n", + " 'NC_170718_aCSF_DopD2D1_E3',\n", + " 'xuan_7-6-19_E4',\n", + " 'Payam_10-10-19_E2',\n", + " 'asli_31-7-19_E2',\n", + " 'xuan_13-6-19_E3',\n", + " 'asli_12-7-19_E3',\n", + " 'NC_170913_aCSF_D1ago_E2',\n", + " 'NC_170718_aCSF_DopD2D1_E1',\n", + " 'NC_170727_aCSF_DopD2D1_E1',\n", + " 'NC_170814_aCSF_D1ago_E6',\n", + " 'asli_25-7-19_E1',\n", + " 'asli_25-7-19_E3',\n", + " 'NC_170726_aCSF_DopD2D1_E5',\n", + " 'asli_15-7-19_E3',\n", + " 'xuan_16-7-19_E3',\n", + " 'NC_170726_aCSF_DopD2D1_E4',\n", + " 'xuan_13-6-19_E1',\n", + " 'NC_171207_aCSF_E5',\n", + " 'xuan_5-6-19_E3',\n", + " 'asli_25-7-19_E2',\n", + " 'Payam_26-9-19_E2',\n", + " 'Payam_5-9-19_E3',\n", + " 'xuan_15-5-19_E1']" + ] + }, + "execution_count": 257, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "list(set(df_waves_acsf[df_waves_acsf.labels==1]['exp_name']))" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [ + "# features_ext = ['Vm_avg', 'dvdt_p', 'dvdt_n', 'avg_V', 'resistance', 'thr',\n", + "# 'adaptation','isi','peak','peak_adaptation','ap_width', 'hyp_value',\n", + "# 'fist_spike','up_down_ratio', 'isi_adaptation', 'thr_adp_ind', 'psth',\n", + "# 'int_fr','fr', 'sub_thr','mi','spk_fr_adp','imp','cond','exp_name']\n", + "\n", + "features_ext = ['AP_avg', 'dvdt_p','dvdt_n','avg_V','resistance','thr','adaptation','isi','peak',\n", + " 'peak_adaptation','ap_width', 'hyp_value','fist_spike','up_down_ratio','isi_adaptation',\n", + " 'thr_adp_ind','psth','int_fr','fr', 'sub_thr','mi','spk_fr_adp','imp','exp_name','cond' ] \n", + "data_all_conds = pickle.load(open('G:/My Drive/Cluster Feature files/all_acsf_ephys_with_MI_new_res.p','rb'))\n", + "exc = data_all_conds['exc']\n", + "inh = data_all_conds['inh']\n", + "def return_resitance(data):\n", + " return data[0]\n", + "\n", + "exc_df_all = pd.DataFrame(exc,columns = features_ext)\n", + "exc_df_all.resistance = exc_df_all.resistance.apply(return_resitance)\n", + "\n", + "exc_df_all = exc_df_all.replace([np.inf, -np.inf], np.nan).dropna(axis=0)\n", + "exc_df_acsf = pd.concat((exc_df_all.groupby('cond').get_group('aCSF'),\n", + " exc_df_all.groupby('cond').get_group('ACSF')))\n", + "\n", + "inh_df_all = pd.DataFrame(inh,columns = features_ext)\n", + "inh_df_all.resistance = inh_df_all.resistance.apply(return_resitance)\n", + "\n", + "inh_df = inh_df_all.replace([np.inf, -np.inf], np.nan)\n", + "inh_df = inh_df.dropna(axis=0)\n", + "inh_df_acsf = pd.concat((inh_df.groupby('cond').get_group('aCSF'),\n", + " inh_df.groupby('cond').get_group('ACSF')))\n" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
AP_avgdvdt_pdvdt_navg_Vresistancethradaptationisipeakpeak_adaptation...psthint_frfrsub_thrmispk_fr_adpimpexp_namecondei_type
119.4225477.887402-1.842780-71.3944750.049878-31.6218220.02041940208.77840954.8794140.014737...1.2965520.0018690.590000-71.4391350.1656350.0000000.018043NC_170711_aCSF_D1ago_E1aCSFexc
219.5757968.803019-2.064160-73.6775060.065845-32.9756780.01121216505.66898156.9688940.002025...3.5517240.0007471.560000-73.8117060.283034-0.0208330.019335NC_170711_aCSF_D1ago_E2aCSFexc
426.2193336.395475-1.608403-74.1678500.048788-31.4958170.00669655455.10317555.499508-0.003224...0.9172410.0002830.383333-74.2012960.1294270.0000000.015327NC_170712_aCSF_D1ago_E1aCSFexc
520.1773374.413538-1.718369-75.7239650.120737-27.0235750.00386042075.68823546.052266-0.014522...4.2344830.0016101.870000-75.8736480.361758-0.0069440.023991NC_170712_aCSF_D1ago_E2aCSFexc
823.4003726.409955-1.516797-72.5264600.050701-36.0904450.01645534354.26570049.2611180.015851...1.4413790.0002680.623333-72.5789960.249458-0.0069440.013927NC_170713_aCSF_DopD2D1_E3aCSFexc
..................................................................
293-25.2148607.014993-2.991359-76.0896940.156441-43.1577110.0021991164.07101344.399437-0.006187...42.7724140.00296117.227778-77.2462170.666565-0.3611110.027835xuan_9-5-19_E1ACSFinh
295-34.1713485.895947-5.932909-71.7344930.064017-44.713881-0.00626516693.94638758.497020-0.008887...2.9655170.0003651.194444-71.7998200.121993-0.0138890.023391xuan_9-5-19_E3ACSFinh
297-14.0560228.035465-2.489632-69.2511560.115075-33.4046110.0023972208.11537346.915836-0.007422...22.6206900.0022119.111111-69.8037740.523594-0.1736110.025939xuan_9-9-19_E1ACSFinh
300-38.4092564.409547-5.754911-73.8326050.072956-44.666920-0.0091618306.41135665.2551720.010972...5.9655170.0008122.402778-73.9616510.224878-0.0208330.019857xuan_9-9-19_E3ACSFinh
303-26.2822055.926998-3.442247-73.6169250.156688-36.5097700.0029581724.47879252.146480-0.004059...28.7862070.00228511.594444-74.3869260.606711-0.2222220.023173xuan_9-9-19_E4ACSFinh
\n", + "

446 rows × 26 columns

\n", + "
" + ], + "text/plain": [ + " AP_avg dvdt_p dvdt_n avg_V resistance thr \\\n", + "1 19.422547 7.887402 -1.842780 -71.394475 0.049878 -31.621822 \n", + "2 19.575796 8.803019 -2.064160 -73.677506 0.065845 -32.975678 \n", + "4 26.219333 6.395475 -1.608403 -74.167850 0.048788 -31.495817 \n", + "5 20.177337 4.413538 -1.718369 -75.723965 0.120737 -27.023575 \n", + "8 23.400372 6.409955 -1.516797 -72.526460 0.050701 -36.090445 \n", + ".. ... ... ... ... ... ... \n", + "293 -25.214860 7.014993 -2.991359 -76.089694 0.156441 -43.157711 \n", + "295 -34.171348 5.895947 -5.932909 -71.734493 0.064017 -44.713881 \n", + "297 -14.056022 8.035465 -2.489632 -69.251156 0.115075 -33.404611 \n", + "300 -38.409256 4.409547 -5.754911 -73.832605 0.072956 -44.666920 \n", + "303 -26.282205 5.926998 -3.442247 -73.616925 0.156688 -36.509770 \n", + "\n", + " adaptation isi peak peak_adaptation ... psth \\\n", + "1 0.020419 40208.778409 54.879414 0.014737 ... 1.296552 \n", + "2 0.011212 16505.668981 56.968894 0.002025 ... 3.551724 \n", + "4 0.006696 55455.103175 55.499508 -0.003224 ... 0.917241 \n", + "5 0.003860 42075.688235 46.052266 -0.014522 ... 4.234483 \n", + "8 0.016455 34354.265700 49.261118 0.015851 ... 1.441379 \n", + ".. ... ... ... ... ... ... \n", + "293 0.002199 1164.071013 44.399437 -0.006187 ... 42.772414 \n", + "295 -0.006265 16693.946387 58.497020 -0.008887 ... 2.965517 \n", + "297 0.002397 2208.115373 46.915836 -0.007422 ... 22.620690 \n", + "300 -0.009161 8306.411356 65.255172 0.010972 ... 5.965517 \n", + "303 0.002958 1724.478792 52.146480 -0.004059 ... 28.786207 \n", + "\n", + " int_fr fr sub_thr mi spk_fr_adp imp \\\n", + "1 0.001869 0.590000 -71.439135 0.165635 0.000000 0.018043 \n", + "2 0.000747 1.560000 -73.811706 0.283034 -0.020833 0.019335 \n", + "4 0.000283 0.383333 -74.201296 0.129427 0.000000 0.015327 \n", + "5 0.001610 1.870000 -75.873648 0.361758 -0.006944 0.023991 \n", + "8 0.000268 0.623333 -72.578996 0.249458 -0.006944 0.013927 \n", + ".. ... ... ... ... ... ... \n", + "293 0.002961 17.227778 -77.246217 0.666565 -0.361111 0.027835 \n", + "295 0.000365 1.194444 -71.799820 0.121993 -0.013889 0.023391 \n", + "297 0.002211 9.111111 -69.803774 0.523594 -0.173611 0.025939 \n", + "300 0.000812 2.402778 -73.961651 0.224878 -0.020833 0.019857 \n", + "303 0.002285 11.594444 -74.386926 0.606711 -0.222222 0.023173 \n", + "\n", + " exp_name cond ei_type \n", + "1 NC_170711_aCSF_D1ago_E1 aCSF exc \n", + "2 NC_170711_aCSF_D1ago_E2 aCSF exc \n", + "4 NC_170712_aCSF_D1ago_E1 aCSF exc \n", + "5 NC_170712_aCSF_D1ago_E2 aCSF exc \n", + "8 NC_170713_aCSF_DopD2D1_E3 aCSF exc \n", + ".. ... ... ... \n", + "293 xuan_9-5-19_E1 ACSF inh \n", + "295 xuan_9-5-19_E3 ACSF inh \n", + "297 xuan_9-9-19_E1 ACSF inh \n", + "300 xuan_9-9-19_E3 ACSF inh \n", + "303 xuan_9-9-19_E4 ACSF inh \n", + "\n", + "[446 rows x 26 columns]" + ] + }, + "execution_count": 264, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "exc_inh_df = pd.concat([exc_df_acsf,inh_df_acsf])\n", + "exc_inh_df['ei_type'] = np.concatenate((np.repeat('exc',len(exc_df_acsf)),np.repeat('inh',len(inh_df_acsf)))) \n", + "exc_inh_df = exc_inh_df[exc_inh_df.resistance>0] \n", + "exc_inh_df\n" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAgQAAABICAYAAACJB+2oAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAAACQ0lEQVR4nO3aPWpUURzG4feYoBMYIcJokSadWImge7AQGwsbG4vgLrIAt2AlgmuwFFuZ0mawsEqwiF+IX9VxA8kkzbkn9+Z52sPA+6/mFyal1hoA4GK71HsAANCfIAAABAEAIAgAgAgCACCCAABIsrnucTbbrvP5zlBbBvdl8bv3hKbulqPeE5r6+/FK7wnNzG786T2hqYPFrd4Tmvr8Y9p/a93Z+NV7QlP/ttZ+NY7a4eFBvn/7Wo57W3v1fL6TBw9ft1l1DrzaW/ae0NTy8sveE5pa3d/tPaGZm88+9J7Q1P7Td70nNPX8zdXeE5p6u/2+94SmPt2+1ntCM08ePzrxbdoZCwCciSAAAAQBACAIAIAIAgAgggAAiCAAACIIAIAIAgAgggAAiCAAACIIAIAIAgAgggAAiCAAACIIAIAIAgAgggAAiCAAACIIAIAIAgAgggAAiCAAACIIAIAIAgAgggAAiCAAACIIAIAIAgAgggAAiCAAACIIAIAIAgAgggAAiCAAACIIAIAIAgAgggAAiCAAACIIAIAIAgAgggAAiCAAACIIAIAIAgAgggAAiCAAACIIAIAIAgAgggAAiCAAACIIAIAkpdZ68mMpP5OshpszuEWSo94jGnLfeE35tsR9Y+e+8dqttV4/7mHzlA+uaq33Ggw6F0opS/eN15Tvm/JtifvGzn3T5CcDAEAQAACnB8GLQVb0475xm/J9U74tcd/YuW+C1v5TIQBwMfjJAAAQBACAIAAAIggAgAgCACDJf8dTX/ZM8yt9AAAAAElFTkSuQmCC", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAO0AAABICAYAAAAanHLSAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAAABoklEQVR4nO3YPUoDURiF4TsmYy9oFYKVjWCX0m24L2u3kMItCFraq7XYSSyMlcp1A/nBYmZywvO0l8D5iheGNLXWAuQ4GHoA8D+ihTCihTCihTCihTCihTDjTY9Ho1GdtG1fW3r3fHY49IROXfwsh57Qqafl+dATOvO9eCu/Xx/NqreN0U7atsyn025W7YDL2/29rZRS7hYPQ0/o1Ox+PvSEzrxeX61983kMYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYUQLYZpa6/rHpvkspbz0N6d3x6WU96FHdMh9uU5rrSerHsZbfvhSa511MGgnNE3z6L5c+37fOj6PIYxoIcy2aG96WTEc92Xb9/tW2vhHFLB7fB5DGNFCGNFCGNFCGNFCmD+s4TfrH4LWSAAAAABJRU5ErkJggg==", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAjwAAABICAYAAADyIy9kAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAAACh0lEQVR4nO3cMWpUURiG4XPHScgQgmISQhC0shErEQQ34gZchoWVG7BLZSdYWWQF1tbClGlEYZAELYQEjxtIZqpzT+7H87SHwPd3L9whQ621AAAkm/UeAADQmuABAOIJHgAgnuABAOIJHgAgnuABAOLN1z3ubd+t+4ujsbaM7tfssveEpurWnd4TmrnaXfSe0NTu9nnvCU09uFz1ntDWn94D2rqabfWe0Mz8/t/eE5q6+P6494Smzi6+rWqth9e9rQ2e/cVRefPyfZtVt8DHnZ+9JzT17/he7wnNrF486T2hqWcPP/ee0NS7Hx96T2jrS/b/NzvfO+49oZmDV8veE5o6ffup94SmXp8+PbvpzSctACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4gkeACCe4AEA4g211psfh+F3KWU53pzRHZRSVr1HNOS+6Uq+rRT3TZ37piv5tlJKeVRrPbzuYb7hD5e11ucNBt0KwzB8dd90Jd+XfFsp7ps6901X8m2b+KQFAMQTPABAvE3BczLKin7cN23J9yXfVor7ps5905V821prf7QMAJDAJy0AIJ7gAQDiCR4AIJ7gAQDiCR4AIN5/EntqTbwVql4AAAAASUVORK5CYII=", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "\n", + "CUSTOM_PAL_SORT_3 = ['#5e60ce','#00c49a','#ffca3a','#D81159','#fe7f2d','#7bdff2','#0496ff','#efa6c9','#ced4da']\n", + "GMM_PAL = ['#d62424','#12db41','#f0c905','#248cd6']\n", + "\n", + "# In RGB form\n", + "coherence_colors = [[0.609, 0.283, 0.724],\n", + " [0.259,\t0.314, 0.635],\n", + " [0.251,\t0.412, 0.698],\n", + " [0.176,\t0.631, 0.859],\n", + " [0.369,\t0.749, 0.549],\n", + " [0.898,\t0.654, 0.169],\n", + " [0.898,\t0.41 , 0.165],\n", + " [0.834,\t0.46 , 0.265],\n", + " [0.912,\t0.8 , 0.112],\n", + " [0.612,\t0.3 , 0.834]]\n", + "sns.palplot(CUSTOM_PAL_SORT_3)\n", + "sns.palplot(GMM_PAL)\n", + "sns.palplot(coherence_colors)" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [ + "feat = ['AP_avg', 'resistance','ap_width','thr','isi', 'sub_thr', 'imp']\n", + "\n", + "data_umap_scaler = StandardScaler()\n", + "data_umap = data_umap_scaler.fit_transform(exc_inh_df[feat])\n", + "data_umap = normalize(data_umap)\n", + "\n", + "neighbours = 20\n", + "dist = 0.0\n", + "random_state = 42\n", + "reducer = umap.UMAP(n_neighbors=neighbours,min_dist=dist,random_state=random_state)\n", + "mapper = reducer.fit(data_umap)\n" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXgAAAEGCAYAAABvtY4XAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAABpQ0lEQVR4nO3dd5xcV3nw8d+ZO73v7mzvRb0XSy7CHTdcsDEGjAFTQkIIgTcVQl4SIHkhkJAQ03sABwMGbOMu2xjbsi2rW2VVt/c+vd573j9mNdJqV31XW3S+nw9Yc+fOnWdUnj1z7nOeI6SUKIqiKHOPaboDUBRFUaaGSvCKoihzlErwiqIoc5RK8IqiKHOUSvCKoihzlHm6AzheIBCQNTU10x2GoijKrLFt27YBKWXhRM/NqARfU1PD1q1bpzsMRVGUWUMI0Xqy59QUjaIoyhylEryiKMocpRK8oijKHKUSvKIoyhylEryiKMocNaOqaKaLnkqTGhlBTybRbFaE1YbN40Zo2klfI3UDAKGpn5GKosxMF32C15NJQoePEG1tyx3zLVyAzKRxBAJjzjUyGfREEj2VItHfjzR07AUBbAX5mE7xw0BRFGU6XNTDz0wsRryvf0xyBwgdPoyRSpOJJ3LHkuEwsc4u4r29ZMJhTJqJTCiCkU6TCgYvdOiKoiindVGP4GNd3SDEuOMyo2OkkjDaK19PJkkPDTOyrzF3zFNfj6O0hEw0islqIZNIYLbbL2j8iqIop3LRJvhkMES8txdHSQmYBBjHNj4xu5wIk4bJZgUgk0gQaWs7LrnXEe/pIRONAqDZ7fiWLFIJXlGUGeWim6LJxOPE+/pIB4N46uoQZjN5S5eg2W0AWDxuvPPnYfF4cvPqAjASSQBMVgtS13PJHUBPJEj09WOkUhf88yiKopzMRTWCzyQShJuac3PumtuFp6qKkX37cZaVYrJYyMRi6MkkztLS3OsMXcdeXIQeT2AvKSbZ3z/+2pEIUt1oVRRlBrmoRvCpkeCYG6omk5athslkiLa1Ez7SRLy7h3h3D8fvVSt1HVtBAQhBaP8BLF7vuGvbi4vRVIJXFGUGuSgSvJHJkI5G0RPxMcf1RALN6Rx3vtXvRxx389XsdpPo7SM5MIDUdfR4HEfZsRG+o7QUYTIR7epGTyan7oMoiqKchTk/RZMORxhp3E9yYAD/0iVjnjNSKcwuF5rDgR7PJn+TzYazonzMeQJIDAzkHsc6u7D4fOSvXEEmkSDR20dwXyMA7vpafPPmIUwXxc9ORVFmsDmd4I1MhpF9jSQHBwFI9PfjrCgn1tEJZJO51euh8NJ1pMMRQGJxuzGfMKoXZjNmt4v0yLF693QwiJFJE9p/YMy5kaYWXBUVWFyuqf1wiqIopzGnE7yeSOSSO0Citw9bfj4Fa1eDMGFxOXPJ3OxwjHt9Jh4nHQojdR3f/HkMbt2ONLItCqx5eWgTvAYpc+WUiqIo02lOJ3iTZsZktY4pX0wODeFpqMN+QhuCE2ViMQa2bycTimSvZbcTuGQtejqFSTNj9npA18dd31lRMeEPC0VRlAttTk8Uaw47/qWLxxxzFBdPWAVzouTwSC65AxiJBMFDh7EHAtgLA5htNsxOJ4F1a3HXVGP1+fAtXoh3Xv0pm5QpiqJcKHN6BA/gKCqi6PLLSEejaFYrFq8HzWo97ev0RGLcsUw0itR1MB/7bbN6vVgWecAwVGJXFGVGmfMJXphMWHxeTFYLAKYzSO4AVp9v3DFnedmErxdCgEruiqLMMHM+wevJJJHWNsJNzQB4amuwBQrQ7HYsLheZWIx0JIIwmTC73bl+MprTgXf+PMLNLchMBkdxEY6iQoQQGLqem3+H7EKoTDKJyaTlWh4oiqJMtzmT4PVUiuTQMIm+PsxOJ5rTiZFOI4Dw4SO588JHmkAIom1t5K9aydCOXbmbpBavl4JVKzG7nGTCEeL9A/gXL0RmdKSUhNvakYYkdKQJIx7HWVmBPRAg3NRMvLsbzW7Dv3gx9qJCVQevKMq0mxMJXkpJrL2D4IGDANiLCrEYBplIBCOdGXd+angEWyBAtK19TAVMOhQiMTiIw2rBkBJHYYDhXbsBsAUKcFdXMbBla64MMnTgIEYySWpkBAA9kWRw+w4KL78Um98/tR9aURTlNOZEgtfjcUKjo3RhMmH1+QgdOoxmt2OboBzyaP16Kjgy7rlUKEQmHsdkMuWuabJasPp8pIKhcTXu0Y5OXOXlRFpbc8fSoTAyncFktWJxu9TNV0VRpsWcmEeQUiLl6AKk/DwS/dm2AnoigdnpGHNj1Ox2Yw8EMFnM2IsKx13LlufHZLGguVzZHgWAo6SEaHvHmP40R5ksFgx97LcEI5NhaNcu+ja9SqSlFSMz/luEoijKVJsTI3iT1YqzrIxYR+foyNmSey50+Ai+hfOzvd01DT2eYGTfPgA89bV4Guqzc/RC4CwvQ2Z0BJDs78dTV5ftUSNE9oeIYaDZbeiJYw3FPHW1BA8eysXhqc/2mPctXIiRThPcfwBrQb6aslEU5YKbGwnepGF2uXDX1ZIcHMRRWkpiYBCkzCbkeIJgayve+npChw7nXhdsPED+yhX4ly/DSCYx0ilinV2kgkHsRYVoUmLxekmNDOOqKCfc3Iynrg4MAyOdwZrnJxkM4V+0ECOTQbNaGd69J1srD7hrqvHOnzfmB4KiKMqFMiemaIRmwpbnJ9rRiWa1EunswL9kEd4F8/EtWki0tQ2r10dyeHjca6NdXWDopINBLC43qWAQ34L5GIkk4SNNRJpbcJSUZDcHqasjOTBIJpXCXlyEkUyCnmH4zd1YXC5GGvfnkjtApKUVzW7H7FBb+SmKcuHNiRE8ZJt/BdauITU8jDCZsPnzMNmspMLhbIMwIdAcTmBwzOvMNhvhI83o8ThmtxtHcTGxzi7S4TCQnccf2vkm3oZ6Is0tWPP8ZIIhMl5vrmrHWV6GoevZhH8CqetY3O4p//yKoignmjMJXgiBze/D5s+uQNXTaUb27sNIpbEVFGAPZHdkEmYzcvSmpzCbMTud6PEOAIx0GovXQ7ynZ+zFpczOwes6yYHsDwizy0Xh5ZeSjkSJtrahOUJj+sofpdlt6Kk0ZoeqpFEU5cKa9QleSkkmFsPI6GAYSF3H7HSgJxLEu7oB8C6Yj55KEW3vwFNbk9uOz+r1MvTm7ty1om3t5K1YgbBYkOn0mPcxO504ykqJd3VjstmweLKrXjWbHQyDeE8v/sULGd69N1tbbzLhmz+P0MHD2AoD+ObPm7AKR1EUZarM6gRvpNNEOzpI9A9gdjiItmdH4sJiIW/Jotx5kaZmHOVlyExmzE1WZ3UVtjx/rqwSKYk0N+FftIDhN/fkzrMXFxPv7sHIpPEumI89UJBraWB22HFXVeKuqsyebNKyG3AbBpG2NvRYnHQkgruqUrURVhTlgprVCT4VDBJsPIBv0UKMZBJPfR3SMIh1dpFJJBFmDZnRMdJpzDYbmEwwumEHgMVuB5cLi89Hoq8Pi8+Pq6IMq9eH2e0mOTgEhkEqGCLR3w+Qaw18MjKTIdi4f8wxk6ap0buiKBfcrE7w6XAEzW5HaBqRtrZsDbvZjLehnmh7BwWrVxM8cIB0KEwyFKZgzWrinV0Yegab30+sp5d0MEjRlRuy9esmUy4Ra3Y7keaWMa0MADKx+ESh5Fi8HjS7fUy7Ye+CeWh2VUmjKMqFNWsTvJ5MIg0DZ3kZI/sacyNzmckQOnwET30dZpeTwLpLkOk0JpuN1PAwyZERTJqJYG9f9kImEwKRXQh1HM1qxVFSTLStfcxxq9dzyrgsLheBdWtJDAyix2LYAgGsef5J+9yKoihnatYmeKQkE4tjcTvHTLtANslrdjuD23fgra/HFijApGlYPB40u43U0LF6eG9DA2aX88SrI0wm3DU1ZKLR7FSNyYS3oQHLKaZnjrK43ao0UlGUaTdrE7xmt2NxOrKNvIQY0wRMaBp6PE4mHGFw+w4KLlmD1e/HZLWSv3w5yZFhMtEYVp8Pa57/pPPjFreLgtWryMTjCE3D7HTOuLn0jsMd9LX3ExwMU1JdRMPKBiyWWfvHqijKJJrVmcBZXkZ8YBDvvIZsdYyUYBL4Fi0gHYnirq3J3nTt6CIdjmCkUrgqKnCVlZ3yurGBIIP7mwm29lCwsJq8hkrsLteUfpbh3mGG+oYZ6BzApJmomFdBaU3JKV9zaNdhXnjoRVr3t1K9qBo9nSGVTLNiw7IpjVVRlNlhVid4zW7HXVGebR1QWIieSmKk04QOHMotODK73birq4h392AvLmJ4z14KVq886b6syVCUxl9tJNaXncYZPtRO0Yp5NLxtA5p18n+79IzO7lf3Mtw7zJM/fopELLsa1uG284n//DiV8yomfN1I3wgPfvkhBruzC6/2vLqX3tZeLrlhLVXzK8grypv0WBVFmV1mdYI/ymy1gtVKOhql9+VNY+bkM6Pb8SWHhkgFg7hrqsmMbsA9kfjASC65H9X35iHKL1+Ouzh/0mPvae3liR8+ScW88lxyB4hHEmx/YUcuwQ/2DHF452E6DndSv7wOl8+VS+5H9XcOYLaYx1xHUZSL15QmeCGEH/gBsBSQwIeklK9N2RtKOe6GK0BmtGRR6nq2XcEpttOTJ2zokT2Y+79JN9g9iMPjJDQYGvdcX1sfqUSKod4h3nh2G88/9AKGbvDiwy9x5V0bqFxQSfuBsVU+BWUFON1qQZWiKFPfTfLrwNNSyoXACqBxKt9MczhwlJWOOSbMZiwed3aRE6A57JhPMZ/uLMzDERhbKVO4rB5HnnfyAwY8eR7aD7bTsKJh3HOLL13MDz/3Y/7fB79C4xv7ufNjd+BwZ+vpX3n0VTbcfvmY89fdeAm+fC/egqmJVVGU2UVMOGKdjAsL4QN2AnXyDN9k7dq1cuvWref1vqlQmFhHe7Z9gcuFraCASGsbjuIipGHgrq3B4hxfFnm8WP8IA/uaGGnuIrC4lvz5Vdj9p65/P1eJWJJnH3yOaDCCL+Dj5Uc2IUyCK+98C4PdA7z+5Bu5cx1uB1fcdhnP/eIFAD7xnx+n7UAbkeEIecV+7E47+7ceYPW1q1l2+ZIpiVdRlJlFCLFNSrl2ouemcoqmFugHfiyEWAFsAz4ppYyeENxHgY8CVFVVnf+7SoN4Tx/WPD96LJ5rG2DxerEXFqIdt9vTyTgL/VRdtZqqq1affzynYXfauOG91zPUM8Rzv3iOVVetQEqJySTGJHeAeCSOZs4uyFq0biFVCyqwWs0c2H6IaDDGa09upvNwF3tf38ffff9vCJQWTHn8iqLMXFM5RWMGVgPfllKuAqLAp088SUr5PSnlWinl2sLC8Xukni0pJXoySby7h1QwmDtuMmtnlNyng91po6yulBVXruDlRzfxymOvkkqkcsn8eA63gxvuu567//Iu7E47nU1dPP7DJ3nqf56h83AXkL1BG+wPjnutoigXl6lM8B1Ah5Ry8+jjh8km/CnnLB9b527x+zCZZ2ZyP968VfN479+/m4KSfFr2tfLWe68d8/wVt13OJdev4dYP30JRRfaHocPtxKSN/WN0uO2489VKWkW52E3ZFI2UskcI0S6EWCClPABcB+ybqvc7ymSxIA0D7/x5ZBJJNIcdmUyO2Yh7pnK6HVx683rqltayZeM22g62c+uHb0HP6FTMK6duaS1u/9jEXVge4OYP3MAzP3+OTCqD1W7lnv/zTooriqbpUyiKMlNMdR38J4AHhRBWoAn44BS/HxaXC2dFBUYyiczoyFQq24tmFvViL6os4i13bqCnpQc9o1NSVUxe8cQLlyrmlWNgkF+STyKaoKS2lOoFlRc4YkVRZqIpTfBSyp3AhHd3p/A9kekUI3v25jbAjrS0Eli7Gm0S5vgvFG+eB2/e6St3hBBUz6+iev4k3KBWFGVOmeo6+AtOTyZJDgzlkjuQ3amprT234ElRFOViMOcSvDCZMDLpcceNVIpoR0d271ZFUZSLwJxL8Ec36jiRPRAgfLiJTCw6wasURVHmnjnRbOxE1vx88lYsJ9LUjDQMHKUlJIdHsn1qpmjl7oWkp9LE+kfQ02kc+T5s3qltZawoyuw0JxO82WaDgnySwyPIVIpISwsyo2MLBNBO06ZgpktF4rS+uI2erdm2Ps4iPwvuuhZ3iVq1qijKWHMywQOY7XY8NVXEOruwxN3YS4pxlpSgWWZ+PfyphDv76dnaiM3vpnTtYlLhKAN7m8kkUngri8ctelIU5eI1ZxM8ZPdG9S2Yj2wwEHMk8SWGQiCg/NKlND27GYzslFPXG3tY9K63kldXPs0RKooyU8yNrHcacyW5AzgCXryVxQwdbM8ldwA9mSbY3EU6Nb6CSFGUi9PcyXwXCU9ZIYGl9WQS43dtSgYj9L95mEwyNQ2RKYoy08zpKZoLycjoRHoGiA8EMTvsuEsLpqS6xeJyULJqASZN43DXy2Oecxbnc+TxV3AGfPhrTr2xuKIoc59K8JNk8EAr+x9+Prezn7+2jPl3XYPNM/lVO5rFjL+ujLqbL6f7jb2YLGYKl9bTv+cIAPHBkErwiqKoBD8ZkuEoR556dcy2rSPNXUR7BqckwQM48ry4ywvJX1hNYjBI64vbkKOrdKfqPRVFmV1Ugp8ERipDOhofd3yiefLJ5C0rxEiladzamEvugSV1uEsDU/q+iqLMDirBj0rHEhi6cU6jX81hJX9+NUMHWnPHhEngDPgnMcLxhEmQV1fOqo/eSXwwiGaz4CzKx+KwTen7KooyO1z0CV5PZRg+3Ebzc1vQU2kqLl9O0fIGrO4zS/SZeIpwRz+BJXUIk2DwQCt2v4fa69fhKs6f4uizHAU+HAW+C/JeiqLMHhd9gg939tH4q+dzj5uf3YxmMVN6yeIzen1iJEi4o49UNI6vppTCpfVIXafzjb24Sgpw5HunKnRFUZRTuugT/PCRjnHHurbso3BZA2a79bSvNwyw+92Y7VZant+CkcogTIKKDSuJDQZVglcUZdpc9AudJpqKsXldCLN2Rq+Xuo7N56b9lZ0YqUz2mCFpf3knQohJjfV09IxO874WXvjVH9j0+1fpbum5oO+vKMrMctGP4P115VhcdtLR7G5PQjNRdfVqEoNB0tE4Vq8LR4HvpMk6E0+RikTJxE6omJES4wK3DTjyZhPf/NvvYOgGAE6vk09+/S8oqy29oHEoijIzXPQJ3lWUx/L7byM2MJw9IASpSIJDjzxNJpHCZNFY+I5rKVhYM+HrrW4HI00dY35IHL2O/QJOz6QSKbY8t5Wb77+RTCqD2Wpmz6a9HNx2UCV4RblIXfQJHsDicRB58xDtr+wECRannepr1hIdGMHisDF4qA1nYd6ElSqOQj9Wt5OKDStp++N29EQKYdZoeNsVU14mebx0Jo2/0M8TP3wqd+zae66G0W8eiViSoZ4hhCYoKM7Hegb3FxRFmd1Uggei3YO0v7wz9zgdS9Cz4wDu8gDtL+3AEfATXxSaMMFrFjPe6lLi/cM03LoBAdjzPLhLAwjThbvFER4Ms/HB58cce/E3L/HnX/0zult66DzcRWgohJQSX4GPuuW15BflXbD4FEW58FSCB5KhyLhj0Z5B8udXARAfGOHw719h5UfuwHrcQigpJcGWbvY8+HRuJSlA2boluEsu7GrSaDCKfsKG4oZuoKcz9LX3M9QzRDwap6e1l7qltfR3uFWCV5Q5TiV4wO7zjDvmLg0Q6x/OPU4GI0R6B3FJmesSGesbJtjSNSa5A3Rva6T8smXY88Zfd6r4i/Jw+1xEgsc2Fbe77DjcDnY+8TrDfSPs33oAgD2v7mXBmvlUzqvAqfrWKMqcddGXSQK4SgqovnYtmLLz1Vavi6IVDWNaD5gsZpDQv+cIieEQkG0y5iouoHjVAjTrsa0AzTbrBd9kpKAknw9/8YMUlGRXz/oLffzJFz9EKpliwZr5ueR+1IFtB+lr77ugMSqKcmGpETxgtlupuHw5+QuqycQTCM3E0P5W5HE7JlVfu4aW594gk0zjLMzD0A26tzYydKAVm89N1dWr6d6yj8RwmJrr101JL/jTaVhez19965OEhyO4/S58BT72bW7EMIwJzzd0OeFxRZnLpGFg6AaaxYyUkmQwDIbE4nHO+j2bT6QS/CiTWcNdnE+4q5+Dj75ExRXLmXf7W0gnUjjyPIQ7+oj2DgHZ7fGaN76RG+EnRyI0P/cG8++4CqGZyJ9XNW2fw5vvxXtceWZPay9t+9uoXlhF6/623PGaRdUUVRZOR4iKMm3i/YMM7j5IcjiEf34NVr+XeN8gJrOG5rDjKMzHnjd3+jqpBD9KSkmwtYeRIx3Eeoc4+MhLLHrntcT6Rxg53I67rJDi1Qvo3X4gO8I/bvoGAEMidYOCRTWYrTNjFDDQNchT//MMiWiCt957HVULK2k/2EHDygYuu3kdbr97ukNUlAtCGgbx/iFan3wRI51dcd7z2jB5i+qJdvWRCobxNVRjdjowdB0hTFicdswO+zRHfn7UHPyoWP8wjb9+Hn109Wnp2oW0/XEHejqDp6KYWN8wejKNp6IIq9uJxe0Ydw2r1zVjkjtAIpYgMbr4auP/Ps+br+yhsKKQ+SsbCA9HGBr9RqIoc124rYtIe3cuuR81crAFb005ALZ8H6lgmL7Nu+h9fQfBpnYG3jxAYig4HSFPCpXgR8UHg2SicaweV3YVap6HouUNDB1so/2lHWTiSTxlAaqvXYu7LEDDLVfAcd0L8hoqcV+g9sBnKq/IT3nDsa373D4X+cV5fO+zP+C//vIBvvrRr3F415FpjFBRpl4qHKXrj2/kFv0dT2gmpGGg2ayYnQ56Nm0j2tlLtLOXnk3bQEo6X3ydVHh8KfVsoBL8KLMtu7KzZ/t+6m5Yj93nofm5N0iFsmWHofZeBvY1YzJr9O46iNntZMWHb2fBnVez5N4bmXfHW7B6nET7huh6Yx9tL+0g2NaDcUIJ5YXk8rp432feS92yWgDW3bCWZ362kUw6G1MkGOVnX/pfQoOhaYtRUaaankiiJ1PITAaza+w37/xF9QSPtOOqLCHUPL6zbLQ7W2mWGBi5EKFOOjUHP8pZXEDBghoGD7TQtHEz9TdfDsbYKpNwZz/JUIR0NM7Q/lYKFtVQvHJ+rhFZtG+IN3/y+LHGYwKWvPcm8hsqL/THySmvL+PPvvwnhIbCdB7uGvf8UM8Q4ZEw3gLV1liZm8wuBxa3k8HdByl9y1qSwyHSkSjuylLi/UNkYnHQDUwTdJA9OsJPjgSJ9TlwFhVMwyc4dyrBj7K67DTcegUlaxeQisQx28dve2dx2gm399G1eS/Fq+bTv/sw7tIA7pLsH3qwtWdsV0kJrX/Yhq+yBM02fXPzDpcDh8uRm48/nr/Qh9unbrYqc5fF6aDiustpf+5V0pEYIwea0Ow2Qi2deKpKCaxajLeuCj2eINTUDnJ0YCcEzuIAeixBYnAEachZl+DVFM1xrB4n+fOqKFm1AF91CYGldceeFFB+2TL6d2fnrHt3HsJbWYKeTBMbGGFwfwua1UJgce2Ya2biSeLDM2MKpLSmhDv//A7E6IIuu9PGfZ++F19g7pSFKcpEnMUB6u58K7Y8H3oyRSoYBsMg3NLJwI59gMRVVkTNrdeQt7gB/8J6Sq9ci5HRsRfmE2pqJx2JkkmmpvujnBU1gj8Ji9NOyeqF5NVXoCfT6Ok0vbsOkY6NjoKlRHNYkLrOju/9LrfZh7+ujKIV8+jbdQiAwqX1xAZGcqP86WS1W3nL269gwZp5REai5BfnUVihauGVi4PF6cBRlI/F7SIdOdbSw11VhtXrRphMuEqLSMfi6LEkw3sOkQpFMdLZyjqb38vgm/vx1Vdjz58dgyKV4E9hYF8znvJCEsMhBvY1kwrHcs/Z8714K0toeuq1XHIHGGnqovaG9TgKfBQsqiE+MDItq1pPxmK1UF5fPuZYJBhBGhLPBeydoyjnIhNPYOg6FqfjnLq1Wt0uqm56C8ONTUS7+/DVVeJrqB67glU3iPX24yorJh1pxlNbga++Cj2ZxuJ2ER8ZUQl+LvCUBogPhTB0nYrLlzN4sI1IVz++mjIqNqzA4nQQ7R0c9zojreMuLaBn234y8SSBpfXTEP3pJWIJDu88wq6Xd5NOpVl2+RLql9fhL/RPd2iKMoaR0Ym0d9Pz2nYyiSSll69Bs1mQEmx5Pux5Z14kYM/3U3L5KoyMjmYZnwLthfn0vLYDq9dNyYa1xLp66XjuVQCEyUTJFWtIhsLYvDN/QKQS/Cn4GyoYfnYz0jCQBVC8Yh7VV6/GUZiH1WnHyGQoWFBD/54TaslN0L+nCQBHUR6esgvbOvhMNe1p5of/9GMyaZ1AWQHJeBKL3aISvDLjJAaGaN/4CgAFyxcy8OZ+UiPZe1smi5nqW6/BWXjm06BCiAmTO4A9z0fVzVcSbu0Cw2C48di/b2kY9G/fg9m5ViX42c7uczP/9rcQGwwBEke+D/NxOyGZzGaqrlpFMhQl1NaDyWKm5tq1mJ12vNUl+GvLKFxaj90/M/8i7Hu9EV03uPn+GxnuHabtQDuNm/cTKC2grK7s9BdQlAvk6GpSoWkIkymX3AGMdIaBnY1UXHPZhKWO5yLeP4zV50ZO0KgvE42TjsYmeNXMM+UJXgihAVuBTinlrVP9fpNNs1lPOQJ3Fuax5N4bSQQjaGYNe74XIQRFyxtOulH3TKHrOutuuISdf3yT7uZuALqaumk90M77P3sfJVVF0xyhomRHzWaXg8JVSxBmEybb+BLm5OAIhq5PSoLXMxnCrZ25efcT2fL92HxepJQz/t/4hSiT/CTQeAHeZ9qY7Vbcxfk4Cny5P/CZ/gcPsHzDMvKL83LJ/aj2A+10N3eTmmUlYcrcFOnoof3ZV+jfsZe+LbsZbjxC/uKGMef45tfkVqOfL5PJhMXjQk+lGTlwhJIr1mAavQlr9XnIW1BL2zMvkxgcmZT3m0pTOoIXQlQAbwP+FfirqXwv5ezNXzVv3DZ/R/W09GAyCVa8ZfkFjkpRjsnEk3Rv2n5s8REgMxlcVWUITSN4pA13RQn+ebWnuMrppSJRksOh7DcACe7yYox0huRwCD2RJH9JAwhBJhqnd/Ou7OrWoREcgZm97eVUT9H8F/B3wEknoYUQHwU+ClBVNX191C9GmlmjflkdSy5bzN7X9uWOL163kJa9LWx+Zgv1y+vUSldl2hh6hkzs2Hy3f34tQjPRsXETJrNG4dpleOsqsZxBW99kMESkvYd4/xDuylJcZUVYnA4Sw0GG9h4i0T+Er6Gantd3YrKYKb18NZ6qcjLROOG2rmxLg+MIbXLm+6fSaadohBBeIcS4Oj8hxCmHdkKIW4E+KeW2U50npfyelHKtlHJtYaFadHOhOdwO7vjordz553ew6uqV3HDf9fiL8tj3xn6C/SOM9M3eVqnK7GdxOvDPz47ONZsVzW5juPEIUtfRkyl6Nm0j0X/6ttfpaIz2ZzfR8+p2goda6HzhNUYONueSe6StG83pwGSzIkwmjFSazhc3I8wmHMUBCtcsGRuX24WjcGaP3uE0I3ghxD1kR+F9QggLcL+Ucsvo0z8BVp/i5VcAtwshbgHsgFcI8XMp5X3nHfUsoqcyxIeCICX2fB/maexJczKltaUEh0Jsevw19ry6l/RoT/zlb1nO609t5vbKW7HaJ2d+U1HOhjCZCKxYhAT0WIJIR8+4cyLt3XiqTl31lRwKkhzODlbMLgcFyxZgGDrdL28l1tMPQDoSJdE3SP6SBgbfzO5hHGpqR2gapRvWYvV6iHb3YXE5cZUWYp0FZZKnG8H/A7BGSrkS+CDwMyHEnaPPnfIuopTyM1LKCillDfBu4IWLLbknQxGOPPUqO777W3Z893cc+O0LxIdmRl+aE9mdNt5673X4Al7MFo3V166ioCSfP/72ZYZ6h6c7POUiZvW6CSxfiLuqHItn/Kpwq/f0U4jyuDn8gqXz6XvjTcgYueR+VCaewGQeO+71z6tBs5hxlxdTvHYZ+YvqsflnR/fV083Ba1LKbgAp5RtCiGuAx4UQlYDasfk0Rpq66N1xIPd46EAb3soSKjesmMaoJlZUUUT7wU7qltXhyfNwYOsBtr+wA5vDhsWqlkso0ysdjRHvG8BdVkSssxcjk20PYnY5cFWUoGcypINhDN3A6nVjpLL9oywuF2a7FVueD7PTgTQMUuFotr5dkt0ERI5NZTa/F0dxAJPJRMGKhThLZuZCxTNxun+5YSFEvZTyCICUslsIcTXwCLDkFK8bQ0r5IvDiOUU4iw0dHr+BwEBjM+WXLp20BRmTxelxMn/1PLY8u5U3ntmSO37bR28lv2Rm7VSlXHzMNitmp51wezcFKxaClJisFpwlATSbld7XdjDceAR7QR7eugoGdjZipDPYC/Iov3o99gI/1bdcRfBIG8boFGS4rRP//FpGDjTl3sdRUoiztBBPbQUApllwI/VUTpfgP8YJUzFSyrAQ4ibgnimLag6IDQax+8Z/nfTXls245H5UcWURH/zc+2lpbGW4b5jy+nKqF1XNipp+ZW6z+r2YHfZse4HREbfV58FRWECouSPXTsBbV0nfljdzr0sMDtPz+g4qb9iAPd+P5nAQaetCmEwkBkeweFwUrV1GJplEs1hwV5ZicTmn5TNOhVMmeCnlLiHE24UQbwd2SymfGT2eBh68APHNWkMH25ASXCUFRHuyDcns+T6KljWc5pXTK684j7zimV8doFxcTJpG3sI6nCUBMtE4FrcTW152YWG871jDv6NTN8eLdvaSiSXQfBbMdiupSISidcuJ9w5i6BnMTjtmtxN7ng/7DK9rP1unq6L5FtmpmFeBLwoh1kkpv3hBIpvlYn3D9O44wNL33Uy0dwg9mSaTSDK4vwWzy4HN7Tj9RRRFyTGZzTgC+XDClLgt71jr3ommVKw+D5o1W70mhMDfUEPPaztIh6OYrBYyiRR5C+sm3MVttjvdFM2VwAoppS6EcAIvAyrBn4H8eZVkkim6tzYy2Ngy5jlncT62hTXTEpeizDWOojxc5cVEO3uJDwzjqakg3JK9/yU0jZLLVmE+biGUzeeh4trLSEdjSH20mZicuGYkHYuTDkcRZg2r1z22b/wscLoEn5JS6gBSyphQk7FnzFdTima30vjQxnHPxQdGSEViWN1zZ65PUaaLPc9P4arFuCtLs9tRSokjkIeh6wghSAbD45bSm8waqWCYrpe2oCeSWH0eyq+5dMyeq9Hufrr+uJlUKILF5SSwegmu8mJsZ1CWOVOcLsEvFEIcvWMhgPrRxwKQUsopb1SSTqfp6OggkRi/YfRMYbfbqaiowHLcT3eL046zKA9nUR7hjr4x55sddnZ87xGqr1lDYFHtmBbEiqKcPbPTgdmZYHD3gXErW/MWjt9wJzE4QvvGTbmReyoYpuP5V6l7+1sxO+ykIjE6nttEJp7NO+lojN7Xd1By+Wqsbuc57SY1HU6X4BddkChOoaOjA4/HQ01NzYys5pBSMjg4SEdHB7W1Yxse2dxOqq9ZQ+Ovnsu1HfXXV6BZzVRfuybbB2MkhNQlmUQSe54XR/7sWEChKDNJrGeAnte2U7B0wbgE76mtIBNPoCdTmB12ksMhIl0946Zl0uEo6UgMs8NOJhLNJfejjHSGVDh7fLZU2pyuiqZ1ouNCiA3Ae4CPT0VQx0skEjM2uUP2pk1BQQH9/f0TPu+vK2fZ+24hNjACJpHdsEBKwh39hNt7cZUGKJhfxf6Hn0ezWVly7414K4sv7IdQlFkuE4tjy/dh9jgpWDafkYMtCE2jaN1yhEnQ9MhzpMMRHCWF5C2sRUywEN9ktaCN9prX7DaEZjo2Rz/KbLflWgfPBmf8PUMIsUoI8VUhRAvZG637pyyq8e99od7qnJwqPiEEnooiipbPY+RwB5pZo3vLPnq2NhLtHaJv50GaN25mwTuuJZNMcfjxV0jHZu50lKJMh1Q4Sqilg+CR1tzuTsdzlhbhX1BHz6btBJs68DVU46kuQ5g12p95hXQkSmDFIlzFBUS7+rF4XRRfuvLYBYSgcM1SIl09xHoH0GxWii8b22orf8k8HIX5uYqc2eB0ZZLzyY7U3wMMAL8EhJTymgsQ25wiTILSdUtIDIcIto5tmJQYDpNJpqjcsJL2l3aQSaSwOE/f/lRRLgbJYJi2p18iFQwDIMwaNW+7BmdxIPd8vH+QWE8/BcsXkInGGdp7CN+8GvRYAiOTIX/JPEItHblrBA82U7ByEcVXrEYgMFJpTJrGcOMREv1DWPO8lG1YS80d15MaCaHZrVi9HuzHlWTOBqcbwe8HrgVulVJukFI+AEy8Q8QM88///M/8+7//+3SHMYa3oij703+CAb+RzqAnU+Q1VGB2zr16XEU5V7GuvlxiBpAZnYGd+zAyGdKxOB3PbaL39Z2EWzoZ2LEPQ9dxlhVhcTnQR3cl0+y2MdcAGN5zCJvPw8DORtKRKAO7GnPz96nhEG1Pv4zZbiNvQR3e6opZl9zh9An+LqAb+IMQ4vtCiOs4TRdJ5dQcBX4Kl469q++pLCbc2U8yHKPqmrWE2noZaekiFYmf5CqKMvcdTeCpcGTcc8nhEIZukBwOjts6L9TUjremgmh3P9GuXnzzaiasczd0fbQvjcRst5MOR8c+n06TDo1/79nklAleSvmIlPLdwELgD8CngCIhxLeFEDdcgPjO2E9/+lOWL1/OihUreN/73jfmue9///tccsklrFixgne84x3ERneI+fWvf83SpUtZsWIFV155JQB79+5l3bp1rFy5kuXLl3Po0KFJjdMZ8FF6yWLq33YFhcsbqLn+ErxVxQzsa6Z45Xz2PvgU+/73GXb/z5O0v7yTaJ9q1Xs+kuEYiXBsTLtYZeaLDwzT8fxrHHn4aSzuCXo6LajFbLMijQn+XKXE5vfirixF6gaZeAJbvm/czVHfvGqG9h3BP78WiZyw9NE0Sfu8TpczuskqpYxKKf9XSnkbUAHsAP5+SiM7C3v37uVf/uVfeOGFF9i1axdf//rXxzx/1113sWXLFnbt2sWiRYv44Q9/CMAXvvAFnnnmGXbt2sVjjz0GwHe+8x0++clPsnPnTrZu3UpFRcWkx2v1OEkEw1gcNtpe2knna7upu2E9zRvfIBNLZk+Skq7Newh19GKccCdfObVkJM5Qaw+tb+ynZXMjR17aTdsb+wn3qx+Ws0EqEqXt6T8Sbu1ETyQJHmmjcM0StNHdlvKXzs+Oysm2KbCcsPDIW1+FZrOSCoYwWS24yooYbjxC0brleGsrsfq9BFYsxDe/Fld5EdKQmB32bJfK4+Qvm48tb3aXLZ/uJuvJ+sQ+PPq/GeGFF17gne98J4FA9qZLfv7YsPfs2cM//uM/MjIyQiQS4cYbbwTgiiuu4P777+eee+7hrrvuAuCyyy7jX//1X+no6OCuu+5i3rx5kx6vI89LyYoFhDr7aSgNYHE7sLgcxJ98ddy5qWCUdCyBzTM76m6nW7g/SGwwyLb//QOR0W8/ntJ8KlbVk06msbmcWNU9jhktFQyTOa6SLNbdRyoYourmqzDbbViOW2hkdTupuvEtjBxsJtbdj6+uEntxgOZHn8v2fAeiHT2UXb0eV0kheQvrSAUjDO07SNvjfwDAXVGS3QIwlab0ykuQuoHF5cBVUjTrWhOc6HQLnQaADuBoi7bj598lUDcVQU22+++/n0ceeYQVK1bwk5/8hBdffBHIjtY3b97ME088wZo1a9i2bRv33nsv69ev54knnuCWW27hu9/9Ltdee+2kx+Qs9OMs9Ocep2MJnEV5xE6YkrF6HGql61mIDgTp3HE4l9wBwt1DyGW1pCIJIv0j5FerdQYz2Yk7KgFk4klMmjbh7k32PB8l61ciDQNhMtG/fW8uuR8Vau3EVV4EEuJ9gwzvO5J7LtLRQ2FJIc7iAMKsYXHYsRfmz/jy7DNxuima/waGgaeBDwB1Usra0f/NmOR+7bXX8utf/5rBwWzb0KGhsSvZwuEwpaWlpNNpHnzwWJfjI0eOsH79er7whS9QWFhIe3s7TU1N1NXV8Zd/+ZfccccdvPnmm1wIFqed+luuwOIa7TJpEpRduhR3WVG2B7ZyWqlYkq5dhwl1D457bqRzALvXgUmbHUvML2Y2vxdfQ/WYYwXLF2D1nXoP1JO1DyhcvQSzxULLI8/Tt20P4dbOcedE27vx1lXirS7HUVQwJ5I7nH4l66dGG4xdDbwPeEAI8SzwbSll8wWI74wsWbKEz372s1x11VVomsaqVauoqanJPf/FL36R9evXU1hYyPr16wmHs+VSf/u3f8uhQ4eQUnLdddexYsUK/u3f/o2f/exnWCwWSkpK+Id/+IcL9jn8NaUs/+CtxAdGMFnMqnXBWUgnU4y099G1q5nKtfPoPzT2H3HRgkpMFjPu4741KTOTZrNSfOlKvHWVpIIRbHleHEUFZ7xRjquiFLF9L47iAJ6ackJN7cR7BwAY2Z+9qXoiZ3nxnEnqxxNnWl0ghPCT3Tz7i8A/SCm/P9nBrF27Vm7dunXMscbGRhYtmvaWOKc1W+KcatFgFM2iYb+AC7USoSi9jW0c+sMuHHluHF4nsZEIXbuaQEDVJQuov3I57oAPh3/2dAJUzo2Ukmh3HwM79+EsKaJ/6+4xzwdWLiLc3k1ytLzS6vdQdu1lJIUgv2D2bfghhNgmpVw70XOnu8nqAu4A3gUUAr8F1kgp2yY9SmVWCw2F2PHiLv7w6z/i8jl52wdvZv7qeZincHopGY6TjCWIDYVpenUfQy09LFtxOZ27mtCsZpbceimekjz8VUV4i2bfP1zl3KQjMTqefxU9nsRZGBi3sfbAzkZqb78eaehIKRmIxPn4x/6JzrZu7r73Nu54582UlBVN4yeYPKf719cHHAIeGv2vBNYKIdYCSCl/O7XhKbPFzhd38fB/Z/86DHYP8p1Pf5+P/8efMW9lA6azaK2qpzMMNnXTsfMwroCPQEM5eRWFY+bO48Eow629RIdCSAO8ZfloluzX9z2PvUb5qnp8ZQG8ZQWUr6w/q/dXZr9kMIQez5Ybh9s6yVtQx/D+YzdVXeXFWP1uzHY7+3Yf4L63f4xMJrtA/5tf+xGJZIpP/M2H58Tfm9Ml+F+N/nf+6P/gWCWNJDuiVy5y0VCUP/zmpTHHpJTsfmUPzXtbufSmS/Cf4dz3wJFu2rcewGy30rZ5P0NNPdRfuYyiBZVA9mbppu/8nmh/tuFU1bqFmG1mShZV0bu3FSklHdsP0/VmMxs+dtuc+EeqnJ3jq3ASgyNY3NmNtfVkCpPVgrM4gGbNVqYd2t+cS+5H/eInv+Fd990xJ0bxp0vwe8gm8uOTej/wyky6yapML81sxu1zMdA5MOa4xWbh2Z9vxGozc+094/vTGaOlbEeTsDQkgy09ZNIZml7ZA8BwWx+9+9u47u/fhSPPw77HX88ld4C2N/ZTvKgKYTKx7v4b6D3Qgckk8Jbm4ylV0zIXI1ueF29tJaHmdgDCrZ1YvG5MNgsDO/cjdZ36u27AXpCHY4I1Ef48H9ZZvoL1qNMNb9yAZ/S/R3+9FnhKCPHuKY5NmSXsThu3fPCmMVUIbp8Li81COpnm1cdfJ3ZcX51MOk333hZe+eZjbPrWY7RvP0TPvlb2/P41gh39+EoLKJx/bAVxKpog2DlIOpqg90D7uPcP9wzx5m9fZuevXyKvqghDSgrqSnHlz77mUMr5M9tslFy+msq3bqDokmWUXL6aVDBM6GALxZcsw+x0kBrtMbNo2QKqasauVv/rz36M/AL/NEQ++U5XJvn5iY6PrnB9juzcvKIwf9U8/s8Dn2DflgPo6Qxmq5nnH8quFAyUB7BYj/1VGzjUycsPPJJ7nF9TwsHnd5AenTdt33qQJbdeykhHP+nR1g0mzYTFZadofgWdO4/NpwKYbRb0tI6ejrPzly9yzd++k4La0in+xMpMZnE5MFUUM3ygiUhbV+547+ZdBFYtRhvdhLuyqoxv/c+/sXPrHgYHhlm2chFLV86darhzKnGQUg5dTBtwP/3003zyk59E13U+8pGP8OlPf3q6Q5pxNLNG7dJaXD4X3/2HH9DXnt3hymK1cMN7r8dy3CYJR146VrYmTAJDN3LJ/ajm1/ZRvqKeltf24Sr04SsPYLZkK2OG2/uIDWbXMlStX0h0MJR7nZSS+PDs7gCoTI50JD4muQNIw0CzWbAf9+2uqqZi3Ch+rjinBC+EuIbsCtcZJzk8SLynEyOdwmSx4igpx5ZXcPoXnoSu63z84x9n48aNVFRUcMkll3D77bezePHiSYx67iiqLOJj//ZR2g91kEllKKsro7y+bMw5mlnDX1mIyawR6h7CMkErBqnr5NeW4K8IULK0FldBdsGXv6KQ6/72XQS7BknHk/Q0tmEymVh08yU0Pr0FZHYvXEUxWTQ0mzXXE/4oq8+Tu8k6152uDn432Rurx8sHuoD3T1VQ5yo5PEi0oxVk9uadkU5lH8M5J/k33niDhoYG6uqynRne/e538+ijj6oEfwqBsgCBssCEzxm6QdX6RTS9shs9rbP6XVdnR1UWM3o6kztv4U3raLhy+bjXZ5JpRjr6aXmtEbPNgqckj/3PbMWZ76HqkoWYLRq+ionfW7m4WN0uSi5fTecfXs8dc1WVMhhN0LxjHzX1VXgn6G0zl5xuBH/rCY8lMCiljE508nSL93TmknuONIj3dJ5zgu/s7KSysjL3uKKigs2bN59PmBe1oZYeNn3rsVx/9t59rVzy/rey/sM30bblAImRKFXrF1K0sGrC1/c0tvLqdx7PPdasZhbfvI7dj77K0tsuo6C+DJtLbXeoZHlrK7B6ryc1EiZjEjz+7Mt87U8/B8DVb72Cz3z+k5SWz93mc6e7ydp6oQKZDEY6dVbHlamRiiWI9I2AEEgpCfcM4wp48VcE6Nx5ZNzmG82v7aN0WS3V6xfSvuUAwy09HHnpTeo2LKV63UKso20P0okUjU+8kX2RgEB9GRaHjXQihcVuxep2qOQ+x0kp6eroQdcNSsuLsJymna/JbMZZHMBRVMADX/0+P/jmsWaDL27cxDU3bODOe26Z6rCnzZxqU2iyWCdM5ibLuc+3lZeX095+rDSvo6OD8vLyc77eXDdwpIudD7/MUHM3CKi5bDFF8ytpfGoL5SvqECcU5pavnkfDlcvo2H6Its37KV5cRWFDOS2b97PjoRexe5yULqvFbLUgpUTXdZz5HuZft4qu3c0kglGK5lcw/61r8Jaouve5rL9vgI7WLn7588d49vE/8I733MqHPnbvhCPwoYFh3nhtBy89/xoLFjdwxdXrePWlrePO2/7Gm3M6wc+pZX6OknLGZRBhyh4/R5dccgmHDh2iubmZVCrFQw89xO23336ekc5Ng809tLzemE3uABJaXt1HJpUmv6aYA89tp3hxTa6tq93rpOHKZWx/6A8ceWk3wc4BDm7czv5ntlKxugGA1jcOsOvhlxhq7cXqsLHoprXUX7mcXb95hb797Qy39bHrNy9jc9tzI31lbkmlUry5fS+7tu2l6XAr195wBf/9w//HYw8/zdOPvzDu/L6efv74/Gs0H25FCMF//Ou3+D8f/b+8/0/uGXfumvUrLsRHmDZzKsHb8gpwVVTnRuwmixVXRfV5VdGYzWa+8Y1vcOONN7Jo0SLuuecelixZMlkhzxmZdIZQzyB9EyxECnYOIEyw6OZ1WGwWrvnru6m5fDFLb7+c6GCIcM/YgqzBpm6KR+fgHT4XnTuP8NJ//47IQJDSZXVIKcdt6HBg43aSapPyOan5SBsHGo/wL5/9Gp//9L/ztf/3HQYHhvj0F/6Sx379NNFoLHdu0+FWPvrev+Gf/u7f+PZ//YQjh1p4/5/cQ2tzO1arheWrj/3bve6mK1l/+erp+EgXzJyaooFskj+fhD6RW265hVtumbtf485XfCRC74F29JRBXlVRdv79OM4CL+1bD5JfXUzJkmocXhcWh43YUIh4aOL79VanHZvHgcPvIhHK/gMOdQ9RtqwW6wTz7Gab5aQbPiiz29DAMF/5/AMkR8sduzp6eOArP+BfvvYZFixuwHZcW4E9u/Zz3c1vYXHHfJ598kX27T7AustX4fa40Mwa3/jRl2htakcza1TXVeLxzO0qGvUvQjkv0pAceWk3b/z4GeweO6XLanHmH9t5p3BeOTanjfhwhJZX96In0wB4S/IRJhPOPA+F88ZOoVWsbsBk0ajbsJTGp7bkjkf6hxk43EXh6M3V4y297TK11+ocNTQ4kkvuR/X1DhANx3j/n9yDebS52OZN2/j2f/6Y7z/wM/buPsBnv/gpnC4Hne3d/N3n/oLa+ir8eT5WrFnK0hWL5nxyhzk4glcurNhwmAMbtwEQD0ZwFfqoWD0Ps9WCMAliI2HiI1GS4RgWuzXX9leYBIF5ZYx0DDD/+tUULawi1D1Efm0x+dXF9B/sGJPcS5bU0Hegg92/e5Xr/+Fervnru+nZ20I8FKN8eR35qjXBnFVcUogYrcg6yuF0UFRayOJlCwBobW7nr/7sc4RHe8w0H27lgX//AR/8s3uR0uBLn/s677n/Lj76iffhdF08C+FUglfOixACk2ZCT0MqmqR921YW3riW+EiUVCSO1WGn8alsaeOS2y/HedwWhGaLhUBtKfFQFKvbQdHCCiwOG77SAnxlBfjKCxk43IHFYSPcO0zr5v0AhLoHqVw9D39F4bR8ZuXCWrpyIR/71P186z9/DGS7j/79P3+CpSsW5s5pOtSaS+5HDfQNUVVTzshwiGtu3MCPvv2/XH/zVWNeN9epBK+cF2e+hyW3XcbOX/+R+EiEVDTBnsdeo2LVPNxFPsRgmLq3LKNkSQ2BuolH2Q6vC4fXNeaY1WnHXxFg6882jrt5arZNXPssDUkyGsdst07pTlLKhWW32/nAR9/N5Veto6+nn4qqUurn1Y7pXupwOcaN8i1WCxaLhS997r/407/8ADablZHh4ERvMWepfwXKeau+dBHOAg9dO4+w8KZLiPYHGWzuRk9nkIbBopsvweE7+/lOV4GXpbdfxrb/PVYKV1BXhr98/Mg91DtE34F2Rtr7SUUSzL9+NYETeuAos5fDaWf5qpO3B6msLufdH7iTX/zk2B5E7/vwO2lpyu4u2rjnIAuXzqekrIjhoRHy8v1THfKMMGUJXghRCfwUKCbb4uB7UsqvT9X7KdPH5rJTsbKBipUNhPtGiPQOgxCkYwnqr1x+yuQe6Q/S29jKYEsPxQurKJpfMWZj7Kr1C3EX+wl2DOLwu8ivKcHhHzvaj4eidO9uoXnTHqSUVK1dQOMzW1h2xxX4y1VfmotBeUUJt955A+UVJQSDYXw+L3n5Xr78zw8AUF1bwWVXruMrn/8GbS0dvPdDd3PzbdcRKMqf5sin1lSO4DPAX0sptwshPMA2IcRGKeW+KXzPKfGhD32Ixx9/nKKiIvbs2TPd4cxoniI/S269jHQiidlmHbOX6okSoRibf/QUg809QHZRVPWli2i4agV5VYWYNA2LzUrxgiqKF0zcmwag/1Anux4+tmXg3sdfZ9nbryDYNaAS/BzT3zvAqy9t4Q8bN7F81WKuvWEDNfXZvxvLVi7C6XLQ1tLBay9t5YGvfp9kMkVldTlvfdvVbHzyj6xYvYTVlyxj+xu7EMB9H37n9H6gKTZlCV5K2Q10j/46LIRoBMqBKU3w0c4uQgcOoicSaHY73gXzcZWf31f1+++/n7/4i7/g/e+fcQ00ZyRhEme0qjTUPZhL7ke1bm7EVeDFMCT+svxx5ZDHO7r5dvuWg+Oe6zvQzoIb1px98MqMlU6l+cG3HsxNw7zwzMs8+vBTfO/Br1Fckp22q59XQ0Egj/yCPNasX47dbmfB4noa9xzihWdeorM9+/dt3eWr6O8bnPPTNRdkDl4IUQOsAsa1YRRCfBT4KEBV1clHaWci2tnFyO49uVWOeiLByO7siPt8kvyVV15JS0vLecWmjGecsBoVwGy14CsPcOj5bYR6hqlcM4+y5XX4KwrH3FQzDINDf9hJx/ZDFC+sHHcdq9uB3XvxlMNdDNrbuvjlTx9h3oJs6+5DB5poPtzGkQPNuQQP2T1V/Xk+VoyuWs1kMjz/9A9zyR3gjVd3cOmGtYwMhUin0xQVz82KrClf6CSEcAO/AT4lpQyd+LyU8ntSyrVSyrWFhef3mxw6cHDcEnZpGIQOjB/hKdPPW5KPKzB239Rlb7+CbT9/jo7thwl1DbL396/T+NQb9OxrxdCP/dnGBsMcfG47kb4RihZWoR1XNWMya1SvX4j9HG7sKjPbX332Y1TXVVBdV8Hf/N+PU1NfhSFP3LJirFgkzs5t46dWB/oG+d+f/IYffesXbN60bapCnlZTOoIXQljIJvcHpZS/Pd3550tPJM7quDK9nHke1tx3HZ07DjPY1E2gvgwhIBUbu31f544jeEvyceZ58JWNb0PR9kYja++7jlDPMCazhqvAi83rxO52XKiPolwAA32D/Me/fCtXCvn80y/z6c9/kob5Nad8ndvr4uq3XsFPv/+rMcf9eT6+98BPyWR0nnhkI9/+6VdYumLu7McKUziCH92z9YdAo5Tya1P1PsfT7BPP+57suDL9bC47gYYyFt64lmQkjp4ZP21jMpswMgaxoWNfAJ0FHhbesBaAzp1NHHx+BxanjfzaYgrnlVNQNXc3cbhYPfKrp8bUuUsp2bVtDyVlp/6zNplM3H3vbaxYsxTILs675e3X09LUTiajAxAcCdG4Z+5905/KEfwVwPuA3UKInaPH/kFK+eRUvaF3wfwxc/AAwmTCu2D+VL2lcp58ZQVEh8PEBkI4C7xYnTY8JXljOkzWXrGUjp2HqVq3IHfMZDLRcPUKPMV+2rYeJK+qiNIl1fhOslWgMvsZJ+7WBuM2jzmZmroqvvGjL9He2kkykeJ/vvdLXnxu05hzdP3MrjWbTGUVzSuAOO2Jk+jojdTJrqJ5z3vew4svvsjAwAAVFRV8/vOf58Mf/vBkhHzRM2kaFcvriQ6G0NMZbB4ngYYyut5sYritH29JHkOtvcy/bhWe4rEbeti9TqrXL6J6/dz6Wq1M7M53vY2nHn1+TFJ/x3tO3FX05Hx+Lz6/l0wmw/LVi8YkeKvNyvJVc+/vkTjTn4AXwtq1a+XWrWN3XWlsbGTRopn/Gz9b4pwtpCGJDIwQHQhh9zrxFOeNuZGqgJ5KYWRSmDQzmm3uT0OmU2l2bNvDrx98FCS88723s2rtMizWU2/bN5H+3kFe3PgKv/3lk5SWF3Pfh9/JqrVLx1RqzRZCiG1SyrUTPqcS/OSYLXEqc0M6GibS2oTMpMFkwllagclqx+xwYDKffcK7WCUSScxmLddy+ESZdIZwMILH556x/Y1OleBnZsSKopyUkU4RbRtN7gBSosfjCM1MOhLG7PagqSR/Ruz2ky+kaznUzsM/eoxdr+9h6SWLuf3eG6lfVIv1JM3uZiKV4BVlljHSaYx0OvfYWVJBYqCX5FA/IHAUlWApCGC2qA1QztXQwAhf+uv/oqs1uzjqlWde58i+Zu75kzu45C0r8eX7TnOFmUHt6KQos4wwmxGaBoDF6yc5MoiRPrrjkSTe140Ri538Asppdbf15JJ77lh7L72d/ex/8wiGYZBKpU/y6plDJXhFmWU0qw1XRS0IgWZ3oMfHJ3M9qRb3nQ/bSaZu7A47mXSGr3/ue3zmQ19k4+9eZHhw5vaYVwleUWYhi9eHd95izC4Pmn38il2hqdnX81FeU8qN77h2zLENN6zH7rDxX//3O7zw+5c5uPsI//3P3+e53704PUGeAfW34DQSiQRXXnklyWSSTCbD3Xffzec///npDku5yAkhMNsdYHcAFdmbrnp2VaY1rwDNodo0nA+H0859H7+bFeuXsH/XIYrKAjjdTlKJFIn42FYaD//491xz21sIFM+83vJzLsH3vXmYlue3kAxGsPnc1Fx3CUXLG875ejabjRdeeAG32006nWbDhg3cfPPNXHrppZMYtaKcO4vbi7tmHnoygTCZ0Kw2NIeTdDRCJhbBSCUxuzyquuYs+Qt8vOXGS6moK+OnX3+IrS/v4t6PvWPceRarBZMpWz8fCUcZGQji8jjJC/iBbCmmyWTCepJ6fT2jYxjGOdXzn86cSvB9bx7m0O9fxkhnAEgGIxz6/csA55zkhRC43dmuhOl0mnQ6PSsXQyhzlxACi8uNxXWse2Y6GiXa0YKRTIAwkRzsx1FSjqNo4n1xlYll0hme+uVzbH15V+6xL99LcLQvUmFJAX/y9++ncdch/PlefvWDR9m+6U0KSwr48//7IdLJNL/+4WO4vS7e8cHbWLJ6AWaLmdBIhK6WLqLRBG1H2rFarRRXFLJgWT0en2fS4p9TCb7l+S255H6Ukc7Q8vyW8xrF67rOmjVrOHz4MB//+MdZv379+YaqKFNKT8QwWSzY/AUYegaTpmGkUujJxEWx6nWyBIfDvPzM67nHj/78Kd754TtIJrNTNTUNFfzHZ75JMpHC4bTz7j+9k6b9rfT3DPKvn/pP7v7Q7Rza2wTAztf38NWf/TOZdIZQMEJkJEJvZz8llcXkBXy8/PRrpJNpLrvukkmLf04l+GQwclbHz5SmaezcuZORkRHuvPNO9uzZw9KlS8/rmooylSRgtjuJ93bmjpldnjNuzqVkOd0OauZXsmfrfgCSiRQ//+av+YvPfQSAb/+/n5AZHVTGYwke+t4j3HLPdfzmx4+TSWfGLIry+Fw0H2hjx6u7iEbi7Nq8N/fcHffdzJU3XUbLofbcytnJMKeqaGwn+U052fGz5ff7ueaaa3j66acn5XqKMlU0m43EYH/usdWfj9nlJt7TRby/h0wiPo3RzR4Op533/+W7sR+3deTStYuw2iwEh0O55H5UPBpHO67tQWlFUe7XV958OT//5q+pmV89JrkD/P4Xz5BOZ7A77bn5/Mkwp0bwNdddMmYOHsBkMVNzHl95+vv7sVgs+P1+4vE4Gzdu5O///u8nI1xFmTImTYPR9rqawwlCkOjrBiAdGiY5OICnbj4ykyYVGsHQM1h9eVicboRpTo37ztuiFfP42v9+kY6WbuxOG4HiAp75zR9YddlSzGYt11Mesj8QjNFqpitvuoz0cbmosq6c4FBowq0qDd3gcGMzy9YswuVxTVrscyrBH51nn8wqmu7ubj7wgQ+g69k73ffccw+33nrmLUoVZTqYrHbMbi+ZSAiLx0eib+yqTCOVQE/GibQcyf0gSA32465pwOr1T0PEM1tlXTmVdeUAJONJCkvy+f5Xfsa9f343v/jOb0mn0tjsVj7yt/cRHAlz38ffSeOug1isFt7xwVtxuZ3MX1bPvKV1JONJ/PleRo7bwGbekjo6mrpoWFQ7qXHPqQQP2SR/Pgn9RMuXL2fHjh2Tdj1FuRBMmoazrJLEQB8IQXZW/rjnrTYysWguuR8V7+3G4vLkWiEo47Ue6eAHX/05AM/85gU+8rf34XQ5yGQy/PwbDzPYNwRAWVUJC5c3sOGGbFHG0MAI1976Fl597g3e8aHb2PnabpoOtLFs7SIq68v5xbd/y90fum1SY51zCV5RlCyz3YGrvAojncJIJUkNDwJg9RdgslqRRxuWjdbOG6kUSANDGshYHD2ZRJjNmO1OTBZVP39UX9dA7te9nf18+19/jMkk+PR/fIq7P3QrW17eyaIV89lww3oCJcf2EM4P+CkuL8TpcZKMJ7njvlvY8vIOdm9t5JVnX+dDf/1eKusqJjVWleAVZQ4TQqBZbTiKyzE7nKQjIUwWC4m+bhwlFThKK0BKMrEoVl8+JoeTTDRCtPVI7hoWrx9XRbXqMz8qcMLOYgCBkgKikSi3vOut3PqeG0/62tWXLye/KI+u1h7MVgvX33ElazeswF/go7KufNIXO6kErygXAc1qRQsUY/H5CR1qBEAi0SMR0uFss6x0aASzy43JNrbNQTo0gh4vxOSZHS1yJ4NhGDTtb6X5QCs2u5WGxXWUVZcAUD2vivf9xTv5+TcfRkqJw+Xg3X96F0tWLcR0mhvUmlmjfmEN9QtrLsCnUAleUS4qQmgIzYzMZNAsVhLhsZ0QM9EIroJiMtFwdhXsKEPPnHipWS+dSjPYN4zNbs21FThq7/YDfO5Pv5SrkAkUF/DF736aitoyHE47b3//21izYSUDvUPkBbKjb4dz5i0gUwleUS4iJrMZR0k50dYjJ130JI0MtrwCEv29yNHErtnmVvOy7vZeHvre73jxiU348rx89O/fx7qr1mC1WUjEk/zye78bU/440DvI7m2NVNSWAWC1WahfVEP9oppp+gRnRhW8KspFRE8mSEfCOErKEZoZs3PsIkDNZsdIZ0gM9GL152GyWHHXNEzYkngmkYaBkTmzbxmZdIZHfvoELzz2MoZuMDwwwr/97QMcaWwGsiP7vu6Bca8bGRiZzJAvCJXgz5Cu66xatUrVwCuzlpSSeH8vqcE+4j2dJPq7sQWKsBUUojld2AoKsReXk+jtRGYyWPMK8DYswur1z+gGe5lYlHDbEUKHG4n1dKInk6c8f3gwyB8e3zTueNuRDgA8Pje3vPP6cc8vXbNocgK+gObcFE3r5v3sfnQTsaEwznwPy+64gur1C8/7ul//+tdZtGgRoVDo9CcrygxkpNOkg0O5x3osSqyrHWd5FSa7Ez0WJdqWrZ4xu9xoNkd2RewEpKFjZDIIzXzSc86ElPK8fnhkEnFCTQdgdHVooq8bI53CVV590hW5DqeN4ooiWg62jTnuzTvWxfHKmy4jEU/y2INP43Q7uP9T72H+svpzjnO6zKkRfOvm/Wx98DliQ2EAYkNhtj74HK2b95/XdTs6OnjiiSf4yEc+MhlhKsq0EJqG6YROkjKTzt5MNXT0WAShaZhdbhyllSdN3Jl4jEhbM8EDe4m0HM4umDpL6ViUaGcb4SP7ifd2kUmc2x6yeiKeS+5HpYYH0XN71I7n9rr58F+/F8187PMtWN5Aw+Jjq0gNw+Ca297CN37zZb724BfYcMP6k27jN5PNqRH87kc3oafGzsPpqQy7H910XqP4T33qU3zlK18hHA6fb4iKMm1MmoazpIJw80EYvcGqOV0YmQya3Ym9uBRpGJjMFvRUCqln0OwONIs1dw0jkybS1pSrsMlEw4SbD+GdtwjNOnECNDLp7Py4yYQej5EaHsxuTOJwkhoZIhPrIhOP4qqsO+tvAxOO0k0mBKf+VrB83WL+4+dfoL2pE6fbQd3CGgLF+YSDEZ5/7CX+99u/xdB17rr/Vm5+53VnFdNMMqcS/NGR+5kePxOPP/44RUVFrFmzhhdffPGcr6MoM4HF7cE7bzF6Io4wmTDSaUxWK4m+bjLRCJrNjq2gEIBIWzua3YG7uh6T1YaRSqInE2PKJwGknsn2mZ8gwaejYaIdrRjpFI6iUuI9x9oXExzBUZw9lg4Fsz3sXWe32YVmd2KyOzCO647pKC7HZLWe4lVgMpkmrILZvbWRH/77g7nHv/jObwkU53PDXdecVVwzxZyaonHmT/yX42THz8SmTZt47LHHqKmp4d3vfjcvvPAC99133zlfT1Gmm9nuwObPx+x0Y6RSxLvayUSzeyboyQSxnk4QAs3uxBYoJh0JkxjoIxOPoafTMMHo2GQaP/LOJOJEWo9gJBNYPT6So60ScqSRLcM8h+6VUkoyyQRGKomrohpHRS32whLctfOw5Rec87z+a89vGXfs2d+9OKZkcjaZUwl+2R1XoFnHfinRrGaW3XHFOV/zS1/6Eh0dHbS0tPDQQw9x7bXX8vOf//x8Q1WUaSc0DZPdjn7CiBzDQBo69qISEr1dxDpbiXe3E21vwaRp2IuKx5xuzSvANEEZZSYWQZ6udHG0FN/iyzvjWnspJanQCKGD+wg3HSDcdBCTZsJRUo7V48OknfvERNVox8jj1c6vQtNmZ6qcnVGfRPX6hax97/W5Ebsz38Pa914/KVU0ijLXCCGy2/dNMNo1ma0YqSTG8TcrpUFqaACTzYGjuBxHaSXumgacpRXj5s6NTGY0uWevnQoHsfnzTwwAk82Oo7gMR3EZJvOZJWY9mSDa1nSsE6ZhEG1vxkidujzyTKy/eg35hcd6zbg8Tm58x7Uzukz0VObUHDxkk/xUJfSrr76aq6++ekqurSjTwexw4iipIN7dnjtm8eeTSSRggvYEejqFRRrE+7rxNCzMJnYxfpwoTIJMMok9UERioBcMg3Q0jLO8ilRwBJOmYfHmoTkdmM9ylazMpHM3iXMMAyOdPu/9ZqsaKvjyj/6RpgNtGIZOzfwqKmvHj+pnizmX4BVFOXNCCOz5AcxOJ3oygclsRXPYkbqBnkyQHOofc77V6ycdHMFVXUeit4t0OITmcOIqqxizKlaYNOz5AWLdHTiKy5HSQGga0pCjU0NONMfZJ3cAYbZkv3Ucn+SFCTFJLY1Lq0oorSqZlGtNtzk1RaMoytkTmobF5cGeX4jV60Oz2DDbHVjcXpxlVQjNDEJgKyjE7HJj9eeT6OkkHRoBaaDHIoSbD43b59XicuOuqMZks2VbIKRSxLvbSQeHSfR2Eu/tQk6wfd3paDY7rsqaY1NLQuCqrD1pmebFTI3gFUWZkEnTsAeKMLs9o8v/JXoqiclizS4wOo7UdTLRCEYmjdnpxmQyIaVEGkY2iUtj3Dx2JhJC6hmE6dQljScSQmD15aPZnchMGmG2oNnskzJPnowlsj/MHHPjh4VK8IqinJLZ7hi9sdkM0sBeVDp+ioTs3Hikqw13VT1Wn59MJLsI6mipjMlqw15YQqI/uz+s2e09560BhRCY7Q5gcpqgJaIJjrxxgC2/exXNqnHpO6+kZkU9Fvvs3uRETdEoinJKUtdJDvYf25w7OJxbDHWUxeMjE4+ClMS62sgkk9l6+uP2gjVSydy0islixVFUipigfv5CiwWjHHptH8984zGGOgfob+7l91/5NV0HO6Y7tPOmRvCKopySlMbYzT+SCXSLBWd5dXahkpToyQSpkWwjMyOdAj2T/a8Q2AuKsu0DhMBks+GpW5Cdl7ec3dTMVOk60M7eP+wad/zga/uoXl47wStmDzWCPwMjIyPcfffdLFy4kEWLFvHaa69Nd0iKcsGYzBaseWNr2DORcHb+XDMT7+3KJXcg2zvebMGWH8BRXE5yZJBEXzfx3i4S/b2YLJYZk9wjQyHSiTS2CXZjcnqd0xDR5JpzI/gtG7fy+x88yXDfMHlFedz2kVu45K1rz+uan/zkJ7npppt4+OGHSaVSxGLn1vlOUWYrW14AI53JNgrTTNgKikiHg9gCRdiLSnO17iarDXtxGYmeDmyFpST6usesZtXjMdKR8HnXq0+WdDLNyz9/gTW3rad1VxOGnp2GstgtNMyBBZJTmuCFEDcBXwc04AdSyi9P5ftt2biVX/z7r0gn0wAM9w7zi3//FcA5J/lgMMhLL73ET37yEwCsVivW0zQyUpS5RrPZsQaKsfryMNIp9GQCe6CEWHdH9sZrQREIgZFOZztGjgxh9RegT9AGeKJjF0J/cy/9bb2YNI3iuhLyygqQhiQyGGL745u54j3XEBkOYzKZKF9cRXFd6bTEOZmmLMELITTgm8BbgQ5gixDiMSnlvql6z9//4Mlccj8qnUzz+x88ec4Jvrm5mcLCQj74wQ+ya9cu1qxZw9e//nVcLtdkhKwos4bV4UDa7eipJBavDyFMCJMJPZHIVcYgBI6ibGJMh4NYvH6SR58bZXF7L3TodB3s4OF/+hmZ0XbinoCXu/7xXvSMzlX3v5XND7/Cyz9/HpvThiElpQsqLniMU2Eq5+DXAYellE1SyhTwEHDHFL4fw33DZ3X8TGQyGbZv387HPvYxduzYgcvl4stfntIvIooyYwkhMNvsaBYrJrMZV2UNmjM72BEWC46ScpJD2f1MhcmEkMcndIG9sATN6cbQdVLhILHuDuLDA6QjYWI9ncS6O0hHIyfdEPxc6BmdrY++lkvuJs3E6lvX89R/P8LP/+b7bPndq1z27qvwFedhtlq47J4rKagMTNr7T6epnKIpB9qPe9wBrD/xJCHER4GPAlRVVZ3XG+YV5THcOz6Z5xXlTXD2mamoqKCiooL167Oh33333SrBK8oos8OJp3YeeiJBKjRCorcbk9WKs7AKY7TCxuzxYvHnY3a60Gw2hDCRGOgj1tWGxZeH1eE8tgmJMJEIR3AUluLI8511PNHhCJGhMHaPA1+RH8gm+JHuY3mhYf1C9r34Jv0tvUC2TPLFHz3DrX99Nw6fE3eeB3/JueeMmWTab7JKKb8HfA9g7dq15/Vj+7aP3DJmDh7AYrNw20duOedrlpSUUFlZyYEDB1iwYAHPP/88ixcvPp8wFWVOESYtm7ytNqweL0YqRbSjJfe8yWrDUVqRq7Qxuz0kBvowWaxoNgfpSAhHUSkZHTqPDPDGIy9hEoJ179hAUV0JAy29pFNpCquLCVQVnTSOrgMdPPGfvyXcH8TmtPHWP7+VhnULsdqtLL9hNS98/ykA8krzOfjq2JliaUj6mrrxleZTNr+Czv3tjHQNMtwzRPmiaiqWVGGxzr5FT1OZ4DuByuMeV4wemzJH59knu4rmgQce4L3vfS+pVIq6ujp+/OMfT0a4ijKrGbqOHouiJ+OAQHM4EZqFeF/r2PNSSYxEHKnrCJOJWGcb9oJCjHSKdCSMLS+fWFcbg0EzT/7XY7nXPf7vD3PVB2/gpf/ZiDQkZpuFu/7xPVQsrh4XS3Q4zJNf/x3h/iAAyViSJ772W+754gfILy9g3voFJCJxtj36GoZh4PA6iYfG3uzVrGYwDNr3tNC2u5k3n91G2cIqzBYL0jCoWzNv8n8Tp9hUJvgtwDwhRC3ZxP5u4N4pfD8gm+TPN6GfaOXKlWzdunVSr6kos10mOrrTUyQEgOZw4SitmHiTD5OJ1Mgg0jCw+guy3SXNFsx2G4m+bjS7k72/3znuZW27miiqLaH3SDeZZJrXf/0yd3y6FIttbCVbZDhCqHdkzDFpSFq2H+aF7z/FW//8Vta/YwNLrllBIhInUFXE0w88ijSykwbzLluEv8TPa796meHOQTwBL5e+80q2/O5VjIxOIpagZmU9plm28ceUJXgpZUYI8RfAM2TLJH8kpdw7Ve+nKMqFY+g6mVg0l9wB9HiUTDSCxZdHanhg7AukROrZbe9SwwPYC0sQDieaxUJyaADNZMLhGb+wyOa0M3LcfbWRnmE6GzuwOiyUNJTnEq7FZsHudpCIjG2CplnN9Lf08sTXfsu7/vV+uvZ38Mw3HsVd4OXmT72dcH8IPaNjsVl46acvEBnMfp7wQIhNv3iR1beu543fvEL9JfMn5fftQpvSH0dSyiellPOllPVSyn+dyvdSFOXC0uPj69nToZHsxt35AYTZjGZ34KyoyVXWHH+ezePLzt07XOjJBIs3LEAzH+tNo1k0iupLGO48tpdr7eoGWnYd5pf/+D90NrYdu6CAq+5/65gR9oqb1tK87RAAwZ5hgt1DPP3AI2RSGUa6h3jya7+js7GNqmW1BKqLc8n9qEwyjQCcPhd5ZQWzbvQOM+Amq6Ios49J0zC7PaTDwTHHzW4PqdAIMpPG6vVj6DpGOj1uOz2T1ZYruXRVVBNpa8KVSXDXZ95B1+FeEtEkNSvr6DnSg81pI5VIMf+yxVQuqebZb/0eaUi2/f51CioLcfpcpKIpOhvbuOxdV+Et9OHK9yANg9J5ZYT6g6TiSRLhOHp67ObZTVsPsfaOy/EUeDFbzblSyqM0i8bl77kazTL9TdHOhUrwiqKcFT2VwEhl+76bvXlkQtkpFG10kxCT2ZxN6ukUFo8PYTJhsliP7e8qTFh8eQhTdkSsS4292/vIK/YSC/XT+PIeosNRUvEUBZWFXPdnbwMJ6USKN367iVQ8e51kLMlQRz8IQdueZtz5HjSzGU+Bh4Ov7Wffi7uwuR1cce816Gkdm9uOZtbQM8eSvMVuwZXnxl+cxzUfvpGN334i99zq29aTVxFAT2fwFFz4xVmTQSV4RVHOWDocItJ2JDufLgTO0srsQiY9g55KEGk+hKd2HprfgTCbEUJk2xb48rJb9kmJEGJMH3iLzUJkKMLmhzcBYLaaWXTlMsoXVWLoBpsefIHVt67nDz98ZkwsNSvr6dzfjkSw9dHXSITjXP7uqzm0+QA7n9oCQCqe4tlv/p63/dVdxENxbviL23n2m4+hp3XMVjM3feIO/CV5CCFYeOUyCmtKCPaNYLVbMJk1hBD4S/LxFp59Tf5MoBK8oiinJKUkk0og02mi7U25m6VHe787isuI93blzo/39+CpnZfbYcns9mLoeu4cR3EpFpcnd75JM7H46uU0bz9MIhJnw3uvZceTb7D7uR1Y7BbW3HYZ7XvbuOkv72DX01vRMzoN6xfS9mYTl9y1ga2PvEoinL256i3ys+f5HeM+w0BbH3Vr51NcV0pRbTHR4QjufA95pQW5OC1WCyUNZZQ0lE3J7+N0UAn+NA4cOMC73vWu3OOmpia+8IUv8KlPfWr6glKUCyATj2GkU2SSSchkQDBhCeSJbQVMoyP34x/bCwqxev3ZxxNsjm22WVl81XIC1UVseeRVgqMlj+lEmtd//RJX3f9WzDYzvtJ8UtFEtrJl3QJ8hT56j3TnrmNkMrjyPYT6x94bcPndBCqLMGkmCioKKagYu2HJXDXnEvyLT2zipw/8ioGeAQIlAd7/iXu4+m1XnPP1FixYwM6dOwHQdZ3y8nLuvPPOSYpWUWYeKQ3SkQjxvi40qw2Ly0O0ux1boAihmbObfBxn7F6oAlvBxKtNJ0rsR+WX5jPcOYBm1hhs6x/3vNli5tkHfs/ia5bjL/Kz9LqVVC6twWy1UH/JAnY/tx2AnsPdLLl6Ob1HujAy2da/nkIfFUtqZv32e+diTiX4F5/YxDe+8AOSiexNmP7uAb7xhR8AnFeSP+r555+nvr6e6urxK+kUZa7IxGJkomHMdifJ4QE0e7Y+PTU8iL2oNDvVYhiAwFlemV3gNLpHq9ntxew8+06rNpedaz5yEwNt/bgLvONKFuOROKlEip1PZRcc3vPF9+c26Vhz+3qGOvvpbGxnzx92cPOyt3PLp+4k2DuC1WkjUFlIoOriGLGfaE4l+J8+8Ktccj8qmUjx0wd+NSkJ/qGHHuI973nPeV9HUWYyPZkAw0DqGUxmS26ELnWdRH9Pbgs+s8OFxeNBCBOWc0jqJ/IW+vEW+rnx47fx6Jd/mStZXHnLJWNq3le/bR2B6uLc4/zyAG//zLsJ9o2gWTT0lM7hN/YjJZQ0lFFUP/v7up+rOZXgB3oGzur42UilUjz22GN86UtfOu9rKcpMIw0DpERoGgKJMJtJDfXjKC4jOTyY3aWpN7s7U3JoAGdFNXoygdU7+dUlVctrue+rf0Kwbxi7x4m/JI/YSJSRniEcXicFlUXYnLYxr7G57BTVluQeF9WVnHjZi9KcSvCBkgD93eOTeaDk/Hs7P/XUU6xevZri4uLTn6wos4SUBplohHhfN1LXsQeKMSSk4zEcRaWkQkGsPj/SZMJVXQ9SYqRTJAb7cJdPzVSlEIL8igD5Fcf+3To8TgoqL85plvMx+9bensL7P3EPNvvYJkQ2u5X3f+Ke8772L37xCzU9o8w5mWiUcNNBMpEwejxGtL0ZISW20YoXmz8fk9WOHosSbWvCZDZjdrrwVNXNmH1VlZObUyP4o/Psk1lFAxCNRtm4cSPf/e53JyNMRZkxTmw1AJAc6seaX4ieTJAOjiCNbN27s6Ias8t9QtWMMpPNqQQP2SQ/GTdUj+dyuRgcHDz9iYoyyxy/ovT4Y1Z/HobThdWXh9R1NJsNze5QyX2WmXMJXlGUM2fxeIn3dY+WPWbZi0rRzBY088VXNz7XqASvKBcxs8OFt24B6XAQqetYvP5zqmNXZqZZkeCPNiiaqSZzB3hFudDMTpdK6nPUjK+isdvtDA4OztgkKqVkcHAQu11VFCiKMrPM+BF8RUUFHR0d9PeP708xU9jtdioqKqY7DEVRlDFmfIK3WCzU1tZOdxiKoiizzoyfolEURVHOjUrwiqIoc5RK8IqiKHOUmEnVKUKIfqD1FKcEgPNvDTl9VPzTS8U/vWZ7/DAzP0O1lHLCTmwzKsGfjhBiq5Ry7XTHca5U/NNLxT+9Znv8MPs+g5qiURRFmaNUglcURZmjZluC/950B3CeVPzTS8U/vWZ7/DDLPsOsmoNXFEVRztxsG8EriqIoZ0gleEVRlDlq1iV4IcRXhRD7hRBvCiF+J4TwT3dMZ0IIcZMQ4oAQ4rAQ4tPTHc/ZEEJUCiH+IITYJ4TYK4T45HTHdC6EEJoQYocQ4vHpjuVsCSH8QoiHR//uNwohLpvumM6GEOL/jP7d2SOE+IUQYka3XxVC/EgI0SeE2HPcsXwhxEYhxKHR/+ZNZ4xnYtYleGAjsFRKuRw4CHxmmuM5LSGEBnwTuBlYDLxHCLF4eqM6Kxngr6WUi4FLgY/PsviP+iTQON1BnKOvA09LKRcCK5hFn0MIUQ78JbBWSrkU0IB3T29Up/UT4KYTjn0aeF5KOQ94fvTxjDbrEryU8lkpZWb04evAbOjTuw44LKVsklKmgIeAO6Y5pjMmpeyWUm4f/XWYbHIpn96ozo4QogJ4G/CD6Y7lbAkhfMCVwA8BpJQpKeXItAZ19syAQwhhBpxA1zTHc0pSypeAoRMO3wH8z+iv/wd4+4WM6VzMugR/gg8BT013EGegHGg/7nEHsyxBHiWEqAFWAZunOZSz9V/A3wHGac6biWqBfuDHo1NMPxBCzJotmKSUncC/A21ANxCUUj47vVGdk2IpZffor3uA4ukM5kzMyAQvhHhudK7uxP/dcdw5nyU7dfDg9EV6cRFCuIHfAJ+SUoamO54zJYS4FeiTUm6b7ljOkRlYDXxbSrkKiDILpgeOGp2rvoPsD6oywCWEuG96ozo/MltfPuNrzGfkhh9SyutP9bwQ4n7gVuA6OTsK+TuByuMeV4wemzWEEBayyf1BKeVvpzues3QFcLsQ4hbADniFED+XUs6WJNMBdEgpj35rephZlOCB64FmKWU/gBDit8DlwM+nNaqz1yuEKJVSdgshSoG+6Q7odGbkCP5UhBA3kf2qfbuUMjbd8ZyhLcA8IUStEMJK9gbTY9Mc0xkT2R3Pfwg0Sim/Nt3xnC0p5WeklBVSyhqyv/cvzKLkjpSyB2gXQiwYPXQdsG8aQzpbbcClQgjn6N+l65hFN4mP8xjwgdFffwB4dBpjOSMzcgR/Gt8AbMDG7N8VXpdS/tn0hnRqUsqMEOIvgGfIVhD8SEq5d5rDOhtXAO8Ddgshdo4e+wcp5ZPTF9JF5xPAg6MDhCbgg9MczxmTUm4WQjwMbCc7rbqDGb7kXwjxC+BqICCE6AD+Cfgy8CshxIfJtjW/Z/oiPDOqVYGiKMocNeumaBRFUZQzoxK8oijKHKUSvKIoyhylEryiKMocpRK8oijKHKUSvDLnCSFqju8KOHrsn4UQfyOE+IkQIiaE8Bz33H8JIaQQInDcsbePHlt4wnXjQoido502vyOEMI0+97QQYmQ2dq5U5g6V4BUFDjPa/G00QV/L+JXG7wFeGf3v8Y5IKVcCy8l2Cn376PGvkl07oCjTRiV4Rcl293zX6K+vBjaRXZAD5HrwbAA+zEna3I52OH0VaBh9/DwQnrKIFeUMqASvKNl9BQpHm2K9h2zCP94dZHuxHwQGhRBrTryAEMJJdgn+7qkOVlHOlErwysXgZMu1jz/+W7Kj8/XAyyecd3zSf4ix0zT1o+0bNgFPSClnQ/tq5SIxG3vRKMrZGgRO3F4tH2g+7vEvgW3A/0gpjdE+Rwgh8snOyS8TQkiyvYSkEOJvR193dA5eUWYcNYJX5jwpZQToFkJcC7mkfRPZm6ZHz2kFPgt864SX3w38TEpZLaWskVJWkv3B8JYLEryinAeV4JWLxfuB/zs6nfIC8Hkp5ZHjT5BSfvfEY2SnY353wrHfML6aZgwhxMvAr4HrhBAdQogbzyd4RTkXqpukoijKHKVG8IqiKHOUSvCKoihzlErwiqIoc5RK8IqiKHOUSvCKoihzlErwiqIoc5RK8IqiKHPU/wflYTFjzulUyAAAAABJRU5ErkJggg==", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "mods = []\n", + "\n", + "# for res in np.arange(0.5,3.5,0.3):\n", + "louvain = Louvain(resolution=1.,random_state=random_state)\n", + "adjacency = mapper.graph_\n", + "labels = louvain.fit_predict(adjacency)\n", + "mods.append((res, get_modularity(adjacency,labels)))\n", + "\n", + "clusterable_embedding2d_exc =reducer.fit_transform(data_umap)\n", + "\n", + "\n", + "df_2d_exc = {'UMAP1':clusterable_embedding2d_exc[:, 0],\n", + " 'UMAP2':clusterable_embedding2d_exc[:, 1],\n", + " 'class':labels}\n", + "sns.scatterplot(data=df_2d_exc,x='UMAP1',y='UMAP2',hue='class')\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
AP_avgdvdt_pdvdt_navg_Vresistancethradaptationisipeakpeak_adaptation...psthint_frfrsub_thrmispk_fr_adpimpexp_namecondei_type
421.8976823.798707-1.289762-72.7511080.083729-29.5061800.0027638949.33499423.694574-0.043470...9.7241380.0027004.483333-72.9930730.447151-0.0972220.024524NC_170725_aCSF_DopD2D1_E2acsfexc
45-9.2652037.310535-2.363548-76.9446840.076532-34.2610470.00343911467.45933039.959893-0.004835...4.5379310.0007631.900000-77.0978900.322099-0.0625000.018075NC_170811_aCSF_D1ago_E2acsfexc
69-34.3814553.810139-2.910631-71.8133730.080446-39.125866-0.0016482769.25789131.243964-0.004896...18.7586210.0018147.473333-72.1336290.634430-0.0833330.021306NC_170830_aCSF_D1ago_E1acsfexc
70-36.1889673.640791-2.926581-72.6998550.086649-38.9197400.0005793333.90268829.6208310.000188...15.6896550.0016396.356667-72.9551220.598316-0.0763890.020904NC_170830_aCSF_D1ago_E1acsfexc
766.9198265.134730-1.835207-72.4323790.092726-31.4638920.0053736876.65513938.693288-0.027948...8.9379310.0029913.653333-72.7266950.363887-0.0416670.021657NC_170830_aCSF_D1ago_E4acsfexc
..................................................................
293-25.2148607.014993-2.991359-76.0896940.156441-43.1577110.0021991164.07101344.399437-0.006187...42.7724140.00296117.227778-77.2462170.666565-0.3611110.027835xuan_9-5-19_E1acsfinh
295-34.1713485.895947-5.932909-71.7344930.064017-44.713881-0.00626516693.94638758.497020-0.008887...2.9655170.0003651.194444-71.7998200.121993-0.0138890.023391xuan_9-5-19_E3acsfinh
297-14.0560228.035465-2.489632-69.2511560.115075-33.4046110.0023972208.11537346.915836-0.007422...22.6206900.0022119.111111-69.8037740.523594-0.1736110.025939xuan_9-9-19_E1acsfinh
300-38.4092564.409547-5.754911-73.8326050.072956-44.666920-0.0091618306.41135665.2551720.010972...5.9655170.0008122.402778-73.9616510.224878-0.0208330.019857xuan_9-9-19_E3acsfinh
303-26.2822055.926998-3.442247-73.6169250.156688-36.5097700.0029581724.47879252.146480-0.004059...28.7862070.00228511.594444-74.3869260.606711-0.2222220.023173xuan_9-9-19_E4acsfinh
\n", + "

192 rows × 26 columns

\n", + "
" + ], + "text/plain": [ + " AP_avg dvdt_p dvdt_n avg_V resistance thr \\\n", + "42 1.897682 3.798707 -1.289762 -72.751108 0.083729 -29.506180 \n", + "45 -9.265203 7.310535 -2.363548 -76.944684 0.076532 -34.261047 \n", + "69 -34.381455 3.810139 -2.910631 -71.813373 0.080446 -39.125866 \n", + "70 -36.188967 3.640791 -2.926581 -72.699855 0.086649 -38.919740 \n", + "76 6.919826 5.134730 -1.835207 -72.432379 0.092726 -31.463892 \n", + ".. ... ... ... ... ... ... \n", + "293 -25.214860 7.014993 -2.991359 -76.089694 0.156441 -43.157711 \n", + "295 -34.171348 5.895947 -5.932909 -71.734493 0.064017 -44.713881 \n", + "297 -14.056022 8.035465 -2.489632 -69.251156 0.115075 -33.404611 \n", + "300 -38.409256 4.409547 -5.754911 -73.832605 0.072956 -44.666920 \n", + "303 -26.282205 5.926998 -3.442247 -73.616925 0.156688 -36.509770 \n", + "\n", + " adaptation isi peak peak_adaptation ... psth \\\n", + "42 0.002763 8949.334994 23.694574 -0.043470 ... 9.724138 \n", + "45 0.003439 11467.459330 39.959893 -0.004835 ... 4.537931 \n", + "69 -0.001648 2769.257891 31.243964 -0.004896 ... 18.758621 \n", + "70 0.000579 3333.902688 29.620831 0.000188 ... 15.689655 \n", + "76 0.005373 6876.655139 38.693288 -0.027948 ... 8.937931 \n", + ".. ... ... ... ... ... ... \n", + "293 0.002199 1164.071013 44.399437 -0.006187 ... 42.772414 \n", + "295 -0.006265 16693.946387 58.497020 -0.008887 ... 2.965517 \n", + "297 0.002397 2208.115373 46.915836 -0.007422 ... 22.620690 \n", + "300 -0.009161 8306.411356 65.255172 0.010972 ... 5.965517 \n", + "303 0.002958 1724.478792 52.146480 -0.004059 ... 28.786207 \n", + "\n", + " int_fr fr sub_thr mi spk_fr_adp imp \\\n", + "42 0.002700 4.483333 -72.993073 0.447151 -0.097222 0.024524 \n", + "45 0.000763 1.900000 -77.097890 0.322099 -0.062500 0.018075 \n", + "69 0.001814 7.473333 -72.133629 0.634430 -0.083333 0.021306 \n", + "70 0.001639 6.356667 -72.955122 0.598316 -0.076389 0.020904 \n", + "76 0.002991 3.653333 -72.726695 0.363887 -0.041667 0.021657 \n", + ".. ... ... ... ... ... ... \n", + "293 0.002961 17.227778 -77.246217 0.666565 -0.361111 0.027835 \n", + "295 0.000365 1.194444 -71.799820 0.121993 -0.013889 0.023391 \n", + "297 0.002211 9.111111 -69.803774 0.523594 -0.173611 0.025939 \n", + "300 0.000812 2.402778 -73.961651 0.224878 -0.020833 0.019857 \n", + "303 0.002285 11.594444 -74.386926 0.606711 -0.222222 0.023173 \n", + "\n", + " exp_name cond ei_type \n", + "42 NC_170725_aCSF_DopD2D1_E2 acsf exc \n", + "45 NC_170811_aCSF_D1ago_E2 acsf exc \n", + "69 NC_170830_aCSF_D1ago_E1 acsf exc \n", + "70 NC_170830_aCSF_D1ago_E1 acsf exc \n", + "76 NC_170830_aCSF_D1ago_E4 acsf exc \n", + ".. ... ... ... \n", + "293 xuan_9-5-19_E1 acsf inh \n", + "295 xuan_9-5-19_E3 acsf inh \n", + "297 xuan_9-9-19_E1 acsf inh \n", + "300 xuan_9-9-19_E3 acsf inh \n", + "303 xuan_9-9-19_E4 acsf inh \n", + "\n", + "[192 rows x 26 columns]" + ] + }, + "execution_count": 268, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "inh_df_acsf" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\pandas\\core\\generic.py:5494: SettingWithCopyWarning: \n", + "A value is trying to be set on a copy of a slice from a DataFrame.\n", + "Try using .loc[row_indexer,col_indexer] = value instead\n", + "\n", + "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n", + " self[name] = value\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "\n", + "\n", + "def lower(data):\n", + " return data.lower()\n", + "inh_df_acsf = exc_inh_df[exc_inh_df.ei_type=='inh']\n", + "feat = ['AP_avg','resistance','ap_width','thr','isi', 'sub_thr', 'imp']\n", + "inh_df_acsf.cond = inh_df_acsf.cond.apply(lower)\n", + "# inh_df_acsf = inh_df_acsf.drop_duplicates(subset='exp_name')\n", + "\n", + "labels_inh = plot_UMAP_clusters_single(inh_df_acsf[feat],\n", + " 20,\n", + " 0.0,\n", + " random_state =20,\n", + " res_louvain = 1.0,\n", + " use_kmeans = False,\n", + " c_list = coherence_colors,\n", + " labels=np.repeat('acsf',len(inh_df_acsf)),\n", + " )" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "exc_df_acsf = exc_inh_df[exc_inh_df.ei_type=='exc']\n", + "\n", + "labels_exc = plot_UMAP_clusters_single(exc_df_acsf[feat],\n", + " 20,\n", + " 0.0,\n", + " random_state =20,\n", + " res_louvain = 1.0,\n", + " use_kmeans = False,\n", + " c_list = coherence_colors,\n", + " labels=np.repeat('acsf',len(exc_df_acsf)),\n", + " )" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + ":33: SettingWithCopyWarning: \n", + "A value is trying to be set on a copy of a slice from a DataFrame.\n", + "Try using .loc[row_indexer,col_indexer] = value instead\n", + "\n", + "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n", + " inh_df_acsf['labels'] = labels_inh\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Fitting 5 folds for each of 1 candidates, totalling 5 fits\n" + ] + }, + { + "data": { + "text/plain": [ + "array([[12, 0, 0, 0, 0],\n", + " [ 0, 9, 0, 0, 0],\n", + " [ 0, 1, 6, 0, 0],\n", + " [ 0, 0, 4, 6, 0],\n", + " [ 1, 0, 0, 0, 5]], dtype=int64)" + ] + }, + "execution_count": 289, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "import xgboost as xgb\n", + "from sklearn.model_selection import train_test_split, GridSearchCV\n", + "from sklearn.metrics import confusion_matrix\n", + "def get_confusion_matrix(data,feat):\n", + " \n", + " testSize = 0.3;\n", + " RAND_STATE = 42\n", + " UMAP_X = np.stack(data[feat].to_numpy().tolist(), axis=0)\n", + " UMAP_y = data['labels'].to_numpy()\n", + "\n", + " unclassified_ixs = [ix for ix,clust in enumerate(UMAP_y) if clust == -1]\n", + "\n", + " UMAP_X = np.delete(UMAP_X,unclassified_ixs,axis=0)\n", + " UMAP_y = np.delete(UMAP_y,unclassified_ixs,axis=0)\n", + "\n", + " UMAP_X_train, UMAP_X_test, UMAP_y_train, UMAP_y_test = train_test_split(UMAP_X, UMAP_y, test_size=testSize, random_state=RAND_STATE)\n", + "\n", + "\n", + " numCV = 5\n", + "\n", + " UMAP_model = xgb.XGBClassifier()\n", + " UMAP_param_dist = {\"max_depth\": [4],\n", + " \"min_child_weight\" : [2.5],\n", + " \"n_estimators\": [100],\n", + " \"learning_rate\": [0.3],\n", + " \"seed\": [RAND_STATE]}\n", + " UMAP_grid_search = GridSearchCV(UMAP_model, param_grid=UMAP_param_dist, \n", + " cv = numCV, \n", + " verbose=10, n_jobs=-1)\n", + " UMAP_grid_search.fit(UMAP_X_train, UMAP_y_train)\n", + "\n", + " return confusion_matrix(UMAP_y_test,UMAP_grid_search.predict(UMAP_X_test))\n", + "inh_df_acsf['labels'] = labels_inh\n", + "get_confusion_matrix(inh_df_acsf,feat)" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Fitting 5 folds for each of 1 candidates, totalling 5 fits\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "def plot_confusion_matrix(conf_matrix,labels):\n", + " confusion_mat_counts = conf_matrix\n", + " N_CLUST = len(set(labels))\n", + " conf_mat_row_list = []\n", + "\n", + " for row in confusion_mat_counts:\n", + " row_sum = np.sum(row)\n", + " \n", + " row_percent = []\n", + " \n", + " for val in row:\n", + " row_percent.append(val/row_sum)\n", + " \n", + " conf_mat_row_list.append(row_percent)\n", + "\n", + " conf_mat = np.array(conf_mat_row_list)\n", + "\n", + " colormap = mpl.cm.YlGnBu\n", + " colormap.set_under('white')\n", + "\n", + " eps = np.spacing(0.0)\n", + " f, arr = plt.subplots(1,figsize=[4,3])\n", + " mappable = arr.imshow(conf_mat,cmap=colormap,vmin=eps,vmax=1.)\n", + " color_bar = f.colorbar(mappable, ax=arr, extend='min')\n", + " color_bar.set_label('P (Predicted | True)',fontsize=12,labelpad=15,fontname=\"Arial\")\n", + " color_bar.ax.tick_params(size=3,labelsize=12)\n", + "\n", + " #Specify label behavior of the main diagonal\n", + " for i in range(0,N_CLUST):\n", + " if int(conf_mat[i,i]*100) == 100:\n", + " arr.text(i-0.38,i+0.17,int(round(conf_mat[i,i]*100)),fontsize=10,c='white',fontname=\"Arial\")\n", + " else:\n", + " arr.text(i-0.34,i+0.16,int(round(conf_mat[i,i]*100)),fontsize=10,c='white',fontname=\"Arial\")\n", + " \n", + " #Specify label behavior of the off-diagonals\n", + " for i in range(0,N_CLUST):\n", + " for j in range(0,N_CLUST):\n", + " if conf_mat[i,j] < 0.1 and conf_mat[i,j] != 0:\n", + " arr.text(j-0.2,i+0.15,int(round(conf_mat[i,j]*100)),fontsize=10,c='k',fontname=\"Arial\")\n", + " elif conf_mat[i,j] >= 0.1 and conf_mat[i,j] < 0.5 and conf_mat[i,j] != 0:\n", + " arr.text(j-0.4, i+0.15,int(round(conf_mat[i,j]*100)),fontsize=10,c='k',fontname=\"Arial\")\n", + "\n", + " arr.set_xticks(range(0,N_CLUST))\n", + " arr.set_xticklabels(range(1,N_CLUST+1),fontsize=12);\n", + " arr.set_yticks(range(0,N_CLUST))\n", + " arr.set_yticklabels(range(1,N_CLUST+1),fontsize=12);\n", + " arr.set_xlabel('Predicted Class',fontsize=12);\n", + " arr.set_ylabel('True Class',fontsize=12);\n", + " plt.tight_layout()\n", + "\n", + "plot_confusion_matrix(get_confusion_matrix(inh_df_acsf,feat),labels_inh) " + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + ":1: SettingWithCopyWarning: \n", + "A value is trying to be set on a copy of a slice from a DataFrame.\n", + "Try using .loc[row_indexer,col_indexer] = value instead\n", + "\n", + "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n", + " exc_df_acsf['labels'] = labels_exc\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "Fitting 5 folds for each of 1 candidates, totalling 5 fits\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "exc_df_acsf['labels'] = labels_exc\n", + "\n", + "plot_confusion_matrix(get_confusion_matrix(exc_df_acsf,feat),labels_exc) " + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "\n", + "\u001b[94m0.0\n", + "\n", + "\u001b[94m0.5\n", + "\n", + "\u001b[94m1.0\n", + "\n", + "\u001b[94m1.5\n", + "\n", + "\u001b[94m2.0\n", + "\n", + "\u001b[94m2.5\n", + "\n", + "\u001b[94m3.0\n", + "\n", + "\u001b[94m3.5\n", + "\n", + "\u001b[94m4.0\n", + "\n", + "\u001b[94m4.5\n", + "\n", + "\u001b[94m5.0\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "resolution_list = np.linspace(0,5,11)\n", + "modularity_dict = {}\n", + "n_clusts_dict = {}\n", + "#Louvain Clustering Parameters\n", + "RESOLUTION = 1.5\n", + "random_state = 42\n", + "full_data = inh_df_acsf[feat]\n", + "# BLUE COLOR\n", + "BlueCol = '\\033[94m'\n", + "subsets=[100]\n", + "import random \n", + "for res in resolution_list:\n", + " print(\"\\n\" + BlueCol + str(res))\n", + " for frac in subsets:\n", + " rand_list = []\n", + " n_clusts = []\n", + " for i in list(range(1,25)):\n", + " reducer_rand_test = umap.UMAP(n_neighbors = 20, \n", + " min_dist=0.0, \n", + " random_state=random.randint(1,100000))\n", + " rand_data = np.random.permutation(full_data)[0:(int(len(full_data)*frac)),:]\n", + " mapper = reducer_rand_test.fit(rand_data)\n", + " embedding_rand_test = reducer_rand_test.transform(rand_data)\n", + "\n", + " umap_df_rand_test = pd.DataFrame(embedding_rand_test, columns=('x', 'y'))\n", + " louvain = Louvain(resolution=res,random_state=random_state)\n", + " adjacency = mapper.graph_\n", + " labels_exc = louvain.fit_predict(adjacency)\n", + " clustering_solution = labels_exc\n", + " modularity= get_modularity(adjacency,labels_exc)\n", + " rand_list.append(modularity)\n", + " n_clusts.append(len(set(clustering_solution)))\n", + " modularity_dict.update({str(res): rand_list})\n", + " n_clusts_dict.update({str(res): n_clusts})\n", + "\n", + "\n", + "resolution_list = np.linspace(0,5,11)\n", + "\n", + "if 'n_clusts_dict' not in list(locals().keys()):\n", + " n_clusts_dict = pkl.load(open('WaveMAP_Paper/data/n_clusts_dict.pkl','rb'))\n", + "\n", + "if 'modularity_dict' not in list(locals().keys()):\n", + " modularity_dict = pkl.load(open('WaveMAP_Paper/data/modularity_dict.pkl','rb'))\n", + "\n", + "avg_n_clusts = []\n", + "for k in list(n_clusts_dict.keys()):\n", + " avg_n_clusts.append(np.mean(n_clusts_dict[k]))\n", + " \n", + "std_n_clusts = []\n", + "for k in list(n_clusts_dict.keys()):\n", + " std_n_clusts.append(np.std(n_clusts_dict[k]))\n", + " \n", + "std_modularity = []\n", + "for k in list(modularity_dict.keys()):\n", + " std_modularity.append(np.std(modularity_dict[k]))\n", + " \n", + "avg_modularity = []\n", + "for k in list(modularity_dict.keys()):\n", + " avg_modularity.append(np.mean(modularity_dict[k]))\n", + "\n", + "f, ax1 = plt.subplots(figsize=[3,2.5])\n", + "\n", + "ax1.errorbar(resolution_list,avg_modularity,yerr=std_modularity,\n", + " c = '#5c95ff', marker='o', fillstyle='full', markerfacecolor='w', \n", + " linewidth=1, markeredgewidth=1)\n", + "ax1.set_ylabel('Modularity Score')\n", + "ax1.set_xlabel('Resolution Parameter',fontsize=12)\n", + "ax1.set_xlim([0,8])\n", + "ax1.set_xticks([0,2,4,6,8])\n", + "ax1.yaxis.label.set_color('#5c95ff')\n", + "ax1.tick_params(axis='y',colors='#5c95ff')\n", + "ax1.set_ylim(0,1.0)\n", + "ax1.set_yticks([0,0.2,0.4,0.6,0.8,1.0])\n", + "# ax1.set_yticklabels([0.0,'',0.2,'',0.4,'',0.6,'',0.8,'',1.0],fontsize=12)\n", + "ax1.spines['top'].set_visible(False)\n", + "ax1.spines['right'].set_color('#f87575')\n", + "ax1.spines['left'].set_color('#5c95ff')\n", + "\n", + "ax2 = ax1.twinx()\n", + "ax2.errorbar(resolution_list[1:],avg_n_clusts[1:],yerr=std_n_clusts[1:],\n", + " c = '#f87575', marker='o', fillstyle='full', markerfacecolor='w', linewidth=1, markeredgewidth=1)\n", + "ax2.set_ylabel('Number of Clusters',fontsize=12,c='#f87575')\n", + "# ax2.spines['left'].set_color('b')\n", + "ax2.tick_params(axis='y',colors='#f87575')\n", + "ax2.set_ylim([0,18])\n", + "ax2.set_yticks([0,4,8,12,16]);\n", + "ax2.spines['top'].set_visible(False)\n", + "ax2.spines['right'].set_color('#f87575')\n", + "ax2.spines['left'].set_color('#5c95ff')" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [ + "testSize = 0.3;\n", + "\n", + "UMAP_X = np.stack(umap_df['waveform'].to_numpy().tolist(), axis=0)\n", + "UMAP_y = umap_df['color'].to_numpy()\n", + "\n", + "unclassified_ixs = [ix for ix,clust in enumerate(UMAP_y) if clust == -1]\n", + "\n", + "UMAP_X = np.delete(UMAP_X,unclassified_ixs,axis=0)\n", + "UMAP_y = np.delete(UMAP_y,unclassified_ixs,axis=0)\n", + "\n", + "UMAP_X_train, UMAP_X_test, UMAP_y_train, UMAP_y_test = train_test_split(UMAP_X, UMAP_y, test_size=testSize, random_state=RAND)\n", + "\n" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "\n", + "\u001b[94m0.0\n", + "\n", + "\u001b[94m0.5\n", + "\n", + "\u001b[94m1.0\n", + "\n", + "\u001b[94m1.5\n", + "\n", + "\u001b[94m2.0\n", + "\n", + "\u001b[94m2.5\n", + "\n", + "\u001b[94m3.0\n", + "\n", + "\u001b[94m3.5\n", + "\n", + "\u001b[94m4.0\n", + "\n", + "\u001b[94m4.5\n", + "\n", + "\u001b[94m5.0\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "resolution_list = np.linspace(0,5,11)\n", + "modularity_dict = {}\n", + "n_clusts_dict = {}\n", + "#Louvain Clustering Parameters\n", + "RESOLUTION = 1.5\n", + "random_state = 42\n", + "full_data = exc_df_acsf[feat]\n", + "# BLUE COLOR\n", + "BlueCol = '\\033[94m'\n", + "subsets=[100]\n", + "import random \n", + "for res in resolution_list:\n", + " print(\"\\n\" + BlueCol + str(res))\n", + " for frac in subsets:\n", + " rand_list = []\n", + " n_clusts = []\n", + " for i in list(range(1,25)):\n", + " reducer_rand_test = umap.UMAP(n_neighbors = 20, \n", + " min_dist=0.0, \n", + " random_state=random.randint(1,100000))\n", + " rand_data = np.random.permutation(full_data)[0:(int(len(full_data)*frac)),:]\n", + " mapper = reducer_rand_test.fit(rand_data)\n", + " embedding_rand_test = reducer_rand_test.transform(rand_data)\n", + "\n", + " umap_df_rand_test = pd.DataFrame(embedding_rand_test, columns=('x', 'y'))\n", + " louvain = Louvain(resolution=res,random_state=random_state)\n", + " adjacency = mapper.graph_\n", + " labels_exc = louvain.fit_predict(adjacency)\n", + " clustering_solution = labels_exc\n", + " modularity= get_modularity(adjacency,labels_exc)\n", + " rand_list.append(modularity)\n", + " n_clusts.append(len(set(clustering_solution)))\n", + " modularity_dict.update({str(res): rand_list})\n", + " n_clusts_dict.update({str(res): n_clusts})\n", + "\n", + "\n", + "resolution_list = np.linspace(0,5,11)\n", + "\n", + "if 'n_clusts_dict' not in list(locals().keys()):\n", + " n_clusts_dict = pkl.load(open('WaveMAP_Paper/data/n_clusts_dict.pkl','rb'))\n", + "\n", + "if 'modularity_dict' not in list(locals().keys()):\n", + " modularity_dict = pkl.load(open('WaveMAP_Paper/data/modularity_dict.pkl','rb'))\n", + "\n", + "avg_n_clusts = []\n", + "for k in list(n_clusts_dict.keys()):\n", + " avg_n_clusts.append(np.mean(n_clusts_dict[k]))\n", + " \n", + "std_n_clusts = []\n", + "for k in list(n_clusts_dict.keys()):\n", + " std_n_clusts.append(np.std(n_clusts_dict[k]))\n", + " \n", + "std_modularity = []\n", + "for k in list(modularity_dict.keys()):\n", + " std_modularity.append(np.std(modularity_dict[k]))\n", + " \n", + "avg_modularity = []\n", + "for k in list(modularity_dict.keys()):\n", + " avg_modularity.append(np.mean(modularity_dict[k]))\n", + "\n" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "f, ax1 = plt.subplots(figsize=[3,2.5])\n", + "\n", + "ax1.errorbar(resolution_list,avg_modularity,yerr=std_modularity,\n", + " c = '#5c95ff', marker='o', fillstyle='full', markerfacecolor='w', \n", + " linewidth=1, markeredgewidth=1)\n", + "ax1.set_ylabel('Modularity Score')\n", + "ax1.set_xlabel('Resolution Parameter',fontsize=12)\n", + "ax1.set_xlim([0,8])\n", + "ax1.set_xticks([0,1,2,4,6,8])\n", + "ax1.yaxis.label.set_color('#5c95ff')\n", + "ax1.tick_params(axis='y',colors='#5c95ff')\n", + "ax1.set_ylim(0,1.0)\n", + "ax1.set_yticks([0,0.2,0.4,0.6,0.8,1.0])\n", + "# ax1.set_yticklabels([0.0,'',0.2,'',0.4,'',0.6,'',0.8,'',1.0],fontsize=12)\n", + "ax1.spines['top'].set_visible(False)\n", + "ax1.spines['right'].set_color('#f87575')\n", + "ax1.spines['left'].set_color('#5c95ff')\n", + "\n", + "ax2 = ax1.twinx()\n", + "ax2.errorbar(resolution_list[1:],avg_n_clusts[1:],yerr=std_n_clusts[1:],\n", + " c = '#f87575', marker='o', fillstyle='full', markerfacecolor='w', linewidth=1, markeredgewidth=1)\n", + "ax2.set_ylabel('Number of Clusters',fontsize=12,c='#f87575')\n", + "# ax2.spines['left'].set_color('b')\n", + "ax2.tick_params(axis='y',colors='#f87575')\n", + "ax2.set_ylim([0,18])\n", + "ax2.set_yticks([0,4,8,12,16]);\n", + "ax2.spines['top'].set_visible(False)\n", + "ax2.spines['right'].set_color('#f87575')\n", + "ax2.spines['left'].set_color('#5c95ff')" + ] + }, + { + "cell_type": "code", + "execution_count": 309, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "with sns.plotting_context(rc={\"axes.labelsize\":20}):\n", + " sns.pairplot(inh_df_acsf[['AP_avg','resistance','ap_width','thr','isi', 'sub_thr', 'imp','labels']],hue='labels',palette=CUSTOM_PAL_SORT_3[:len(set(inh_df_acsf['labels']))])\n" + ] + }, + { + "cell_type": "code", + "execution_count": 310, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "with sns.plotting_context(rc={\"axes.labelsize\":20}):\n", + " sns.pairplot(exc_df_acsf[['AP_avg','resistance','ap_width','thr','isi', 'sub_thr', 'imp','labels']],hue='labels',palette=CUSTOM_PAL_SORT_3[:len(set(exc_df_acsf['labels']))])\n" + ] + }, + { + "cell_type": "code", + "execution_count": 313, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "p-value annotation legend:\n", + " ns: p <= 1.00e+00\n", + " *: 1.00e-02 < p <= 5.00e-02\n", + " **: 1.00e-03 < p <= 1.00e-02\n", + " ***: 1.00e-04 < p <= 1.00e-03\n", + " ****: p <= 1.00e-04\n", + "\n", + "0 vs. 1: Mann-Whitney-Wilcoxon test two-sided, P_val:4.200e-14 U_stat=3.000e+00\n", + "1 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:2.121e-09 U_stat=8.290e+02\n", + "2 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:3.448e-03 U_stat=4.770e+02\n", + "3 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:1.766e-06 U_stat=0.000e+00\n", + "0 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:6.515e-11 U_stat=8.000e+01\n", + "1 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:1.028e-09 U_stat=7.060e+02\n", + "2 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:1.037e-06 U_stat=2.000e+00\n", + "0 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:2.181e-05 U_stat=2.280e+02\n", + "1 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:2.536e-03 U_stat=7.400e+01\n", + "0 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:8.417e-08 U_stat=0.000e+00\n", + "p-value annotation legend:\n", + " ns: p <= 1.00e+00\n", + " *: 1.00e-02 < p <= 5.00e-02\n", + " **: 1.00e-03 < p <= 1.00e-02\n", + " ***: 1.00e-04 < p <= 1.00e-03\n", + " ****: p <= 1.00e-04\n", + "\n", + "0 vs. 1: Mann-Whitney-Wilcoxon test two-sided, P_val:1.634e-13 U_stat=2.200e+01\n", + "1 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:8.248e-10 U_stat=8.390e+02\n", + "2 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:7.837e-01 U_stat=3.070e+02\n", + "3 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:3.493e-06 U_stat=4.000e+00\n", + "0 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:8.061e-06 U_stat=2.850e+02\n", + "1 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:7.031e-09 U_stat=6.880e+02\n", + "2 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:1.203e-06 U_stat=3.000e+00\n", + "0 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:4.675e-04 U_stat=2.930e+02\n", + "1 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:4.964e-02 U_stat=1.130e+02\n", + "0 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:1.118e-07 U_stat=3.000e+00\n", + "p-value annotation legend:\n", + " ns: p <= 1.00e+00\n", + " *: 1.00e-02 < p <= 5.00e-02\n", + " **: 1.00e-03 < p <= 1.00e-02\n", + " ***: 1.00e-04 < p <= 1.00e-03\n", + " ****: p <= 1.00e-04\n", + "\n", + "0 vs. 1: Mann-Whitney-Wilcoxon test two-sided, P_val:6.024e-14 U_stat=8.000e+00\n", + "1 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:8.382e-09 U_stat=8.140e+02\n", + "2 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:2.251e-07 U_stat=4.800e+01\n", + "3 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:2.098e-06 U_stat=2.750e+02\n", + "0 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:6.631e-04 U_stat=3.900e+02\n", + "1 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:2.629e-01 U_stat=4.210e+02\n", + "2 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:4.007e-03 U_stat=2.660e+02\n", + "0 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:9.910e-12 U_stat=5.000e+00\n", + "1 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:6.746e-07 U_stat=3.700e+02\n", + "0 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:2.000e-01 U_stat=3.870e+02\n", + "p-value annotation legend:\n", + " ns: p <= 1.00e+00\n", + " *: 1.00e-02 < p <= 5.00e-02\n", + " **: 1.00e-03 < p <= 1.00e-02\n", + " ***: 1.00e-04 < p <= 1.00e-03\n", + " ****: p <= 1.00e-04\n", + "\n", + "0 vs. 1: Mann-Whitney-Wilcoxon test two-sided, P_val:1.180e-02 U_stat=5.380e+02\n", + "1 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:1.472e-03 U_stat=6.440e+02\n", + "2 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:4.728e-08 U_stat=3.300e+01\n", + "3 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:1.766e-06 U_stat=2.760e+02\n", + "0 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:1.624e-01 U_stat=8.670e+02\n", + "1 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:4.120e-03 U_stat=1.920e+02\n", + "2 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:3.648e-03 U_stat=2.670e+02\n", + "0 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:3.842e-08 U_stat=1.190e+02\n", + "1 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:1.388e-05 U_stat=3.470e+02\n", + "0 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:4.405e-05 U_stat=5.500e+02\n", + "p-value annotation legend:\n", + " ns: p <= 1.00e+00\n", + " *: 1.00e-02 < p <= 5.00e-02\n", + " **: 1.00e-03 < p <= 1.00e-02\n", + " ***: 1.00e-04 < p <= 1.00e-03\n", + " ****: p <= 1.00e-04\n", + "\n", + "0 vs. 1: Mann-Whitney-Wilcoxon test two-sided, P_val:1.909e-10 U_stat=1.290e+02\n", + "1 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:2.339e-03 U_stat=2.330e+02\n", + "2 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:3.722e-07 U_stat=5.910e+02\n", + "3 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:1.572e-02 U_stat=6.800e+01\n", + "0 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:2.920e-13 U_stat=4.000e+00\n", + "1 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:5.128e-03 U_stat=5.170e+02\n", + "2 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:6.923e-03 U_stat=2.600e+02\n", + "0 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:3.454e-03 U_stat=3.430e+02\n", + "1 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:9.676e-01 U_stat=1.840e+02\n", + "0 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:1.665e-06 U_stat=3.300e+01\n", + "p-value annotation legend:\n", + " ns: p <= 1.00e+00\n", + " *: 1.00e-02 < p <= 5.00e-02\n", + " **: 1.00e-03 < p <= 1.00e-02\n", + " ***: 1.00e-04 < p <= 1.00e-03\n", + " ****: p <= 1.00e-04\n", + "\n", + "0 vs. 1: Mann-Whitney-Wilcoxon test two-sided, P_val:3.682e-03 U_stat=1.115e+03\n", + "1 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:5.225e-05 U_stat=1.670e+02\n", + "2 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:1.148e-08 U_stat=6.240e+02\n", + "3 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:1.766e-06 U_stat=0.000e+00\n", + "0 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:4.107e-03 U_stat=4.430e+02\n", + "1 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:2.193e-02 U_stat=4.880e+02\n", + "2 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:9.002e-03 U_stat=7.900e+01\n", + "0 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:1.990e-08 U_stat=1.087e+03\n", + "1 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:1.774e-05 U_stat=2.700e+01\n", + "0 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:5.376e-06 U_stat=4.700e+01\n", + "p-value annotation legend:\n", + " ns: p <= 1.00e+00\n", + " *: 1.00e-02 < p <= 5.00e-02\n", + " **: 1.00e-03 < p <= 1.00e-02\n", + " ***: 1.00e-04 < p <= 1.00e-03\n", + " ****: p <= 1.00e-04\n", + "\n", + "0 vs. 1: Mann-Whitney-Wilcoxon test two-sided, P_val:9.962e-01 U_stat=8.070e+02\n", + "1 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:9.234e-03 U_stat=2.620e+02\n", + "2 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:1.091e-06 U_stat=5.800e+02\n", + "3 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:4.783e-04 U_stat=3.700e+01\n", + "0 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:1.142e-03 U_stat=4.050e+02\n", + "1 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:1.368e-03 U_stat=5.400e+02\n", + "2 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:6.473e-01 U_stat=1.520e+02\n", + "0 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:7.771e-04 U_stat=8.910e+02\n", + "1 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:8.555e-02 U_stat=1.220e+02\n", + "0 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:3.983e-02 U_stat=1.920e+02\n", + "p-value annotation legend:\n", + " ns: p <= 1.00e+00\n", + " *: 1.00e-02 < p <= 5.00e-02\n", + " **: 1.00e-03 < p <= 1.00e-02\n", + " ***: 1.00e-04 < p <= 1.00e-03\n", + " ****: p <= 1.00e-04\n", + "\n", + "0 vs. 1: Mann-Whitney-Wilcoxon test two-sided, P_val:8.017e-11 U_stat=1.150e+02\n", + "1 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:8.833e-03 U_stat=6.070e+02\n", + "2 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:1.699e-01 U_stat=2.490e+02\n", + "3 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:3.493e-06 U_stat=2.720e+02\n", + "0 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:6.081e-05 U_stat=3.300e+02\n", + "1 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:8.973e-02 U_stat=4.540e+02\n", + "2 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:9.206e-05 U_stat=3.010e+02\n", + "0 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:5.505e-09 U_stat=9.000e+01\n", + "1 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:1.172e-06 U_stat=3.660e+02\n", + "0 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:8.714e-03 U_stat=4.650e+02\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "from itertools import combinations\n", + "from statannotations.Annotator import Annotator\n", + "def plot_significance_new(data,var,hue,ax,palette='mako',drug=False,test ='Mann-Whitney'):\n", + "\n", + "\n", + " ax.tick_params(axis='x', labelsize=20)\n", + " ax.tick_params(axis='y', labelsize=20)\n", + "\n", + " boxes = sns.boxplot(data=data,\n", + " x=hue,\n", + " y=var,\n", + " width=.6, \n", + " palette=palette,\n", + " ax=ax)\n", + " \n", + " # sns.stripplot(x=hue, y=var , data=data,\n", + " # size=3, color=\".4\", linewidth=0,ax=ax)\n", + " ax.set_xlabel('class',fontdict={'fontsize':20})\n", + " ax.set_ylabel(var,fontdict={'fontsize':20})\n", + "\n", + " for box,col in zip(boxes.patches,['blue','crimson','teal']):\n", + " mybox1 = box\n", + "\n", + " # Change the appearance of that box\n", + " if drug:\n", + " mybox1.set_facecolor('white')\n", + " mybox1.set_edgecolor(col)\n", + " else:\n", + " mybox1.set_facecolor(col)\n", + " mybox1.set_edgecolor('black')\n", + "\n", + " mybox1.set_linewidth(3)\n", + "\n", + " pairs = np.unique(data[hue])\n", + " pairs = [i for i in combinations(pairs,2)]\n", + "\n", + "\n", + " annotator = Annotator(ax,pairs, data=data, x=hue,palette=palette, y=var)\n", + " annotator.configure(test=test, text_format='star', loc='inside')\n", + " annotator.apply_and_annotate() \n", + "\n", + "for val in ['AP_avg','ap_width', 'resistance','mi', 'thr', 'isi', 'sub_thr','imp']:\n", + "\n", + " fig,ax = plt.subplots(1,1,figsize=[10,10])\n", + "\n", + " plot_significance_new(data = inh_df_acsf,\n", + " var = val,\n", + " hue = 'labels',\n", + " ax = ax,\n", + " drug = False,\n", + " palette = CUSTOM_PAL_SORT_3[:len(set(exc_df_acsf['labels']))] )\n", + " # plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/'+val+'_'+cond+'_dist.png',dpi=200)\n" + ] + }, + { + "cell_type": "code", + "execution_count": 312, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "p-value annotation legend:\n", + " ns: p <= 1.00e+00\n", + " *: 1.00e-02 < p <= 5.00e-02\n", + " **: 1.00e-03 < p <= 1.00e-02\n", + " ***: 1.00e-04 < p <= 1.00e-03\n", + " ****: p <= 1.00e-04\n", + "\n", + "0 vs. 1: Mann-Whitney-Wilcoxon test two-sided, P_val:1.430e-13 U_stat=1.860e+02\n", + "1 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:3.044e-15 U_stat=1.887e+03\n", + "2 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:9.729e-14 U_stat=0.000e+00\n", + "3 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:3.889e-12 U_stat=1.106e+03\n", + "4 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:4.173e-01 U_stat=4.890e+02\n", + "5 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:2.259e-05 U_stat=1.030e+02\n", + "6 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:3.578e-01 U_stat=2.940e+02\n", + "7 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:1.548e-01 U_stat=1.630e+02\n", + "0 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:1.531e-14 U_stat=1.896e+03\n", + "1 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:3.727e-04 U_stat=1.340e+03\n", + "2 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:3.737e-11 U_stat=3.400e+01\n", + "3 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:4.745e-10 U_stat=1.019e+03\n", + "4 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:3.297e-07 U_stat=6.000e+01\n", + "5 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:1.372e-04 U_stat=1.180e+02\n", + "6 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:9.709e-01 U_stat=2.280e+02\n", + "0 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:1.232e-13 U_stat=6.600e+01\n", + "1 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:1.263e-11 U_stat=1.432e+03\n", + "2 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:1.192e-08 U_stat=1.000e+02\n", + "3 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:2.857e-02 U_stat=5.700e+02\n", + "4 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:1.369e-07 U_stat=4.500e+01\n", + "5 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:1.852e-05 U_stat=7.900e+01\n", + "0 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:2.392e-03 U_stat=1.076e+03\n", + "1 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:1.633e-10 U_stat=1.354e+03\n", + "2 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:8.267e-11 U_stat=0.000e+00\n", + "3 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:5.962e-07 U_stat=7.260e+02\n", + "4 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:2.780e-08 U_stat=1.900e+01\n", + "0 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:1.722e-02 U_stat=9.780e+02\n", + "1 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:2.933e-03 U_stat=8.260e+02\n", + "2 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:1.510e-10 U_stat=0.000e+00\n", + "3 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:1.331e-04 U_stat=5.990e+02\n", + "0 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:7.519e-05 U_stat=2.470e+02\n", + "1 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:1.333e-06 U_stat=9.460e+02\n", + "2 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:5.358e-10 U_stat=0.000e+00\n", + "0 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:1.006e-04 U_stat=2.370e+02\n", + "1 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:4.851e-05 U_stat=8.130e+02\n", + "0 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:1.310e-06 U_stat=1.310e+02\n", + "p-value annotation legend:\n", + " ns: p <= 1.00e+00\n", + " *: 1.00e-02 < p <= 5.00e-02\n", + " **: 1.00e-03 < p <= 1.00e-02\n", + " ***: 1.00e-04 < p <= 1.00e-03\n", + " ****: p <= 1.00e-04\n", + "\n", + "0 vs. 1: Mann-Whitney-Wilcoxon test two-sided, P_val:2.580e-15 U_stat=1.100e+02\n", + "1 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:2.659e-15 U_stat=1.889e+03\n", + "2 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:9.729e-14 U_stat=0.000e+00\n", + "3 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:1.508e-07 U_stat=9.720e+02\n", + "4 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:1.393e-01 U_stat=5.330e+02\n", + "5 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:2.460e-04 U_stat=1.340e+02\n", + "6 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:2.076e-01 U_stat=3.090e+02\n", + "7 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:7.914e-01 U_stat=2.090e+02\n", + "0 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:5.183e-13 U_stat=1.840e+03\n", + "1 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:2.085e-03 U_stat=1.284e+03\n", + "2 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:6.336e-10 U_stat=6.900e+01\n", + "3 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:5.594e-10 U_stat=1.017e+03\n", + "4 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:4.204e-03 U_stat=1.850e+02\n", + "5 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:3.508e-03 U_stat=1.650e+02\n", + "6 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:2.679e-01 U_stat=2.760e+02\n", + "0 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:2.979e-14 U_stat=4.400e+01\n", + "1 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:5.424e-10 U_stat=1.375e+03\n", + "2 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:1.031e-07 U_stat=1.300e+02\n", + "3 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:1.628e-04 U_stat=6.740e+02\n", + "4 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:3.719e-02 U_stat=2.170e+02\n", + "5 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:2.696e-03 U_stat=1.420e+02\n", + "0 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:8.258e-01 U_stat=7.420e+02\n", + "1 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:2.997e-11 U_stat=1.379e+03\n", + "2 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:1.007e-10 U_stat=2.000e+00\n", + "3 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:2.513e-08 U_stat=7.630e+02\n", + "4 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:1.295e-02 U_stat=1.740e+02\n", + "0 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:3.785e-01 U_stat=8.280e+02\n", + "1 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:2.096e-06 U_stat=9.750e+02\n", + "2 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:2.253e-10 U_stat=4.000e+00\n", + "3 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:2.607e-05 U_stat=6.220e+02\n", + "0 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:3.162e-06 U_stat=1.870e+02\n", + "1 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:7.109e-09 U_stat=1.024e+03\n", + "2 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:5.944e-10 U_stat=1.000e+00\n", + "0 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:2.381e-05 U_stat=2.090e+02\n", + "1 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:1.123e-06 U_stat=8.750e+02\n", + "0 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:1.046e-04 U_stat=2.060e+02\n", + "p-value annotation legend:\n", + " ns: p <= 1.00e+00\n", + " *: 1.00e-02 < p <= 5.00e-02\n", + " **: 1.00e-03 < p <= 1.00e-02\n", + " ***: 1.00e-04 < p <= 1.00e-03\n", + " ****: p <= 1.00e-04\n", + "\n", + "0 vs. 1: Mann-Whitney-Wilcoxon test two-sided, P_val:2.578e-05 U_stat=6.550e+02\n", + "1 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:2.959e-07 U_stat=3.410e+02\n", + "2 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:9.729e-14 U_stat=1.406e+03\n", + "3 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:3.252e-12 U_stat=2.000e+00\n", + "4 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:1.434e-02 U_stat=2.730e+02\n", + "5 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:7.242e-09 U_stat=6.480e+02\n", + "6 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:9.909e-01 U_stat=2.540e+02\n", + "7 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:1.548e-01 U_stat=2.770e+02\n", + "0 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:1.820e-13 U_stat=8.100e+01\n", + "1 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:1.981e-12 U_stat=1.745e+03\n", + "2 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:1.399e-01 U_stat=4.500e+02\n", + "3 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:4.361e-12 U_stat=0.000e+00\n", + "4 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:6.974e-07 U_stat=6.220e+02\n", + "5 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:4.431e-09 U_stat=6.280e+02\n", + "6 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:5.031e-01 U_stat=2.580e+02\n", + "0 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:3.199e-06 U_stat=1.495e+03\n", + "1 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:1.673e-07 U_stat=2.230e+02\n", + "2 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:9.972e-05 U_stat=2.430e+02\n", + "3 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:9.932e-05 U_stat=1.690e+02\n", + "4 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:5.440e-07 U_stat=6.010e+02\n", + "5 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:3.886e-09 U_stat=5.800e+02\n", + "0 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:3.687e-12 U_stat=5.400e+01\n", + "1 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:7.293e-11 U_stat=8.400e+01\n", + "2 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:1.256e-05 U_stat=7.310e+02\n", + "3 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:5.683e-06 U_stat=1.170e+02\n", + "4 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:1.975e-08 U_stat=5.840e+02\n", + "0 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:1.511e-13 U_stat=1.000e+00\n", + "1 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:2.103e-01 U_stat=6.810e+02\n", + "2 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:7.226e-06 U_stat=7.110e+02\n", + "3 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:4.435e-06 U_stat=9.500e+01\n", + "0 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:8.601e-02 U_stat=4.390e+02\n", + "1 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:5.697e-01 U_stat=5.970e+02\n", + "2 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:2.028e-09 U_stat=7.470e+02\n", + "0 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:3.093e-02 U_stat=3.810e+02\n", + "1 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:1.692e-03 U_stat=7.420e+02\n", + "0 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:4.170e-01 U_stat=4.460e+02\n", + "p-value annotation legend:\n", + " ns: p <= 1.00e+00\n", + " *: 1.00e-02 < p <= 5.00e-02\n", + " **: 1.00e-03 < p <= 1.00e-02\n", + " ***: 1.00e-04 < p <= 1.00e-03\n", + " ****: p <= 1.00e-04\n", + "\n", + "0 vs. 1: Mann-Whitney-Wilcoxon test two-sided, P_val:1.329e-02 U_stat=9.100e+02\n", + "1 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:1.900e-06 U_stat=3.840e+02\n", + "2 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:2.595e-10 U_stat=1.300e+03\n", + "3 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:5.943e-02 U_stat=4.050e+02\n", + "4 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:1.857e-06 U_stat=1.200e+02\n", + "5 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:3.335e-09 U_stat=6.550e+02\n", + "6 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:3.196e-04 U_stat=9.400e+01\n", + "7 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:5.540e-01 U_stat=1.960e+02\n", + "0 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:1.579e-08 U_stat=2.870e+02\n", + "1 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:1.627e-06 U_stat=1.484e+03\n", + "2 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:2.453e-06 U_stat=9.520e+02\n", + "3 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:1.243e-10 U_stat=3.800e+01\n", + "4 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:1.964e-03 U_stat=5.180e+02\n", + "5 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:2.758e-06 U_stat=5.660e+02\n", + "6 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:2.968e-05 U_stat=5.800e+01\n", + "0 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:7.622e-05 U_stat=1.412e+03\n", + "1 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:5.203e-02 U_stat=9.460e+02\n", + "2 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:6.261e-01 U_stat=5.900e+02\n", + "3 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:2.481e-04 U_stat=6.670e+02\n", + "4 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:7.458e-01 U_stat=3.480e+02\n", + "5 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:2.036e-06 U_stat=5.240e+02\n", + "0 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:9.805e-01 U_stat=7.620e+02\n", + "1 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:5.466e-07 U_stat=2.320e+02\n", + "2 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:1.596e-09 U_stat=8.430e+02\n", + "3 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:6.551e-02 U_stat=2.890e+02\n", + "4 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:9.447e-01 U_stat=3.040e+02\n", + "0 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:1.493e-09 U_stat=1.350e+02\n", + "1 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:5.726e-09 U_stat=1.066e+03\n", + "2 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:6.254e-06 U_stat=7.130e+02\n", + "3 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:8.450e-03 U_stat=2.120e+02\n", + "0 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:3.130e-07 U_stat=1.025e+03\n", + "1 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:3.826e-02 U_stat=7.200e+02\n", + "2 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:1.403e-05 U_stat=6.460e+02\n", + "0 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:3.040e-01 U_stat=6.470e+02\n", + "1 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:6.210e-02 U_stat=6.440e+02\n", + "0 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:7.736e-01 U_stat=5.330e+02\n", + "p-value annotation legend:\n", + " ns: p <= 1.00e+00\n", + " *: 1.00e-02 < p <= 5.00e-02\n", + " **: 1.00e-03 < p <= 1.00e-02\n", + " ***: 1.00e-04 < p <= 1.00e-03\n", + " ****: p <= 1.00e-04\n", + "\n", + "0 vs. 1: Mann-Whitney-Wilcoxon test two-sided, P_val:3.147e-11 U_stat=2.970e+02\n", + "1 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:6.821e-12 U_stat=1.765e+03\n", + "2 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:7.376e-04 U_stat=3.840e+02\n", + "3 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:9.265e-10 U_stat=1.041e+03\n", + "4 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:1.225e-10 U_stat=1.000e+01\n", + "5 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:3.396e-03 U_stat=1.740e+02\n", + "6 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:1.626e-01 U_stat=3.150e+02\n", + "7 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:5.868e-04 U_stat=3.570e+02\n", + "0 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:4.160e-03 U_stat=1.315e+03\n", + "1 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:1.715e-09 U_stat=1.627e+03\n", + "2 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:3.331e-04 U_stat=8.610e+02\n", + "3 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:1.504e-05 U_stat=2.010e+02\n", + "4 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:6.313e-10 U_stat=0.000e+00\n", + "5 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:8.522e-02 U_stat=2.280e+02\n", + "6 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:5.032e-05 U_stat=3.970e+02\n", + "0 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:4.724e-01 U_stat=8.580e+02\n", + "1 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:3.109e-13 U_stat=1.484e+03\n", + "2 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:3.567e-08 U_stat=1.150e+02\n", + "3 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:1.559e-07 U_stat=8.000e+01\n", + "4 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:1.042e-09 U_stat=0.000e+00\n", + "5 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:3.511e-03 U_stat=4.340e+02\n", + "0 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:4.543e-09 U_stat=1.365e+03\n", + "1 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:1.559e-01 U_stat=8.650e+02\n", + "2 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:3.026e-09 U_stat=3.800e+01\n", + "3 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:3.623e-06 U_stat=1.110e+02\n", + "4 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:2.482e-08 U_stat=1.800e+01\n", + "0 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:1.806e-06 U_stat=2.620e+02\n", + "1 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:2.369e-02 U_stat=3.840e+02\n", + "2 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:2.253e-08 U_stat=5.300e+01\n", + "3 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:1.302e-01 U_stat=2.790e+02\n", + "0 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:1.122e-08 U_stat=9.700e+01\n", + "1 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:5.290e-01 U_stat=4.980e+02\n", + "2 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:3.736e-04 U_stat=1.620e+02\n", + "0 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:3.555e-07 U_stat=1.370e+02\n", + "1 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:7.066e-06 U_stat=8.460e+02\n", + "0 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:6.111e-02 U_stat=3.630e+02\n", + "p-value annotation legend:\n", + " ns: p <= 1.00e+00\n", + " *: 1.00e-02 < p <= 5.00e-02\n", + " **: 1.00e-03 < p <= 1.00e-02\n", + " ***: 1.00e-04 < p <= 1.00e-03\n", + " ****: p <= 1.00e-04\n", + "\n", + "0 vs. 1: Mann-Whitney-Wilcoxon test two-sided, P_val:1.732e-01 U_stat=1.074e+03\n", + "1 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:7.335e-13 U_stat=1.802e+03\n", + "2 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:3.203e-13 U_stat=1.500e+01\n", + "3 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:4.684e-01 U_stat=6.130e+02\n", + "4 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:1.720e-05 U_stat=7.190e+02\n", + "5 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:8.501e-10 U_stat=0.000e+00\n", + "6 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:6.118e-08 U_stat=4.920e+02\n", + "7 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:1.548e-01 U_stat=2.770e+02\n", + "0 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:7.110e-14 U_stat=1.872e+03\n", + "1 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:4.246e-04 U_stat=5.140e+02\n", + "2 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:3.172e-09 U_stat=9.000e+01\n", + "3 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:7.759e-10 U_stat=1.013e+03\n", + "4 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:3.676e-04 U_stat=1.460e+02\n", + "5 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:1.569e-06 U_stat=6.600e+01\n", + "6 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:6.037e-08 U_stat=4.530e+02\n", + "0 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:8.671e-07 U_stat=3.610e+02\n", + "1 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:1.400e-01 U_stat=6.010e+02\n", + "2 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:6.795e-04 U_stat=2.820e+02\n", + "3 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:3.653e-09 U_stat=3.700e+01\n", + "4 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:9.336e-01 U_stat=3.350e+02\n", + "5 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:5.457e-06 U_stat=6.600e+01\n", + "0 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:4.047e-02 U_stat=5.550e+02\n", + "1 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:3.383e-07 U_stat=1.227e+03\n", + "2 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:8.267e-11 U_stat=0.000e+00\n", + "3 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:1.344e-01 U_stat=5.030e+02\n", + "4 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:3.676e-01 U_stat=3.460e+02\n", + "0 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:4.321e-07 U_stat=1.245e+03\n", + "1 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:6.791e-11 U_stat=2.500e+01\n", + "2 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:2.778e-09 U_stat=3.000e+01\n", + "3 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:4.840e-03 U_stat=5.390e+02\n", + "0 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:1.730e-11 U_stat=1.000e+01\n", + "1 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:7.529e-02 U_stat=4.040e+02\n", + "2 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:2.242e-09 U_stat=1.400e+01\n", + "0 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:2.704e-03 U_stat=3.110e+02\n", + "1 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:8.403e-01 U_stat=4.840e+02\n", + "0 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:1.616e-01 U_stat=4.000e+02\n", + "p-value annotation legend:\n", + " ns: p <= 1.00e+00\n", + " *: 1.00e-02 < p <= 5.00e-02\n", + " **: 1.00e-03 < p <= 1.00e-02\n", + " ***: 1.00e-04 < p <= 1.00e-03\n", + " ****: p <= 1.00e-04\n", + "\n", + "0 vs. 1: Mann-Whitney-Wilcoxon test two-sided, P_val:5.970e-10 U_stat=3.630e+02\n", + "1 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:6.061e-12 U_stat=1.767e+03\n", + "2 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:2.472e-06 U_stat=2.580e+02\n", + "3 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:2.779e-02 U_stat=7.300e+02\n", + "4 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:1.137e-05 U_stat=7.250e+02\n", + "5 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:1.519e-06 U_stat=7.200e+01\n", + "6 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:8.167e-05 U_stat=7.900e+01\n", + "7 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:3.882e-07 U_stat=4.220e+02\n", + "0 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:1.709e-02 U_stat=1.257e+03\n", + "1 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:4.642e-06 U_stat=1.459e+03\n", + "2 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:2.747e-02 U_stat=3.910e+02\n", + "3 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:6.825e-11 U_stat=1.042e+03\n", + "4 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:6.090e-01 U_stat=3.740e+02\n", + "5 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:2.793e-09 U_stat=6.000e+00\n", + "6 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:5.304e-03 U_stat=3.450e+02\n", + "0 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:1.326e-02 U_stat=6.500e+02\n", + "1 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:1.027e-07 U_stat=1.286e+03\n", + "2 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:1.224e-03 U_stat=8.070e+02\n", + "3 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:3.684e-03 U_stat=6.170e+02\n", + "4 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:2.043e-04 U_stat=1.290e+02\n", + "5 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:6.563e-02 U_stat=1.990e+02\n", + "0 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:9.337e-01 U_stat=7.560e+02\n", + "1 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:3.958e-13 U_stat=1.439e+03\n", + "2 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:3.930e-02 U_stat=2.980e+02\n", + "3 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:5.664e-03 U_stat=2.300e+02\n", + "4 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:6.471e-03 U_stat=4.380e+02\n", + "0 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:6.223e-07 U_stat=1.238e+03\n", + "1 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:2.809e-07 U_stat=1.008e+03\n", + "2 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:2.445e-07 U_stat=8.100e+01\n", + "3 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:6.542e-07 U_stat=6.680e+02\n", + "0 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:7.348e-01 U_stat=6.160e+02\n", + "1 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:4.898e-01 U_stat=6.070e+02\n", + "2 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:2.695e-01 U_stat=4.480e+02\n", + "0 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:3.829e-05 U_stat=2.180e+02\n", + "1 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:7.472e-10 U_stat=9.740e+02\n", + "0 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:2.022e-03 U_stat=7.520e+02\n", + "p-value annotation legend:\n", + " ns: p <= 1.00e+00\n", + " *: 1.00e-02 < p <= 5.00e-02\n", + " **: 1.00e-03 < p <= 1.00e-02\n", + " ***: 1.00e-04 < p <= 1.00e-03\n", + " ****: p <= 1.00e-04\n", + "\n", + "0 vs. 1: Mann-Whitney-Wilcoxon test two-sided, P_val:4.849e-18 U_stat=0.000e+00\n", + "1 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:9.654e-07 U_stat=1.532e+03\n", + "2 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:9.873e-01 U_stat=7.050e+02\n", + "3 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:1.270e-09 U_stat=7.300e+01\n", + "4 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:2.281e-01 U_stat=5.150e+02\n", + "5 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:1.493e-05 U_stat=5.690e+02\n", + "6 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:5.503e-02 U_stat=3.380e+02\n", + "7 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:7.814e-06 U_stat=4.200e+01\n", + "0 vs. 2: Mann-Whitney-Wilcoxon test two-sided, P_val:9.522e-10 U_stat=2.310e+02\n", + "1 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:2.241e-12 U_stat=1.743e+03\n", + "2 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:1.127e-07 U_stat=1.400e+02\n", + "3 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:3.718e-11 U_stat=2.400e+01\n", + "4 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:6.941e-06 U_stat=5.960e+02\n", + "5 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:2.213e-09 U_stat=6.340e+02\n", + "6 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:7.351e-02 U_stat=1.560e+02\n", + "0 vs. 3: Mann-Whitney-Wilcoxon test two-sided, P_val:6.510e-15 U_stat=2.100e+01\n", + "1 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:4.266e-04 U_stat=3.950e+02\n", + "2 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:2.148e-08 U_stat=1.080e+02\n", + "3 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:7.380e-01 U_stat=4.480e+02\n", + "4 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:2.610e-08 U_stat=6.310e+02\n", + "5 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:4.498e-04 U_stat=4.630e+02\n", + "0 vs. 4: Mann-Whitney-Wilcoxon test two-sided, P_val:1.202e-12 U_stat=3.800e+01\n", + "1 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:1.198e-03 U_stat=4.060e+02\n", + "2 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:6.181e-01 U_stat=4.030e+02\n", + "3 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:1.634e-04 U_stat=6.480e+02\n", + "4 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:8.475e-04 U_stat=4.690e+02\n", + "0 vs. 5: Mann-Whitney-Wilcoxon test two-sided, P_val:1.401e-13 U_stat=0.000e+00\n", + "1 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:6.983e-04 U_stat=8.610e+02\n", + "2 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:6.678e-02 U_stat=5.380e+02\n", + "3 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:1.424e-04 U_stat=1.420e+02\n", + "0 vs. 6: Mann-Whitney-Wilcoxon test two-sided, P_val:2.080e-09 U_stat=7.300e+01\n", + "1 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:8.866e-11 U_stat=1.081e+03\n", + "2 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:9.074e-03 U_stat=2.200e+02\n", + "0 vs. 7: Mann-Whitney-Wilcoxon test two-sided, P_val:1.906e-08 U_stat=9.300e+01\n", + "1 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:1.508e-01 U_stat=6.110e+02\n", + "0 vs. 8: Mann-Whitney-Wilcoxon test two-sided, P_val:1.333e-10 U_stat=7.000e+00\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "from itertools import combinations\n", + "from statannotations.Annotator import Annotator\n", + "\n", + "def plot_significance_new(data,var,hue,ax,palette='mako',drug=False,test ='Mann-Whitney'):\n", + "\n", + "\n", + " ax.tick_params(axis='x', labelsize=20)\n", + " ax.tick_params(axis='y', labelsize=20)\n", + "\n", + " boxes = sns.boxplot(data=data,\n", + " x=hue,\n", + " y=var,\n", + " width=.6, \n", + " palette=palette,\n", + " ax=ax)\n", + " \n", + " # sns.stripplot(x=hue, y=var , data=data,\n", + " # size=3, color=\".4\", linewidth=0,ax=ax)\n", + " ax.set_xlabel('class',fontdict={'fontsize':20})\n", + " ax.set_ylabel(var,fontdict={'fontsize':20})\n", + "\n", + " for box,col in zip(boxes.patches,['blue','crimson','teal']):\n", + " mybox1 = box\n", + "\n", + " # Change the appearance of that box\n", + " if drug:\n", + " mybox1.set_facecolor('white')\n", + " mybox1.set_edgecolor(col)\n", + " else:\n", + " mybox1.set_facecolor(col)\n", + " mybox1.set_edgecolor('black')\n", + "\n", + " mybox1.set_linewidth(3)\n", + "\n", + " pairs = np.unique(data[hue])\n", + " pairs = [i for i in combinations(pairs,2)]\n", + "\n", + "\n", + " annotator = Annotator(ax,pairs, data=data, x=hue,palette=palette, y=var)\n", + " annotator.configure(test=test, text_format='star', loc='inside')\n", + " annotator.apply_and_annotate() \n", + "\n", + "for val in ['AP_avg', 'ap_width','resistance','mi', 'thr', 'isi', 'sub_thr','imp']:\n", + "\n", + " fig,ax = plt.subplots(1,1,figsize=[10,10])\n", + "\n", + " plot_significance_new(data = exc_df_acsf,\n", + " var = val,\n", + " hue = 'labels',\n", + " ax = ax,\n", + " drug = False,\n", + " palette = CUSTOM_PAL_SORT_3[:len(set(exc_df_acsf['labels']))] )\n", + " # plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/'+val+'_'+cond+'_dist.png',dpi=200)\n" + ] + } + ], + "metadata": { + "kernelspec": { + "display_name": "base", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.8.8" + }, + "orig_nbformat": 4 + }, + "nbformat": 4, + "nbformat_minor": 2 +} diff --git a/notebooks/UMAP_for_all_cell_FNs.ipynb b/notebooks/UMAP_for_all_cell_FNs.ipynb index 52d92bf..78270aa 100644 --- a/notebooks/UMAP_for_all_cell_FNs.ipynb +++ b/notebooks/UMAP_for_all_cell_FNs.ipynb @@ -2,7 +2,7 @@ "cells": [ { "cell_type": "code", - "execution_count": 2, + "execution_count": 1, "metadata": { "cellView": "form", "id": "yUFa3s1fLsmp" @@ -24,8 +24,8 @@ "from sklearn import datasets, linear_model\n", "import matplotlib.pyplot as plt\n", "from scipy.sparse import data \n", - "from plotnine import ggplot, geom_point, aes, stat_smooth, facet_wrap\n", - "from plotnine.data import mtcars\n", + "# from plotnine import ggplot, geom_point, aes, stat_smooth, facet_wrap\n", + "# from plotnine.data import mtcars\n", "import pandas as pd \n", "import matplotlib as mpl \n", "import seaborn as sns \n", @@ -42,13 +42,38 @@ "from sklearn.preprocessing import StandardScaler" ] }, + { + "cell_type": "code", + "execution_count": 2, + "metadata": {}, + "outputs": [], + "source": [ + "from sknetwork.clustering import Louvain,get_modularity\n", + "from sknetwork.data import karate_club\n" + ] + }, { "cell_type": "code", "execution_count": 3, "metadata": { "id": "ZyWRnPu1Mu6H" }, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\umap\\distances.py:1063: NumbaDeprecationWarning: \u001b[1mThe 'nopython' keyword argument was not supplied to the 'numba.jit' decorator. The implicit default value for this argument is currently False, but it will be changed to True in Numba 0.59.0. See https://numba.readthedocs.io/en/stable/reference/deprecation.html#deprecation-of-object-mode-fall-back-behaviour-when-using-jit for details.\u001b[0m\n", + " @numba.jit()\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\umap\\distances.py:1071: NumbaDeprecationWarning: \u001b[1mThe 'nopython' keyword argument was not supplied to the 'numba.jit' decorator. The implicit default value for this argument is currently False, but it will be changed to True in Numba 0.59.0. See https://numba.readthedocs.io/en/stable/reference/deprecation.html#deprecation-of-object-mode-fall-back-behaviour-when-using-jit for details.\u001b[0m\n", + " @numba.jit()\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\umap\\distances.py:1086: NumbaDeprecationWarning: \u001b[1mThe 'nopython' keyword argument was not supplied to the 'numba.jit' decorator. The implicit default value for this argument is currently False, but it will be changed to True in Numba 0.59.0. See https://numba.readthedocs.io/en/stable/reference/deprecation.html#deprecation-of-object-mode-fall-back-behaviour-when-using-jit for details.\u001b[0m\n", + " @numba.jit()\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\umap\\umap_.py:660: NumbaDeprecationWarning: \u001b[1mThe 'nopython' keyword argument was not supplied to the 'numba.jit' decorator. The implicit default value for this argument is currently False, but it will be changed to True in Numba 0.59.0. See https://numba.readthedocs.io/en/stable/reference/deprecation.html#deprecation-of-object-mode-fall-back-behaviour-when-using-jit for details.\u001b[0m\n", + " @numba.jit()\n" + ] + } + ], "source": [ "import sys \n", "sys.path.append('C:/Users/Nishant Joshi/Downloads/Old_code/repo/single_cell_analysis/scripts')\n", @@ -59,7 +84,7 @@ }, { "cell_type": "code", - "execution_count": 5, + "execution_count": 4, "metadata": { "id": "TUEVzMxRnWX_" }, @@ -70,31 +95,133 @@ "# 'fist_spike','up_down_ratio', 'isi_adaptation', 'thr_adp_ind', 'psth',\n", "# 'int_fr','fr', 'sub_thr','mi','spk_fr_adp','imp','cond','exp_name']\n", "\n", - "features_ext = ['Vm_avg', 'dvdt_p','dvdt_n','avg_V','resistance','thr','adaptation','isi','peak',\n", + "features_ext = ['AP_avg', 'dvdt_p','dvdt_n','avg_V','resistance','thr','adaptation','isi','peak',\n", " 'peak_adaptation','ap_width', 'hyp_value','fist_spike','up_down_ratio','isi_adaptation',\n", " 'thr_adp_ind','psth','int_fr','fr', 'sub_thr','mi','spk_fr_adp','imp','exp_name','cond' ] \n", - "data_all_conds = pickle.load(open('G:/My Drive/Cluster Feature files/all_acsf_ephys_with_MI_new.p','rb'))\n", + "data_all_conds = pickle.load(open('G:/My Drive/Cluster Feature files/all_acsf_ephys_with_MI_new_res.p','rb'))\n", "exc = data_all_conds['exc']\n", "inh = data_all_conds['inh']\n", "exc_df = pd.DataFrame(exc,columns = features_ext)\n", + "exc_df = exc_df.replace([np.inf, -np.inf], np.nan).dropna(axis=0)\n", "exc_df = pd.concat((exc_df.groupby('cond').get_group('aCSF'),\n", " exc_df.groupby('cond').get_group('ACSF')))\n", - "exc_df = exc_df.replace([np.inf, -np.inf], np.nan).dropna(axis=0)\n", - "inh_df = pd.DataFrame(inh,columns = features_ext)\n", - "inh_df = inh_df.replace([np.inf, -np.inf], np.nan).dropna(axis=0)\n", + "\n", + "inh_df_all = pd.DataFrame(inh,columns = features_ext)\n", + "inh_df = inh_df_all.replace([np.inf, -np.inf], np.nan)\n", + "inh_df = inh_df.dropna(axis=0)\n", "inh_df = pd.concat((inh_df.groupby('cond').get_group('aCSF'),\n", " inh_df.groupby('cond').get_group('ACSF')))\n" ] }, { "cell_type": "code", - "execution_count": 40, + "execution_count": 184, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 184, + "metadata": {}, + "output_type": "execute_result" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXQAAAD4CAYAAAD8Zh1EAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAAAfrUlEQVR4nO2df4xmVXnHv88MO+jsEq2zW2OAmVksSbO2RnFKNTGmEdsCTVib8gdkaEk02bhIYmObFLKNsTT7hza1oSmUTCupMtOipW26STHUHzRN/xAZFBAkiyPdWSBWllXRdtMi7tM/7n3Zu3fv+XXvub/O+/0kb+Z9771z73PvOed7nvOc594rqgpCCCHjZ6ZvAwghhMSBgk4IIYlAQSeEkESgoBNCSCJQ0AkhJBHO6+vAu3fv1uXl5b4OTwgho+SRRx55UVX3VK3rTdCXl5exubnZ1+EJIWSUiMi2aR1DLoQQkggUdEIISQQKOiGEJAIFnRBCEoGCTgghiUBBJ6NnYwNYXgZmZrK/Gxt9W0RIP/SWtkhIDDY2gAMHgFOnst/b29lvAFhd7c8uQvqAHjoZNYcOnRHzCadOZcsJmTYo6GTUHD8etpyQlKGgk1GzuBi2nJCUoaCTUXP4MDA/f/ay+flsOSHTBgWdjJrVVWBtDVhaAkSyv2trnBAl0wmzXMjoWV2lgBMC0EMnhJBkoKATQkgiUNAJISQRKOiEEJIIFHRCCEkECjohhCQCBZ0QQhKBgk4IIYlAQSeEkETwEnQRuVJEjorIlojcYtnut0RERWQlnomEEEJ8cAq6iMwCuAPAVQD2AbheRPZVbHcBgI8AeCi2kYQQQtz4eOiXA9hS1WdU9WUA9wLYX7HdHwP4BID/jWgfIYQQT3wE/UIAzxZ+P5cvexURuQzAxar6L7YdicgBEdkUkc0TJ04EG0sIIcRM40lREZkB8CkAv+faVlXXVHVFVVf27NnT9NCEEEIK+Aj68wAuLvy+KF824QIAvwDg30TkGIB3AjjCiVFCCOkWH0F/GMClIrJXROYAXAfgyGSlqr6kqrtVdVlVlwF8FcA1qrrZisWEEEIqcQq6qr4C4GYADwB4CsDnVfVJEblNRK5p20BCCCF+eL2xSFXvB3B/adnHDNv+SnOzCCGEhMI7RQkhJBEo6IQQkggUdEIISQQKOiGEJAIFnRBCEoGCTgghiUBBJ4SQRKCgE0JIIlDQCSEkESjohBCSCBR0QghJBAo6IYQkAgWdEEISgYJOCCGJQEEnhJBEoKATQkgiUNAJISQRKOiEEJIIFHRCCEkECjohhCQCBZ0QQhKBgk4IIYlAQSeEkESgoBNCSCJQ0AkhJBEo6IQQkggUdEIISQQKOiGEJAIFnRBCEoGCTgghiUBBJ4SQRKCgE0JIIlDQCSEkESjohBCSCBR0QghJBAo6IYQkgpegi8iVInJURLZE5JaK9R8SkW+KyKMi8h8isi++qYQQQmw4BV1EZgHcAeAqAPsAXF8h2H+rqr+oqm8D8EkAn4ptKCGEEDs+HvrlALZU9RlVfRnAvQD2FzdQ1R8Vfu4EoPFMJIQQ4sN5HttcCODZwu/nAPxyeSMR+TCAjwKYA/Deqh2JyAEABwBgcXEx1FZCCCEWok2KquodqvpmAH8A4A8N26yp6oqqruzZsyfWoQkhhMBP0J8HcHHh90X5MhP3Anh/A5sIIYTUwEfQHwZwqYjsFZE5ANcBOFLcQEQuLfz8DQDfjmciIYQQH5wxdFV9RURuBvAAgFkAd6vqkyJyG4BNVT0C4GYReR+AnwD4AYAb2zSaEELIufhMikJV7wdwf2nZxwrfPxLZLkIIIYHwTlFCCEkECjohhCQCBZ0QQhKBgk4IIYlAQSeEkESgoBNCSCJQ0AkhJBEo6IQQkggUdEIISQQKOiGEJAIFnRBCEoGCTgghiUBBJ6NmYwNYXgZmZrK/Gxt9W0RIf3g9bZGQIbKxARw4AJw6lf3e3s5+A8Dqan92EdIX9NDJaDl06IyYTzh1KltOyDRCQSej5fjxsOWEpA4FnYyWxcWw5YSkDgWdjJbDh4H5+bOXzc9nywmZRijoZLSsrgJra8DSEiCS/V1b44QomV4o6GTUrK4Cx44Bp09nfynm7bKxAezenXWgItl3pooOBwr6lML8bRLKTTcBN9wAnDx5ZtnJk8AHPsD6MxQo6FPIJH97extQPZO/zUZJTGxsAHfdVb3u5ZeZKjoURFV7OfDKyopubm72cuxpZ3k5E/EyS0tZ2IKQMqY6M0EkC3uR9hGRR1R1pWodPfQphPnbJBRX3WCq6DCgoE8hzN8modjqxtwcU0WHAgV9CmH+Ngmlqs4AwK5dwN13M7toKFDQpxDmb5NQqurM+jrw4x+z3gwJCvrIqZt+yPxtEgrrTDVDSgHm43NHDB8fS0i/DK0NMm1xxDD9kJB+6aMNMm0xUZh+SEi/DK0NUtBHDNMPCemXobVBCvqIYfohIf0ytDZIQR8xTD8kpF+G1gY5KUoIISOCk6LkLIaUN0sIiYeXoIvIlSJyVES2ROSWivUfFZFvicjjIvJlEVmKbyqJAR+dS9qEzkK/OAVdRGYB3AHgKgD7AFwvIvtKm30DwIqqvhXAfQA+GdtQEodDh87cBDHh1Ck+z5o0h85C//h46JcD2FLVZ1T1ZQD3Athf3EBVH1TViUx8FcBFcc0kVdTxhoaWN0vSgc5C//gI+oUAni38fi5fZuKDAL5QtUJEDojIpohsnjhxwt9Kcg51vaE28mY5zCYAnYUhEHVSVERuALAC4E+q1qvqmqquqOrKnj17Yh566gj1hiaiu72dpVcVaZI3y2E2mdDmTTY+TgMdCwCqav0AeBeABwq/bwVwa8V27wPwFICfde1TVfGOd7xDSX1EVDMJPfsjcu626+uq8/PnbgeoLi1l6+uytFRtx9JS/X2ScVJVz+bnm9Uv3/22dewhAmBTTXptWvHqBtkTGZ8BsBfAHIDHALyltM3bAXwHwKWu/U0+QxH09fVMfESai1uXhAhpm6Ib0rGMhbHWiSHQxrVbWHDX3y4di77rRyNBz/4fVwN4OhftQ/my2wBck3//EoDvAXg0/xxx7XMIgj7mXj3E9jZFNzUP/eDBc6/XWOrEUIgpeOvr1fWrXH99tonBEDSjsaC38RmCoI9djHwbTpvnOYQKHov1dXPnN5Y60Tex64Op7hbLpMtyG4JmUNANpBguqKJt0e17CBqKyV6beKRWJ9oituCZ2ijgLjeR+HVxCJpBQTcwhN62K7oS3aGLu61zs4lHinWiDWILnqmNLiy4jwlEOaVge9qGgm7AlP1x8OC52w1ZpIbCGMIvtk68S08vVWI7SVV1aiKgLg+9rQnRHTvOPdbcXHd1hIJuwTUJxkkyf8Yw4rF5kL4dPDHTRqe+vl6d6TLZr4/ox8Qn66ZNKOgWbCLESbIwhhBfdOHqdDgaa04b19Cn3GyiH5MuQzxV2AR96p+HPjOTFUUZkewOt6oXwE7Wnz7drm1jYwwvrS6/pR3I7pTli0GGja2dTtphV/XPdBwR4J572q9HfB66BdvtyiYxt/1fkaHcityVHUN7HZeJ1772zPeFBYr5GPB5rEBXz5I5fPjcx2cAWYfT+4PITK5725+hhFxMMb+q2HnIJNlQJgi7tmPIIYs+y2TI12UM+JRdl3M4ppBLF+FFMIZup6qx2XKSfSbJhjJBOBQ7hkBf12IonfvYcXWKXV7nOnUpVqdOQa9B04mPoUwQDsWOKrr2Wvu6FuxUu6PL+y1COo+YnQ0FvQZNU5OG0oiHYkeZPrzWvjJchtypkvqE1JeYNyRR0AOpe/NAsYAXFrLt+x5mt/no3Cb00dHYOpE2O5i+85ZJe/iKumm0D4TXMQp6IL69qUvAd+zIlvc9EVacExjKTVJ9ea2hz3FpKrpDuLMwVfqeaPZ1AmI/VoKCHoiP2JjuTuvDCxvCUxdDaWpL7MbcVgczhGd/pMgQ7ur1rcO2BIs6dYyCHohPQbkKqUuP07diDymW2yTE0UZ4pK3ObkjXvA6xO85Y+xvCc3d8y9bmndND7wAfwXAVUlfeb0jFHpKHrurXuENSSpucR1sx9L7mCibHnZ09c7zQc4l9TWLubwhPxozhodc5fwp6DVxi4+Ohx45PV9kUUrHHlg9tstd0vk293jZisn3c2GW6RqHHjd0ZxczdtrW/rkY/ITH0mA8Po6C3gI+YxhbzqspjyqAwVey+J5JCMDXaidc5lJGGizrXvG45uRyNkGsUO1wUKsCurCSTfV3OT/iWU8x2R0FvCVvDiS2UJuGeZNEMWeDW18+2f+dOv+wf1+hnLCONUJp49a5QYIgYx/TQbQJs2p/r+AcPVq9PPYOIgt4SsWNjJtbX7Q10KM9sr/JCTGl7LltdAjCmkUYoTYQ0poceM1xUZxLTp1Oaxhx/CnpLuMQqRqVaX1edmXEfo+4EYyzqhISKn/IweQhZDH3h8x5NE7aOsM61i1WvQh+l4duh+4h+15RHpLFftEFB1/bEzCVYTY7n49367reOtxVyzXzTOH3Ppe+XCMSiTr1rOvKzXePYtvvWKx9Pung80zzJZETqugekz8yttm8km3pBbzPTwDd9sc7xXCIZMvkTOowPvWa+18G3EbYxlO46p7puKKzpyC9G7Nu3/H2O5SNytuycqn3HbmuxsNkWq5OZekFvMxc4xDMNPZ5LJEOyJUz7MA1NQ6+ZbdLWNcoo2+ISgLpZI13lVK+vZxO/TepBaPaSy7bQ5/f4lr9PJoxpX0WHxLcd+cwR9BmSs7XZWGGgqRf0Nu/WC/EsQo9nq7w+3rmPbSZxCblmPgJsE7iyLTYBqCvMXeVU+3RgPvWg6Y0zto7c53r5lr/PdfXZl88Iz/XimSFMhNJDj0yV9+Y7LKw7HC//b6xwQdN4XJOhaYgA+nhgk/MxXZuiLTYBqCvMsTv1JiGmJtkqIRObdVIFXccv/59PB+uzL1ddnZ2115+hTJYzhh4RU+WqmkQpVrouh+N19lV3xrzJjU8h5+ArlqYRQ/mcbAJgOh+XMNeZQ7B18HUngV3CU3RAytc19OFTLhtthJS/61r57MvW+RSvW93z6RJmuUTC1mhtla6NGLvpeG2mDpZpel6milk8h4UFc1pl+ThNvT7bcHviwdnOJUSgTKGq4jWok6ZpE+QYse8iPgJpwzfLxac++2xnu25LS92EM0x02W5dTI2g1x1Wd/VEvKoGW+eZ6b6dxRVXNLvhyGRv+bnvVZ+JABftsYmLzzk2zW7wbZSu49gmZ20ZKi7vuqs4fywRjD2ytdlr68yBuAJbLlfXCL9rpkbQbXHHsofl83+xe32fYbqPKPmGlaquQ8whu+kzO1ttT9PJLJ+4dYwy8zmObVK67pA7tmPhmhRv6rDEbjc2e22jn5jPbrGNknzOswtPfmoE3TfjpDxBEdvTMOE7kWZrEKZGZLoRo0ljqzvx5/LI615nn33GGFX52h67ftgml5tM2Lf1MLM2RrY2excW2mmnRRH2bUeTT3k/XejI1Ai6qn/hVMVt2+5ZfYXC1iCa3sAT4+FMPp2Gzc66r+VrkoYZgmuCLuaxysf1CXGFikRbQtPWyNb16IKY7dTXCbTZM6Grkf5UCXqRLpL8Q/CtPEPx0OvE0CdC0dYEli0LxDbJ6QqBVM0/+DZo3/BKnUlGU5jBNQlc59ihjK2jCDmW76doU1dzcVMr6C5R6WPmupwhUhZHV1aD6XZy06NEmzY208Rf8RyqPG5bilmsCu5Tfj6PWLXNS9iyVkw3EVXlHNcVP5tTMoRHBo+po6jC94Ymn7pMD71F1tfNdyfOzQ1n5jrE4zRN2EwmOm3i00eqVd+PNnWFTiZ2uOw0Xfddu9z7nlC3sXeRqTKUdLwiXdnlGvGW/1Z16kWbGUNvAVvq2KSBD+2tNz7i5xKFLj0bH/q2xyWGrptVit6XK23O9r+q9W/yajNTpe/yKdvSR8fSJIYOVCdYDD7LBcCVAI4C2AJwS8X69wD4OoBXAFzrs8+2slyaxsS6iK0X7XTFvn2fd1EMH7R5l1oofXqALgFeWvKP9YfWK18PvfjZtcscV4/lgPgkDfjsM2a59t2xlNtM6KdrJ7CRoAOYBfAdAJcAmAPwGIB9pW2WAbwVwGf7EvSmPW1XhRNqp6+oFJ/0NxSvq29s12viWfnerBLinfvG0H3/1/T/MbJdqj4upyZ2HetyEjTUBp9P1wkWTQX9XQAeKPy+FcCthm3/pi9Bb+qZdyV8oXa6YujlBhCrcQw1thqC6XoVPWFb7ncR33LzyXJx7WNmxi8DJrRMfL3QunH9ugLcVXZImeL1bKIbY/PQrwXw14Xfvw3gLwzbWgUdwAEAmwA2FxcXo55k3UKZne1WtELsrLoDzhXzte3fFx8PbOiCXxXWqrLT19v0nWD1wbdzMN3VW+fa2+pNSKekGl+A+/DQ64zoZ2eb3xMQg8EIevHTtYe+c+cwCiPk5iKTbbYGYFpn2l85fuj7/lJbtk3fVE1g2uzzFUhbaqjvTS8h8dqqMjNde1OHNSF0ZBjr0cpV17f8jJ+mzxyqQ50R/aSj69uRmYqQS4hXOeltXY2gDWwZOOWPbR+2t+X4PnvCJ3e9LByq4Z1Gl/iIbpHQBmoS4507/epfqFdYLjNXZxD6iGOXgFUREkOvc85dOAd1rkcfNyNW0VTQzwPwDIC9hUnRtxi27U3QVf0aZ933PIbY4Moy8fXQXKEC07n6VEhXCMEmLrFCD7HxOaeifXUm90I65LIo1vEKJ2UW4tlXlUHdOSbXfICrI6ybPdJ2PapzPfqs20VipC1eDeDpPNvlUL7sNgDX5N9/CcBzAP4HwEkAT7r22XYeelVl8/FemwypXI19sr863kGMh1g1ScMrHt/2v3WftxFj9ORzTkWBrJu2FypSk3OoU+6TaxDq5VZd3zpZYE1ELCRubyqnJtjqYej1GFKm2FTdWGTzunyEqEk6lo+g+LwEoWnj8jmPUHEpNwbT/9d5Ip7tpp2Q4bfvA7VcjdklJnVHNnU70dD/s82XTPblew51hdXWYcas67bj+4TAXG1xiJP+UyXoNu/UFSpoOtvu20hMohezcbm85BCRqDp/U+gq9HZ/nzi+T2zeR0B8BbJu2p6r3FwZSqYyq+PZ+7zBqVhWvvMu5X2YRsJN7gmJMRfjk47qsnMoIZYyUyXoNk/PNZkXIqRVlTk0gyX0/2NWMN9GZ/Ouq84hJG0yJPzkEhafx+r6CKRv51HVIZue7VK0vc7zberGv12ZPa5rVpxoL88L2Z6F5JNxZnvZc4wJUZ8bxmx2DinEUiZZQQ8RRdNQe1KBQrJDTA364EG/CTNbepepkbUx8+8agtd5bEBIBkyIUNlGJ7b9VF0z13F9qKp7vsP8OhOxsZ7Z7boG5fsyQieBXSPhSTupOp+Yj6mwlbHP5H7MJInYaY5JCrpNVG0NxnSBQ4TI5mW54nKunGFbPLlNr6F4XcqPxC3nDbvEx7djDAkl2DzY0KybEBtD8WnATSaNq8IbLsGtOifbSLZ4rDpxcNP/TO6AbRra9ME1IWs7t1h2hN4P4UuSgu7yxEMbjG+ooG5oxleguwy7VOHrDdq8Kd/r4+uhuzoy12R3FW2nr3aJz8ReGdM127mzXsze5+Pz/Jwur0uduuaL7TybzhEkKeh93X7seuZ4FTG91rZvbggNg4SENHxCV1Ufn5h2zEm9sRGSez+h6cTlpPxD/8eWfBD7xjTfc2zj8R9tOmZJCnrTYVu5Mbvieuvr5hdmuITHFYLxOa8YFcGH0EZquvsy5E5Cn3ini5Q87lDqTu75pO2ZPpOXxNRNqWwr5FV1XrbHWVS1Qdu+fOP8bTpmSQp6nckl1/+aXjm2Y4c7lmi7TTpEoG1exRCfBmlqhKHeb5PyrHvMVDDVGR/xqVPe5f3WSYFtQ+gm16JqTiHkhfGufZkej1yse66Okh56Baae2NWYbd593RQx0/Fc8V2X19r1M2fqDMVjhYFsE3/TKNQh1L1GMSamXU5LVQfd1sRo3RFD6L6Kdla1GdvL1Js6ZkkLeh1v1hZ/rxMXNHnntmMBw3k6YZmyONRt6LFs4Qs72iPWxLTJIzXFp9sq1zrtt86+ik6MbYK5DccsGUGv8lzrCE1MD901kWPan60TGBp1Y7RtHntM12/IVAnrRMhCRKhufn3skVfdkGFIrn5ZW7rIZy+ShKDHDAXYKp9p+GTqQFxedgoeZpMYbVPGOMIZG7GEdQihMZ+8fF/HxDeGHmNSP4QkBD3WZN0EW+WrWld3ttt1rLHQ1znUmYMg003d7B3T5L6r3Yfem9IUm6BLtr57VlZWdHNz03v7mZnsEvkiAtxzD7C6WsM4Mhg2NoAbbjCvX1oCjh3rzBwyMkJ0QwQ4fbrecXbvBk6ePHd5G/VTRB5R1ZWqdTNxD9Uei4v+24oAH/oQxTwFVleBhQXz+uPHu7OFjI8Q3QjZtszttwPz82cvm58HDh+uv886jEbQfS/M0lLmmd95Z7v2kO64/fask66iSSMk6XP48LlCW8XcXDPxXV0F1tYy/RHJ/q6tde9UjibkApiHNUBWaH1cQNINN90E3HXX2cNnljnxYWMDOHQoG83NzAA//em52ywsAC++2L1tdUgi5AJUD2uArDDYsNPmzjuzkVffHhAZH6urWRz79GlzjPz73+/UpNY4r28DQpg03klvu7iYDZPYqKeD1VWWNWnG4iKwvV29PAVG5aEDZ/e2x46xgRNC/KmKqfcxedkWoxN0Qgipy1AmL9tiVCEXQghpSsqhO3rohBCSCBR0QghJBAo6IYQkAgWdEEISgYJOCCGJQEEnhJBEoKATQkgiUNAJISQRKOiEEJIIFHRCCEkECjohhCQCBZ2QCGxsAMvL2QsUlpez34R0DR/ORUhDNjaAAweAU6ey39vb2W8g3YdAkWFCD52Qhhw6dEbMJ5w6lS0npEu8BF1ErhSRoyKyJSK3VKw/X0Q+l69/SESWo1tKyEA5fjxsOSFt4RR0EZkFcAeAqwDsA3C9iOwrbfZBAD9Q1Z8D8GcAPhHbUEKGiun1ZXVfa8Z4PKmLj4d+OYAtVX1GVV8GcC+A/aVt9gP4TP79PgBXiIjEM5OQ4RLztWaTePz2NqB6Jh5PUSc++Aj6hQCeLfx+Ll9WuY2qvgLgJQAL5R2JyAER2RSRzRMnTtSzmJCBEfO1ZozHkyZ0muWiqmsA1gBgZWVFuzw2IW0S67VmjMeTJvh46M8DuLjw+6J8WeU2InIegNcBOBnDQEKmidjxeDJd+Aj6wwAuFZG9IjIH4DoAR0rbHAFwY/79WgBfUVV64IQEEjMeT6YPp6DnMfGbATwA4CkAn1fVJ0XkNhG5Jt/s0wAWRGQLwEcBnJPaSAhxEzMeT6YP6cuRXllZ0c3NzV6OTQghY0VEHlHVlap1vFOUEEISgYJOCCGJQEEnhJBEoKATQkgiUNAJISQRestyEZETALZr/vtuAC9GNKcveB7DgucxLHge1Syp6p6qFb0JehNEZNOUtjMmeB7DgucxLHge4TDkQgghiUBBJ4SQRBiroK/1bUAkeB7DgucxLHgegYwyhk4IIeRcxuqhE0IIKUFBJ4SQRBidoIvIlSJyVES2RGRUj+kVkWMi8k0ReVRENvNlbxCRL4rIt/O/P9O3nWVE5G4ReUFEnigsq7RbMv48L5/HReSy/iw/G8N5fFxEns/L5FERubqw7tb8PI6KyK/3Y/XZiMjFIvKgiHxLRJ4UkY/ky0dVHpbzGFt5vEZEviYij+Xn8Uf58r0i8lBu7+fyd0lARM7Pf2/l65ejGqSqo/kAmAXwHQCXAJgD8BiAfX3bFWD/MQC7S8s+CeCW/PstAD7Rt50Vdr8HwGUAnnDZDeBqAF8AIADeCeChvu13nMfHAfx+xbb78vp1PoC9eb2bHcA5vAnAZfn3CwA8nds6qvKwnMfYykMA7Mq/7wDwUH6dPw/gunz5XQAO5t9vAnBX/v06AJ+Lac/YPPTLAWyp6jOq+jKAewHs79mmpuwH8Jn8+2cAvL8/U6pR1X8H8P3SYpPd+wF8VjO+CuD1IvKmTgx1YDgPE/sB3Kuq/6eq/wlgC1n96xVV/a6qfj3//mNkL525ECMrD8t5mBhqeaiq/nf+c0f+UQDvBXBfvrxcHpNyug/AFSIisewZm6BfCODZwu/nYK8EQ0MB/KuIPCIiB/Jlb1TV7+bf/wvAG/sxLRiT3WMso5vzcMTdhZDX4M8jH66/HZlXONryKJ0HMLLyEJFZEXkUwAsAvohs9PBDzd72Bpxt66vnka9/CcBCLFvGJuhj592qehmAqwB8WETeU1yp2ThsdHmkY7U75y8BvBnA2wB8F8Cf9mqNJyKyC8A/APhdVf1Rcd2YyqPiPEZXHqr6U1V9G4CLkI0afr4vW8Ym6M8DuLjw+6J82ShQ1efzvy8A+Cdkhf+9yRA4//tCfxYGYbJ7VGWkqt/LG+RpAH+FM8P4wZ6HiOxAJoIbqvqP+eLRlUfVeYyxPCao6g8BPAjgXchCW+flq4q2vnoe+frXATgZy4axCfrDAC7NZ5DnkE0qHOnZJi9EZKeIXDD5DuDXADyBzP4b881uBPDP/VgYjMnuIwB+J8+ueCeAlwqhgMFRiif/JrIyAbLzuC7PStgL4FIAX+vavjJ5vPXTAJ5S1U8VVo2qPEznMcLy2CMir8+/vxbAryKbD3gQwLX5ZuXymJTTtQC+ko+o4tD3LHHoB9ms/dPI4lSH+rYnwO5LkM3SPwbgyYntyOJnXwbwbQBfAvCGvm2tsP3vkA1/f4IsHvhBk93IZv3vyMvnmwBW+rbfcR735HY+nje2NxW2P5Sfx1EAV/Vtf27Tu5GFUx4H8Gj+uXps5WE5j7GVx1sBfCO39wkAH8uXX4Ksw9kC8PcAzs+Xvyb/vZWvvySmPbz1nxBCEmFsIRdCCCEGKOiEEJIIFHRCCEkECjohhCQCBZ0QQhKBgk4IIYlAQSeEkET4f52qtzR817NcAAAAAElFTkSuQmCC", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "plt.scatter(np.arange(len(inh_df_all['resistance'])),inh_df_all['resistance'])" + ] + }, + { + "cell_type": "code", + "execution_count": 196, "metadata": {}, "outputs": [], "source": [ "inh_df.to_csv('G:/My Drive/Cluster Feature files/feature_set_inh.csv')" ] }, + { + "cell_type": "code", + "execution_count": 5, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import numpy as np\n", + "import matplotlib.pyplot as plt\n", + "from mpl_toolkits.mplot3d import Axes3D\n", + "from sklearn.datasets import make_circles\n", + "\n", + "# Generate a synthetic dataset with two interleaving circles\n", + "X, y = make_circles(n_samples=100, noise=0.1, factor=0.5, random_state=42)\n", + "\n", + "# Plot the dataset in 2D\n", + "plt.scatter(X[:, 0], X[:, 1], c=y, cmap='rainbow')\n", + "plt.xlabel(\"X1\")\n", + "plt.ylabel(\"X2\")\n", + "plt.title(\"2D Dataset\")\n", + "plt.show()\n", + "\n", + "# Add a new feature (Z coordinate) to the dataset\n", + "Z = np.square(X[:, 0]) + np.square(X[:, 1])\n", + "\n", + "# Plot the dataset in 3D\n", + "fig = plt.figure(figsize=[10,8])\n", + "ax = fig.add_subplot(111, projection='3d')\n", + "ax.scatter(X[:, 0], X[:, 1], Z, c=y, cmap='rainbow')\n", + "ax.set_xlabel(\"X1\",fontsize=14)\n", + "ax.set_ylabel(\"X2\",fontsize=14)\n", + "ax.set_zlabel(\"Z\",fontsize=14)\n", + "ax.set_title(\"3D Dataset\",fontsize=14)\n", + "plt.show()\n" + ] + }, + { + "attachments": {}, + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Important Function" + ] + }, { "cell_type": "code", "execution_count": 6, @@ -107,7 +234,7 @@ "import sklearn.cluster as cluster\n", "from sklearn.metrics import adjusted_rand_score, adjusted_mutual_info_score\n", "\n", - "def plot_UMAP_clusters(data_inh,data_exc,neighbours,distance,condition_inh,condition_exc,k_exc,k_inh,random_state):\n", + "def plot_UMAP_clusters(data_inh,data_exc,neighbours,distance,condition_inh,condition_exc,k_exc,k_inh, random_state,c_list =['green','purple','cyan'],save=False):\n", " \"\"\"plots UMAP for excitatory and inhibitory cells \n", "\n", " Args:\n", @@ -149,24 +276,28 @@ " plt.ylabel(\"SSE\")\n", " plt.show()\n", "\n", - " fig = plt.figure(figsize=[10,4])\n", - " ax12d = fig.add_subplot(1,2,1)\n", + " fig = plt.figure(figsize=[8,8])\n", + " ax12d = fig.add_subplot(1,1,1)\n", "\n", " kmeans_exc = KMeans(n_clusters=k_exc,random_state=random_state).fit(clusterable_embedding2d_exc)\n", " centroids_exc = kmeans_exc.cluster_centers_\n", " labels_exc = kmeans_exc.labels_.astype(float)\n", "\n", - " df_2d_exc = {'Dim1':clusterable_embedding2d_exc[:, 0],\n", - " 'Dim2':clusterable_embedding2d_exc[:, 1],\n", + " df_2d_exc = {'UMAP1':clusterable_embedding2d_exc[:, 0],\n", + " 'UMAP2':clusterable_embedding2d_exc[:, 1],\n", " 'condition':condition_exc,\n", - " 'labels':labels_exc}\n", - "\n", + " 'class':labels_exc}\n", "\n", - " sns.scatterplot(data=df_2d_exc,x='Dim1',y='Dim2',hue='labels', cmap='gist_rainbow',ax=ax12d)\n", + " ax12d.set_xticks([])\n", + " ax12d.set_yticks([])\n", + " sns.scatterplot(data=df_2d_exc,x='UMAP1',y='UMAP2',hue='class', palette=c_list,ax=ax12d)\n", " ax12d.set_title('UMAP clusters for excitatory neurons 2D')\n", - " plt.show()\n", - "\n", + " if save:\n", + " plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/exc_umap_cluster.png',dpi=200)\n", + " else:\n", + " plt.show()\n", "\n", + " #--------------------INH\n", " clusterable_embedding3d_inh = umap.UMAP(n_neighbors=neighbours, min_dist=dist,\n", " n_components=3,random_state=random_state).fit_transform(data_inh_umap)\n", "\n", @@ -194,30 +325,32 @@ " plt.ylabel(\"SSE\")\n", " plt.show()\n", "\n", - " fig = plt.figure(figsize=[10,4])\n", + " fig = plt.figure(figsize=[8,8])\n", " # ax2 = fig.add_subplot(1,2,1,projection='3d')\n", - " ax22d = fig.add_subplot(1,2,2)\n", + " ax22d = fig.add_subplot(1,1,1)\n", " \n", " kmeans_inh = KMeans(n_clusters=k_inh,random_state=random_state).fit(clusterable_embedding2d_inh)\n", " centroids_inh = kmeans_inh.cluster_centers_\n", " labels_inh = kmeans_inh.labels_.astype(float)\n", "\n", - " df_2d_inh = {'Dim1':clusterable_embedding2d_inh[:, 0],\n", - " 'Dim2':clusterable_embedding2d_inh[:, 1],\n", + " df_2d_inh = {'UMAP1':clusterable_embedding2d_inh[:, 0],\n", + " 'UMAP2':clusterable_embedding2d_inh[:, 1],\n", " 'condition':condition_inh,\n", - " 'labels':labels_inh}\n", + " 'class':labels_inh}\n", "\n", "\n", - " # sns.scatterplot(data=df_3d,x='Dim1',y='Dim2',hue='condition', cmap='gist_rainbow',ax=ax22d)\n", - "\n", - " # ax2.scatter(clusterable_embedding3d[:, 0], clusterable_embedding3d[:, 1], clusterable_embedding3d[:, 2],c=c_inh, cmap='gist_rainbow')\n", - " # ax2.set_title('UMAP clusters for inhibitory neurons 3D')\n", - " sns.scatterplot(data=df_2d_inh,x='Dim1',y='Dim2',hue='labels', cmap='gist_rainbow',ax=ax22d)\n", + " sns.scatterplot(data=df_2d_inh,x='UMAP1',y='UMAP2', hue='class',palette=c_list, ax=ax22d)\n", + " ax22d.set_xticks([])\n", + " ax22d.set_yticks([])\n", " ax22d.set_title('UMAP clusters for inhibitory neurons 2D')\n", - " plt.show()\n", + " if save:\n", + " plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/inh_umap_cluster.png',dpi=200)\n", + " else:\n", + " plt.show()\n", + "\n", " return labels_exc, labels_inh\n", "\n", - "def plot_UMAP(data_inh,data_exc,neighbours,distance,condition_inh,condition_exc,figsize=None,random_state=0):\n", + "def plot_UMAP(data_inh,data_exc,neighbours,distance,condition_inh,condition_exc,figsize=None,random_state=0,save=False):\n", " \"\"\"plots UMAP for excitatory and inhibitory cells \n", "\n", " Args:\n", @@ -239,22 +372,27 @@ " dist = distance\n", " clusterable_embedding2d = umap.UMAP(n_neighbors=neighbours,min_dist=dist,\n", " n_components=2,random_state=random_state,).fit_transform(data_exc_umap)\n", - " df_2d = {'Dim1':clusterable_embedding2d[:, 0],\n", - " 'Dim2':clusterable_embedding2d[:, 1],\n", + " df_2d = {'UMAP1':clusterable_embedding2d[:, 0],\n", + " 'UMAP2':clusterable_embedding2d[:, 1],\n", " 'condition':condition_exc}\n", - " sns.scatterplot(data=df_2d,x='Dim1',y='Dim2',hue='condition', cmap='gist_rainbow',ax=ax12d)\n", + " sns.scatterplot(data=df_2d,x='UMAP1',y='UMAP2',hue='condition', cmap='gist_rainbow',ax=ax12d)\n", + " ax12d.set_xticks([])\n", + " ax12d.set_yticks([])\n", " ax12d.set_title('UMAP excitatory')\n", " ax22d = fig.add_subplot(1,2,2)\n", " clusterable_embedding2d = umap.UMAP(n_neighbors=neighbours, min_dist=dist,\n", " n_components=2,random_state=random_state).fit_transform(data_inh_umap)\n", - " df_2d = {'Dim1':clusterable_embedding2d[:, 0],\n", - " 'Dim2':clusterable_embedding2d[:, 1],\n", + " df_2d = {'UMAP1':clusterable_embedding2d[:, 0],\n", + " 'UMAP2':clusterable_embedding2d[:, 1],\n", " 'condition':condition_inh}\n", - " sns.scatterplot(data=df_2d,x='Dim1',y='Dim2',hue='condition', cmap='gist_rainbow',ax=ax22d)\n", + " sns.scatterplot(data=df_2d,x='UMAP1',y='UMAP2',hue='condition', cmap='gist_rainbow',ax=ax22d)\n", " ax22d.set_title('UMAP inhibitory')\n", - "\n", - "\n", - " plt.show()\n", + " ax22d.set_xticks([])\n", + " ax22d.set_yticks([])\n", + " if save:\n", + " plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/umap_20.png',dpi=200)\n", + " else:\n", + " plt.show()\n", "\n", "def plot_UMAP_combined(data_exc,data_inh,neighbours,distance,random_state,figsize):\n", "\n", @@ -290,10 +428,10 @@ " labels = labels\n", " clusterable_embedding2d = umap.UMAP(n_neighbors=neighbours,min_dist=dist,\n", " n_components=2,random_state=random_state,).fit_transform(data)\n", - " df_2d = pd.DataFrame({'Dim1':clusterable_embedding2d[:, 0],\n", - " 'Dim2':clusterable_embedding2d[:, 1],\n", + " df_2d = pd.DataFrame({'UMAP1':clusterable_embedding2d[:, 0],\n", + " 'UMAP2':clusterable_embedding2d[:, 1],\n", " 'type':labels})\n", - " sns.scatterplot(data=df_2d,x='Dim1',y='Dim2', hue='type', cmap='gist_rainbow',ax=ax12d,alpha=.5,markers=['x','.'])\n", + " sns.scatterplot(data=df_2d,x='UMAP1',y='UMAP2', hue='type', cmap='gist_rainbow',ax=ax12d,alpha=.5,markers=['x','.'])\n", " ax12d.set_title('UMAP all conditions')\n", " \n", " plt.show() \n", @@ -331,12 +469,12 @@ " ).fit_predict(data_exc_umap)\n", "\n", "\n", - " df_2d_exc = {'Dim1':clusterable_embedding2d_exc[:, 0],\n", - " 'Dim2':clusterable_embedding2d_exc[:, 1],\n", + " df_2d_exc = {'UMAP1':clusterable_embedding2d_exc[:, 0],\n", + " 'UMAP2':clusterable_embedding2d_exc[:, 1],\n", " 'labels':labels_exc}\n", "\n", "\n", - " sns.scatterplot(data=df_2d_exc,x='Dim1',y='Dim2',hue='labels', cmap='gist_rainbow',ax=ax12d)\n", + " sns.scatterplot(data=df_2d_exc,x='UMAP1',y='UMAP2',hue='labels', cmap='gist_rainbow',ax=ax12d)\n", " ax12d.set_title('UMAP clusters for excitatory neurons 2D')\n", " plt.show()\n", "\n", @@ -351,11 +489,11 @@ " min_cluster_size=min_cluster_size,\n", " ).fit_predict(data_inh_umap)\n", "\n", - " df_2d_inh = {'Dim1':clusterable_embedding2d_inh[:, 0],\n", - " 'Dim2':clusterable_embedding2d_inh[:, 1],\n", + " df_2d_inh = {'UMAP1':clusterable_embedding2d_inh[:, 0],\n", + " 'UMAP2':clusterable_embedding2d_inh[:, 1],\n", " 'labels':labels_inh}\n", "\n", - " sns.scatterplot(data=df_2d_inh,x='Dim1',y='Dim2',hue='labels', cmap='gist_rainbow',ax=ax22d)\n", + " sns.scatterplot(data=df_2d_inh,x='UMAP1',y='UMAP2',hue='labels', cmap='gist_rainbow',ax=ax22d)\n", " ax22d.set_title('UMAP clusters for inhibitory neurons 2D')\n", "\n", " plt.show()\n", @@ -364,28 +502,121 @@ }, { "cell_type": "code", - "execution_count": 494, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 - }, - "id": "jWXw-Ud5M_jK", - "outputId": "32b652ce-228e-46b9-cfa5-bc21a5dcca53" - }, + "execution_count": 7, + "metadata": {}, "outputs": [ { - "name": "stdout", - "output_type": "stream", - "text": [ - "5\n" - ] + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" }, { "data": { - "image/png": "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", + "image/png": "iVBORw0KGgoAAAANSUhEUgAAArsAAALVCAYAAADAnSmoAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAB7CAAAewgFu0HU+AAEAAElEQVR4nOz9e5wk21XfiX7X3jsiMrOquvscnaOjFyDxkAEjJAESHBiMwBoEBtvy9WAeNiAQfswARiMG5hpjjIcZuHd8hYERF6zxgMBYA4gx8gzICBkQD0sgBBIP40EIJCSBzkM6p7urKjMjYu+95o8dWZVVXY/srupHVa/v51PnZEfuiNgZkY9frFjrt0RVMQzDMAzDMIzziLvdEzAMwzAMwzCMm4WJXcMwDMMwDOPcYmLXMAzDMAzDOLeY2DUMwzAMwzDOLSZ2DcMwDMMwjHOLiV3DMAzDMAzj3GJi1zAMwzAMwzi3mNg1DMMwDMMwzi0mdg3DMAzDMIxzi4ldwzAMwzAM49xiYtcwDMMwDMM4t5jYNQzDMAzDMM4tJnYNwzAMwzCMc4uJXcMwDMMwDOPcYmLXMAzDMAzDOLeY2DUMwzAMwzDOLSZ2DcMwDMMwjHOLiV3DMAzDMAzj3GJi1zAMwzAMwzi3mNg1DOPUEZE3iYgOfy+43fMxjFUQkfcsvW+ffrvnc6OIyEuWXserT2mbT1/a5nuOGLcYo6ex32Gbr17a7ktOa7vG3YOJ3XPAvi+h6/6S3idMvv2QMS/Ztw8VkZ++zv38xQO28Z7r2cawnf9x3zZ+4Aa28aYD5rL8tzn88P07Efl6Ebl4vfswDOPuZt93ygtu93wM427FxK5xEv6KiDzhOsZ/5Ul3KCICfPm+xV8sIs1Jt72PdeAjgL8GfB/wXhH5ilPex12NiHz7cRdZt2AOK0WrDMO4s7kZ0Wzj/BBu9wSMM00NfAnw/ccNFBEH/O1T2OdnAx++b9k9FFH62hvc5m8Cb136twCXgOcBHzMsuwD8iIiMVPVVN7gfwzAMwzBuMSZ2jRvhXZSoZwV8BSuIXeCFwFOGx38AfPwN7ns5OjwDxkvLb1Tsvl5Vv/2gJ0TkbwA/DCzSGL5PRF6vqu+/wX3dFajqC273HAzDOD1U9T2UQMDt2PdLgJfcjn0b5wNLYzBuhA8Brx8eP19E/sIK6yyL1B+9kZ2KyDrwN5cWvXzp8YtE5IEb2e5RqOpPszci3QD/zWnvxzAMwzCMm4OJXeNG+ZGlx0fmsorIBvDi4Z+/M/zdCH8TWBsevxv4l8A7hn8HTidN4hpU9WfZO+cX3oz9GIZhGIZx+pjYNW6Un6VEeAH+zlA4dhj/FTAZHt9QVHdgOTr8Y6qqwL8+5PnT5s1Ljz/ytDYqIh8nIt8pIm8VkYdFpBORR0XkN0TkfxCRpxyx7n+3zz3io47Z1z0i8t6ldV55zPiPHIrIfkVE/kxE5iIyFZE/EZHXDS4VTzxk3UOtxxbPAf90afE/PcQV49UHbHssIi8Wke8TkV9bOm5bg4PGT4vIS0WkPuK1vWSYw7uXFn/EYe4cR2xHROSLROR/F5E/HuawNTx+jYj8V8d8Ng49XiLyZBH5luG98ZCIJBG5PDz3O0vjv/S47S/t50eW1vvuVdc7ZFsnPg9L23rB0rzetLT8c0Tkx4f33FxEPjS8H79ORKrrmGszvF9/dfh8zZbO0Wff4CE4FeSAQk0RCSLyFSLyH4bPXisiHxg+d194gn39DRH5v4bvgVZEHhGRnxeR477DT1TMKSLPE5F/JSLvFJFtEXlseF//IxG5sML6h1qPLZ6jpJst+MpDPstvOmIf6yLyD0XkDSLy/uH99riI/L6IvFJEPnXF13rN94aIPFtEvnfY1mPD868TkScOnxkdPt9PXXEfIiLvXtrXX1tlvbsaVbW/M/4HPB3Qpb+nX+f6b1pa99sPGfOSpTG/Piz7/qVln33E9n9pGBOBB4DPW1rvPSvO8SOAvLTeM4flTxq2u1j+nNN6zfvG/09L47tTOGcN8IP75n7Q3xT4ukO2IcAbl88LEI7Y52uXxv4nYHzE3F4J9MfMTYEO2Djm+L7giOeO+3v1vnU/Fdhccd13A89d4f187N8h2/gY4LdXWP9twEdex/vxBcBfBx47YFuXh/Fft7TsjSu+5y4A20vrffwJ3r+nch6WtveCpfFvohS/vuqY7f4WcN8Kc/044P8+Zls/QKlBeM/Ssqefwud8eR8vOGTMty+N+XbgqcB/PGa+PwS4I/a7/P5+NaXm4N8ds81/zyHfCcM2n7409j2rvOal15eO2O/7gQePOY6vXhr/kiOeO+7vTYds/wuBD6yw/r8BJque86XXf9D3/OuG539qadk/XvF99cKldf4c8Cd9r573PytQM07Cj7Kbv/oVFFG7BxH5COCzhn/+vKo+fEwA4TC+nN3iiN9Q1XcCqOpDIvJGioCGEt19x43s4BjuWXp85SQbEpE14A3AZywt/mPKj/fjwL3Dc0+hFOD9LyJyQVW/c3k7qqoi8pXA7wJPoAiQbwe+9YB9fjUlwg7QAl+mqrMDxq0DPw88uLR4SvnxfR/lHDwV+ORhnxXgV3/1APw08PvA8ymOF3CtI8aCX9/373sotnAAj1BE+/spIm4CfPSw3UD5cf5lEfkkVX3Xvu38Z8rF2ga7aTibrHjnQUQ+Dvhl4P6lxb9Hee8p8FzgWcPyTwbeLCJ/afG+PYZPp5zHinL35FeADwJPHLYL8GPA/0x5f/xlEXm6lgKio/hSdu+wvEVV/2CFuRzGaZ2Hw3gV5bOcgd+giFUHfBqwqBH4JMr5+iuHbWT4/vkF4MlLi/8TuxcpnwR8AvAPKO/z28068HOUOU2BX6V87jYoTjSLOylfBfwh8P9dYZsB+D+Av0y5OH0z5ftmBHwmu+42nwd8N/Bfn8LrAEBE/iG7d3DeRTmXHeWz8SnD8qcCPycin6Wq77iB3fwHYAv4WMprhPJ++YUDxv7RAXP8YoqIXXyPJeDXhvmuU47R4g7blwHPEJHPUdX5cRMTkW9i9/X/MeU7bkr5TPTD8lexW4vy1SLynQuVfAQvXXr8alVNx83lrud2q237O/kftymyOyxfREyucsAVL0V4Ldb7kmHZjUR237m0ztfue+7Llp57mCOim9fzmveN/52l8W894fn6kaVt/SEHRHwoX7z/NTAfxkUOiX5Q8qEX20vAZ+57/mMoPwaLMf/tEXP78aVxEfg2YO2AcY7y4/s64OIxx/ea1zeM+fbrOQfDOp9KibJ/whFjnkgRQYtt/4cVPzurvhdrdkXt4j33wgPGfS7w6NK43wKqFd6PPUXkfev+8UCz9PjVS+v8sxXm/dal8V99wvfwaZ+HFyyNW7zn3wp87L5xAnzD0lgF/tIR2/0PS+MuA194wJjPZzeK3i2Nf/pJjtGw7eV5rvI5WLz2VwP37hs3AV6zNHaTAz6bw9iXHLDN1wNP3TcuAP98aWw+7HWv+lnZ95pbimvO3z5g3GdQLpAWY3/3iM/H8nv9JSu85leveH4+ir13KH4D+Oh9YxylGHo5Ov19K77+fnjfvfiAcc3Se/pPltY59C7pMP7epXOagY866fv0bvi77ROwv1M4ibdX7H7L0vKDvtD+kN0fmtGw7LrELiXStRjfse/WJeVHYPkL66+exmteGvsF+47vd53gXH3m0nbetf+1HHPc//0R435wadyfApeG5RUlarp47g2AHLKNF+57nV9ygte5fHxfcMiYb1/1HNzgHF6/tP2PW+Gzc+x7cVjnq/a9Hw+9RU+JXC+ng3zFCsdLWeF25r7PxZ9y9G3tZy2NvcohIulm/K14Hl6w7/W/E1g/YpvLKTk/cMiY/3JpTOboVKvPZG+a1HV/jx6y3eXtrfI5UOA1R2xvBLx3aewXHzLuJfu2+SscEgSgiK3lC6H//iSflX37PXSOw9i/yK5wUw65COPmid3lwMMfccCF+9LY/3ZpbAKescLrTxxxMba0zvLv6I8dM/brl8b+4knfo3fLnxWoGSflxygfOtjnyiAinwY8c/jna3WF2z6H8JVLj/+9qn5w+UlVnVJu0x00/kSIyIspr3FBC/z/T7DJZbu0b9z/Wvajqq+mRM+h2Ksd1rHu5ZRb81BuS/7g8Pg72L1d+EHgK3X4xjyAb1x6/BOq+uNHze0M8Oqlx6fpoPH3lx7/gKq+/bCBqvqbwP+6tGiVW8R/zgq3p1X1zZRb8lDO+X95xPDl254/rqrbK8zjtHj10uNVz8P/W1W3jnj+h5YeP/+QMV+z9PinVPWXDtuYqv4q8L+vOLebScfe74g9DN+hy/M87LXv52WqGg/ZprK3uGvVba7Cr6rqTxz2pKr+J/b6tP/dU9z3kYjIJeCLlxZ9s6oelaL2vex+3hzw91bYzU+p6q+sMO6HKXfSAP7mMLfDWP4s/6sVtm1gTSWME6Kq7x0qXD+bkjv4ZFX9wPD0sui8UW/dEfC3lhb960OG/ujS/v6qiNyrqo+tuJu/IiL37Vt2ifKl/zH7lr9cVd+34nb3ICKBXUFyFfiZFVf9JUo+mlBu/f2f+weo6lREvoxyG66mtFB+jL3C7KWq+tAhc2so0bUF/8uKc7ttiMiEksP5LEru7AZ784eXK5ufc0r73GD34gH2iq7D+FfsitznicjaMWLzpw4TJgfwvwLfMzx+KSVyvwcpbgh/Z998To2bcB7mwP91zJjlC4ynHzJm2WVhle+fH6GkRN1Ofu2wz+gSq7z2Zf5EVX/7lLe5Kqse94XAX+XzcVp8OqUYF0og4Mj3nKpmEfkh4BXDolVcPFYKGKjqB0TkZygpaSPK+/CaoIqIfDLw7OGfjwP/dpXtGyZ2jdPhRykffE/5Uf3nww/s4qr53ZSE/xvhr1OEJ5RUiMO+kN5Eyf96GrttjFeNwD6P3UKpw9gEvkFVf/iYcUfxiez6BPfA965YrLc8tw87bJCqvkNE/hG7X8bLUcQfVNVrRPISz6F8yUIpoPiNVSZ2OxCRe4H/gXInYWPF1fZfzNwon8iukNui5BkexzsohVtrw7rPZq+V3X5+6zrm86PA/4dy7v66iDxBVT+0b8yLKcWEAL+nqgcVAl43N/E8/KGq9seMWX6N11hXDRZOy8WD+wsdD+LXKXepbkuXsIHfW2HMka/9Fm1zVd6ywpjfo3yW1imfj09ccb2T8tylx29d8QLzPy6vLyJyxJ0yuL7P8qvY9aN/KQf/fi1HdX/sBHdL7zpM7BqnwU9RbkVNKK4J/xz4q+w6GPzrY74QjmI5OvxaVW0PGjRcdf8b4L9fWu8k6QZblB+A36UUufyoql4+wfZgt6IXivj42hvYxj3HPP8vKDnRy7e0/zNH3BodWO4+977riCzeUobq+l9ht4J8VVYVY8exLKDet8r7enhvvo8SnYfjBd+jq05GVR8XkZ+iXGTWlM/f9+wbduq3PW/yeTjW7URV+6ULxYN+x5bP0/S4dKFhm1dF5Aq7F9e3g1WcXpYvBFbxGr4Z21yV9x43QFVVRN7P7ufj/qPGnyLL+/nTFdd5z9LjmvJ+vnrE+JU/y5S7Mn9Ksdn8JBF5ji65U4jImL13HiyF4TqwnN3zwX5hcqyJ+z6apcfHRVSuYcitW9xOeZaIPJe9+bs3msLwJEpF+4IfO2zswHKKw/NF5GMPHbmXf6aqsu9vQ1Wfrqp/TVW/7xSELhSvy5Ny5AXqIL4e2bf45/UAm7F9LIuQo3IlbzevYVdgbbIr7j+SITK0OIfsvc14Wt9160uPr+dW6/LY4wTfcedqP69aerwsbBGRD2c3T7bl+M/QqtzM83CjF8bLLJ+n67EUu5W5zAdxGq/9VmxzVVY99tfz+TgtbuSzvH/ckXNd4Xt3eWwG/relRS/dN+Rvsvsb8puquspdJWPAxO75YP+V+/qBow5nefzlG5zDsqD9RoqdD8B/VNU/vsFt/h325v798iFdcRbdan5/3/qnVqh2Six/Uf7uAQJ7lb9vP2oHQ97u/rbJXy8in3nM3DaXHl/v++eWICKfTsmzgyLIP01VX66qb1DVd6vq9vCDseBm/GguXwisHTrqWpbHbh466gYYiqsWRYyfICLLBUZfxe73/L+9jjz2Q7lDzsNxLJ+nyaGjruV6zqlxPKse+5v2+TiCG/ks7x932nP9IYqDA8DfHmpWFlhh2gkwsXsOUNVNSlHHgmesuq6Ue4FPX1p0PbddlvkF4M+Gx3+b3Vthp9Ue+Eb4OyJyJ73HH156/KTT3vhwa3k5dWMhgBzwr0XkqMjy8tw+bCimu9P4y0uPf0SPb4rwETdhDsufj6fJCknXw3twOdf62FvqN8Cy48NLh/0KRewuOK0fyDvhPBzH8nmaHOFissNQfHgad1+MXY5Ncxnep8tFjDfj83EQy++RVdNxnr70uOP0L1z/jGLVByVl7W8ASGkF/1nD8m3uDOeQM8WdJASMk7Fcbfsph466lo9lbyTvehLqdxgiOf9m3+I58JM3sj0RWXQ2WvCblKKpVf4WaR1PY+8P8+3mHZRbyQBPFJGPPq0Ni4in3KJe/Fj/IuV9sLAj+wh27cgOm9vigmlCaRpws7ne26vLOc+rFN38pZswh99lN/KywW6XtKN4NrsRoURpUHLa/Ai7760vGRwSXsiu0PwTDuhweIPcjPNwqgyiYVnMfNoKq30at7c47TyyynH/BHaj/yf5fFzvZ3nZgeL5w3focXz60uO3n6AW5SgOSkv6anbfm68dAlzGdWBi9/yw/EP2RSt+cGFvwvv7T5ByANdGcf+vE+S6Lkd1f09Vn6+qn7bKH6Xd5kHbua0M+Vu/uLTovzls7A3wLcB/MTx+jNK8YJtyfrth+ZeIyFcctPJQ+Lf8Hvq6U5zbYSzfjVilKGb51viRt0dF5CkUJ49TncPwI/O2pUUvWWEfy7cf33ozbJUGB4ZF3vwF4Iv27feHTvGH+Wach5vB8vv5y1cYf+BnwzgRf+f4IXuO+2+e4PNxvd8nb2b3AvF+SvOgQxnu0CzfKfnFw8aekH9PaREN8DlDUOQlS89bCsMNYGL3/PAqdiNOHwW87LgVhlsj/+3SopO4FywMwj+JXSuvr7+R7YhIxV4Rfr1FNcvj/8Zwe/JOYblZwNeLyMrNDoaCvYOWfxqlre+CvzdEthiqef/x0nOvFJHD0ly+e+nxl4jIl6w6txtk2e7oqYeO2uVPlh7/tcMGDRd6r2K1Qs3L7Iq3+4f33nH8y6XHXysin3jEXD6ZvV7HR0XXT8pyROhl7NoYJfY2DTgpN+M83AyWRcHfEpFDI8wi8hncfo/d88gLROS/OuxJEfk49l5Yn0TIXdf3yRCIWW548c+P+a34Onbv5GT2ft5ODVVN7Pp3C+X3bHE35T+r6n88cEXjSEzsnhNU9b3AK5cW/XMR+Y7DPrwi8gUU66DF7dX3cEKxO8zj7ar6tuHv4ePXOJC/wq49k3L9+Un/J7u5VBNKlOuOQFV/mXLLGYqzws+KyD8SkQOLwkRkJCIvFpF/xwHNJIbz+2PsujT8kKr+H/uGvYKSUw3lduG/OSjyr6r/gdKGdcGPici3DbfE9+/Xichni8hPH5MLfBTLBYWfu8J2fpbdW5UvEJH/32DHszyvJ1G66X0BK1RYDxHtPxr+WbErEI/i37B7q7UG3iAi1xjMDxcy/57dc/Pb3MRcO1V9E7uv5Tnsuqz8e1X981Pc1amfh5uBqr6R3eiuAK8Tkb+yf5yIfC7ls+W4ATca40g64EdF5Ev3PyEiD1LsthZFWP+Jw5sGrcLy98mnDk4kx/E/sFuo9kzKZ/kj983Ticg3sDcY8P2q+p4TzPU4/jd2L8I/dd9y4wa4E4tQjBvnmymG3J9N+XL/VuAbReTXKX6HLUVEfip7r3wvA1+kR7dKvJUspx78il5nxzJVnYnIT7N7e+wrWa3T1a3i7wNPptiq1cB3At8qIr/B7nm6RInQfwK7ouWgfOr/ZRgH8C7gH+4fMPhYfiUl3/Re4EHgnwDffsD2voaS5/l8ihPGPwO+WUT+I+XW2qKY5FPYbVRwo3mObx22+WGU4/F/i8jPUwpUFmLqN3VoN6qq/7eI/Gt2z+s3Al8mIr9JsVt7OiU/tKZc7HwTq0VS/w9KGgiUC4GXUI7ljvBR1f9u6XE3/Hj/MuX255OAXxSR36HkPkMRm4tORwzz+1I9vlnCSflfgf9537JTve15E8/DzeCllAYFD1AKfn5WRH6fcuGhlMYCi8j8d1PsnW5HQd155Zspvs+vEZF/Rqmp6Cnfa8vNcrYorcy7a7awIqr6kIi8mZJXOwJ+R0R+DvgAu8Lxj1X1B5bW+WMR+RrKBaynfDf+oYj8KvDHlHqWz2Tv7+WvD6/rpqGq7xvmvnxx1nGygu+7G1W1v3P0R4lOvYIimHSFv7cAH7PCdl+ytM6vn3COn7e0rffse+4J++b+NTe4j/9yaRsZeMa+59+09Py334bz5ClRhe0Vz1MHvHLfNv7W0vM98Lxj9vn/WhofgQcPGTem3KKLK8xrBmwcsI3l4/uCI+b0hce8V1+9b/yEEg06ak7vo7RVfsHSsjcdMYeLlEK+Q7d5yHrPZFc0HfX3W8BHHXNuVjpeK7yv7t93PP8cCDfh/Xuq52HVc7VvnSPPz9K4vwi885i5vory3fmepWVPP4XjtLyPA88r5aJz5e+iFY/nSw77DB0y/ulL499zo2MOOi+U77l8xLH/M+Azjpnfq5fGv+SIcZ9CafJw2L4OO15fCDx0zHtEKf7Sk9N4X65wTv76vn2/9qTvx7v5z9IYzhmq2qvqN1LM3f8R5QfpvRRR1VMiL79NiQj+ZVV9UFX/6LDt3Qa+lN0cv5bSne1G+EXKFT2UyONXnnBep4qqJlX9NsoPyH9HKap7L8WEvafkn/02JeXhJcBTVXUnt01EPoy9uaPfrqq/ecw+/y27UT5PiWJe0xpUVWeq+vco0ZfvokRgH6GI3ykl4vHTlAK7p+oJKoNV9WcoP1D/knIbc5PyxX7Y+CnFw/nLKZ3tPkQ5Xh+gtPJ8OfCJeh15bVruaDyP0n3vVyhV/MdGYFX1ncPcv5jiOvIeyvGZDo9/gpJC8yl6ssLPlVHVR9nbmvtH9CZ0w7sZ5+FmoaWW4BOBb6AUJT1GKWZ6N+W8fa6q/j29+VH3u5Lhe+7TKXnj76J8Pq5QLgL/MfDxp/U+UdW3Uc71d1PcFq6wW8ty1Ho/A3w05T3yRspFYjes/58pKX6fpqpfNrz3bwWvZ7eADqww7UTIcAVhGIZhnHFEZI0SoVqnXDQ8U1XfdXtnZRjG9SIin0W54wOljfBH6t5mLcZ1YJFdwzCM88MXs+ub/SYTuoZxZtlvHWhC9wRYZNcwDOMcMHSi+m1KcRzAF6vqDTV1MQzj9jG4mbybUmgXgY/Q03VUueuwyK5hGMb54OvYFbrvoeRVG4ZxhhhsIb+XXUu2nzShe3LMeswwDOMMIiLPpzRCqClFOZ+x9PS3WcGVYZwNROTLKHaPC6uzZw5PtRxsEWlcJyZ2DcMwziYfT6ke389rVfUk5vyGYdxaPpeDHYNefoe5JZ1ZTOwahmGcfeYUL9kfptgKGoZxNtmk2LK9YrBEM04BK1AzDMMwDMMwzi1WoGYYhmEYhmGcW0zsGoZhGIZhGOcWE7uGYRiGYRjGucXErmEYhmEYhnFuMbFrGIZhGIZhnFtM7BqGYRiGYRjnlrvCZ1dEGuBZwz8fBdJtnI5hGIZhGIZxLR64f3j8e6ransZG7wqxSxG6v3m7J2EYhmEYhmGsxPOAt53GhiyNwTAMwzAMwzi33C2R3UcXD9761rfy5Cc/+XbOxTAMwzAMw9jHBz7wAZ7//Ocv/vnoUWOvh7tF7O7k6D75yU/maU972u2ci2EYhmEYhnE0p1ZfZWkMhmEYhmEYxrnFxK5hGIZhGIZxbjGxaxiGYRiGYZxb7pacXcMwDMMwjFuGqvLBD36Q7e1t+r6/3dO5Y6iqirW1Ne677z5E5Jbs08SuYRiGYRjGKaKqPPzwwzz++OO3eyp3HDFGZrMZKSUeeOCBWyJ4TewahmEYhmGcIh/84Af3CF3v/S2LYt7JqCopFZOFxx9/HO89999//zFrnRwTu4ZhGIZhGKfI9vb2zuMnP/nJXLp06fZN5g7j8uXLfOADHwDKcboVYtcK1AzDMAzDME6RRY6u9/7EQldTIs1nxOkWaT5D06nZz94WLl26hPceKCkNtwKL7BqGYRiGYdwEbjR1QVWJ25vMP/Qo/ZVr836ri/cwesL9hLWNM5kesZizqt6S/ZnYNQzDMAzDuEOI02223/duUjs/dEx/5XH6K4/jmxFrH/YMwmTtFs7w7GFpDIZhGIZhGHcA/eYVrv7JHx4pdJdJ7Zyrf/KH9JtXbvLMzjYmdg3DMAzDMG4zcbrN5p/+MeR8fSvmzOaf/jFxun382BX5/u//fp7+9KczGo341E/9VN761rceOf61r30tH/uxH8toNOJZz3oWr3/9609tLqeBiV3DMAzDMIzbiKqy/b53X7/QXZAz2+9/z6nkwP7ET/wEL3/5y/mn//Sf8tu//ds8+9nP5kUvehGPPPLIgePf/OY386Vf+qW89KUv5e1vfzsvfvGLefGLX8zv//7vn3gup4WJXcMwDMMwjNtI3N5cOXXhMNJ8RtzePPFcvvu7v5u/+3f/Ll/1VV/Fx3/8x/ODP/iDTCYTfuiHfujA8d/7vd/L533e5/FN3/RNfNzHfRzf8R3fwSd90ifxyle+8sRzOS1M7BqGYRiGYdxG5h969FS2055wO13X8Vu/9Vu88IUv3FnmnOOFL3whb3nLWw5c5y1vecue8QAvetGLDh1/OzCxaxiGYRiGcZvQlA60F7sRuiuPn8iH94Mf/OBOG99lHnjgAR566KED13nooYeua/ztwKzHjHOH5kxOEY09mhVQRBwSAs4HZDCzNgzDMIzbTe67U9+e9+NT3eZZx8SucW5QVXLXkvse1QxLifpZIzqfgVAEb6gQFHEBqSqcCWDDMAzjNqD5dDuinWR79913H957Hn744T3LH374YZ70pCcduM6TnvSk6xp/O7A0BuNcoKqkdk7qWjQnNGc0JXLfk9oZaT4ldS2560izKWk+I+dM6ubE2TZxNiWfkRaMt6rjjGEYhnHzEXe6wZaTbK+uaz75kz+ZX/iFX9hZlnPmF37hF3jwwQcPXOfBBx/cMx7gjW9846HjbwcW2TXOBbktQla1iFxNEc2J3Jf/L6K84hx4j0PBOVwIkDM5d2XcaIzzd9bHQlXJcUjLWBLkEjwuVIgPZ7JdpGEYhgGuqk9xa3Li7b385S/nK7/yK/mUT/kUnv/85/M93/M9bG9v81Vf9VUAfMVXfAVPfepT+a7v+i4AvuEbvoHP+qzP4hWveAVf8AVfwI//+I/ztre9jVe96lUnfjWnxZ31q24YN0BOidS3pBSh70mxxzlHTmmP0IWSz0vO5TkRXFjffS4l0nyOjMZ3TF5v6nvyEK1mX0Q3t5E4nyPicNVC8AriPS6EU48WGIZhGKePeE918Z5TKVKrL1468e/XF3/xF/Poo4/ybd/2bTz00EM85znP4ed+7ud2itDe+9734txuYsCnf/qn85rXvIZv/dZv5Vu+5Vv4mI/5GF73utfxCZ/wCSeax2kid8MtURF5GvA+gPe973087WlPu80zMk6L1Ee66Yx+awvxQFJUQZxAjohmNMcD1xURwsYFfN3siZj6ZoRvRrdk/qqK5lwK6Nze6GzqWlLbgl5rMq5ZUU3lOaVEq0NdBLFmxDlcqHF1XaLZhmEYxi3jj/7oj4gxEkLgYz7mY44d329dZfNP3nni/W585DOp1i+ceDs3m8OOz/vf/34+7MM+bPHPD1PV95/G/iyya5xJVJXplS3yvEOIiGToIzlGEJCqBhQVB6FGBDT2e6O8qqT5HFc3e7ad+x5X3TyRmPqefjqj256Suh5UkCoQmoYwaqjGDWg+UOiqCMRI7rvdQjwAEVzVEEYTEFdeWzdHc8KPRhblNQzDuIMJaxv4ZnSixhJ+NCasbZzirM4PJnbPGKo6CDalqDq5I/M1FxHLEmYFGW6vn9a2Z5evkmZzfBBICdWEpgwo2idi2w4CsAIE5x2uborFy1I7xkVur4jsCGHVkubgT1ns5pRor24yu3yVfnuOquKrgK8D9ImYerSdk9saFzwuOGB3XjhHns1I7exAH8UUI5oivmlKzpYIOfbQli9BEYvwGoZh3ImICGsf9gyu/skf3ljLYOdYe9rT70g9cCdgYveMsOMd23fFaQAQSsGVVHWx07oDbldrzuTYo7EnD+ITKMJzsPxy4WQFVd32lNzNEY2kaUtu5+Sc0MFWzFc1EMgxkto5rh4Ru46gimsactcuCVuFmKCqdkWl6o33Jz+EHCPt5StsPfI4KUZc8FTjEaJK6jtS1+3sv1kbgxN8M6JeH+9EZdN8TpptH+nGoDGSnUNTxo9Gg+CNSIzDcTEMwzDuRMJkjY2P+Cg2//SPr+83yDk2PuKjCJO1mze5M46J3TNA6jty25ZIKUu34RkKrmIiuxK59PXtEzQ59qS2HcRYZpDjQ4Q3kWKEvsWHGt+MbijSG7uOuL1Ff/VKEXapx6GkwVcw0xHnc0Jd4+sGESF3c1wzInV9Kd6q6iJ4KRHn5WO6y+nlsueU6DY32Xp0IXQD1bgm9y39vN0z1nlPzok0a8ldT44dzcYFxAtpPjvWdkxzLqkNWUltWwRvzmjfo6E68CJDcypuDzntXps4Vy5M7pBCPcMwjLuBauMiFz7yL7D9vnevlNLgR2PWnvZ0E7rHYGL3DqcUKc2vqcTfi6I5kdoZaL5lxVXLpK4jzqfk+bz41Wpi4QwgoQLn0JSQnMi+J6dImKxfl5hSVeLWJrMPfQjnKJFuVdhX2IXmEu1NkTCelP3nBN6R5jOq9Q3KBjLifYmSX3N4T+9WkPY988tbpD4izlGN693is2t2K5B18AmOpLmQ6jnOOyR4NB1cbLe0N8gKXpZSNDw5Z2RI4VC0DEuLArehqE8c+LJ/UIg9LgRcqO44OzbDMIzzSpisceGZf5G4vcn8Q48e4NIg1Bcv0TzhfsLahqUurID9gt3BpL4fipRWjDKqltvhzuOr6uZObmeXSpzP6LeukuezwedWB7ELpWWZFMEUarJmtGt3ItV+sk5Yca5pPqO7eqVEIJ0busQMectIEXG7eyXFnjifUY3WiLHDh0DOpYhNvEdzLjm9WYvaXawugvjTSQnRnOnbOf2sCFvfVEXEHiR0d1YqE8kpEypXitHa4SJG3IHuDNdScn01RqQOpbNcinjnySmSuo7czkhJB+cKLXnWKvimKv69ovi6RkONNqMD31OqSj9r6WcdqoqI4CpPszayqLBhGMYNIiJU6xeo1i8MDZKKF7y4cnfyTrHHPCuY2L1DUVW071YUNssr5pLXe8K82IPmk2OERTQVStV/isTpFmk2LeIqa4miDgVfOffQZTJzXFXjJ2slj7TviFtbgCCTybH5pJozcT4jzlvckJM6PAOAc24nlaEsLekJue/ITYN3HjTjfCDHnjAak4OWPGddZEAP2/IeOaVIZk6RbmtGjuWY+OCJ8+lRr7REnSmFciKQux5xQk6xRHf7Y94TMrwWEXLfIzhy7HHOE9s5eYgqp1g6zaV5S44JV1eg0F7JNBc2cFVFbDu89/imJU8miCo4Dw5im+inRejGtid2PSA4J4SmYnRpnfGFtVKAZxiGYdwQsYtMH58S257QVEzucVRjE7vXg/0K3WZ2XAsGobVwLdCUSF1P37ZoysgQbQx1dY0f635ySriUkBBKpDXnJfMGd2Qhm+a0E0gWkR1hmvt+b2MDKbm4/WwGfYk+iwiqEVx5TmPcE5XOfQczwY8nqCRy7MjdnIjCmuDD4RHeHPvhyjYjQF7abkoZ7x2yuEUPZBSPkFBy11E147KOUBL/RfD1CM2Kq+uleQpySG7rDZG1dHRDS2RUM7k/PBVBs+44bOjguqG5RF819sWahv7w/S27cwwXKIvcW+3mRdx2Hf2sI6sSZzNIqQjYGKmaBheKKK4rD1no59vk1KECvmlIm9t001mJ4ItDsxJqj69HpD4R5z3dIILnV6dcfPK9xU7NMAzDWAlV5dF3vp93vel3+LPf+ePy2zAgTnjqcz6aj/6sT+T+Zz7N0hhWwMTubWLZXWG/a4GqkPvI7LEr9PNux74LEUIdqCdjqnEzWFMdsv0YSSkOXqy6s30RV25RV2EnD3NvO9q4E+gUcUO3sXitxdkggokdaT4vUcid7aXicADsr//KXYurG8SH4tjQ9yCOON3GrV84VIgvPGUP/EwP9XDOOfKS4NWh/Cz1HaEZDxcRGQkO14xLqoVKmfcgdl0VBruy00J3IuHiS1e3I0fnXF5LCKS+X2yhiN3FukekMohzQ2RXyLEUC4pzJSqvSspKbCMZIc2mCODqCvGgSYldSxg15L6nvXKZ5sI9SFWjWek3ryBcZL65Rb81Q1Fc8PiqJrZduYBoGtxaQzct++62Zlz5sw9x8cPuo2rMDcIwDOM4Hn/vI/zGq9/A1T//0IHPa1be/9t/xPt/+4+48JQn8KkveRH3fPgTb/Eszxa336vqLiTHnjjbJs1mgwgZioRyZn55i+1HL9NubyOiVKMiEDQrmjL9rGP62FW2PniZ1B4Q4ZOSy9pPt0jtfIjGDn63OhSydXPidEqaz0gxlrnMpzuR08X4HLud7ZSWtUVgqWZy35Nm0yKSUxHKuWt3xa0v1fwSqmtyi3LX7oiyhRDM3bx4wh6CakYQXAgHGCUoSYv7g3MO7zyCkAe5u0jHdVVNtbGBH6+hsSPNZ8VBYj4j9x3iHa5qTtmPVnbaKpbsguPzr9MQlS95t+zOZ+f/h+1KhvUo6SxZcdXCUaGIXo0RV1VU4xH1+toQcVVy1w+R+FzSUFImz1vibAo57TTkiPM54kIR4Ay5vilSjQIiEKcznGTqpUhuN52z9cjlGzh2hmEYdxcP/cGf8kuveO2hQnc/V//8Q/zSK17LQ3/wpzd5ZmcbE7u3mIW4KlG63VvnOEfsS75mNaoIVcAFT6g940sbxVJsCGuWorCO7Q9dJXVLt8SHoq3Uzg9sOrAHHXJgtzd3mz/sn+vC+1V1SJBvS5pDKt29djp8DXmcbjTC1SN83eBcGFIvAm40xo/XiisCJUqL5j0uCiVtozvUWkugePXWZRvXFD+p7qQ2ZGVX9DqH8x5fN/jRiNz3xNmUNG8H77ahmG6Ibqf5jNR3Rx87ivhOfUecTem3t+i3t4jTbVLX7jn24hx+VLqx7aQGH0OOCTKEpikifXjN5XjJoa5oEkJJU6G8R3xd/Jf7+ZS4vUV79QrtlSv0W5vE7U3Eg2tGhNGIRSFhiYT3SCjHN/flnHTTcuGU+x4XHL6uCLXHeYGckBypak/VBHLf4yvZk6vbbc/pZkcU5RmGYdzlPP7eR3jzv/wZ4kGBrCOIbc+b/+XP8Ph7HzmVefzKr/wKf/Wv/lWe8pSnICK87nWvO3adN73pTXzSJ30STdPw0R/90bz61a8+lbmcFiZ2byGaU7nlvzCLFleKkZygMUHucEREh5SC2BK7GeSOelJRr49xYVfkxa5n9vjmrkDMSm7bnZzUo+eSS6HSQnjvSVEoNmH7BbNmLVHe2O8pVJOqLhZf4knbW/RXrxK3t4jbm8TNq8TNq8UPt2nw4wmLW/uie1MjNA55wQfhPCJS8l6dK8duXwRWVUmDE1lWSDmTcgbxxb82RnI7FMo1Na4ZlchnXf4vIsM5mpG6g4VZacPbEre3dyLCmkp0e3EhUyLls5JfHAL1eESoQ4m0rlhBG9seCWGw/ioi1nk/FK/tU7siSDXYg2m5YBLvh2YVbbloAXIbhxB3sSWLW9vEzcvFDm19Y6d5haYhIh586UyXc2l+MZ1DzvggeC9l+31Hij39fD64PLSgPY5Is74b3U19pN08qjDPMAzj7kVV+Y1Xv+G6he6C2Pa89dVvONaLfRW2t7d59rOfzfd///evNP7d7343X/AFX8Bnf/Zn8453vIOXvexlfM3XfA1veMMbTjyX08Jydm8hO8b9g1VWTpGUEmk6pdu8ShwiX66qqNfXisjJxVEAHyBBNW5KcVEsEd3Y9cS2p56MSmQ0p5Jve0w3NU1pNy2h75HRaKn4jGtTCqREMzUW268hxgwu4CtP3N4qndOGAjKApIpH0QRpOiP7ljCe4EeTnW26oWgLXRTrKRygB11VIT4gOREmDWnW4r0nxX25q6okFUQoDgyUPFKCR/qIH43w4zHOHSE6tTRkQNweuy1VJbfzEvk9qotZziXCmxN+NMbVNfX6hNnlq1CPdgoQj0JRclb8uME3VWnR4aT8+YCmWNIIfBHyC/cLRBDnSdMtNKXSNjj2qEKKxarNUQr4FhcW/fYm1doG1WRCv73Ncn43Ke9kpqhmco5I0pIbvXQMdtJfREhdT06J0T019caIbnMOCt3WHCytzDAM4xoefef7V05dOIwrf/4hHn3n+3niX/iwE23n8z//8/n8z//8lcf/4A/+IM94xjN4xSteAcDHfdzH8Wu/9mv8i3/xL3jRi150ormcFhbZvUWo6lCMVaRgnM/otrbprl5h/thjdNvFnqrcVc8lutjOcV5wdY2DUpCWE9W43hGzOWX6oRho0XBAcEf6xBZRuZv+kFMaGgksBnBAq8JFs4MEqTgulFvmQmrb3XzdsoOdxwkGMVs6e8VpEVOurodorRsiy0cfP+fDEH11+EVktgr4cND1Wokcp5LPQFhbR8TvtOA9UujubCKjsRseZnJKJe2jXYrMH8OiXbGEitGlDarJqLTxXaHLXagrfN1Qjxp8VREmE/xkDXGeMBoTJmtFtC/E+E4RnC8XHaqlEFBkyOMux1/R8t7Yc76VON8uF1cOdk6GG94rLHrhgca006b62kOWd5xCNGXSbEZVe0IzpK+k1Y6bYRjG3ca7fvl376jtXA9vectbeOELX7hn2Yte9CLe8pa33PK5HIZFdm8Ri25WUIRuP5tDyvTb2+RciqtKbqdAzsS2w6VE7Hua9fXSmIEMTYW4gIRAajtyTMQY96QdSPBHF1nlvFewaenWJVU1iFw9IC1Uh4YREcQVU+t6hPbtUNwVUPKOxeuyek2A16GzlxNy1+Ina7iq2k2FoFiiHZZ9Ic7hq4bcR0Rb/Kgp471Hup7Yd9fc3fdVRX1hHd805NjhqroUuK2ALhp0MHQiw5Hm26ULnJNyDrw/NoJerL8iYTRm7f57mT12lZwyLhanjANeKVVT4ZvRnrQVX9e4erTTHGIhbkuntSVLuBAgZcJ4vHOORYaGGyLFxmypMHDn9aayHV835Q4BlOI454awboahEUdx3jjggkH3ZsPknKDt8LUvaRnHWOYZhmHcjfSzlj97x7tOZVt/9o530c/aW2r3+NBDD/HAAw/sWfbAAw9w9epVZrMZ4/H4ls3lMEzs3iJKcZIjd3P67VmJ9HYlv1YQfFMjgydsEXbVIHQyeT4nrK2Tug7RFqmgHo2ZtXNCHUqXq0UnMed2K/IPncsBUlZ1SZ7KXscwKfm6iwWqkHIpgOqmm2hMg4iUEpkmISieIbJb1iqFbmjJDVVFqgZtZ2UX3uN8OLIrjGsagmaiZnKMhMaRqwqtK3xfF3E15Cu7UJWUhdEENOOr5lhhujPTnEt0dHHx4D2a+x1RqUnR1CHRlVzZo463Khp7pKqpJmu4UNFPZ6RRoL26RZq3JY3WOVzl8XVNGI0Io2onv1dCwA/WaeI9MtjE5RSHIsCSruGCJ6c8aNOM5sH/2DvckPOcUgIpkX/dkwJSxL1vmtLlLkaQIrJ16K4m3g+50nJwGsYBtXOlEUYpVvPNrenqZxiGcZaYPr61x0f3JGhWZpe3zdt8HyZ2bynF4in1CRdkJ+/WVVWpdO86/KgpVlU5Edse7wSVntQGcurRrsPVHXWoaDbW2X7kUeo0Qseh3LZ27uQG00IphNoT/R06e0m5Te76HupqyP2N5JiLbVft0G4QjLAjeBUQVcRVuLou+aQ+DUHgYpnlqvrIiLSIDC1zIc3nJaI8CHy31IFNGATieAKUZgyrojkPRWdpsQAkoP3eBhnlkJRudcCRgjfHRE4R5wOhaYqATGtU62tD97Ji+SUhEKrdpiHlwqXC1/VO8dhOkV5V7TSrKAe3HAfd3hrOE0h06MKSrQo473ESSypFqIhxyclDSxQ6TMY4CfSxw1UBqRrSfIavqiKQXShpLwdcMBU/3yXjcxFyLjnhVTNmfGGy8nkwDMO4W7jRorTD6OfHOwqdJk960pN4+OGH9yx7+OGHuXDhwh0R1QUTu7cMEdAYiW3JbV2kHYjzOAd92xFGDeJLDiw5QQjkRSSxnxOaURF5XUu/dYXq4j2M771E7vrihaoZH8aDwDl6LtcuW1qo4EJFWhZD3iEpk/uW3HbFooqMH41Js21SzuRuTmjGuNG4CNFBZHooqQ+hwo3HqBQB6qqqpGf4Eh09LiJdNuPwzRgXquKu0HXltn7WoTDPlxznusGHQJxtH+bUdSA6pIQsHwuUw/N0h7bO6mRHkB4waE/hnUjp0tasV+ja+uBTHHc76S0s246JdIv3e1KdlyP2IkUo61BM56oK39RD2ktPCB6pa7TrljdQrMryDHImjMaAIpTlIh4fqhI93id2xZWobx7ynBevIXel2US17qnX7owvPcMwjDuJcMp3vRb+/LeKBx98kNe//vV7lr3xjW/kwQcfvKXzOAoTu7cKV/IdY5eGwvmhY9fgjevqGvFu8H9NxS4LGTpu5WIbVUlp6wqgEGdTfDXCrdW44EhdS+paXNMcUGC2PBeH4FB2LdDEh911hpzMXdcAQXImxr6ISs1FhLctTsCP12A+J6W+5Ma60U6nNhiyd4cCs9xnIOGDo9x6r0subTNa2ZZrIRZdqNDhNvuOSNzfDvk6otwl/3VfK98hSnrkeqqlcKs+ZP66859rWLwWjmiVvCr7I/qLaHPuO0AJoxE5JVLbEWMihECqFIbUB4IfuumlYkXmQ4nihwq8w48a8tC6Ose9x8lXVbEsc4CWokpVIceEbyqatTHuiKJJwzCMu5XJPeulS+YppDKIc4wvrZ1oG1tbW7zrXbs5xO9+97t5xzvewb333suHf/iH84/+0T/iz/7sz/jRH/1RAP7BP/gHvPKVr+Sbv/mb+eqv/mp+8Rd/kZ/8yZ/kZ3/2Z080j9PEfn1uEbKwA1tq3YsrRv5ZM6GqhmYLQ1TRO7JmWCQDaCkQy4vcV4XUdqR2RqhDEbDOFcuwY3x2S9Rv9zqn+LdeO95V9Y6lVY6xWJQt+fyKQD+boX0kjMfU6xv4ZrQjhBYpFVLVRZjq0FACHdIWSr6xH43w1Y1diYrzgxdt8Zndn5crPqwseDWlvRHL4ZguosZHrxsPj/7Kzn9uOvsjwS6EIQ2iHO8wGlFNJvhQ0bc9LtT4piEM7hbiA82li0jT0G3PAMGNRoS1SbkTISChvI+FcnFRNQ2+rhBRQnCE2lNPipWdC57Rxhr12uiWvH7DMIyzRjVueOpzPvpUtvXU53zUifN13/a2t/Hc5z6X5z73uQC8/OUv57nPfS7f9m3fBsAHPvAB3vve9+6Mf8YznsHP/uzP8sY3vpFnP/vZvOIVr+Bf/at/dcfYjoFFdm8hshstHWy//NAEwDtfNGXKizBoeW7H78ntxgV1sS1H7hPqUulgVdfFESG3JRpXN0d6wZZCJynpnlV17VgtgtTLmH46tAWOERkEkebBwxWl72a4FKnWimtE8FUpEhv8XzUlckqU9NGSXxvqEb4e4epm5YjujeB8IItD9WhfW2CPHdti3eKJXES1Eg9ekYWdWz6wCE5kt2XwzUZCBUNr351lPuB9GObnifNSiFalkmu9iIyH0YisQoogmqnWJlTjcXFTmE3JKVKN18gp0UwaUlecKXCObjobnDqEarJWcrhJTO5Zo16frFwcaBiGcTfy0Z/1ibz/t//oVLZzUl7wghcc2ZzioO5oL3jBC3j7299+4n3fLEzs3iJEZCcvVVKJBEpdoX1fbh+nVFqv1hOqugGRIkRUEQEXfLH6ygkZnB1ILW5cl9SFhSvAfiuqw+bjHFI1OF/suw5Me8gZ5ytCU5OGnGBRRaqa3Le42hGaEWgR33G2XVrNLorklOIF6ytc1RD7BN7jmxF+PMGPxicvpjuGUrxWkdpjmjiowvIhEEGqMDhIlNvyO22OYSlau+tScdgxl1AdmXt7I8Sup92c0W4Vf2ZE8JVndHGN4n58cAGZr0uUvZ+3Jc2AjpxSec4HUhuRUBNGoThEiKIpF3eLutm1OvOeaq1Yx8W2R7R4J/tFOopmfFMhTgevX7MdMwzDOIz7n/k0LjzlCSdqLHHxKU/g/mc+7RRndX4wsXsLkVCKhLSXkkCimQhDRyvw6+uQBe17Ut+WVrdanvSjBtdHnPf48VopaKqKnRRDNythiNIOEbYjBa84qkmD+oC288MySsm5WFwRKqpQoZpxKgRyKS6ra+J06J42NA3QnIdGBLLjFJD6RD+LOO8Io6bkKN8iAeTqurhdHOhrewBSuq6Jr0rh39AaeccujuG1ebcT/T30WItb2dt3FVKMbD96lfnVbVIfr8nx6rbnjDZGOJepx82B3rbihHoyQnPGzz39bD5E6QXnhDCqqJri+ayqg31ZSZXR2JNDNaTLKGiiXhvjLl5kSNgd8p4H9wjny1u4bfEjS2UwDMM4CBHhU1/yIn7pFa+9IXeG0FQ8/yUvumW/q2cNE7u3EOcD1ahhtj0tkTcnhKYh9x1hPCb1iTjdwruhrcMiGCnFmzZ2c7wPu80RtOTU6k4esAwFT3WxAaN0bVt2Z5DBg1aqChm8V9W54mwQu715p+JKkZzzg71YP0RAh/a+ocKJK+4KKRW/4BCKS5l3uFCDD6Q+FrEE1JNiveWqW+e5KlIcHECKSDvINkukuDmIKxZuVV2EXdcOHd4cvgpoCmjsy/mJmZRS8QgO1QHRS8FX9c5rPympj1z58w/RXp0eeospdZH55px6HNA0p1kfHdrMQZyjmoyp19eLu0VacoRgaLk8vFecD6SuJeZU0iLcTo7NkIauaNrfYrocS1BS3yFVcZgwDMMwruWeD38in/73v5A3/8ufuS7BG5qKT//7X8g9H2792A/DfnluIaqK9x5xQur6cru38oT1C6T5lLi9BUDeuQmdAE9oxmiKOOeK6X83R3vBT9aLkFWQEiouOxqK4XxV4ap6GHOIWwElf9d7j1v45i4244aq+66DlEjz+c5t/BSLS0MYj2nWLhBDXezGnEOcJ+dMajtw5Za3ILjKM7q4jm9GR3d4uwmIcyVtIlZo7Ha9YofjgkhxlUB3Ipipne9xqNBcfH6zsBslVkVjJA/CdneH5d+uaU7lSjunzNUPPMb86vYeYwcXfHE5WGRUZCX1kQ6oxhWui9TjZjf9Yt8xcaH4HovzuCHveNHuef97xVU1LvbE2WynG+BhiHO4ZlQsyYZjqH0PJnYNwzAO5Ukf/xF89jd+Eb/x6jeslNJw8SlP4PkveZEJ3WOwX55bSO5aVGB06QLzx6+QY6LvEqOmGbqQOXJMaALnhSxDZ7Xgi9Dygdh2CMX+yadU8kFZxOIKIm63+9ZgM3bgfFJEY1rKQ3VI8Pil2+6aEqQ4dOvaDYo678ltT3d1k1i3hPEEVztiN0e7DhFHSgnvizerG40YTUojhdtVrLTckKEUzC1FMYc5xdk25LzT3W6Z4ousJS+1KuK+NIQY7NhSLLmtIQyd1apTu6XUbpX83MWJDqMa51zJ3d2ek/oISfGjQD0u0dxuu4MMzYUN3NDueacBhS8uFsvewLvd2I48iPjReEhb2edJTElbkBDKnQeRpfeWlHSYdr578TW4OVjE1zAMY5d7PvyJvOif/B0efef7edcv/y5/9o537UlZE+d46nM+io/+rE/k/mc+zVIXVsB+YW4ROaWh+UHGVRWjey6y9ehlBCH1XWnaMFTNgxbHAueKr25OuKz081nJs3QOaRpyiviqKrfKl/r7ulAdKShT35fo5rLQXdC5UgwX6iIMERRBVNGhzS+Lormmgj6S2ra0mh3SE2TYf5j4oQNYg19fo7mDcjad93CAsHNVTZpND26Hy5CPnIsPsatHuHrwS/YBfLH2Kp3gTvfLZ351mxwToamoxjWx7Wg3N+mm7RCNFWKf6K+UOwajjTHji+vENtJutazfd/Hkk1gUp1FaRatWu5FgYNHFDWQ3ag4lUp4SqWsRV6Lqqet31nUh4KoGVzeEcUO4hSkuhmEYdyIiwhP/wofxxL/wYfSzltnlbfp5RzWqGV9as3bA14mJ3VuE9v1upDBnYhfBBapRRZxNCU2DADlnnPeIeDQn0mxKTqkkKSyJh4WnrmeM1PXutl2JlB04B1Vy25L69vCCKs2l8UOMkBuyKr6uyK0rkWP1O40XRMDXAecdOeXS1KJtS8TQB+oLF/HjEdV4QmjOxgfT+UA8qiHHQBF9qRTphaYUBuJ2UhqAHfu1k0ayu1lLP21p1kf4IMweu8zVRx8nz3tSiuShcLFaGzGajEhR2fzA43RbczaefC/d1px878aJ7c+We2MscruveW3LPtIAzpUud31HVoXYEtuW3LXEvif35aLC1xX1xgZ54wKdq6jXxyZ6DcMwgDZGHt28ynQ6Y9KPeWC9oeJs/KbeKZjYvUXsyXEUR46ZUHnEu+KZiyeMJ+hsTpzPS+ep4AanhdIkwg35sCWnNJUmAIPNV7HfFXzdHHpLOHfHCN09E1bifAbO4XyFb0bE2XTnNrfmXXsz8Q7vHU7D7rLgCKMK3zRDhPhsoMvd43I6rPEZsOh+1uCqkuuc+zkpK66pd+y28tBJrhR53Zj9WI6pHMsAs8evEts5kwtjuDBGFWIX6bZmdJtT2s0po0sbjC6MmV7eBqD6iAqNGepTSB9ZuoMAHP1ecp7ctUXoplze131Pt7Vdii+WLiribE57ZYvm0pTxfU9g2naMLm1Qj+wL3TCMuw9V5Tff8nZ+/Edfxy/9/K+Rlu42eu/5nBf9F3zxl7+Y5z34XEtjWAETu7cIXRRCUZoXxHnxRxVq4nRa3AsmE3wzAhRxxdNVqppAsRUrTk+J3PW48QhXj4rw1VJU5esGXx8sDnJKJV1iFaFLidylPqIp4keT4smbErmbFzHoXInu5VycCVQRJwilO1uYrKGU1Iwz1VBAiy+sq5tSrJfTzq37BYvXKENObmpn6KJr3CKxefi/airNF2KHNjfYKU6gaoT2yibzxy7TT+c7kVUXPGE0Yu0J66RLa0w/tMn88atF8F4cs/XYJs2FCZc+/Imc1Om3nN+DHHwPGiyQEzn2RehOp+Suo5+3xFl7yEpKe/kyIkK4cJHZhxJy3z1Uza3t824YhnE7+YPfeyf/+OXfyR+/890HPp9S4o2v/2Xe+Ppf5qOe+Qz+p+/+Fj7+Wc+8xbM8W5whFXK2WYjDNJ8Rp1NSWzqd5SFym/qe+ZWrxPkM34yp1jZw9agIy1BBKPmz4iuqtTX8aIQMPru+qgnjyaFCF0Bjf03B1UGkPjK/OmXz0SvMr24zfewq8yubTB/bRKXC1ePBT3XowlaF3chlVeMnE8LaRrGdEsHfxO5oN5NFExBfN6UJxnAh4ZsRbsknOLXzHaG7tPI129OcSe2ctKrX72K9ErqlvXKVrYc+SLc13WMPl2Oi29pm9tgViD1r91+kmoyYX94kBMEHx+zKlL7tbug47H1ZbnXLOJEdx4rctuSuI7YdsTv+9Xfb26TZjNR1bH/wykmmbBiGcaZ4y6/+Jl/1t/7hoUJ3P3/8znfzVX/rH/KWX/3Nmzyzs42J3VuADvZUaT4rFf0yRMhyqUhfNB3wVUBVi4DKsXRF8wHnfGnvur5BfeECUo0QX+OrpojcZnRkNbvmvFJDhXZrxtYjl5k9vlmib1lL3nBX/He3P3iZfp5x9Rg/XitWVL7CVSXNIUzW8PWo2E55jwv+7HXOEnfNnMUNEWofBm/iMiZ17U7+8g5ODr+llDO5nV9j25Viot2aMb+6zXxzSjed7+Rnp3ZOms9I8/nRglWVbmtKbudM7t3A1xVpXvJ8U9fRbc+v+1AchIQKVonUq6K5uDWkvifn4uGr8fgLrtx1pLYFTeS+ozs0EmwYhnF++IPfeycv+3v/hNl0dl3rzaYzXvb3/gl/8HvvPJV5fNd3fRfPe97z2NjY4IlPfCIvfvGL+cM//MNj13vta1/Lx37sxzIajXjWs57F61//+lOZz2lgYvcWUHIW94qi0JT81hx7fN2UAjUv5K6l396ivXqVOJ3RzztS19PPOrqtKd3mlNSnElEdoqnHoZr3NJY4iHZrxuzxrZK6MAgtVYZCuFgi0ykzv7rF1qNXiL0SxmuEtXXCZAPfTIoQXFhaLQqzzpjYdcEf7wG86Fq3X+iKlGYe+9cXGaLhUjq5DZHgft6x9chlHnv3Qzz+3kd4/H2Pcvm9j/D4nz7C4+99hPmVLeJ0G81l/KJD3VH02zMkJ5qNCd10Tj2uqCcj+unpiF3nPT6UbmuHI8N7bnD+yIkcM6nfmw5yFBpjcWxIkfnm9DSmbhiGcceiqvzjl3/ndQvdBbPpjG/9xu88tOHQ9fDLv/zLfO3Xfi2//uu/zhvf+Eb6vudzP/dz2d7ePnSdN7/5zXzpl34pL33pS3n729/Oi1/8Yl784hfz+7//+yeez2lgObs3GR0E7cKWaWFp5euAmzlSF6nvuUjcjsTZnN1ydx1EjkPVkdqS8gDQhKEgzPvVunMd8+bv5x2zy9uDPZruiDFQQuVR8h7Nqjkze+wqmiLjSxvA0D53z7WT7OS0niUWt+pTe0TTBBHyvvxnCQGRkqoS+72pBovIsAul5W6OPd2sZ/Ohx4srx9J2FCBlmMFcMqQW5xbbcCtFRrvpnGpjnfmVLZwTpPKk/vj1VsU1DYpecwx2XzBDo5PBtUKV1Oel99UqKLnrEB9Is3lxKTlLud+GYRjXwW++5e0rpy4cxrv+8N287dffwfMefO6JtvNzP/dze/796le/mic+8Yn81m/9Fn/pL/2lA9f53u/9Xj7v8z6Pb/qmbwLgO77jO3jjG9/IK1/5Sn7wB3/wRPM5DezX4yajMe6kLuwY7cPgj1uioAvf1v3BspwSrvIosiN0RaS0f1VFwop+rseM6bdbutm8RHcvbzK/OmV+dZvtD23Sz3tyl4hd3GNqrap02+2OddQ1uxy6c51Fik/xEbnGyh4fXqkqyEpqZ7vthRc+s6pDUWFLnM3IfUc/75hfHhwJDrkQ8VUgdR25jzsFXVVTr1Tsl/seIdNc2iA0FYri/OlddIiUTnJ+0SFt//urWIPsdOxT1evOVYZFC2pFs+5tY20YhnHO+Il//bo7ajvLXLlSaifuvffeQ8e85S1v4YUvfOGeZS960Yt4y1vecurzuRFM7N5kdoQPlOheVe+Ig3ptTDVq6Lc2UYVqbX1ve9bh1neOw/oIYVRTr6/h6nplZwURd6goTl1k+7GrxLZHnKOajMu8xqUBRDfrkKqm225pt2bktLvPHNPB+ZQiQwvas/n2Eu9LAeChgne3YYKEqrRS7tqdwr1D0Uy/tUW/uYWrjh4rDlKMJTc45mJFVxdfZvErHNeUGW1MECdohmpyug09ZLC5C2tr+NGk5G8P/squKoV9EmqQ0up4xeyFHcpFoO7oZsMwjPPK1uY2v/iGXzuVbf3Cz/0qW5uHpxtcLzlnXvayl/EZn/EZfMInfMKh4x566CEeeOCBPcseeOABHnrooVOby0mwNIabiKqSUiJlHRoylA5d4kqluvcBSZF+K9P3M6rxiLC2USyvhla+izxNcY5mY43mwjo+lGhdjv2OK8BRLKKsqdsrTFWVbtriKs8ojEtesWaK60NNvTYi9qnkoUZIXaLTKfX6BOcFVaWftYwuTJZ2JkdaoJ0VXKhgPLgtLF2wwCJlQ0qhlpS8VPF+pSh76jNZM83E0VycoH0m9nGwOVvqRAbFPcP7wfJr4evrqH1D6hMpxvL+2FlNiudxFfCNB+/IGcKoYnxx/bQP0bBPh68c7HNpWBRlOrfI3WZlwevqGgWy5p3XdFYvnAzDMI7j4Q88usdH9ySklHjkoUdZ31g7le197dd+Lb//+7/Pr/3a6Yjx24WJ3ZtA3/ek+Zw0b0ujgbYvAskJLlT4phr8dAVmU+qNMblPQ/veEtUi1Git+OCpfMBXoXQhc7vdq4oHbCzRxWOQUEHfL7UHFlIslfJpPifOr2024UKgvrBWRHtU6ovrpXCo73G+FMblRdHUoltYdbaaSBxGTsO5qBrUlxbBpH6INLrdxhMxrtQsQrxHVQgjLSI29YzGjlxByA2xz2jWkps9fOmpQs5aOtR5h698EbgKofb42qNp+ZwJ4gdVmcHVntglRhsTRhvjm3GYDn+9Q3Tfjxr8rMIHT+pWS0XwTUNse+rJBEWoJ2PL1zUM49wyvcGitMPY3j6d7X3d130dP/MzP8Ov/Mqv8LSnPe3IsU960pN4+OGH9yx7+OGHedKTnnQqczkpJnZPEVWl3dqivXyV9vIV+ralqkPJoXQOV5cf/TivcVVFc3GjNGDQBupyuyD3aRCQCXG+iIVFRy7NsKwXdOVMhuKSUFXkIborIuWW+tYmcT4/OOqmmdxH+ukVCBV9m9EMzdqIMB7hHEu3rAdrrjNWkLZMKSaMaOwGsbs4KILzDqkaZHBrWLRrjt3RtliqioSApkScz4nT2XBrX3FVTUoJX9W4UKPOoaFYtumwzxwzrh6io85RjxvaabuTTiJu93gru44NEko6gYvChafedzMO17G4UOHHE/x0ShjVpC5yXHg3jMeI82jqy2sI9amnYBiGYdxJTCanG4xYWzvZ9lSVr//6r+enf/qnedOb3sQznvGMY9d58MEH+YVf+AVe9rKX7Sx74xvfyIMPPniiuZwWJnZPiXY6p59OmX/oMbYfeaxEAkVwToq/aJ9wMZGDx9UJnyPdplCNm3I7fKg2d81SBEsoIguOULWr5u0KvmkALbm221t0W9MSpRS5xq7EeUcYj4ht8YD1dUW1vsbWh2a0mzP0/kvUG2PqpsKPbm3U8GaQUyK3s30id4GSY4aYkN7hR6PdtJAjCqdK4WEoncO2NoltW8Rr1RDnHUFcsZRLU8K4xtdjnK/p2oSvJ/iqpuu2UfX4pi7C2ZWoeTdth8Kta8+/OEe1NiETuPCUi0wuns7trOul5ICvkftYCu3m7SB4DyaMx7h6RLc9pbm4gfOBatJQj892SoxhGMZRPPDk+/Hen0oqQwieJz7p/hNt42u/9mt5zWtew7/7d/+OjY2NnbzbixcvMh6X3/uv+Iqv4KlPfSrf9V3fBcA3fMM38Fmf9Vm84hWv4Au+4Av48R//cd72trfxqle96mQv6JSwe4OnwGxzm35zk/mHHt8VulAsl7qE82Gn/W7qIrnrSW1XKvOn00N9XYvd1BG3yGXnPysh4vDNuHT+6npUFedKjucCFzxhMiKMx/Rtpt0qt0NS15NjTz1pSH1kemWL3EeatbMfddOUSPNZscY6MlQ+NNmYz1D2RlWvGam5RH9TT795taSJSKC5cJEwGlGvTwijEc36Gr5pSG0izqZobKlqz+zKNq6pEe+JbY/iqCdjQh2oxiNGF9eoxnVp3LGEeGFy3yWajQ3W7t3gwhMPr569FYjzVBsXGN1/P2sPPJEw3vd+ESnH48JFXFXTT2c0G+uE0ZgwmTC5dPH2TNwwDOMWsb6xxue86L84lW19zos+88T5uj/wAz/AlStXeMELXsCTn/zknb+f+Imf2Bnz3ve+lw984AM7//70T/90XvOa1/CqV72KZz/72fzUT/0Ur3vd644saruVWGT3hMy3pnRXtsmpp93ausYiKcWIrz3O+8HHNsHgwpT7DqEhD3mfezprCYg7Oi1AxK2UL7qXIffBBUIzAoHGOWQ6LxFehNSncqt8X2vXOGup1teLK0BTM744oWoccbpdtkvxEpZQrVywdbvZ6Vi3v0EEDN3U2GnqkboezRnnPPWFSBicEQ5q9qBZES/Ere2SqztZR7wjzeZ029uQcxG5sYjiatSg4tDYl2i+c3SzjmoyptvaIs573HrD6MKEftYS5y2+CuSlIkZXhSKgL17ENSOa9fEdcQ58CMjGBVxVEyZrdJtb9NPZznWFZiX2GR8coyfcQ6gb3GjE+J4Ley7EDMMwzitf/OUv5o2v/+VT2c5JWaUxxZve9KZrln3RF30RX/RFX3Ti/d8M7JfkBGhW5pe3gUxqW+L02vxNTSW6G5qAdiWKmGPC+dIowlcNue9xdQULsSvgfIWEo09P8YO9vuB8jql0t0qZfl5cBJyvyNoSZ33poHZIp67cR7yDi095Ak4SzmU09tcmUvR9aRdc13e8166muLe7nchOh7R+3pLmc3LMLMzfclb6+Yy+6xjfc4EclRDcrq8uQ1RXhDQ0E/Gjhjifk6cdcb63EUNOCW2LB28Yj6nW18kxMxrXbH5wk4tPfQLVZEI/neN9KWx0VU29NiG23W6jBvG4KlBN1qjW1nCr2JPdQpzz1JNimRcma8T5rKQ19D2Sc7lAqipC3eBHI6pxY0VphmHcNTzvwefyUc98xokaS3z0X3gGn/Jpzzm9SZ0jTOyegHY6G4RrJs7bQ43vY1sipKGp0CikGEkx43wqQkuqQWBKEbohHNt9TJwrzQyuF82lIYVzpWg/RsBTNTXdtDuyJa14RxiFctWXMvXkkFxKzeSYyTnhG8Wv0NL4dpHjUmOHocOd9j3d9pR2c0Zeira7KuCrGj8ZEbtFHmokO2guTBDNZf2hKI12jm8a2q0tctuhg28uqsWdAdC4u/04K+kR9cYFxINvAvPNOfWoYnRxnXpwVBBXIr/14vwPzRtcVeHq5o6I5h5GCBWEimo8JsdYctQWh9+74jhiGIZxlyEi/E/f/S181d/6hzfUMng8GfM/vuJb7ujv/9uJhU5OwPzqdBB2aW8KwgHEtrSIVfGE0ajcnh3ElXiPC1Vxaxgid0e+YcXhmtENpDCwIyyq0W6+Z44JHwJr92zsFsxds08YbYxxAs4La/ddPD56mDOpnRdBeQeiORcBCuA8ue9J8xnd9jbt5nSP0AUGZ4opcTYtkXqEZn1E7HrarSnqPG5Uzh/egzj66ZS0Pd3Zjwx51i6EUvS2Ly6ehi5rDga7rp7YRnwzprlwAd+My8WQ80MDh1IgGCZrpaPZGfmiE+fwdU09HlNPyp8JXcMw7mY+/lnP5Hte9R2Mr9OdYTwZ8z2v+g4+/lnPvEkzO/uY2D0B/azb/ccKpgi5j3Tbc7ppR+wz4MAFsgquafCj8dEFaQwiYTS68WjpoIV8HajGzdCwoESbnRfW7r3A+n0XGN+7weTeC6zdd4H1+y9y4Un3snbvBmFU0ayNiyhehZzJXbdSDtCtRlV3fHM1djutfrvtdqc9837c0OCBvsWRwHucF+J0RpxOhzbCGVElD3Zjywjg6gC4Q1voprZFUUJdoVkZXZgwecKF0tmtaQiTdar1Daq1dcJ4DV+d3W51hmEYxi4Pfubz+OGf/D4+6pnH231BSV344Z/8Ph78zOfd5JmdbSyN4QbRrEODBlfSPK8jyppjydsVN6Qs+Iw4T2gacopov2gssNS1yzlcWLRkvYGI7mI7O7fPI9UkkHMNWcl5sNei+OiKFM/ZnBVB8ZVHco8fb+DrMo/dBhXHvN6UcCkdm4N8q1l2qM1dyaVNfdpp6rAf5z3el9cTZx0KVKFCfIAY6bamuMpD1pKDnXpkIagX+/QBqUKx4Nrphbv3QqB0U4v4ekwjwvoDl/Dhxs+5YRiGcXu4kUDPxz/rmfzbn/9h3vbr7+DHf/Sn+cU3/NoeW7IQPJ/zos/ki7/8xXzKpz3nzNzRW2ZxXG7V3O8s9XGGKLZTUowNRAijZicfc8UtUDpeefyoJjQlOuddjYYKlxPkIpRKy9STuxvkFMvt9JzJXYsA3ild2yLiqMellXGct/RLTgyC4AQyitcMuUezQ5xfTfBqKWTjDhO7i1zXHHfzrWN7cBTauSJ0U9/vPJ9jhJRJfcI3IzTH4qPrAEpHNLyDmMCVZhE4R+7KefDBkXXwyt3xyy3nWBT8qGJ8aQN/px03wzAM40iqqiIOdQmXL1/m0qVL17W+iPC8B5/L8x58Llub2zzy0KNsb89YWxvzxCfdf2rtgG8Hly9f3hHv4Rb9vtmv6Amoxg3d1gxpHC5UVJOGfnt+/IowRHVLy9l6UrpG7TwnUqKFpxjMS31Hbuel0YHzO40sqnEpaIpdT+7mqA7dt4Iv7YsBXHEoqCdjfHCQE6mdlxxR749srLDgsOK928nCxzhPd90YUrw2qisi+CB7hC6Uw5L7DqQ0eaiaCl83uODQrPiqQkNFdAFU0ajkvh9SHRbbEPCCDlkI4j2+Xli3OZr1yc07AIZhGMZNYW1tjdmsFJp94AMf4JFHHjlxwGq0Fkj0fOChP4eHTmOWtx5V3ROlXlu7NaLdxO4JGF2YML86RVXwXqjX1+mn7UrRXfElbSGMxlRrN1fQpL4rDQv6HlB0aHeb2iLMnReqUSBFR+56NEZCXZGGzmrVqLRs9VW9W2yWM7ltV+6edifm7AJD97gixDXnA3OvxTs0p51xi/XwntR1iKtKru80EcYN48kGOcbBTivgup5u1h15UbD4DnTBIVVA1eFCwFeWvmAYhnHWuO+++0gp8fjjjwOcSne088Y999zDfffdmnb2JnZPQL02IjTVIGwc1WjE5L57mH7w8aMFrwjVuKZenzC65yL+RizEViR1Hd3mZXLblilpInd9sS0TT+7mkIsvrBOHG9Vl7t5TTUYl1aGpER9I7V4hrzmRY4+v62Mjt3dsTtFg41Ui3u6AhnSl5XPuy+uTIfXBNzXiAll157WVUr/i3SvelTSJUCF9LNs4JrgtwRf7sNAglafZOPttmA3DMO5GRIQHHngA7z3b29vEGO/YoM+tREQIIbC2tsZ9991nObtnAecc6/df5MqffagUqVWOem0NEaG9ulVaxB5AszFh7f57Gd17D/Xk5kR1NRcngDyfEWdTBMhtu+sr27e4eoSvm8GCqyfnHsmChBrnpBSiVTXiHbnvgQM6haUIerwzxHKaxp2F4KqaPDTa8NVS+gaD+4IqyOARK4rzAYIfmoHUuEHsa0q77XvFlQ5pfSSMJ+SsQLdn23tm4YslnVQNWRzrly5SNXeuP7FhGIZxNCLC/fffz/3333+7p3LXY2L3hIw2JuQHMluPPI4ihMpRX7hAvT6mn7bFZ3XwUw11zejSOqOLF6jW1m5aRFdTIs5npLYl9z0CpSXustWVKrkdPF2r0r2q8qPynJSWv64aurilOFiUOXSf4NWUyDkNTSoOuWq90QYYtwA35O26qiHTEkYNcb6Um+sECQ6PGzqjeTKQtmdoTlTOE+MUVKnXxqVQEYUUS/6un6PeU68LqemJsxmxjTvd8hYFir5uwAdQYXJpg+o6fRYNwzAMwzgYE7unwOSedXzlmT6+WdrB9iVCWF+4QHPxQrmd7QRfVVRrE0J18w675kzq5qT5bPh3IvfdbvOEa1cgtTNoS4tiV1VF1IWqiLm1MlcRh4SA9t3B2zkC58ONNcC4BZSGHoGcM64eUbuKHHWn8MyFgOSEVgEQcsqktttNe/CeOC2pIFUTgAwyuFQIVGsT+tmc7Cu8Kz65Tcq7Pr7OlWpFBcTRXFijnkzu2ONlGIZhGGcNE7unRLM+plkf089a5pszUh+L3gmeMKqo10a3xEIqx77446ZYOnlp8cs9tmguK5lYIplIiQKHes964j2S/R43geMQ53H1ze+MparFn3bHn1jACc6HYxsuOF+RpUTA8Y56MqKblqIxcZA1k/u4Yw+2yNAtKSBlv1VT4YPbdakY3C5cVdE4TzfviG2LpoxIhVSyM290aPIxGRHGDa4Z3bwDZRiGYRh3GSZ2T5lq3KzeXeyU0UHYLrx0SyQyLnm4HoZQ8nEVzQnxobQ/1oX1WBFu4lzJb6XbK3gPyS8vt+jHNzVKWV5zv9uIY4/vr5Cd4EKFVPWh85AQcFVdOqD1HWhGRNGY6Oaz0ra3H3KdEZxz+FGDVDVx1lKNSrGhOCkuFX2PH43KeFX8eMK4achxRJx3xGUPY+9L62Y/FKfVzZ1bzGcYhmEYZxATu+eIHCOa89ABbDlyeIQNgOrQw0uK3hUt/r4ig1bLOPE7jlwLwasuFVHtpTy/s4+SsnGcwDwNVJXUzkvKwYGR69KwIXUtEiM0I9wBucPFRiwMhXhlWTVuSs5z5ygC15cLB4pThR+NwHuausLXoWxDhn5oqjsXGzKIWJEal2pc3VDFvjSSkIXXb1XSJazlr2EYhmGcOiZ2zxNaorOgg9bN+Ko6wENhHyK73d+WNGNpCQy6z3xWFnZdwRehVtc74o6F0L3Jwm1H6HYHO15cMz6nkpssUl7X8nMpoe2sOCKMx6XxQ44IUK2N0KTk5PBUQ/R1VKK4msp254PYFhnaOlclXaFuiohdWJN5j/cebkFah2EYhmEYBRO754kdTTpEdVMG50th2WEFaosVRfYIW/Gh2IXljHh/UK8FxHl8M7qpPsGHkWMsEd3rYFG8J35tT6rAIiIOFDHcNMVOTUr6xuJiQKG0cM6JNN8ufrpuKSKrWvYx5EzX3iEjy781DMMwjNuJ3Tc9Twy30cUXGzAZorV+NNpt0XUQOtzKdzI0Vigeu4qCdwcK3TKmuS1CF0AXfsHXu15Ke4S/at4rmhcpCEO+7c5xy7m0jFAlzrbLNpSDU0Sk/EdzIrezO7JVsmEYhmHcLZjYPUeIc6gqzlcwPC5PeMJ47RjBqzu34P1ogjo/5KFKaRwhMthkFUHsm9EtcVk4iJzSkD97A6iS026BmKa9bYD3DB0aRZQx5VjmvsM5XyLfUi4qdGg6gZQcXfFVyVUWR46RtGKqhWEYhmEYp4+lMZwjZPCzzTnjvB86egX6rkWcJ0zWyX13cEGXc7i6wY8mgMJgPZa7tkRRncf7CjcqIvdW2KgdhubEsb13j1o/FvEqQxHegYhDnC9CH3YeL/7tvEeH4jtxvgjd4UJgsWyRKqGxR1ONmHeuYRiGYdxyTOyeI0QECRW0La6qSXm+k26QZtMiaJsG1zRFgC18Y4eiMnwAlNx1VJM1pKlLp69BzCGgMaIi6FCkdls4cX/xfSkKBzE0lEg7YldIS5Zhyz3Oc9bSBnjYpqs8dbUb9dacyTGW4jTDMAzDMG4pJnbPGa6q0BSLRm0actfhQwWjMWk+I8+m5RZ8CMigVQUp4i32aM6E8RpuNNrp6sUejaY7Oa5+NL5NnrAn3afsCN1D559zOUa9G9J3dW+ub9aheYeiCLFbNLOAajQidVv4pqaejHDBDRFhc2EwDMMwjFuNid1zhkjJp6WdoyJI48mxx7vS1St1Ldp3g2WX7NyOFzziHWEyKm4E+Wh/3hx7JAZ8Vd+6Fzcgbskq7QbXX7YDE+8PcasQXN1ck/ahWYmzlhQTvq7IcWH5Bj4EVBz9rIVpSz9rmdy7gXj7qBmGYRjG7cB+gc8j4hAfyHFO7joURcTh6tLUgEXh1ZBnioCEChc8qINVir9U0b5HQ3XLo7viwxEC9di1kbAr0EVKCkc6aFtaortOhBz7YVERujHGUhCIkHPpJuerCgkVqV94DkNse6aPXWX9gVt/UWAYhmEYhondc4fmXJotDNZcEjykXAq6nCupt1WFjsb4UKGaih+v5jLu+BYUO+SUcEN74VvJkQL1uHWdu6aphAsV2fc7xWd7yLn4CVdCqmrSbEaKpUOd94GcFV8NFwouoKqESljO/VCK6LUkBsMwDMO49ZjYPUcUoTsj97uFVCIOCdcWkkmKZM3kvi8d0W4kOqu5COXbUHflQoWGnnw9glcEV9fXFNaJc/jRiDSbFaeH/WhpzuHqGmYdfjwu4hYHcbdzHCmiMZKWrMoAfF2TU6Sfzgjj0W3KczYMwzCMuxMTu+eI3HVoSsUPd0dsDcLqUC/ZCNkhN9wc4qTOCDeGOIdrxiiz1dIZRPBVgzskx9j5AOMxqZ2XY7g/H1gVzdC3Hb6qyVGIXQ8CofLkriMtXWTs2bX39FtzyJmxu4ivR7fPycIwDMMw7jJM7J4Tckrk2JH6Do1LYk0G/90Qigje70+rgmpENZy5iKPzHmnGJGlLk4mDvHdFdvOVq/rI1+h8QMZrpX1wLGkNqux0pnPViGptnX7akvoWcUKoK1LfHRphdsEXr+NZEcZNNzhZNLfLycIwDMMw7i5M7J4Dckr0063ipXuA4NOUyH2PCwFfN6UNsGpp+uUcue/RlJDrbRSx1EThdiHeE8YTckq7AjXrztwWhXciq81TREoL5KpCc9q9ZhCh/eBVcvblooEiZEnxUKG7mFvqUxlTl+Ob+x7x1W1rtWwYhmEYdxMmds84OSXSbEqez4/uKqaZ3HelgKoZoSiacynO6vsb6khWbLvujLeQ8x5OuWmDOL/H0TenTD/vCaNRaRDhlDSdHbCew9dVaewRM3HeDU8MA1TR2IGJXcMwDMO46dwZSsW4IVRLQVopqlotd1ZjTxLBNaOhO9rgtXsD+3e3wXbsduLqQI6ZftpRr40INQiluYQO+Q5u4dubIc7jnjxeX+2K8RwTOcWSK2wYhmEYxk3DfmnPMDmmUkx13etFXFXss1DF1fX1uRpQhK4Ld1dkcrQ+YloHYtuT+uK8oEkRP4h+VbIqadpdc16c91TjJfMxzWi+PU4WhmEYhnE3YWL3DKNxt7OXiENZUfhqHgRvPdhqOfxoPLgQHJ/O4ELAN3efo0BoauqNMbHtEZHSYKLtVlt3VOHrfRcHN9gBzjAMwzCM1bm71Mo5QlMiL0UPxTm4joyC/Q0UfDPCj8bFteGQ1ISSi9rgR2PklPNjzwqTS+uEUV2K/FbEhUC9fpD7wt2TAmIYhmEYtwuL7J5RFo4KO3iPJFeaPKy0AWWR5+u83ynw0hBwQ3MEzbmMEdmxLxN3d4rcBfVkxIUn38v2B68iskoU3DO+tEY93tc/TQRxJnYNwzAM42ZjYvecICKIr9DcXl+fB+dwYbfRgoggoYK7LB/3ehhtTBDnaK9ukvqO1F2b71yi4IHRhTXqybWNgt0d5GRhGIZhGOcZ+7U9owhS0g2WorsuBMiZnPrjBa8IiMPXNc4ssK6bZm1E1VRUjae9uk2c9ygZweGbQDVpqJrqkLzmckFxNzlZGIZhGMbtwsTuGUWG1IO8zx9XqgonUhosHFEA5UKNaxr8Ie1zjeNxwVOvrxVLMVVUdSUBW7qq2QWGYRiGYdwKTOyeYSTUEOOe6K6IIFWFeo+mhOYIuZRTCcDQVSxM1kzongK+bkCV1LUrlZsVJ4vxXedkYRiGYRi3CxO7ZxgXPNl79ACPXHEOcQ7VsLeQTaR45FrqwqnhmxE4VzrUpXSgpdiidbGv67u+yM8wDMMwbiUmds8wIg7fjEl5OnRRO2iM7LESE+fxo7Pvkas6dC5LpdWx6qJIzyOhKu4StxBf1aX18v45ucHJwoe71q7NMAzDMG4nJnbPOM57GE9K2+BDoorAYHVVhO5Zb1Gb+o7cd+Q+0s/L/xkSNVzlqccNrq7xVXNLBaaI4KsKLGpuGIZhGHcMZ1v1GMBgYzWelKhi7EuziR3RK+X5qirRxTMe0U3tnH4+p9+a0U1nxK607F2IXXHCvA7UkxHNxXWqydotj/IahmEYhnHnYGL3nCDiSsFZVe8VuyIlX/Qc2FylvqOfzpg+doV+2h7gNqGlhe+8I7U9/axl/QGlXl+3PFnDMAzDuEsxsXsOOY+RTNVMnBWh223PVxiv9LOWrUce50KoqCaTWzBLwzAMwzDuNEzsngNUFU0RjQnVwXd3p8WvR+Rspy4A5JjoNqf00/a61uunLfMrW4TxeE90W3NC83L6gzvzKR6GYRiGYVyLid0zTur70kAip3Jbf/EHIB1ZHK6qcXV9plMZUtcy35we2SjjYJR2c5vxvRfxdY2muJPbrHv8iR0uVENu8/mLjBuGYRjG3YqJ3TOKqpLmM1I7R3Nm8N5Cgi/5qZpLUwkSOSV8Tvjm7FqOxdmcfICf8Cqkrie2PWgi9/2BjhWqidQliF2xEaubM31xYBiGYRhGwcTuHUCKkRwVJeOcw4eAuMOFVoo9cT4jdy3EWMQuRQDTUhpH+ADeoTEiCtr3aM6EydqZE3GqSurikHZw/YRRTZrPcKxgCZYzqWtBwY9GN7Q/wzAMwzDuHEzs3mRUlX7ekfqIaEYBHzyhqYgx02+39NMpOfaQFQR8XVGvr1FPJvi6nCLNmbwkcrXvURi6oQVyimg730llyIBvxuW5PqKxQ6cJRAijs9WuVkRAb0yguyrgvCPOW6rRiv63qqS+Be+Lb65hGIZhGGcWE7s3iZwy7faMOJuT2o7UtqSuND/w4xofKoRMTonUJ1K7N4e0vbJFmDRM7ruXUAU09qSuJfdtEa+p3NLPXYc4jwSHVDXa7RZwpXYGMkaqUMRxSuRuTpIihM+U4K1uLI/W1xXkCL5eeR1VhZzJXYsL4cxFwg3DMAzD2MXE7k0g9YmtD14mdy1xXsTuAl9X5Jjpr16m3drC14HRxhphVBHbfudWfU4JjYn5Y48TKk81GQ2pCAq62xpYNaNdh7aKH01wdVPSGwbhnNqW4D2IA83kPiI+ATP8aHJmhJyralxVlZzbFRHvcE4g6pFpIbBwtEjlb2i9nGMPaGnGMTTlMAzDMAzjbGG/3qdMiomtRx4ndR1xPh1a2RZc8IgT4mzO/OomqBJnPfO0SXNpg1BXxHmPovi6wgVHt7VJch7xIJQUhT31Vaoog7CdT/HjNVxVF8ELpVAtRiQENOYhv1fJMeJSRMLZuE1fjUfUk4b5ldXFbonKAlUgNIHcdagm0GI4lpOSk6I5l+h714GAGyLevq5wvkJDhr7HBT8U+Zlbg2EYhmGcFUzsnjKzxzZJfU/q5jtCN6eMZsXV5Za4xpaqqVCK2IoxIVvbjC9s4GpP6iK+rkhdi6aMOk+azcst+f3kDEviN7dzwmRtJ5ILJUIZqmoYNii9heA9K2J3VOMna4S2J85X89r1VYVvQol8p0iG0mGt7UoedUyEKuDrCtVMXhT6ZUVEyPMWubqNBE+zNiJrRlWHnGcTvIZhGIZxFjg7SZtngBQT7XQOWiKFOWViF1FVnAdH6QLWb09LxX+K+CBD4ZQQ+x7n3dAIQkukERCB2HVoSnv2p3k3qru7rFiNSfBLy3KJBosAAsMd/eLPm2/iETldmrUxYTIhjJtjx/qmpl4foymDKELJ7Ih9ImchjMeML1wgjBvifE5u53gP5AQ5IQI+BJz3tFdnTB/fKscxRtJ8fgN+v4ZhGIZh3A4ssnuKtFszRJXcd+SYySnivJC7jiTgKk+/tU2OQ05oD0iHqyqqcY3mjGgmNHXxlF0SVJqU2EWqsUdk8dSiA9hect8RRmMSy7f8yzhxjsUGinGDcjaydqGejMgpMVdwoSb3HanbK9hdFfB1TT0Zk/seV3kcpZtc7npyTqCJNI8kVSDj6xrnG0gJJ0Jsy/lDSmFcvTZmfmUT54XxpQ1yikiM5tRgGIZhGGcAE7unSGllq8S23xG6pRlCKtFIhZz2NUZQyF1PlxKjSwFEi1VW3BdxFXYL08QDRzRYODBaWyStq872KR9trCHimF7ewonHVQ3Lgl8RXAjknPDBU4+bwbJtTntls+QwDzm6rnJAJs/niC8i2TU1tB1pNgcccTbDNw31hTW6rTn12hhfBTT1YGLXMAzDMO54LI3hFMmpiMzU9TverosoLgxy85C735oy/WyOoIh3ewbmrHtswsS5wUXh4JjsTmrD4LQg3iMi5c+HXTEsh23hzqZZH3PpKfcxue8ifjRC8SiOjMPXNZN71hltjKjHNXhHjj395iaC4gcLs2ptRKjrndzb3Lf025tojNQX1hHn8FVg/vhVusuXqZqAqyv6WckXzjFek1ZiGIZhGMadx9kO891hiIOMUlqW5T1ODGi5Lc4RVl8aMzll/D4hm2PCV00J6FLErjoPqkiWa/J2d4TwkAbhQlXyhutm2O6w3Hk4Q167y4gTRutjRutjYEjHGI5tms9JHeAcuW1LDrMrUdzUdmiMZC0FfCKCq2tUldz39Fub1BcvUt9zCY2Z1LZo7AiTMWFUE9s0FLCVfOmzeLFgGIZhGHcTZ1Pp3KH4EIowDRWp22uRlWMRVr45pLmBFAFXIsG6Lx9UISu+qncivG4onsJde70iPuwI4NJwoipFbyHsODQgglTVmfHZPY7l16GLdI9cIrCk0plOcyo+vZpRTWjKJe+3nUNO+Loc39xHqtGYOG9L57oMcT4HTSUHexEZtxo1wzAMw7jjMbF7ijQbYxTBN4HU7xe7idj3hPH44Oiuc4iX4hgwbxEfEL/rqOCCx49Ge0RdaRVcIb5CZPdUuqouHdacI0zWcHVd/HSXcnnFufPbJEHL68spkrs5sZ2iCr5ucFVVjn/aY1ZMjiXPuppMcFUgdd1ufeAQ9c2xiF3EUa5OzseFgmEYhmGcZ0zsniLVuEF8KB235NpDG2el/WwYj655znuHrypyUlLfo0kJQxTYOUcY1bgqIFW9T/CGImarqkRwm/EggAP1xkXceFxute8TuqU5wjk9/SIoQurmaEoIgvaRnCK+qQmjcSlGq8IQ9a7wo/GQ5gEg9NPtXV/jHfsLJadU7OG8O7/HzzAMwzDOEec0tHd7ECl5pN1WpFqb0G1uARRxVAXECT441p/0RNrNq/TbbfFtTXEQXoHYRsKopEH4piKMlaoOVJMRIg4JDl2kO6SFh68nA85l/HiChApfj8AJxL2uDeJKhPisNJO4EcT58rqH1y7OgXPDsU6ollbCPgyNNnJxaMjtnIhSr28AstNi2LndHGrxQ6FfOD8pIIZhGIZxnjGxe8o0G2NSO8PVDc0lh3Ytvi5dunwdQATnMpN7LpDXe3LKpWUt0G3NS2tf50kxI31i/IR78MHvuWMuzuNrj+YwOAKU4jNXLdIVUvGezZmF5YI4hwt1aaHrz3f3LxdCieoO+cniBOcdOTtEcklZaBM5+FKul5ccM0JV/Hm9L+VnAj744TAKLnhwcq4vFgzDMAzjPGFi95Spxw3deEwdexwNOY9wrjSW6De36GZzSIkwHlNNRvgqEJpQrLMSaFMRmprmwoh6fVJyfAHte/JOx7PdBhEShrSJUO0UtWnO5EEEQxFpEsLdE4l0gmbdk1Mr3kPKiMrgZjG4KjhBnC+CV0rDjdR1hPUNYpdw3iPBD6khjhBcccawFAbDMAzDOBOY2L0JhHGDdhX9bEo1GpPmM2LbkmLChwChQpwjxUzOERcVEWg2JlRra4gPNOtrxW1hgfe4XJemFHnho8uQI7w3UivO4e9mMabFl9hVNWlIZXBe0ODIeCTnInBTImePc0Pqg3eQlZwyLgR01hGGJhy+GRGa3ZxowzAMwzDOBiZ2bwLBQ3SOUDfMHr9Mv72FcwGcLyWBqsQ+kbfnpMGLt14fU6VBhFER28T6/Zf2RGOLiD3EuszYixSBmvsWHRp7uDC83VNCvQAlopuzx9cl8p1SRKpStCZOkaYiNCPqjXW8d4R9jhiGYRiGYdzZmNg9ZTRnNEaqyYj2aiTOZqQu0ce2ZBUIi//sNH0Q71BVfAjMHt+kuXiB+ZUOX1dMLq3frpdydhGHlGRbfDMh6bTkL4vi6lAO/xySJGT4COShoYfzjmZ9HVdVVE2Da2rq9fUifmVJMBuGYRiGcSawX+5TJqeI5kxse2I7p16bIAjdVEseKZmcM5oU8YIPntA0OB9ot+b0veJHLaEeM7u8xfjimkUSrxORUkCWuhbfFDux3LUlvUEzrvGoD7jYo3Eo5hOHVhAmY6q1CZlMc3ED34xKlzlVXD3aaS9sGIZhGMbZwMTuKaN9j6KlIG06h6y4pmE8akhdT+x6/KJNbQjFeaFPzK/OSH1PmKyx/ehl7vmICWka6aYtzdq1vrzXPa+cl/J9hxCzc2UO51BMSxWg7wDFNw0iQze1IZquPiDBl2VDcZqva6Rq8HVN8H7XX1fB1yP8jg+vYRiGYRhnBRO7p4zmjKZM7Iuw6mdtEVQIOF/a9kqFZqVvI7GdkdrSbU1kuMN+ZZtue46Kp5vOTiR2NaXSSayPxYprty0YANkVpwE3FM2dF5wPuCqQuw4QXDPCVZkcY+kuNxSxSahKS+WhyE+cIKEG3c3zlVDva99sGIZhGMZZwcTuKaKLqKEqsnBM0KIvu+kcTfmItQf5KaBZiV1PP58z2pjc8HxSLO1yc9eWJhSqQ5dbh1RhsNzKpPkMDbG0Iz5Ht+l9PSruCrEfItpSvIirmoXkFyCjkIq49U1p3oFUg63b+TkehmEYhnE3YmL3FBGRwdtV0EVmgEDq07FCd7G+qqIoIkK7PSd28dj1DiL1HXF7k9x1gzfvLgoQe8T7ndbCOfYwBz8an5sIrziHH42hlSGFoUS2dWmMUo67VDW+GVkBmmEYhmGcM+yX/ZRxPiB0OOd3mhqkuJpg9XVFShkXSveudntW8n6vkxwj/dYmuT1iXdWd9rm+aUrnsNgjfdgp6joPLASvy2lozBFRdDdt2Xtk0VnuHOYuG4ZhGMbdjondU0aqClcHpA74uqbfnsPxQV0AfF0z25yzdt8l+rYUk6WYSlrEikJMUyLNtov7wEorKKlt8eIQ58mxw9VVuZV/ThARxAfwAZfzTrqJCOcqbcMwDMMwjGs5P4rmDsF5j/OhtAGua8TJjq3uUfimKoX/WakmY2aPb+HrCuf9NWkIR5FjJHXdUiHaCqiS+27IF84lv/ecIs4N58ib0DUMwzCMuwATuzcBXzfUo2JhVa+v44PnqMCsC44wami3W9YfuJeUiotDszbCeVk5yqqayX2H5usXq5rS7nrp/IpdwzAMwzDuLkzs3gTEe/x4Qn1hjXp9jfETLpXCpwMEr28qqrUJ3SwyufciYTTm6kOP06yPqddG+KYq0eEVyDEVezGuI6q7QBXt4yCsb2B9wzAMwzCMOxDL2b1JOO+p19ZLrqg4wmhEe3WTbmtKjhFfVcUJwXnwnvG9G7iq4srDl6lGI8YXJvjgGV1YW32nOz66N1ZopZpL8dYNrm8YhmEYhnGnYWL3JiLOUU8mpD6DD9TrG6S+I6dE7CKpz0PUVujniXa6zfjCGlVTGhj4pqJZH1//fsWhnCAVYcVIsmEYhmEYxp2Oid1bQD0ZM31sk9hFfHCoq3GVR1wmxUw/b3HBMW52o7gueNaecAEfrqOIavD4Fe8hxesrUitrIuLMa9YwDMMwjHODqZpbgK88F578BK78+YfotmbXPF/Ve1vRuuBZu+8ik3s2rms/znmSE1CHOIdeZ6GZhFD8Zs2lwDAMwzCMc4IVqN0iqlHNpafdx+QJFwhNxUH2DC546rURF578BDaeeOm69yHe7zRHcD4cuI+j162QUB0/2DAMwzAM44xgkd1bSKgrLj31Pvp5R7s5pd2alzbCTghNYLQxoV4b4/yNX4O4UJH7WKK0UPxzV0hncFWNa0Y4b1FdwzAMwzDODyZ2bwPVqKYa1azff/rbdqHC14nUtTu5t5r60pjiIM0rgmsawto6vrKormEYhmEY5wsTu+cQVzcApK7DhYB6DzmRU4ZF4wgBcQFXN4TJmkV0DcMwDMM4l5jYPYeICL4ZId6TY0RjjzqH97oYsJOj60KFOEvdNgzDMAzjfGJi9wySUxrSErREaMUh3iP7CtIWYlZTTd4zXhAfrhlvGIZhGIZx3jCxe0ZQ1Z0obU4RssKi25kIznukqgY3hr2RWvEeb2kKhmEYhmHchZjYPQOoZlLb7nFWSF2kn3clwguIE8Kopl6blBQG88o1DMMwDMMwsXuno6qkdk7uOgC6WUc/ndHPejQmdMliwQVPaLYZ33OB0aVLlotrGIZhGMZdj4ndO5zcd+S+B2B+dcr86jY5HtwZLcdEFxN925MzTO69B3GWl2sYhmEYxt2Lhf7uYEqebg+qtFsz5lcOF7p71ouJ+eNXmV3ZvAWzNAzDMAzDuHMxsXsHoymiKZFjKkI3HS90F8S2o92a0k3nN3GGhmEYhmEYdzYmdu9gNCVQpZu2K0V096ybM9r1dLN2d5lqWZ7TTmGbYRiGYRjGecZydu9kVNGs9NM5qgf1+j1udaWfdaTBxSHHHnJeGJYVb95Q44K/xq7MMAzDMAzjPGBi945GyCmRrjOqu8DXAXFKe3WTUO21IlNK9JcYyc7h6hG+qk5hzoZhGIZhGHcOJnbvZJygWeH6g7qEUY3zQj+dEvwEqkN8d1XRlEjtDFB8VZfFOZfmFaq7vSt8wFlzCsMwDMMwzhAmdu9gxAdcCCXn4DpwwVNPRuQUySnTz+bEtgUEHzy+qXChiNadlsE5k9uhmC1lcuxRzTtNLMqGHc4HJFQWBTYMwzAM40xgYvcOxnmPr+uhOcRqqQwiQj0ZA0o/bYnzFu0dvgo4L2gvxBm44HBVha884gLiPRojOSXEe9hfwDbk9OYYIUa073FNY5FewzAMwzDuaEzs3uH4pmF8YZ2tD17muHwGQfBNgwuBbvsq88c3ES80kw0Q0NgTux5FERF8VREmI0JdI9GRU0SqijCe7G50aDusOaFdX9wchrwG107xzRhX1bgQdqPEhmEYhmEYdwgmdu9wXAjUlzaopnP66ezIsb6pcE1Fih3zxzfRlJnccwHI9FszcoyklHY1s8you57mwgbOAZoRzWjdFHcG59HUk2ZTUjsrBW0KiOBCBVUNGVI7x9cNYTyxFsWGYRiGYdxRmNg9A9STCaN7LiAIsW0PbC7hQiCMGnLOdFtTYhtZu+8CIkp7ZYvUH5AGodBtzwnjhpQSzjs8QMrQBNJ0m357E439NaumFEndHD+e4JsxaTZFVakmayZ4DcMwDMO4YzCxe0YY33ORnAWmM0iRHCOqJR1BvCeMRsSuY355G0eiWW/wtWd+eYt8kNAd8HVF7iKqidQLOIc6IU+ndFcvk/tYnBhEELcvTUGVPJ/BkD6R5jPEe6rlNAjDMAzDMIzbiIndM4JzjvUnXGTbCd32HFFfbMNQNEPsEvPHN0l9T7UxwruK1Pbk/tqo7P7t5r5HHIgLIJ48b4nzGf2s3WlmIYATQYJHvGORnquqaN+RAdc0pNmU0IwsumsYhmEYxh2Bid0zhDhh/QkXSRfXabdmtJvTErX1DucFcVBPRlSTMRpb+q12hY0KiIK44sIgjhQjOfX44PeWxCnFrSEmXB32RHpz7HF1TdZE6ltCMz71128YhmEYhnG9mNg9g/jgmVxaZ3JpfWfZ9ENX6OtAjgkRh4pDj+m85quKMGoQD+SMH43xTQMpFt/d2JOXLMic84MgFnLKOHxZF0pKQ9/jqprcdmBi1zAMwzCMOwATu+eFpShr6iM+BPQQKzAXSo6vONAUISVSHwmjMbltybFFNeObBul7UowA5JwgJ8Q5XKjQnHF+aHqhZVtS12WbhmEYhmEYdwCWWHlOcGGIugKp78kqNBsbsE/wuipQTUZojsTplNTOAcHXNa6uSF1L7nvifEbuuqHxxN5uaZozqe/KP8Sz0+JNletu92YYhmEYhnETMbF7TqjGDdV4VP6hynxzhjhPvbFRWg5DcUoYNeS+J7dtEaeqSFVTr6+TukjuFgVtjpQisW1xIexsYwdV8iKCu9NSeBC6VpxmGIZhGMYdgqUxnBN8CNQba3RXtxERctcSpUJjIqytgSrOCZojmhISAr4K+PGE0NTk2NN3EREFFbz3pBTRnMh9j6+q0ip4CRkK1nyoiuB1UhpO7IsEG4ZhGIZh3C4sBHeOqNfWaC6uFd3pHDllUtcze3yT1CeqyQRfN1TrG1Rr60jVoCnRbU9pt6YIGQlN6aTmd6+DFjm7zvudZd4NhWp9vxPZdaEqrg5Vc2tfuGEYhmEYxiFYZPccEerA5P57i/etKu12Sz0ekdM2OWXazS26rS1EpDSkcI7xPRvkLtJtz/CxZnLvBJXM/8Pen8davu75Xd/7+c2/NY973jWese/tbru7DW7sNgHiKBYgEsLgBIMTjJKISCgREKIQEEFJlIRYIGVCJAJhEyBxCKGjIHDkIGwHGw/d7e6+99xzTs3DntY8/ObhyR+/XfvUPjWcqjq1q+pUfV/S0b537TU861e1z/nsZ32f75dSYxomRVkAmjLPTw+laYzTMoWiKKtDbmiUYaIsG8MyMb9d8iCEEEII8ZZIKnnP2J5HY3OA7S3RxZQ0TrEbdSzLpEiiahdWaUynajtmmBZpFoEGtK66MjguRZJguC5kKbooQJcYlovOc4pSn+7mahSgUJi2g+E4GF4N9YwuEEIIIYQQb5qE3feQ5bqYgz5Oo876ZEYWRjjNGoVtYDoWhqFQyqAoNRqF5TjV0TJlkMUJGD6G5aDztOqbq/JqWlupKYoS+OZAmmGZmJ6PVWtguC6W1OsKIYQQ4h0iYfc9pQwDu1ajuWsTz9doXQLlWX9cXWpMU1cH1VyXLE6rkcFak4URdq0KvBT56ShhUKddGfTpjq7heLitJnajiWE7T7QoE0IIIYR42yTsvucs26Yx7JInKfG8JI5WZ/1wlWFgmBama5GnKeWjiWungddyHQzbwQCU0hi2jTIsMKrDaIbtYPoepuef1fEKIYQQQrxLJOx+ICzXwW83cfzqkBmAOp26pssSt5mRLMJqShqA1uRxAnGC6dg4zQaFVlVOLgBDYdsmluNI0BVCCCHEO0vC7gdE2TbkKYry/O2GgeP7oDXJOq768CqFYRhoo2pjhlakQXXAzXZdnJqLadvVuGAhhBBCiHeUJJUPiGGamFY1EvjblG2f1umalHmOzgt0UaC1xqp5aF3g+jaW55yOFnYwXR8lu7pCCCGEeIdJUvnAGK6HYTucjfY9900TpRQKjdYFKI1V81G2iWFoLMc8LX1QGJaNemzIhBBCCCHEu0h2dj8wSilMz0cpgzJPTzszlJRZSpEkUJYo08Z2vWpimmGgsxxsG8NyULaFMqzqvoDpyLQ0IYQQQry7JOx+gKrA62GUNmWWk0chlBplGijHxbAs0KDLogq1WqOUoihCVGpguh7KdSnSpOroYEnLMSGEEEK8myTsfsCUYYLKq5Br2Zimiy5y8jhEZxkAWuuz6WrV/1DkSYzdbGG6HmWeSdgVQgghxDtLwu4HTGtNmWWUWYamRCcpRRydfQ+toSwpyxLKsrqNamc4K0totcHxKO2iKnkQQgghhHjHSNj9gJV5ji6LarpaqSmSGKDqw1sWlEX1D0VBqfVZ2AUwi6Kq562V1Q6x50ngFUIIIcQ7R8Luh6zIT+txDYo8Bq3RpUaXOUWSQVlSlAUUVdDVujwtZ4AyK8EwQSsMx4EEcF3puyuEEEKId4okkw+Y5nSnVmt0XtXoUhYUSVrt9JYFOi+rNmTltx9cUOYF6TrA8HyKpMDWJbZXk5ZkQgghhHhnSNj9oClQCnTVfkxrTZHllEWVbHVeooviOQ9XFFlBmWZglJSFxjBtLAm7QgghhHhHyFCJD5hSBqDQqhowoYuCIssxlKomqJXPCbpUB9UM06DIC7IwokxTklVQlTsIIYQQQrwDJOx+wJRlgaFQp5PTdFGi0ZRaU5anLcee+VgbrRUogzLLycIErUvSMKI4bVsmhBBCCPG2Sdj9gBmmiWGYKNMAZX5TvqBL0M/f1TVs62wHtyxLiixHlyVFmlPE6YWvXQghhBDiRUjY/cAZto0yLZRjY1hmVcNb8txdXcOyq64Lyqha8eaa/LRtGWVJnsjOrhBCCCHeDRJ2P3CGZWPaLqbjgmFhWjaYz/5roWwb06+hlSKPM1AmeZJSHXYzqmFr5XOSshBCCCHEGyTdGASm41CWNQw3RBcFpm0Dp4fUTksVlGFguS7KdiiynGwdnbYYU+RxguU6oKqgq5X8DiWEEEKId4OEXQGA7fnkzTYKRRYE1bwI08I4PcCGMiiKgmwZUMQJpudiui7xqgrITr2FLjSmY4OEXSGEEEK8IyTsijOm45A7PqaGIorIw4hCa8oypcwydFli2DZ2o4EyTZJ1RJGkWL6H6XqURYnpedi+87bfihBCCCEEIGFXPMb2XKJZAMrBcMFCkUcxhqExbKva4UWRpxn5KqLMcyzPxW02KLVGWRaGaePWvLf9VoQQQgghAAm74jFKKeqDFsujGUVaYppV6M2iGMqSssgpkvRsh9dpNjBdF2VZWJZNoQ3smocy1Nt+K0IIIYQQgIRd8S2WY9Pc7LA6nhKvI2zLxmmYlGlKkWQYloEyLDAtDNPA9j2UZVGkJU7Tw+/U3/ZbEEIIIYQ4I2FXPMF2HTq7Q+JlxOpoQpbkmLaD2fBQVJ0ZlGVgmhZ5XqLjAr9Tp95vo5Ts6gohhBDi3SFhVzyVYZrUug1q3QbRMiRdR+RxilYapUx0WZLnOV6ngdv0sV05lCaEEEKId4+EXfGd/FYNv1WjLAqKtOq9q5SB6ZgYpvm2lyeEEEII8UwSdsULM0wTw5dwK4QQQogfDun+L4QQQggh3lsSdoUQQgghxHtLwq4QQgghhHhvSdgVQgghhBDvLQm7QgghhBDivSVhVwghhBBCvLck7AohhBBCiPeWhF0hhBBCCPHekrArhBBCCCHeWxJ2hRBCCCHEe0vCrhBCCCGEeG9J2BVCCCGEEO8tCbtCCCGEEOK9JWFXCCGEEEK8tyTsCiGEEEKI95aEXSGEEEII8d6SsCuEEEIIId5bEnaFEEIIIcR7S8KuEEIIIYR4b0nYFUIIIYQQ7y0Ju0IIIYQQ4r0lYVcIIYQQQry3JOwKIYQQQoj3loRdIYQQQgjx3pKwK4QQQggh3lsSdoUQQgghxHtLwq4QQgghhHhvSdgVQgghhBDvLQm7QgghhBDivSVhVwghhBBCvLck7AohhBBCiPeWhF0hhBBCCPHekrArhBBCCCHeWxJ2hRBCCCHEe0vCrhBCCCGEeG9J2BVCCCGEEO8tCbtCCCGEEOK9JWFXCCGEEEK8tyTsCiGEEEKI95aEXSGEEEII8d6SsCuEEEIIId5bEnaFEEIIIcR7S8KuEEIIIYR4b0nYFUIIIYQQ7y0Ju0IIIYQQ4r0lYVcIIYQQQry3JOwKIYQQQoj3loRdIYQQQgjx3pKwK4QQQggh3lvWm3gRpdQfBP4+4DpQAF8A/zet9W++wGM/Bv5jQGutr1/oQoUQQgghxHvlQsOuUsoG/g3gv/6tb/3dwP9QKfXvAf+41nr8nKdxgCuAvpBFCiGEEEKI99ZFlzH8n4D/BqCe8c9/DfhtpdQfuOB1CCGEEEKID9CFhd3TAPuPUO3IfkW1m9sA+lQh969QBd4t4M8qpf6ui1qLEEIIIYT4MF3kzu6fOP36EPhbtNb/b611qLWeaa3/feBXgX8ayAEf+H8opf6hC1yPEEIIIYT4wFxk2P0DVLu6f1JrPf32N3XlTwJ/GJhR1Q//m0qp/+4FrkkIIYQQQnxALjLs7px+/UvPu5PW+j8F/hBwcLqe/71S6p+6wHUJIYQQQogPxEWGXfv0a/Fdd9Ra/wT4NeA2VR3v/0op9T+9wLUJIYQQQogPwEWG3dHp10svcmet9W2qwPsFVeD9nyil/uQFrU0IIYQQQnwALjLs/vT066+96AO01gdUJQ2/SRV4//vAv/K6FyaEEEIIIT4MFxl2/yJVYP37lVLqRR+ktZ4Afxvwn50+/m+/mOUJIYQQQoj33UWG3f/w9OsO8Pe+zAO11kuqLg3/H6rAK4QQQgghxEu7sLCrtf7rVLu7t4A//gqPj4C/C/j3X/PShBBCCCHEB8K6yCfXWv+h7/n4jGramhBCCCGEEC/tIssYhBBCCCGEeKsk7AohhBBCiPfWhYddpdS2UupfUkr9jlJqqZQKlFJfK6X+NaXUz1306wshhBBCiA/XhdbsKqX+APDrQOfRTadfr53+88eVUn9Ca/1vXeQ6hBBCCCHEh+nCdnaVUh3gzwBdqpCrgAlw9OguVCOF/89KqR9f1DqEEEIIIcSH6yLLGP4EsAVoqtB7XWs91FrvAJvAo1HANvBPXeA6hBBCCCHEB+oiyxj+yOnXv6C1/gcf/4bWegz800qpJvDffuy+QgghhBBCvDYXubP7Y6pd3f/Dc+7zvz39OlBKDS5wLUIIIYQQ4gN0kWG3e/r1q+fc5/HvdZ95LyGEEEIIIV7BRYZd+/Rr+qw7nE5I+/b9hRBCCCGEeC1kqIQQQgghhHhvSdgVQgghhBDvrQsdKnHq31BKBa/hflpr/Xe8rkUJIYQQQoj335sIu7/yHd/XL3A/9dj9hBBCCCGEeCEXHXbVd99FCCGEEEKIi3FhYVdrLfXAQgghhBDirZJAKoQQQggh3lsSdoUQQgghxHvrnQ+7SqkNpdT/QCn1m297LUIIIYQQ4oflTXRjeGlKKRf4rwD/CPCHAfOtLkgIIYQQQvwgvVNhVyn1a1QB9+8DWo9uPv0avpVFCSGEEEKIH6y3HnaVUtepAu4fA648uvn06xr4D4H/++lXIYQQQgghXthbCbtKqQ7wR6lC7t/86ObTrxr4s8D/EfiPtNbJG1+gEEIIIYR4L7yxsKuUMoG/kyrg/p2AwzcBt6AKuH/k9P//61rr/+BNrU0IIYQQQryfLjzsKqV+hSrg/lGg/+jm069/Dfi3gH9Haz1SSpUXvR4hhBBCCPHhuLCwq5T6HwH/MPDZo5tOv94G/m3g39Jaf3lRry+EEEIIIcRF7uz+L6jqbxUwA/4M8Ke11v+/C3xNIYQQQgghzryJmt0V8D8D/pTWevIGXk8IIYQQQgjgYieoBVS7uk3gfwMcKKV+XSn1DyqlvAt8XSGEEEIIIYCLDbubwH8T+HNU5Qw2VReGfxs4Ukr960qpv+MCX18IIYQQQnzgLizsaq1DrfWf0lr/YeAy8D8GvqDa7W0Bfxz4s0qpB0qp/7VS6hcvai1CCCGEEOLDdJE7u2e01g+11v9LrfWPgd8H/O+AMVXw3QH+SeA3HntI+02sSwghhBBCvN/eSNh9nNb6r2ut/wlgF/h7gH8PyPimNRnAv6qU+htKqX9OKfVzb3qNQgghhBDi/fDGw+4jWutca/3/0lr//cAW8I8Df5kq9Crgx8C/APyOUuqnSql/8W2tVQghhBBC/DC9tbD7OK31XGv9r2qt/xbgE+B/Dtzlm+D7GfDPvsUlCiGEEEKIH6B3Iuw+Tmt9Q2v9z2mtrwH/BeBfB5Zvd1VCCCGEEOKH6J0Lu4/TWv95rfU/RlXm8A+97fUIIYQQQogfljcxQe1701rHwL/7ttchhBBCCCF+WN7pnV0hhBBCCCG+jwvb2VVK/fOv+zm11tKRQQghhBBCvLCLLGP4F6jGBL9OEnaFEEIIIcQLexM1u+q77/JCXndwFkIIIYQQ77k3EXYj4D8A/hTwxRt4PSGEEEIIIYCLDbt/DvjbAB/4B0//+evAnwb+Xa316AJfWwghhBBCiIvrxqC1/sPAJeCfAX6XqpzhV4B/BXiglPp1pdQ/oJRyL2oNQgghhBDiw3ahrce01gda639Ja/2LwO8B/mXgGLCBvwv4d4AjpdS/ppT6Qxe5FiGEEEII8eF5Y312tda/rbX+J4E94L8M/NtU9bxt4E8A/4lS6rZS6l9USn3yptYlhBBCCCHeX298qITWutRa/1mt9R8DNoE/DvwnVN0WLgP/LPCFUuovvOm1CSGEEEKI98tbnaCmtQ601n9aa/1fpKrv/eeBhKq+95ff5tqEEEIIIcQP35toPfadlFK/CvzDwD8AyIE1IYQQQgjxWry1sKuUug78sdN/rj26GYiBX6fqyyuEEEIIIcQre6NhVynVBf4o1S7u3/zoZqp63b9A1YP3z2itl29yXUIIIYR4/xRFwXKxYr0KybMcZShc16HmODiOjS7BMA0s38Gy34kPu8UFuPA/WaWUDfzdVAH3j1C1HXs0QvgrqoD7p7XW9y56LUIIIYR4/xVFwfHRiIP7RwTrEK1L2q0mtjKZBzHROsK2TNqdFs1WA8O28Nt1vFYN25dqyvfNhYVdpdQfpCpR+PuBDt8E3Anw7wJ/Smv9Vy/q9YUQQgjx4VkslvzsJze4feMu89kS0zLYGg6Y3xsRLNd4rkOz1cR1baIwJolTanWf1XyJ67t0d4bU+623/TbEa3SRO7t/nqo8QVF1WPh1ql3c/0hrnV/g6wohhBDiAzQZTfmrf/lvcPvGHfKiwLZtNjcGpLOA8eEYgDUBURDTG3TxfY+f/e5X+PUafs1FKUXrcMTux5fo7QxwXOctvyPxOryJApUI+I+BAPh7gb9XKfX8Rzyd1lr/ide5MCGEEEK8HxbzJT/57S+5c+sueVHgug4bW0OstGQ8ngOgUNiOxWq55uR4wnCjh+3YjE5GbGwOMU2T8dGEJElZrNcMtgb0h723+8bE9/Ymwq4H/D2v6bkk7AohhBACqGpzi7wApTg5HjMeTWg067ieB7qk06gzvz+iVvMxTANKmIynzGcLirLk+Khg//IuWkMUJTQaNQDWizX+fM29JEajGQz7b/mdiu/josPuK23hCiGEEEI8TZ7nLOYrZpMZ6yCEUhNEMav5inqzjuXY3L/7kDRJSaYBd7+8jWVatFoN6o0anu/ixR5BEBLHCUEQ4vseYRBSr9dQCnSpiRYBvtvm9o17uJ5Ls9l4229dvKILC7ta67c6nU0IIYQQP3xpmjIdz4mjmDCKmU3nGBosZWAZJgBlkBCvQ27fvk8YRXS6HTY3B6wPpuhSk5UZi/mSBw+OqNd9Njb7GKbBarlmuVjTaNaJ44SiLLDM6jmTMKauW0RRzORkKmH3B0yaygkhhBDinRPHCaPjCZPJlCzNQMN6viScBUSLNVEQYVsWjWadyWjGOgzxHRun0WC1WrGzvUHhewAYyiAvCvI0ZZokFEXB9s4GeZYTRzFlUWKaJpQaqqxLWZZoXf3v8XjK9t4WrhxY+0GSsCuEEEKId0ae5Rwdjnj44IDVMsC2DAabGwTTBcHxnOnxjDRNKcoSqOp2x6MJq+WaMIhodJtsXNokTTN838X1XIo8Jw4yitP0uliscD2HbrfD6GSC1hplKHjsM2mFOq3F1MRRwmK2ZGNr8Mavh/j+JOwKIYQQ4q2L44STozFHByfcunGH+WxOo9lke2fI8mTGwdf3CNchnudSq3lkRUGeZkRhzGoZoLXGMBTL2ZI8y9nYGmI4No1mjcVsSXkajh+Zz5Z0ux08v2o5Zhkm5mlZBIBpmWilKEsNFOS5dE39obrIoRK3XvIhmqo92RT4beDPAb+u9aMPEYQQQgjxPgrWATe+usNivuDkaEKapPSHfebTBbPxgpv3vuSL3/wZhmHQaNbpD7rUGjXanRaaKiZkaY5t2+RJQrgOeXjrAZ/++BP8msd6GTzxmmmaEaxDOt02lmliOCaPt0b1mjVyqoCsNWevI354LnJn9wrfDJV4UY/+Jv0a8N8Dbiul/lGt9Z9/zWsTQgghxDsgimJufHWH2XROURQYpsJ2bH7yN75kOV/yS7/3R9z62R3SJAOqkJrnBY1GjeV8RbPZYHtvk7u37qMMA1MpCq15cOsh1z+7TrPTIViGrJbrJ157vQ7Y3d9CmQr3sTHBlm3hNn2COAHAMAwsSz4M/6G6yD+5e/BSvwYpoE41WvjR5wjXgD+nlPq7tdb/0etdnhBCCCHetsMHR4xPJiyXAUkck6UZP/vJDSbjKR99dg2SgtV8dbazWhQFi/kS43QXdj5bsHdph+FGn8l4hu04lKeH0I4fHnHloytMjkY4jkMcJZSPRROlFLVajbz4pgsDQKPbRFsGWViVLrieQ6vdfINXRbxOF9l67MqrPE4p5QC/CPzDwH8HsIH/i1LqitZ69fpWKIQQQoi3ablY8/WXt3hw94AkSXEch7u373H39j1AYRmKeB3iei7ZY4fSyrIkCmNc3yWJE44OTtjd32YxX2KaZtVuLMu4d/M+V37uOpuXt1ku10RhRJJVO8QGila7iV/zSNPTelwFrX4bt9dgFYRn6+z1qtHC4ofpneuFq7VOtdZ/VWv9TwB/BMipdnv/sbe6MCGEEEK8NkEQ8tUXX/P1z25xeHDC8eGIMAi5d+eAdRCRJClpmhNFMWma4noujuuc1dUmaVpNT0OxWq1J04yNzQGWZeKddmGg0KwWSyKjZOejPbYvbePYNpZh0B/02NgcYFoWygCv5tHd6uMPWqzDiKIoAPB9j+GGTFD7IXunC1C01v9fpdSfAv5RquD7L7/lJQkhhBDiewjWAYvFigd3D/jid7/i5le30UC72+Lg4IQ7t+6jdYFhWszHc2qdDqBZrwN838NxbLIspyiqDgmOaxOsI2bTOXuXdsiLkiiKsCyTWqNGo9ng1u9+iWWZDK5ts3V1myLOcGyHwbCL67k0hm1M3yHTBct1cNa5wXUd9i/v0u623uYlE9/TOx12T/06Vdj90dteiBBCCCFezWy2YHQ0JopiVssVP/vpDcajKcvlmiTJcF2X2XRRjevVUBY5k+mUYbNFvV5nPJqgANt2sCyTLNPkeYHrVq3DojBGAX7NxXVtsjRjuL1Bo9Wg3W1hmia259LttcmzHKWgt7tFmqcUeckqDM92cw1D4fke+5d22d7dfKvXTXx/P4Sw++D0a++trkIIIYQQr+Tw4TH37jwgimIGGz1ufHmHyWiGbVlkacZyvmJjc0CSJiilUMpE64LjoxHXr1zG9V0cx6m6NRgFRQG2YwEKTYnrOijANE2UMkBpPN/j8ieX8Jo1rl6/dG49jukwGPRodhocHY0pshzLtqj5Hn7Np91t0ul1qNdrb+V6idfrhxB2H61RujkLIYQQPzDHRyPu3L5PEie4nstytuLunfsYhkGz1SXLS8qyoCwLqra2Cq1LlDKZTuaMZ1O6zRrtuMVitkCjKYsSR9lYlkkSpbieQ61Rx7ItCDWGUmztbdLe6DFZLM6txzRNWq0mzVaDo8MRq+WaLMtBg+PYWI6NaVo4tv1Wrpd4/d65A2pP8cnp19FbXYUQQgghXkqSpNy/+5DktF+t73tMp3NWyzXBKkQpRbtbtfSK44RGqw6qKiN45N69A0rTwHZt+ht9XNcBQCkD27bIywKtYWOjR71ew/VcNrYHXProEnGenk0+M02Ter1Gq9VAGXDn9n3CIMI0TTzPxfNdDNNgNp1z46tbfPnFTcIgesNXTFyEH8LO7h+j6tf7V9/2QoQQQgjx4maTGXle0GzWMZVBzXVp+B7Xrl1iHYRMJ3M2twY8uHvA+HjKJ59fwzttJwZQ5HDw4IhWu8mV7W3SVcRwc0ASV+UOhmlQ833a7QaDjT6b20MuXd2jNWhTeCaLxZpWq4nrOfQGXbIs5+RoxHr15ES1x+V5wWQ8oSgKPvn8urQd+4F7p8OuUuqfAf5LVGH3//l2VyOEEEKIF1WWJevFGheDZLImTDJiy2Q1muGkmv3NTVZRhOGYzCYLvvziBkmasrU95ObXd3FsG9M1yTLFl1/coixLPrl6Bd+yqWcFlmHiujaGZdJuN7ly9RIbu0O8Vp3GsINpm+fWM53MuXPzHkmSvtD6ta4GVty/84BPPv/oIi6ReEMuLOwqpS59973OPwTwgS3gl4E/CvzS6fe+AP6vr291QgghhLgoWmsWxzMmd45YTpdVPaxrk+QFcRAxPZ4yOZ7g130Gexv8/j/weynynMOHJ1y5usdsMmM2XVX9cl2Hsiy5c/MBea75A7/2Kwz7XZJVSN33MU2Ty9cvsXd9j1qngeU8vdZ2dDx+4aD7+PuYTRcE64B6o/46Lo14Cy5yZ/cOLzcu+GkUcAL8V7XW5fdekRBCCCEuXDRbES/WuL7Lxv4GSZRwcnBCnmTEUYxpGkxGM0ZHYybHEz795c/5tb/9V/nt3/wputR8/vOf8dVPb7JardFaY5om7V6T4UaPQmt+8sUN/LrH1e5l9j++zMc//wn2cw6UBeuA+WzxzO8/TxwnTMZzCbs/YBddxqC++y7PlAN/BvgntdZHr2k9QgghhLggRZ4TjBYsDqaEizUEKUmWomyL7d1tcqVJv7yD53nEcUKe5cxnh6xWAT/3+37ExlafKExothrsXdphMV8wGU1JkgxDKYYbfdargCiOGW71uXJ9n89+9NFzgy7Aarl+6V3dR7TWTCczLl3ZfaXHi7fvIsPuv/mS99dABEyB3wb+U631yWtflRBCCCFeK6014XTF6njG7MGIYLwkDWNODkesliuUYdDa6NLb7nPt4yt4dY/lasXx4QjDMFjMFhzePaCoWRw8OMKr1ej3O2xuDxkM+6xWa0zTYv/yDsEq5NrHl/ns84/47McfYxjf3Vgqzwu0fvUPm/M8R2t9Nqr4cXGcEEUxZVFWwyg8F7/mv/JridfvwsKu1vq/dVHPLYQQQoh3g9aa9WjO/OGY5cGE1cmcMi+Iwpgsy0CDLktObh/w8MYDLn1+mcGlDX7+V36E+Rs/4/6dh4RhxPhozNbH+4BiOpoQrAJGJxPKvOSTn/uIH//Cp9TrPusgotVssLu//UJBF0AZ3+eDZk4HXXzzHFprlosVk/GMyWhKlmVnYdiyLLr9DoNBj3a39cJrFBfnne7GIIQQQoh3WzRfszyYsD6eEy9Dyrzqe5vECaZpYlomYZiQnfa7Pb55gC417csbXPnkMr7vMToeMzoZY+QFjuOQpVV43N7ZZHt3k529LTq9NlEYU6v77Oxv0+62XniNjm1jmuZZz92X9XjrsaIouH/3gKPDY5I44dsbxmmaEYYRo5MJw40+V67uYz/j0Jx4MyTsCiGEEOKVlEVJMFmxnizJTwMqQJZmFEWOYRgoZVA8FjKTJGF8/5h6r0Wz1eBh+ZDt3Q0GG306m13sdgMMhe+5NDtNBoMe3V6bNEmxHYvdvW1297deap3tTgvf91it1i/9Hi3LpDfoAdWO7t3bDzh4cPSdwTlNUg4fHlMWJdc/uYJlSeR6W2RvXQghhBCvJAki4lVAHmfnbs+zjKKogq+mxDQUqG8iRxREzA/H9Dptdva3WS4C0izFcRz8uk+j7tPuNvF9l/6wh+1YbGwO+fTnPmb/8u5LlwY4rsNgo/fUmtvv4vs+vV4bgNHxhKOD4xfeIS7LkpPjMQcPjl/6dcXrI79mCCGEEOKVJKuQZBlSFgXAWZgsT3d4y1JT5CW2a0NWUuR59T1dMjkc09zu0Rv2yNOcMi8Zbg1p21XZwN7+Nqt1QLffYXNz8L0PfQ02+oxPpi+1u2vZFpvbQxzXQWvNyfGINM2++4GPKYqC8cmE7Z0NKWd4SyTsCiGEEOKV5El+blfXdCwM03hsB/VRQavCtk0s26DMS4qiwECh85JC5Rw+PMY0TaxOjVv37nP56j5ZnlOv++xf2nkt3Q3q9RrXPr7Mza/usF4/f1wwVEF3e7uqFwZYzJesli9fBgEQhhGz6YKNrcErPV58PxJ2hRBCCPFKdKl5fOaTaZkYtoVhqKeWDCgUhmVgWiZZVhBHMUWmCIKQTr/D7fsPuH3zPoPNAfdvP6A8HSjh133q9dr3Xm+31+HjT69x5/Z9gnXw1F1a0zTwPI/N7Q32Ln3T8WG5ePVevXmeM5tJ2H1bJOwKIYQQ4pWYlgmPh1qlsF0b1/eIwhhdVr1ny9M8rNHooiTLC+I0IS8KcqWwPQen5XPr5h2a7Tq6LLl7/4jBZo/pZM7XP7vFp59ffy07vO1uix+3PmM+WzIZTVgsVuiyahvmuA7DjT69fgfvsQ4MwCt3cjh7fPZy5Q/i9ZGwK4QQQohX4jQ8LMciT74Jcpbn4DVquGFEnhfYlk2el2hK8jQDpYjihFqnjrIMyrJgY3eDB9MJs+mcz3/0MWEYEQQB3ayNaRos5kvu333IJ59/9FrWbZom/UGX/qBLnucURYFCYdnWMw+/vcrhtm89w/d8vHhV0o1BCCGEEK/Ea/r43eYTAdGtu7T6bfy6h+XYGIaiyKvt3SIvKIqc1qCD63u4rRpH8xl3bt6r2o9128wmcxzbxvUcJuMZWmtm0wXBC9TavizLsnBdF8d1ntvlwbat7xV4Xdd55ceK70d2doUQQgjxSizXoTFoE5wsSOPkm28oRa3dQJkGtrfGMA0W8wVKWSRxwmB7SH9/g8wx+OInP+Prr27T63e4dv0Si/mSOEroD3tYtsVquabb76CUYjKeU2/U38p7bXdbeJ5LFMXnblcKXNfFtEyUUmitKYuSJEkoy+qAnuPYdPudt7BqARJ2hRBCCPE9NDe6RIuQ6d1Dyrw89z2/UUOZBtpU4BikSYbybbqXNlhnCTduP+Dh/UOuXrvExtaA1XLNZDyj169KDNLTA2Hr5RrPc5lN51y6svs23ibNZoN2p3UWdg3DwK95OIZJmWSk84iyLFGGge05uPU6OZo4SqjVa7Q7zbeybiFhVwghhBDfg+lYDD/aBjTzB6Nz9bsAnu+RZQVaa9Iyp2ZbGL7DPFxjWhY/+vlPybKc8cmUPC/Y2BzQ6bbJ0uxsZzQMIwDy7PFJbCmzyZw0TSlLjWEYNJo12p0WpmleyHvd2Bowny8o8oK675OvIhaLgDiIz6bHPeL6Ln6rTqffZHNvSyaovUVy5YUQQgjxvViuw8ane/jtBsvDCdEiOBsfrJTCqTk4HZ96r02YJ6yjmOmDAMdzmc+XFFlBu9PE932UqUiT9CzoApSn09gMwyAMI6bjGfPZgtXyfDswx3GoN2oMN/tsbA5ee8Ds9btcvrrP9GjC4nDCarJ85n2TKCHPMhq+T82Wet23ScKuEEIIIb4307Lo7A1obnaJ5iuSdUSRVZPVkiwlSBJyVXLriwPmkwXKUNi2RX/QQykoi5I0y9DpNyHXNBS246BMxdHBiDCMmYynTMYz/JpHt9eh3qiRZzlJkhJFEePRhK++uMlws8/2ziZlWdLtdegNOjjOy4XOJEkJg5CiqFqoua7DoNclPJwxDhMMw6AsyycepwxV1en2OrRbDdYnc0zLwmt9/17B4uVJ2BVCCCHEa2PaJo1hh8awc3bbeDTl6MYdlKEoipI4SZ79BKcsy8Q0TWbTBWhothu4rs29O2Oy02EQR4cj2u0mm1tD8qLg3u0HJElKnueMRhPCIGK42Wc8muLf9xgMe+zt72DZz48/y8WKyXjGZDytdpm1RqGo130atksRp1y6skuwjljMl6RphtYllm3h2DaNZoN6w8d1XQCKNCeYLnEbPsqQFmRvmoRdIYQQQlyoVruBMgyyNGO40WMymj51R/QR0zQwDJOToxHrVUB/2MM0DLIsPwu6AEmccBLFPLx/yOb2EM93CYIQqFqcPbh/SLNVRymD9SogCiOiMOH6J5efustbliUP7h1y+PCIOE6eqMPVpcvx3SPidUiz1WBze4NOt0WeF8RxQhSElFqTpinFPMf3c2oNH8MwyKOENIxxG99/MIZ4ORJ2hRBCCHGhHMdhMOxz9/Z9mq0mw2GP4+PxM+9v2zYnxxPm8yW+79No1un02qyf0md3uVizWKxYr0N+4fd+TqNZJw5j9GlpxGoZMNjonQ6PKBmdjDFNxfVPrj5xkO3+3Yc8uHdAlj05Lc2yTFSuiYNqWMZ8XpViNJsNVqs161VIlqU8Nj0Zy7bwPJdOp0Wr2yJehRJ23wIJu0IIIYS4cBubA6bjGVEUsX9ll0JrxieTJ+5n2xZRFLOYL7Esi82tIds7m0RRTLAOz903z3KiOKbZrGMYBocPjmn3Wjy8d4hSCr/mVQMjHBtdaqIopixLxqMpg40+vX737LkmoykHD46eGnQBDMNEF/qsI4RlWSzmKw4eHON57lMfk2c56ywniiKiKMaXmt23QiaoCSGEEOLC1eo+1z+5gu/7lFpz9fo++5d3qDfOB0DTMlnNV9TqHp98do0f/57PgKru99vyPKfm+6xWAffvPeR3/sbPmE8XLBYrjg5PuH3zHr/5136Hn/7O10RRfPZaaZoxPjn/fKOTybnODt9WDU8rT9dooEvN0cEJ0/HsXEu0pynyktl0wWQ0e275hrgYsrMrhBBCiDei3WnxyefXuX/3Iet1wO7+NpvbGyznK2bTORpdjQl2Xba2N+j2O+RZxuQpQdcwFFrDyfGY6XR2dvtqFdDptDg6Hf4QRzGT0YQ4Ttjd36LX7xCsQ2azBUEQUq/XWK3WzOfPbiMGVPW7p+OEbdvm5GhMEISYShFFMU278dzHl2VJEEYsFys63fbLXjrxPUjYFUIIIcQb02jW+fzHn5xNS1st17RaDa5c38dzXZSC4+MxSZwSnNboGsaTQyJM02J0PDoXdAHCIDwfJpVCKYMkjrl3+wGOY+N5Lnmek8ZpFXYXa9Lv6BCR5wVGzcWreWRpRhBUayu0Jo5jmq3nh13DNFCOyWQ8lbD7hknYFUIIIcQb12w1nhoQH94/JAyic50QXN8hjEJs20YZCsswWa9DkjjDcRyyNENT3b8sS5T6pr2X7VhYlklRVh0Tjg9HfPTpFfK8RAFZlKIKTavRQGtNkuckT+nEUJYludI0u03u3bhPkmQYSmFaJkopiqJAAcowUU/pLubXfZRjMh5N2dreoN6ov5brKL6bhF0hhBBCvDNM00ApdS5sttpN1quA1WLNOgioN+qMj8YsFks8z8Vp1CmKgjiKsSzr3GPr9RqWbZFFVV1tmmXYpkXNVKwOpyTWktloxuJkgmVb+O06XqNBWhZEYcjjmTdOUrqdBkVR4Dg2ZVEShzHrZUiSpCilME2TWt3H972zCW5KKfx2neS0dVoYxhJ23yAJu0IIIYR4Z9SbdVzXITqtuY3CmNHxhOOjEYv5EtM0MQ2TyXRGsA5ZLFb4nkujWafZatDtdVktVwCYhkmr3Tw7QNZqN+m3WkxuH9Go+dQ2bQoHdF6QRjFxoAkWa9yaR6PXpNVsslytz8JzlmaYfZvezoCjgxPWq4Asy/Bclyx71Lc3I40TVpZFo1mj2WrSHnYwGx7r5QrDMCgKOaT2Jkk3BiGEEEK8M5rNBu1OC4BwHXHw4JD1OsB1HSzLOhvRm2cFtm2BhjCKmI5n1Bt1Op0Wy/kKhaLTa+P5HlmeU2/UGLTbLA+njA5O8DwPx7EBqDVq2Kf/GzRJGDE9GFOsqrZmj9i2RZymrLKU5rCDYRkoZeC4DrosKfOCIsvJ8oI0y1ivQ0zfwes1Wa0DtNYoVe1eizdHrrYQQggh3imDjT6GUhwfjgjDaofXr/m0Oy1sy0IDGo1tW5in43dLSmo1l9VyTa3u0+136A+6ZEmKYSiG/R7BeMFytsQ0TGq1b4Y7uK5Dp9vCcW0sy8K0LCzTJFqsMbMSv+YB1XCMowfHHB2dsMpitj7aY+/6Ln6jxmq5Zj5bsloGJFlKrVmnuzckJOfuvQOKogCq/ryu++T0NnFxpIxBCCGEEO+U/qBLs90kL8/3r20069i2TZ7n2JZFUpbVrmqScv3jK3i+x4N7B+xf2cGybfI0oyhLWu0mRl6ymCywbZv+sIfruRRZQVkWRHFCsI44OjghOe3KYBgmtZqHYZr0rm5hGCbLxYr79w9odZr87m99wd0kY+/SDt1hk+1+EwVnQXwZBNz78iZxFLO9t0W94WPZFq128zs7N4jXS8KuEEIIId4pRVHg+x5Xru5z/97BuclprufQchostwbMRnOMpsH2ziadXpvjw9FpiYCiLEuK0wEOzWaddBXT7bTptBq0/RqroylpkrJeByilsHyHvb0dZrM5k8mMKIqrqW1BxDXHoLsz5N7t+0Rh1WasXqsRBhOODo85eHiE6zkYRjVsIs0y0scGVBwdHNMfdNm7tM1wo3+uW4S4eBJ2hRBCCPFOWS3XTCdz2t029Uatmj42nhEGEWVZYjome/s7bG1vMtjo4TgWBw9OcBybMIyYTRdsbPXJAMex6Tab5FlIqQ1Icso0J0pzFrMlYRgRRzEa8GoeXqPG1vaQIIiIwrh6vuMppWOxXKyxbYvZZMHVjy6BAZNx1ec3Xz97iloYRhwfnvD5jz+m2++8kWsoviFhVwghhBDvlCzNKYqCNE0xDIPhZp/hRp8kSSmLEsM0cD2H48MRJ8djJqMIpaDTa1Nv1jAMg263TdnWtFtNNgd9jo5WpFGC12hgGgYHD49ZzJekSXo2wne1XGM7Np1hB8Mw8DyHWs3DNAyyNGE6mrJ/da+anGZaXLqyT54XLL5j+ppt2ww2Bgw3B2ftyMSbI1dcCCGEEO+URwMioBrmEAYRQNV2zDapmt8qanX/LKg+Yts2rufQ7rZxHItep0O5TonDCNfzqDfrnByNmZxMyYsnd2OzNGM+mtPa6BKnObV6jc2tIUXDwau7pElKEiesVwHdXodPPrvOeDRjMp6wWq7P9fj1PJduv8vGZp/BsMt8umAw6D3W+UG8CRJ2hRBCCPFOsUwTw1CcNjA4UxQFnN6W5yGtVpOPPrnGwcNDlov1WT9dQxk4jkW708bSirwsaXVb1DyP5WLFdDp7atB9JEsz0iDC8R0m4ylbl7ZOD6tZHB2OaHebZFnObDrH8136gw4bW33CdUQcx+hSYzs29UYN0zIJ1iHL1ZokSVgsVgyGvYu6dOIpJOwKIYQQ4p3SbDdwPZcse3ogNU0Dz/MotabeqHH9+hXiJCUKI4qypF73sS2bJIiZjxbU6zVM22K1XJMmKYZST0xp+7YoiGg3fCzHIioy6oZBreZxcjSi1W7gONXoYoDlcoVSCtd1qDfqKFXtSK+Wa+I4wTAN9i/vksQpeZZdyDUTzyZhVwghhBDvFMdxGAx6BOvwXCBVCmr1GmmScXw8YjqeV4fLdNUrd3t3A8t2iKOELM3p1GsUaU6kYryay/GNe2RpjjIU9UaNcB1SPiPw5mlOmeT0N/qs4xh/uWZ7Z4PjozGGaRCsAqIooSxLDMPA9z10qVFKkaUpRfnN8zabDZrNOnGc8Jx8LS6IhF0hhBBCvHP6wx4nx2PCsKrXVUpRb/iMjqc8fHBEdHr7I+1Ok6ODE44OTmh1Wmxs9um3mhRFQRFGOD0Hu+6zXJwQhgmtToNao/ZEoH6cBhr9Fg9GI7BM9va3sayveHivmup2PohXgbfZqtNutzDKkizPMQxVlS0oMAyFZZkXds3E08kENSGEEEK8c5qtBvuXd8+mjdXrNU6OJty5de+JoNsbdHFch8VsRbPTwrIM4ihmvQwoiwJdapbzFc1hh0avDbpkPl0Sh8m5SWqPMy2T/s6A0jZIswy05uR4RLfXrvrpfisga10ShiHHRyOOjkagFLZts7WzwWCjTxzFuK4rAyXeAtnZFUIIIcQ7aXt3E6Xg8OCExWzJvbsPyfNvTq25rkO702Iw7PGzn3zNZDwFpVCGotFs0G02icOIer1OuFyTFiXdvQFKwcnBiOVyxYY3wDANyuK0q4OCWrNOe6OLUXcZzxc0W03u3rmPY9sMNwc06jW++vIm89nyqbvCy8US13P48S98xubWgChK0FrTH3TxfO9NXT5xSsKuEEIIId5ZWzubNJp1/sp/9hvYlgmlptQltXqNVrtJHMYcH425f/ch+WPtG4J1QL/TQgUZaZLheS6r2YI0SWgOWuy1akTLql9up+4Sxwm261DvNsE2iaIYwhClDFazBePjMXuXdgGFX/f55POPWK8CRsdjVss1RVmilMLzXHr9Hv1Bm26/g+Z0IlzNoy9dGN4KCbtCCCGEeGeUZclisWK1WJPnOYZhkCQp7W6bz3/8CfPpEsNUOK7L/dsPcH2Hk+PpuaALoLVmPJ2x3ekxOZ4yGPYwTw+WBcs1RanxGz71Tp3eoEOwDsmLnPlqzXq+ptFuYLZ9iqLk5GSM5/t4vkuzWUOXmtlkhm3bXPvoMmWpKU/DrmEaFHnBarXm1td3+eTz67iuw/7lXdqd1lu6qh82CbtCCCGEeOvKsuTkaMzoZMJ6tSZJ0rPvTcZToihhMOjSH3ZxPYcvf3qT5WrNZn1AHEVPfc7ZdM7moI/jOQRBiGVZKHK0AqU144MR48Mxl6/usVgsKU87KBiGQaPXIikK0iRlvQq4dGWP/rCHZVfRaWt7k9Fowny2QGsoyhK0piiKs+cp8oI8zbj+yVU2t4dPXWOWZiwWS9I0Aw2GadJqNajVn15LLF6ehF0hhBBCvFV5nnPn5n2Oj06IwpjVKiQKI8qiQClFGEboUnMviFgu1mztbJCkp2FYqWe2D0vTjOPxhCs7O4STJYoqyBZlgWEZNJoNsixFKYVCAVXrsI3dDXILoiAmzzJarSZ7e9v4vkdRFATrkCiMQWssy6YocizLOZvmZhpgOzatdpNOr/3UoBsEIZPRlPHJlCiKKIry9PUNXNeh2+8wGPbo9joXcs0/JBJ2hRBCCPHWlGXJ3VsPuH/vIeOTCcv5iiRNT8NfpQqEMY1GjTzNGJ2M6Q96NJt1LNPEti3KokSf7qgqoyonADg+GtFqt9i7tEkwWWJaZhViTwNvq9ak1WpSFiW5LugOexSuyYOHR/SHXWo1j3qjhmWbTMdz5rMFSZKcOyhnnA6XqNVqDDcGeL6H5zqYtnm2E/y42XTO7Rv3WK3WTzngVhCGEWEYMRlN2d3fZu/SDkqp13zlPxwSdoUQQgjx1sxnCx7eP+DB3QOWy9VZCQBAmqaEQUQUhpwcT5g7Nn7Np91tURQFtXqNvCi5dGmPYB0yPp6yWq1RSmE7Nq7r4Dg245Mx9UaNzkaLuuOSrRNGxyOSJKPRbtIetOjt9NGWwSqKeXhwhOvaNJoNbMvk5o273Ll9n5rv0x/2aHebFEWJAkqtiaOE9SpgvQ7Ii4LNrSGmXfXTtczzfXUXsyU3v7rDeh1857WJ44R7dx+ilGLv0s5rve4fEgm7QgghhHgurfVpay6NYZhnY3Jfh5OjMXdvP2SxWJ3b5YzDmNlsQZZmWLbJlWt7tDpt8iznp7/9JaZlUqt5HB2csH9lF601u5e2yfOM27fuE0URaZxQa9QY2gNm0zmL+ZLhRo+PP75Ke29AHMZ0ui0c3+PwaMSDmwfEUUSj2WBrZ4P+oEsUxSxnSyzHwrRMZtMFcZxUwyryHNux6Q97bGwNybKU+XTJ0eExhmnQ6TSpNepn7ynPc+7cvvdCQfeRLM14eP+QVrtJq918bdf9QyJhVwghhBBPlSUpySoingdVParWKEPhNmt4rRq2736vj9eDIOT+3QNWq/NBN4lTZrMFaVrV0165domyKLn51W3m8yXBOsT1XOpX9uj1u8wmC4J1QBiEXLq6x0cfX+XWzbvEUYxpmkRBhO1Y2LbNcrFmtlhimiZ+p87O1V1msyWOa3Ppyi6maeL5LkVRsl4FKKW4fHWPu3ce8OUXN5lN5zi2Te+0ljYvC44OTmh3mmxsDdnY7DMezZicTNjaGtLrtc/e12JWrf1lxXHMZDyTsPuKJOwKIYQQ4pyyKJgfTggmS9I0xdBVWYBhVHWwWZQSzdY4dY/mZgfrdMrZywrXIePR9Fx9LhpWy1X1uobJx59epSxKfuc3v+DhwRFZkrFYLrEME9ux6XRbgGbv0jb37x7ws5/c4OPPr3H1+iXu3XlIreYRRhGl1vSHXQzDwDAMLMtka3uTZqvB/XsHKEVV+1vqs0CqUOR5zjqI+PKLG2RZDkCcJCyWq+rwWFpQliWz6YLlYs3lq3tsbA5ZLpbUG3Wcx67NeDStui68JK1hPJqws7d1NlFOvDgJu0IIIYQAqnKF9XLN6PYhB7cfkp62/1IoXM+l3W7SaDWwbYsiy4nma4osp707wPZePoQFQUgYfrPTWZYlSZxS5AWu5zIY9NBa81f+0m8wmyxOuykoLMMiTRKiIMS2LW7fvMfVa5e4cv0StmVx48s7/N5f/jHXrl1iOplTFhlJnJAmKc3dOq7rsLO3xd6lbQ4fHrNeBWedFB6nDIPbX93i5HhCr9/j5GiEptqBjqOEPMswDJOyrA6rFUXBnVv3sSyLjz+7hu+7Z8+VpRnLxeqlr9Ejj1qgSdh9eRJ2hRBCCEGe5Tx8cMTiYMzo3hF5dn5IQ5pmhEGIM5mxsTk4+0g9DWKWR1M6ewNM6+ViRZEX6LIkz3KiMCYMQlargDiKGW700WXJw/tHzKcLSq0xDAPTqsoMsiyjKKvb8izjxte3QMGVjy7T6bUxlGKw0WM8nlStxUxFq93k48+vs7+/Q2/QpSgKRieTpwbdaphFwsP7R4RBRKfbRinF6GRc1euWBWEY02o1SMtvrpVCkaYZrXbzXLgtiuKZLdIep5Q6Kw15fF1V3XTxrIeJ55CwK4QQQnzg8jznxle3SaOYxcnsiaD7SFGURGHM4cNjtNZnE8HSICZZxdS6jZd6XdMySZOMk+MxeZ6jtSZYhTiuTZpmrIOQO7fuEUUJrlv1sU3TDNd1KRsFjWYdw6h2nZVSjI7GbG4P6Q16aF3SG3T5/X/w9xGGEaZpsH95l88+/xjvdMc1CuNqLPBTeL7LydGI1XKNRrNaLGl3mtTrPsvFiuVyTZamPIqvjuNQr9dotRu4nsvoeMzepR3KssQwDJSheFZ5s1Lgui6mZZGlKWVZ9ft1XBvTNEmTFK2rnWbx8iTsCiGEEB+4B3cPWC5W2EU1SOG7pGnG8dEI27Gp1Xx0URIt1vid+gsfWCvLkizN8HyPLDutY9WgKak1fJI0pVZ4jEcTsixFlyWO50CpybKMRrNBq9MkXIfU63VAU2qYTxY4jsNkPKXZbrKx2SeJEnYubdNuN8my7Czs5kW1s/wsk/GM01kT5EXBbDrH81y6vQ7dXgdlGLQ7TXRZYtk2tm2RZzlxFDMezdjb3zmrc7YsC8d2CDk/7c07PeS3WqwZjaYE6+CsrdmjmuT+oEer08Jx7Be6tuI8CbtCCCHEByyOYkYnE0zTIJ6tnhv+HrFdB7fuUQLGaT/ZMi/I4gTH917odQ8fHrNcrau+tZ02nufguA6bmwPcmsdsMsd2q/AIkBc5ZaSxbYskyWh1WtRcj+l4TpKkaEoUBqtVQG/Yw3Ec0jil0ajT63XxPJf5bIHjOiyXK1qtJpZpYpgm8OShsbLU6FJjGgb5Y+UDcZwQxwmWaVFv1qjVfNIkpSwLoig/u1+WZecOp5mmyWCjd9pLuLrGfs0jjhLu3XnI8nRccZEXxHFy2uoNjg9GNNvHfPzpVa5/fPmFrq04T8KuEEII8QGbTubEcUy9Vntm+cIjtuvQaNcxNCSriKPpfdJeB9MyMW0TXZQ0Nrq4TR/TMp/5PFmacXw4wjAUO3sbFGXB7Rv3GJ1MKPIcY1H18t27vMOPfuEzHt4/YDqZU+QFhjJodxr0um2yIqNe97EtkzAIyfKqPVqeZfQHXbZ2N2g0Gzy4f8hsMkOhWK9DajUPx3Wp130s06RW9wmD8zuuSoHt2liOTR49eV3yIqcsS4qyIMvzJ75vmSaNVv3cbb1+l4MHR4RhhOe7xGHCzRt3CNYhafJogEZ0uuN8Og1OKYIgpNGs8zu/9QW/+Es/ol6vPffPSZwnYVcIIYT4gD1q/aVQVY+rZ3B9l0arTjRdE8yW5GmOYRg4pkW9UaPIcoLpiiIvsGsure1+VXbwFLPpgqIsKLKCwwfHPLx3wPhkwvHhCAUkScpsNicMQtI05fon16gfHHP/7kP8ulfVwqJZzFaEYYTjOrQ6LVzXxatVZQatdouyKLl7+z7j0RSAzul9ylITRzFxFDOfLmi2mzRbdYq8wLJtiiLHtm1832O4OWAxXRAEIUVx/iBa9RpPv2Z+3afRPB92a3Wfja0BD+8folDcu/uAYB0SBhHz2YI8y3ji2TTUGzXSNON3f+sL0PD7/+Avn5VHiO8mYVcIIYT4QGmtSdOqvZhGP/MAlO06NFp11sdz1tPlY48vz9puKRSGodBFSbqKWBQj2nvDpwbexWJJHCfcvnGPJElI04xms4Hj2IRBzHQ6Iz2qppGtVwFHhyN+/IufMdwaEKxC0FV3gzRJ0WiSpGoDVq/XMFBoXbJcLqg3fObzar2madJqN584JGZZJkcHx3juPoZlcPvrO6yDkG6vTRTGTI4nmLZJq9WkLEuWyzVal3i+R63mf1Nv/BjXcbh0eZdm88kDe3uXdtDAra/vsFysiMIqcGf5k8+jlKLX79IfdEmThLLU3Pr6Djt7W1y5tv/0P1TxBPm1QAghhPhAPT61LC8LvIb/1Ps12g2iyfpc0P02wzIxnG/20LIwYXX49LZecRhz99YDFvMlSZxWh6/camjF5s6Q3/PLP+b3/eovsXdpmx//4mfYjs10Mmdzawi6CoFFXpAVVfmAQlFv1LEdG5QiSaqODaiqZALA9zwajfoTa/HrPnGU8ht/9bc5eHDMycmEYB0yPplSb9Qo0cynS46PRsRxQqfbwrarg2PKUOeuIVRBd2d/m0tX9596WM+yLLa2hhR5iWVZBKvgiaCrDIN6vcbm1gaDYY8szShPyxrm8yV3bt57pUlsHyrZ2RVCCCE+UIZhYNvVCf8kTmjVazhedbDrEcdzMLRmPXta0DUwVLVvZtdcTPN8nW4WpaRBjNc8X2M6Hk2ZTedAFbiTOGFja4BtW2RpDgp0WRJFCa12k3qzzq2v7xCuQnb2t5iN55S66hqhMGi26jRbDcq8wKt51Bs1Ot3OWSD0fY/N7SGm/WQd8Xy6JI4jZtM53X6HRrPOarmugm2vzXDYJ45ilAGL2QLDUOxf3sV1LaIoqdagFLZtUav5dHsddve2zo0J/rYgiKg3fDY2hxRFwXKxJksztNaYp7W+vueBAelpK7JHtNYcH41YzJfUG1K7+yIk7AohhBAfsP6gy2K+pChKcgW1Vv1c2PXqPvEyosiecgjLNnE9F9MysR+bFvZImRfEy+Bc2A2CkMXifHDuD7oow2C1WDGdzFkt16yWa06OR7iOw+6lbXb3t6nXa9QbNZaLFY1GHdu2cF0b1/PIswzLsuh02+zsbpEkCXEY06jX2dweUnvKrvV6HTIeTSjLqmdwsA7Z3BoSrAPKUjOfLdnZ3yLNUk6OxjTbTRzHwfc96g0fx/EwjGqUcqNZx6/51Bs+Vz66dK4Tw7fleUFRaFarFUop+sMuUO1QV9/PSdL0OY/PmU3mDDf61W62eC4Ju0IIIcQH7PEOAVEU0eg1aGY5q1k1/cu2LIL17KmP9TwPx3PwWnVs9+mhK13H5FmOZVeRYzaZg1aYhqIoNcONPmmacfvmXVbLNQCGYdJs1smznDCMOHp4gmmZNBp1/qY/8Et8/uNPOLh/hOvZZFlxNohi//Iuly7vsVqtydKc7d0tmq362Wt/23K+Ij0tczBME9u26Q975HnOahFUnRbSnE8//5j+oEuwrjo2ZFlGp7t1LtAahkGjUefqR5fodp+9qwtgKEWWZdXI4bwgz19yMppSpHlOEER0JOx+Jwm7QgghxAesVvfp9bvEcRW8wjimtlENTAgWaxTVDu232bZNq9vEbzfwWs/+OF1rjS5KOM1kaVq1C7Mcm1bNJ89yvv7ZzXOTzMqyIMuoOiQUBWEUUWpNFMVMRlN+z6/8PJ1OiyAIUUrh+x6DjT77l3c4OaymsXW7bZTx7AEXSZyyXj0K19X9irLEtEyGmwMGG32KokApheu6bO9sEAQh49GU9aoqc6jVaximQa3mM9zs0+t38V+gz7BtWxjqyXrfF+W6Djx2OFA8n4RdIYQQ4gN36eouSZIwGU9JT2tH6xttvE4dB6PqNXu6A6oMA6/m0d/s0x52sJ/zcf3T6FJjuzadThvTNPjyixtPHdlblAWWYdLpdXAChyiKSJKE+WzJZDzj2idXiKO4GtPbqDHc6OM4NkcHJ/i17w6ccRRjmiaOY5/VxLquje+7oDVpmp3VIGdZVg2JcGwuX92jyAtcz2P/8g6mVe1CW9aLR6pmu0G9WT8rW3gZlmUyGPbI80LGB78gCbtCCCHEB85xHD769BqmaTKdzEnTlPliieM41DotWhsdnHo11ta0TNq9Nu3v+Kj+EWUolPlNKHs0bGJ3f4uD+0csTsslniYvCwytqTdqNJo1DGXQ6rYIT4cwDDcGdHvt05Ziijyv+uM+LTw/Lk1S5vMlR4cjoiCkOO0Ysbk1ZDpZYJpVN4Q0Tckeq1VO0+ys7MF27KrW+AXHIz/Osiy2dzb4wrOfW5v7NM1Wk3q9RlmWMj74BUnYFUIIIQSu6/DxZ9dYzJeMT6bVkIO8IMpSDN+BOKXZadJo1vG8Jw+jPfN5G7VzNbPNVtVP13Zs4iTF9z2iKKZ4xkfyWmu0hkajTrfXYnt3m26/jW3bXLqye264gmVZDDb6rNfrcx0MHrderjk+GjE6mTKfztF8M6ms1Wly+PCI1Sqg3+uwf2UXx3VIk6cH0lcJuo8MN/rsX9njp7/z1QuXM9i2zcbWgFJrut22TFJ7QRJ2hRBCCAFUgxd6/S69fpcwiE53MTVFlBKNlxgv+bG7YZu432o71um2qNVrRGFMmqR0Bx1qcUoYhCRxSlmWaKpBAIZl4tc8fN/H9Rwc16V5OoI3iqrHe9+qke0POhweHBOF58f/AqyXAQcHxySn3SbUY3WzzVaDZrPBaDShyAuOj8dkec61j69ULdG+1Y3CfcnyjW/zfI8f/8JnzGdLHt4//M77Pwr3vV6HNE3pD3rf6/U/JBJ2hRBCiB84rTWr5ZooiimLEsM08Dz37OP9V1Gr+9TqVbuuolGgw4w0fH55wLc5dQ+3fj6MmqbJxuaAe3ceUOoSwzCqQFvzyNKMIi/QgFJVqcCjulnDULTazbNdYq31WfnB4+qNOpubAx7cPzjX5SDPco6PRmdB1/NcLMskzUos22Jvf4e8yCkee8x0MsdxHnL1o0vnwq5pmq8lbG5sDfnF3/tzWKbJeDw9NyiiyIuzkol2u8n23iaDYY8wjBhuDGh3W9/79T8UEnaFEEKIH6hH/VZHJxOWixVZlqO1RimFZVu0Wg0GG336g+5LHaD6NtM2ae30WDwck0UvVmPqNHxaW72ndkTY2BqwnC8xzfMHrOzT8oZvU0rRajXp9TuP3ca5EobH7V/ZJc8Ljg5PyPMqpK6WAUmcfPOeLBO/5kMEVz+6TLPd4ORo9MRzzaYLtsIEy7LOnsvzXXqD7ndfhBdw+do+hmlycjRiNp1zdDhmvQ7I0hhNSbvdxrIt7t894M7N+/z493zO5vbge/15fmjkSgkhhBA/QGmacufmPUYnkyc+YgcoioJRnDCbLpgOelz7+PL3+ujdqXm0dwcsj6bkUUpZPLmrClXpgVP3aG33sJ5xgMqyLHYubbOxOeR+fHAWIp/GNE1arQab28Nztb+u42I/o3+uaZpc+/gynu9ycjQmCEKWi9W5nWDbsbl0dQ/HsTEtk5Pj8VPrfJMkZTqesbO/Rb7Oz3amv28ZwyOWZbF/eQdDKfK8IAgi0jTFqNdI84yT4xFxFNPpttnYGrJervkrf+m3+PjTq1y6vCtDJV6AhF0hhBDiBybPc259ffc0oD09dD5+35PjEVprPv706vcKR07No3d5k2QdEy8CsihBnwZEZSicpo/XquHW/Of2uAVotZp8/Ok1yrJkOV8RBBFFnqPRKBSGZVKrebTaLZqt+rlRxIZhMNzsP3d30zAM9i7tsLk15OjwhDAMabTqoDW2Y9PutqjXfGazBXdu3H9meAeYz5ds725imiabWwN297df8so9n2VZWLaJYVY1xGVRkObVLn1/0KPba+M4NlGUcP/eQ6BqnVaWmqvX9p85NENU5OoIIYQQPzBHByeMTibfGXQf0VozHk1oNGtcurL3vV7bME38dh2/XSdPsyokKoVpGJjOy8WK4WafyWRGq908O7BWllUdr23b+HWfp5Uce757rqTheWzHptVusrE5pNevdpC11mRpxnodYts2mzsbjI5HJElK8a3QqxRVQLYt+oMel6/tvfYSgsl4xs2v7vD1l7cpdUmr3aTbM9FodKkJw4jJ+PwUu4cPDvF9D9dxuHR197Wu530jYVcIIcQ5QRCSpdUOm2kY1Oq+1Ae+Q/I8Z3Q8oShebnpWWZaMT6Zs72y+to++n1Wm8KI63TaDYZ+jg+OzQ2rfxbYttnc2q3rbF6SUIo5ikme0EGu1G9RqHqtVyHKxJEszTMs83bXWtLstBpsDtnc2LuRnYXwyYXQyIY6r3do4Sr7zMWVRMjoZs7m9QX+jK23InkP+7SWEEII8z1nMloxHU+bzBUVeAtVBJ/8lR6GKizWfLQif0lbrRYRhxHQ6Z3Nr+JpX9WoMw+DqtX3KomB08t0B3nZsdna3XrqMwLYtjMfKIJ7Gsi26vRbNZo3FfMliviIMI5SCKIwZn0wYH0/ODvw96lTxfa1XAZPJlMV89czewM+yXK6Zz+YsZksJu88hYVcIIT5wURRz+8Zd5rPFWaujxyVJynKx4qB2xJVrlxhu9N/CKsUjq2VAlj355/Qi8jxntVi/M2EXqgD70adXqdVrjE8mhGH0xIE1x7Gp1WtsbQ/Z3N546XZqfs2n2209tffu4+I44fhgRBCGZy3ItK52oLM0IwwjVqs1RwfHXL66z8bW4OXe7FOslmsW0+UzB1c8T5EXTCdzlvMVWzsbz+xO8aGTsCuEEB+wOE648eUtppP5c6c4lWVJsA65+fUddKlfy3/kxat5XueCF/GqQfkiWZbFpSu7bO9sMJ3Omc+W5FkGKFzXodvv0O40v1cJQX/YZzyaPvUXOoAkTjl8cMx6HZy7vV6v0e62iE/blpVlSRCE3Lp5B+B7/ywURUGa5S9cf/1tWZpRFAVFXmA4EnafRsKuEEJ8wO7euv+dQfdxcRRz5/Y9anWfRrN+wasTT2Nb9rnJXy/rXd79sx2bza3hhew8tztN2p0W49H0iWunNZwcjQiC80HXMBTDzT62bT2x8xpHCXdu36Pe8Kk3Xv1nofqzfOWHV49X+pX/PnwIJOwKIcQHarVcM5u9eNB9JApjJuOphN03II5ilosVwTo83flThGGE57sYyiCOk5c6qKaUwvXci1vwM+RZzmy2IAojiqLENA1836Pb67yxtlmWZXHto8vkecF8tjj39z4KIoIgPBc6DcNge3eTre2NZ5Y/VD8Ls+8Vdm3bxnXtqlXbK2zuer6LUgrTen5N8odMwq4QQnygJuPZ2ejUl6G1PjvV77ymxvrivDiqDkQtJwuiRUCWZKA1pmWiHIt1EIChqNV9bNs6+4j9u7iu88Itu16HJEk5ORoxOp4QRdG54ReWbVHzfQYbfTa2BnhvIIT7NZ9PPr/OnZv3mc8XpEmC1rBcrs7WppSi0awzGPbY3B6e9bN9mkc/C1vbG6/8s9Dutuj1Ozy8f3huvPGLcF2Hbq9Do3G+D7E4T8KuEEJ8gMqyZDJ+8uPcFxXHMavlmv6w95pXJsIg4sHX95gejgmXIdm3Pj43LZMkzcA1ybOcRquO57kvFHhb7SbNVuOiln5OEITc+vous+n8qfWoeZazzFas12vmswXXP7nyRjoK+L7HZz/6iOVixWQ8Y3QyxjRNur02pmnSH/ZotZuYhkEURt/ZISGKY9brgN4rhl3XddjcGnKv/YA4Tl7qZ7LVadFuN+n2Oq/02h8KCbtCCPEByrP8uROjvktZarKX3IUS3y1NUu7/7DYPbzx8IuQ+UuQFeZoxPxnT3eyjlKLZbmBZ1nMPr3m+x9bOy3cyeBVxnHDr6ztMJ7PvrEctS13d70vNp59fx3sD7e2UUrQ7LdqdFpvbQzzPI0kSDGWQFznJS4TOsijJs+/3szDY6LOzv81stnhmL+Bv8zyXza0hfr32xn6B+aF6d6vUhRBCvHGWZVKv16jVa/g1n1rdp96oYdtPDg94A5npg3Ny94iDW88Ouo94nkuz0WB6OCaZrYmjBNd79s6i57lcvrJHr9993Ut+qqODk9ODjy/+mPlsweHBycUt6hksyyTPcxSKsizP6pprdZ9avfad5QFKff+fhUazzmc/+phrH115oYEfrudy+doe2zsb7O1vvZFfYH7IZGdXCCE+QJZtnWvj5Dg2tuMQRzEHD49Yr4LT//Ab1Go+/WGXeqNOkefEcYJhGjJV7TVLopiTu4ek0Yvt7NUbNQxDEc0DGt3W2Zjdx0sGbNumVvPZu7zzxvojp2nKeDR56RKZRyONd/a2cC+wFrwoChbzJXGUUBYlha6u23odcnxwTBBUh9EelTZ0ex3qjRpx9PTDgIZhvpZDdv1Bl1/+/b+I6zrcvn2P1eLJIROWbdFsNdjb32Z3b5ud/a2XmiT3oZJ/UwkhxAfIMAz6wx7L5QrPc8nynNs37jKdzFmvAjQahcI0TSzb5OR4TKvdYGd3i3qj2u1qt5tv+228VxbHMxbT5Us9xq/5uGWBrQwcx6VWrxGHMcpQ1Os1hpt9Ot32G/3FZDqeE4XxKz02jhKm4xnbu5uveVVVich4NGV0MiFYB2RZThwlnByPWS1WoBS9fpt6vcZoNKEsShbzJUeHJ/QHXXb3tslzde6QHUCt7r+2MoJut82v/qFf4donl7l3+yGHD49IkhSlwPU8Njb7DDf69Ac92p3WG+tk8UMnV0kIIT5Q/UGHyXjKerXmq5/d5OhgRBhEFHkOGlCgjKpFlF/3ydKUMIy4dv0Sn//4E/kP7WtUliWr6YIsffnuGIZhUiY5Dd/j+ufXquECpvHWTucH6/Cl2qE9rigKgnX4mldUtQi7deMO08n8bG1xlFRDJIKALM0YHU84eHBYTUbbHDA6rsYXx1HCw/tHZGnOlWv7lKU+ew7DMBhu9l/rLxOWZbG7V+3cRmHMOghBa2zbxLJs6o2alC28JPk3lRBCfKDqjTq1ms9f+Uu/yZ2b93Bch/6wi2VZp43uS5IkZTaZEwYhft2nU5YcHZzw0afX3/by3ytlXpLF2SsPF8izjCLLMQzjrU/Ryl8x6J49/ntOiPu2JEm5+fVtJuPZWWlFURQcH46qFm5Uwyy8msd6tebG17f56OOrDDf6HB1+U0N8cjzGti32r+yeBfJGo07/Auug/ZqHX7v4A3vvOwm7QgjxAVstVyhg//IuWmvmswXr5ZqiKFGGQa/fZm9/hyzPmE7mJElKvdlgOpmxu78lvT1fF12eHXR6lcCrtX5nJqOZ33MdhvnN48uyrFqEjWas1wFlUWJaJo1mnf6gS6vd/M5dzsMHR6ddIaoLaxgGcRhT5DmmaZ7t0nY6TcqiIAwjbt+8y49+4XPqjdq5nebxeMZwc4BlmXi+x/WPr7yR7hHi+5GwK4QQH6iToxHj0ZRur8PtG/e4c/sek9GMPMsotUbrKnx1u232r+xx5dolbMcmS1LCIGQ+W9IfvJnT/e89w8B1XSzLeqIm9EWYpoFffzcOKvk174mDci/KMBS+X72P2XTOw/uHrJbrJ9pxzaYzTo5GtNpNdve3aXdaT32+JEkZj6doXfXXNQyDJElJ04x6q8HAd7FsiyiKCVYhnu9xdHhSTUYbTdna2TgXdpM4YTFf8vGnV9ne3aIldes/CBJ2hRDiAzUZzZiMZnz1s1ss5ksMw6DdaRIEVc2l1mAohQZOjkdYlsmV65eI4phgHTKbzCXsviamZdLstXA995XCrt+o0eo9PfC9ad1eB89zCZ8xYvd5XM+jP+wyOplw+8bdZz6H1lUv31JrirLkEjw18M5O+/y6rkMYxcRBRBwnVccFrYnCiDwvaNRrdLttGs06vX6X+WzBarnGsi0ajTppmqEMqNX8037FmxJ0f0Ak7AohxAcoDCNGowk3vrrN8eHJ6eQmcF2bdrsFjz4Z1tVHyXGc8vVXt0iShE8//5jVOiBJXmxErfhuSin8ToP+sEsURhQvM/BDQXezR+sZu5tvWq3u0+13Xins9nod0iR9btA1DAPPc9FUh+Gm4xkP7h2ws7tJr9+lN+ieTWKL4oQyL5hM5iznS2bTBavliulkQZIk+L5Hp9tiPJpg2xbtTossy6nXa3R6bVrNBv1+lyiOMQ0D27FxHOeVa6vF2yFhVwghPkDBOuDo4Qmjk8npDlkVrtIsw3ZsbNtCGQa6LMnz4uxj5Pv3D9jYHGJaxiuPGhZP5zZ8uhs9FrMVi/nihQNVp9dmY+/dGiywtbPBcr5itVq/8GMazTo7e1vcu/PwmUHXti0s22Y8mjIZT1ktg7Oa29lkwd6lLQ4eHtPtttm7vEMYhNy7+5D7dx4QrEOCMGa9WhMGEVqXTEZTHt4/pDfosL2zxXq1xvNcbt28w/b2Jrt729XfdeTv+g+ZhF0hhPgAzWdL5oslSVIFXdu2aTXruJ5LmmVEQVQNlTAM/JrP5taAJElZrwIOD48ZbPTkcNprZlom7a0+e2kOt2G5WH1n3Wuj3eDK59doDztvZpEvqNlscO3jy9z8+g7rVXDue9WEMgfTNKuArsH1XXb3tijLksXi6b2GbdvCNE3u3LzHyfH4ie+vV9UkubLUxFGMaRrc/PIOP/vp1wSrkCRJWMxXVX3uOgRd4td8PN9nNlkSBTFXP7qMbTt0Om1u3bjL5tYGVz/aP9c32DAVliV/939IJOwKIcQHaDaZk0Qxrl2Fjk6nxXodMrp/xHodoPU3IUuhaLUb9PpdNjb7hFGC1praO3Ig6odAa/1CO69eq0Z3b4hhGIwORyznK+Ikoci/aeelDIXj2PQGPbav7zB4R8fFdnsdPvnsOg/vH7FYLMmzDMd1q57CixXLZbXr22hUO7oKxWq1PvdeHzEMhW3b3H5G0AXIsozVKqDvOjQaNQ4PjvnypzcI1xGGZaBSg1a7QbvXIksy5rMFi/mS5WJFo9XEMOD+vQM++9FH1Bt1LNvm5HjExnbVR/dRS7Rms0G9Ubu4CydeOwm7QgjxAUrTlKIo6Q17JEnC6GTCeDw9dx/TNBlu9Bls9Ko6RaBer3G5VU1Scz1pufQseZ4zny0Yn0wJg4hSl1iWRafbptfvPPdwU63TwHIsap0G4WLNarYkXIfVOGDTxGv49Db6NAdtvNa7PWCg1W7SajdZrwJOjkfcvfWAyWRGEic4jkOjWcf1nKokYbUmXIfU6j6e7xJH39SEu57HdDpndDJ55muVpSZLMwDqzQY3b9xlMV8SBCG1mket5gEKZYDdsdnZ2yIKIw4eHvPg7gHlaTnEfLJg73KdnZ0NlFKMjibs7G+Rr3Msy2Kw0X+nr7l4koRdIYT4ALmui9bQ7Xf48idfPxF0d/e32dgckGU5J0cj5tN51XtXKbZ2NtjZ32G5WDI4HUIhvnF0eMLhg2PCMCLLsnPfq8bPHtNut7h0ZZd6o/7U53BqHk7Noz5o0V73KIui2h02DJyai/MDGzSQ5TnjkymrVYB5WhpjGMa53sBpknL48IRSl1z76NK5wKuUYnwy/c468bLU2I5NkiR8/cUttNb0Bz1WyxV3bt1jvQooyxLTNGm1W+zub/PZz33M1s4Gf+0v/xamabJYrNjKc7r9NutVyHQ6Z3N7iFKKRqNOt9u+0GslXj/5N5QQQrzn0jQlCCLKosQwFI7r0Om16Q46PLjzkLIsz31Me+2jyzSbDW5+dYeT4xHlY50BlDKw7Dm3b9yhKAvyrODax5fxPPdtvb13QpHnZGHKZDRlNpujSo3rOQDnAq/WmjhKiKMRURjz0adXn7vLazk2Vs++8PVfpOlkzm/+td/mzs37pGl6VoesUFVrr2aDVqdJo1HDtAzm4wW3b97no0+vYlkmhmEQBiGr5eo7X8uyTLrdNje/ukOe5+R5wY2vvuD4aEJZnG/pNp8tuXPrAVu7Q37lb/49/Oqv/Qp/9S//FvPpAqUUnV6H2XRR7RhnOa1Wk2sfX8ZxnQu5TuLiSNgVQoj31Gq5Zj5bMJnMCNdhtSum1Nk44O2dDeaTGbZr0+93WcxXbGwNaDbr/OS3f8ZycT5cWLZNve6zsdUny3KiIGJ0Mkbrko8/u4bjfHghIIsS4mVItAiYjmYcHZ5Uk7ksC7/hU2/V0L5HEIbk36pFXS5X3Lpxl09/7iP893QK13Kx4nd/6wu+/OmNp7RT0xRJSpJMWS1X9AddanWf+bSqpR0dj9nZ26IoSqJo9cT1+zbTMun1O6yDgDzPCYKQ3/2tn1KUGsNQ6NJE68dqnxUYBhw+OOYvhv85f+vf8atc//gKs/Ec3/NO2+5pLFvheS7XP7kivXV/oCTsCiHEe0RrTbKOGD2sSg+iKCYvCkoD4iwnSVM8z6HIS259fQet4fKVPe7efsDO3ib7l3f5G7/5U8IgOu22UJ08d10X27XxPJd2q0kUReR5jtaayXiKd8fj+idX3vbbf6PC6Yr1aE6eZhR5wehoRHJ6aj9Pc5IwxpwuaXSbNPtN1lH0xMCI5WLJ+GTC/uXdp75GEIRMx3MW8wV5VqAMhV/z6A96tDvNd7qEZLlYcffOA+7fP3hu32DXc3FdhyzL8Ws+w40+x8djZtMFm1tDDNM49+nCM5/HcWi2GsRhwnK15qsvbpJlOYZhYigDbEWRVz8jnB7AVAos02C+WPDFT77i53/x54jWYdV6Tyk6nRa9fpfd/W1qNZ+TozGT8ZQkTtFaY9kW3V6b/qCLX5MDm++qd/enRAghxBNWqzXL+eosNFmWSbvTotGsEy8Dotmaw3uH3P6qOpyTJNWwiFqrQbPXwKp5zKaLancXxe1b99jf3+HKtX1WizXBOiSOYlrtbwYUKHV6qMeyqDdqeL5HnpU8aj1alprJZMpOtPXe7lB+WzhdsTqeUmTVTmEQhBR5gWkZlKVGl9XFKfKCxWiOLkvqwzarMjzrCwvVtRsdT9je2cSyv/lPchTF3L/7kNl0QRLHlOU3taqzKYxPJtTqNbZ3N9ncGr6hd/3iiqLg/t0DppMZq8WTvXaVUjSadeqNGkVeEIUxq1VAkqS02022dzZI04wgiOj129/Z5s4wFMPNAXGUkOU5k5PpuUNkhmGgKDEdi6LUlEV59meEAsdQHB+O+fzHOZeu7TPc7ON4Dp7v4XlVEP/t3/wpYRSRf+sXltl0zsGDI3r9LvtXdnGlzOGdI2FXCCF+ABaLJcvZmmC+JEkzNKDRZHnObDrHw0SlOWmU8uVPbjCdzCnKb0JVsFiRxwnNbpPBdp+wSKsdwn6XO7fv83M//ynbO5v8tf/8t05bP2mUMqoaX8fGsm1sx2Yw7NFqNWh3W3T7XWo1H2UolFLMpnP83a23do3elCxKWI/mFFl1aCxPMsLZGrICnVV1ommeEZ/u/vk1j1KXmLaF3/af6DsbRRHz+YLBsA9UwfnGl7eZzxbPPJCVphlpuiAKI9IkY//yzoW/75exmC+JwogszZ84pGdZJoONPkmUnAXiOKp2xBXQ6rRotZrU6j5b2xtYtoVf87Bt+4nngio4N1sNBsMu61XIehWwXK5ptuqn0+g0tm2SZ6ApMQ2FaTwZnrMs5fhoxC/9Tb9Af9hnNp2D1hRFyXQyZfmMmuGyLAnDiDiOieOYjz65iveB/NL3QyFhVwgh3kF5mhGvItJ1xGKyIFwFFHmBNhVplp99XN4atnFLxfRkShYmLOYLlsvlWdB1bBuv5mGaBkmSMhvNiaOUzavbdAddTNOk2W4ShiGDYZ84Sr6pS9SgjOpjX993+exHH9PutsnSlPlsyWodMJ3MMEyDTqeF49hsbA7OfbQehVHVeut0QIXrVh81/5DFq5A0TsiChCSIidcR92/dYzFbVi3GHBu/7lOruWRlyXy2wFiYaAU77UsYhjq3U/v4hLokSbn19Z0qaL2AJEl5cO8htm2xtbNxEW/3lYxHU7I8f2IoRtXObsB4POXOrXtP7JJqIAoifN/j/p1Z1e6uUa9G97YbTMaz889nGDRbDba2N7BtG9M0mE3npHFCq91iuQiIwgjLsimKEv0d1RDz6YJ2q0W97le70ss1w80B61X4ne+5LDWT8QzDMPjk8+vvdInJh0b+JIQQH7z1YkWapJSlRpkm9Yb/1nZmijRnPV6QrKq+qqv5iuV4ThKllGWBUgbKVHgNnzhJWB5MmccZXrOG7dncv3eAQmHbFp7vYpomq+Wa5XJFGHwzgnW5WFHb7nD3zgP2Lu/S7bUxDIN6o0a+WKHLEsMy8HyPbrfN1Y8uk6YZt2/cJQpjyrKk1++cfRQ8Hc9YzldorblydR8NjE4mzCZzsiyrrq1SWLZJu91isNGn021TFAX6NAg7jn2uFdW7qMhzwumKcLIiDWOiIGK1XJ/VRgOkcUoapxhzk1a3RbvdYjqbc3T/iGa/g9etf2sc7jdlD+PRhPls8VJrSpKUgwdHDDZ670TAyrOcxXyJLvUTk8aGG32mkxm3vr7zzOlwRVFSlpresIMuSw4eHHHp6i7be1vMpnO0Bss0cT2XdqdFu93EtE201pimefr3rcT3PZrNOpZlkOcFtmOTpVCWTz/oZhgmrXaTTrfFOgjJs4J6o4ZlmyRJ8tj9jNNx2oo8y4mjlDiKUae3z6ZzZpM5w83B67uo4nt5+z8VQgjxFuRZzvxkysn9Y8YHI7I0B0Nh2yb1XpNGr01vs89g2HtjDeSzJGV5MKE43e0KZ2vGtw4I1xFojWGZeM0aShkUUUqjWSOPM44fjgmXAbVeg3qrwcnDY/Yv75JlGQ/vH34rWFVmkxmtzQ6r5Zq/8hd/g7/lb/197F3e5ZPPrzObLk576oJhGmxuDknihDu3HpBXnwVjmCaGaRLHSVXqYDvEccJ4NOXoYER/0CVJkicOZOV5TrA64u6t+9Sbdbr9DuG62jVzPZfhZp9ev/vOtjJLg4T1aEG6jknTlPl0idYl5lNCelkUzCdzOv023U6byXTG+OCEgX++5EApA8M0yPOc0fHkuYe5niWKImbTBcON/iu/t9clLwp0qcnzHM9zqdV8wjCqar3znLt3Hjx3DLLWGl2W2LbFYNin2a6TxAmfff4RSle7p47rUG/Uzv1yVJYljuegy5JSgzIMNreHp4MlItLT3fNvB17DMLEdm16/w97lHRzXJUkSWp0mpmmwXlU1x45TlfJkScZ8viRYBaRJhmmZp7enrIMQ3/fxPI/e6Scn4u2TsCuE+OCEy4Dbv3OTh3cekiUZtmVCXlCWJTmKdBGRLUKSeUCwCtje28R1LzZ8FXnO8rA68JSsItYnc0Z3jphN5ufqNhfHM/xmjUa/iVfzcGwLx3eJ1yEnd9bUGi7djR5FUXByNHpq0AXI0ox4GbK9u8Xx0e/yu7/1Bf1hl2arTppU09W01rQ7TUzT5MG9A7I0IUkysjSj2W6wmC+qA1qGwnUdXM9hMVtycjJmMVtw9aPLZ2G3KArWq5DxSbVzmec5jmPz8efXuXL1Eqvlitl0znKx4qF3yM7eVjVC9h2bVJWsI5IwQqMJ1iFpmmCc7jKuH7V3e5zWLCYLult9ajWfJEkJlgFuzUMZ1XtzHJtGo85ysSIMvvvj8qfJspzxyeSdCLuGUqCq8Gk7Hp1uizCMaDbrTMbV9LRnKfOSXOekaUaRl9VuvzLQgOe5/Mrv/73c+Op2tXP8rWv96HBbs9XEtqpfxNqdFv7pocwoiKjVPKIwJSuy6hMFVZXWtDoNdve2GQ77OK7NxtaA5WLF8eEIUDQaNYJ1yMH9Ix7cP+L46IQ8y9HoajJer83GxoB2u8lqFfCzn35Ns93g6rVL2M4Pu0/y+0DCrhDigxItQ77+jZ9xdO8QQyuIcyaTMdPJjCRK0FpjOw79jS6D7SHkBXEUE8UxjWaDXr9Lp9t66o5NHCcs5suzkaWWVdXD1uu1Z64nz6uPfFejBdFsRbIMiSZryjgjCqKqZvbxT121JloGRKsQSmhudGn0W6znK7IsI5+nbO5v8NXv3jirA32WYBnQ2urQbDUYn9Ynep6LYRpVTyaqca+3b91jtQwIViF5kdNo1nEchzTJ0Ggoq4AThQk3v75Dq91kPl+xWgV4rsPhwQnz2YLpdM7kZHL2cT/AbLogS3M2t4fUGzXCICIIQu7evk9RFOxf3n1nAq8uNXmcQslpB4EIrasdXMd1cBz7qdf8UTs4v10joyRJU3Jd0mhW09Na7SbNVoOjg5Pv7CX7PEFQhe23fb0s28JxHMIgIkszBsM+k+kc0zSZfGtS3yNZmpPGKUmaYlkmtUZEs2wwOhkznc5ptZr0um0++/EnfPpzH3F8OGI8mhBH8dk1exR+9y5tMzqekBc5y/kax7XZ3t0kjmJWyzVZlp+VjdhO1Tvadmw2t4dcurLLR59exbYtHtw7AKDeqFqO3bv7kMnJlNVyjWGoc90zlvMl8+mSXr/D5at7lGXJeDRFl5rrn1x5J8pLPmRy9YUQH4wiy7n/s9uMHhyjipKT+yNODkckcUJe5Ge1rmkQMv9qwa2v7rB54x6/9Lf/PpyNFg/uHjA6HlNv1Nnc3mBru2r5tFqtGR1PmIynxFFy+hFt1c3A9Rx6vS4bmwMc12a1XJOmGUmccHI8ZjKa4voeTcOmDFLmD8c4lkWyComCENt2sGyTPPtWCNKaxcEEtKZzaYOTu0eQQLKOsU2r6tjwHZ+Gl2UJWtNo1rFMk8V8xf6lHRynKknwa/7puOAJ69WaoqwCWqvVIMtOg+4pv+6fnWaP44TNzSGT8YRWs8nx0YhgFTCZzM8FXYAwjLj19V0AXNdhuNk/3THNeHDvENdz35nWWsmjKXSmQRzGZNmjkFWFfb/mkyQZ8GQHhSiM8Nt1nEaNMM0oo4RGs45t2wyGfZRSlLr8znG4z6WrHfS3HawMw2C40We5WJGmGfVGjcuXd5nPlufqxgHQEAYRURSfHapsNGv0el2arQZRVLVdC9YBrudw+fo+vu9z5do+O3ubTMdz4jg5bftm4td8dve3mc2WPLh3gGVZzGcLsjDGdpyzOtrqlwIDqMot6vX6/5+9/3yS487SNcHHtQwPLVIjoUGyVPcVe9dm1mxsPs0/vWO2d3dmt3tudXcVWRRQidShwyPCtdoPHkgiiQQIVhEgCPpDI4xERHi4e3hmvH5+57wve/s77O7vYNsWYRCWln2WwWg45ej5CeulRxCEUBSsvaDsoy9yhM0xG5bBbLpAkkQO7x4gCiKT8Qzd0Dg43PuwH0LFNSqxW1FR8ZvBm62YDWcUacbwxSWXp0Oi+GUlrhS6aZIRRuFV5efk2QmypvCf/7f/RqPt4M5XxLNFKciiUrA8/e4I3/d5fQU7J89yptM5p8fn6LqG7wckWcboYsyTb5+TZSm//+IBuWGzvpiR+hH1mk0UxAR+SCTGmJaJqspX4upVFmdjnH6LWrtOFEYYmoa/WKHqKpEXvvV8CIJAluUkSUKr0ygrtppKq9Pi4uwSyzaZjees3TW6rmNYOqqqlkL3lYNVVQXTLKfXozBmPJwiIFBQICCCUFYd0/R12yiA2WzOTtjHXbhIklT28Xo+cRxzeT6i22t/FINraVheK6qll4N1r9xNJEmKZZmkccra8157bZ7lFFmO1XIYn18giiKSJNEfdOn0WkDpLCAIwt8teEVR/MWF7kta7QZnpxq+V7px9AddBEFAlCRIv+/jfil0RVFAklVURWFvf4dOt0UUxeRZQZ6VPrzDyzHPnxxzePcAwyivxTc5UDz8/B5Ld8XSXdHptgijmMAL8NYeZZCgABQYhkG322Lv1i69rQ69QSmGRVFEkSV8P+Ts5JIszfA9n8V8QeCHJGn62nsGQYiqKgR+QLNZ5869WyzmLuPRlK2d/m8yYfBj4eP4qaioqKh4z+R5zvSiTLhyRwsuT0evCF1QFZkszQjD8DWx8eK7I7bv7HLrj/fw5DL2NY5jvv3qMZZjI8BrQhfKHsM0zTh+doKqqsynpS2RrMh8981TojBC1zVkRSFcekzOR2VfZxCRbvxE0zTDW/sINQtJkq4FEhRAFmf4ixVm0yY7vsAwdUI/RFZlotc11zU0UyOKSy9Y0yqTq0y7rIzZNRNJEHFnLvVWHVEs+ynjV87ZxuwXy7YJwojz08ur81AuLQs4To0sy4jCN7dURGHEaulhmDrnZ5fUG7Ur0ed5Pu58SbPdePvBfACKvCBPUnTHQlCut7EURUGWZziNGoII3ton/8FFoVsGgiLirX3anSZb231u3dm7EvKmZaJqCmHw5p5WKIXay3aTTQI0giB8FOfoJYZp0Ot3OD0+L69hL8CpO+zf2mYxc1mvvM2AV1SKYEG8GlDMi4L/z//rXyh7ZS1qjo2mq4iCwNMnRyxmS/7wz59j2W9uD3r42V2ytAyCWC5WsDlneZZtbN8ENL0ccut227S7TQ5v71/Z7qmaSr1Z5+z0kjAI8dY+4+GU1fr1gIyXFBREcUySpAyHExBK0RwGIbPJ4qOyhvutUYndioqK3wRJELNarEjCmPFwQhS/YiW0GYAJw+jGqlqe5bz45ojBnV0cp8ZstiBLM06OLzAtgzv3D1/r1VQUhTzPefr4CE1XmQynHD17wZ17h7w4Ob4a0hElEcvUmV9cEvgRkiiRGwZpmvJyYD3Lyv7QWt2GV4q7eZ4jKRL+fE27s02e5ciKTE6OKIgIIvCGVgZJljDqNpdn5+i6Tn+rhyRLJHFKp9ei3W0xvBjx/NkxWZaSptfPi4AAokBt03c6n8zJNjssiSLr5ZqZMeOw2GMxdRFFobwpeMPn83K521v7LN019UaNIAiJo5j5bPFxCDmhvBZkXaXWcpiNrvef5lkOItQbDrphEHgBYRSRZRmSLFPr1AkFgYPDXfYOdrh97+Baxbrm2DiOQxiMb3x7SSoFYZ7mLBZL5tNF6V8sCFiWSbPZINzcQH0MlI4gKcOLEWmaIkoipmmU3s41m8VihWVbJElKGEaoqsxyvuLfnnxJkedIssRiOscwDZrtBnu3dlBkmZPjM0zbYHd/6yqI44cIgsBnv3uAXbN5/vQFo8sx61XZ0yyK5X7U6jb1eg3Ltti/tUO727q2Dd3QcBdLsjRjMp6yXv/I3eOGoigIg5Cz4wv2DraZTubMZ5XY/SWpxG5FRcVvgjzLSJMUf7lm/YP4UkmSyJLsrXZIoR8wvRiz8+gAQYD1yiOMymjSMAiQFfmaQb4oCnz79RPOT4a0Ok3++u9/o+ZYzGYLzk+HWLaJIAobiy+JNE4pipwoisnygoICUWBTHSz9PNMkQ5LEK2uqPM+QZAWRsuqo6VrpDdquYYQhq3z5xuOpt+pEebk8vLM7YLBVfhELgoCAQKvTQNNVvv36STnJ7gdXwkqWZRRFJstyoih6zUFAEESiKMD3QhRVYTqZI1BgWOb1yvAriKJQFoqLgvF4SrNdv3rsxwbtPhTSxls1ixPq/RYtd83scnqtrJ/nOXGcI8sSjZZDmmWIgkBjp0tzt4tPWf3tdF9vzRAEgW6/zXy+uBpyfImiyMiKwuXZiOl0jvcD54eXNnOz6ZzBVo+d/a1fvPVDkiRu3z1A0zSGlyPETQDE+VdPCIKIxcwljiPiOEHVVOIo4uT4gigMARFZkdA1jdXKo95woICjZ6d0+62rdDlRFGm1mze+vyiK3Lq9x2Cry2y6YDSclDeZm0q4bVt0e22arca1YbPvXy9AXrYnhEF0rUf9bdg1E1VVOT46Y29/G0Eob+YqfjkqsVtRUfHboAChAM/1XvviEQSB5A39pK+ymLn0gxhZUVm6K7I0I0szpuM5O/tbpEmZGOXOXfICnj89ptGsMxmXy5/b+1ucnpwzn7kUeYFu6oiiSE6OqpX2RHEck6RpGY2aJ6UYphS9cRRj2QYvOxmKgvK1lg5Fjmbo5HmGUbfQlks0QyUK42utDwC6qVPvtZh5a7Z2+uwe7CBtzP8VtQyjgFJAtzstJp0ZjbzOyzXzwPOZjGflsA4giRKiKCIKInmRbwalcpy6jaLIREFUTtkrCpIoXYsxfomma5uY4rKtIX/Fa/bVtLFfEs02kFSFNIyRZAln0AJZxJ+vCL3wmujNsoyCAtOxsNoOim2QUJSOF5p2Tcy/SqvdoN/vcHE+vLqpkWUJSZZ48fS4XB7/AYah0+m2yYu8dLJ4cUocJxze3f8oBO/+rR16gw6LuUsYhBw/P2Xt+Wi6iqzKyFHEauUxuhi/EgeckyY5YVG2Azl1h8loShCGCAI4jkOWZRw/P8Op197aq6wbOtu7AwbbvauAE3ETYvJW54qibA05OTm7dj3ehOPUcOoOuqHRbDpIkoxtmyAK6Lr+iztk/NapxG5FRcVvAlESkOTS1eDVCs3LQaof+zKTZZk4SciyDKHICcMISRQpKAg2k9t5nnN5PkYUYemuS0cDQ+Po2TFQ9gWvVx5pkhInCekypSgs3NUa27Gu3mu99mg7DlEYI4kSFAV5UZBmGT/UfaIkIZsaoiijSBJGp45ZsxCKglarUVaz/TIAoShK14StW9tkqoAp5LSadeqN2tX2Wq0mhmkAZZXVtk3UjbiH8hjHwynhK4lSWZ6hKgqaphKEYVk5E2F7Z4CiyNy6s0cUx+iahqZrjEaTa16rNcfG3niwQimyX61aKurH8VUlKTK6Y7IOY4RNr6xoKDTsHnmUECx98ixDEAQkRcZwTIpNTHMexaSGRJEXNFr1N9rRSZLErdv7FMDockySlMEMR89OXxO6giBgGDr97R6mZVz9fZqkXFwMUVWFvVs77/OUvDO6rlGrWfQHXX73x8/46j++YTZzefrkGUvXKx1RktdvONM0oTfYodWp8/zZMb1em8XcvbqB8zyfxdx9YzvDq4ii+JP8soVNf32702K19PCD4LXVn2azQb3hkKYp69Wa9dpjdDkmDELuP7zN7v4WrW6TZrPxzu9b8fPzcfwGqaioqHjPKIaGVbeRfzBYVHaSvh1BEDBbNdI4QRCF0hVB+P4xURIxTJ3L8xGL+YJOt4XrLtF1HbtWY7DVY/dghzt3D4iiiPl0CUWO74f4a4+zsyF/uHsHzdCIgqi0W2o4yJpKkaUIgkgaJ2Xl8AdiV7MMCkUkEwsa2y3a2z0UTeXsxTmzyQwEEdux0K0OtmOj102CLGE9X9FqNa5VGDVNpd37vm9RlmVkVabTbbFercnzgih8PRUNyt0yTZ0gDDFMg4ef3+Phg9sUcUpD0giKHDGBVsOkdfc2S2995e3bbjdLD9vNdl/1+ZUVmUbj5iroL4HRsIlWAUkQ4dRsvKXPYrFAM3S0pn015Z8XBesgJPJDdMugVjdZhSH1hsPe/vZb30NWZG7fPaDm1FjMFoxHUybj6dXjkiSiqiqOY+M0nRt7dNMkZTgc09vqomkfhwvAdLLAdZdoukZv0OFvX32Hu1hRZNx4sykIAvu3dtnZ2+Ls5JKVu0bXDep1kfXaY2dvwHrlMR7O3kns/lQMXUOUyutw72AHTVOZzRZ4K480zej2WhiGwXg0IfDLVY44TvA2bhzbewP+3//7/496q84f/+kLeoP2ew+nqbiZSuxWVFT8JhAlidZWG6dVv6rmAht7rLdTazqISrmUnOYFp8fnnBydX/WfZmlGq9UkjmK6Gz/ddrdFq9NCURV0o1zG9NYBS3e98fU0sB2bKIqZT+YEd3P6B1ucPTklzzLWfoBTs0g9UBWFWBTLmF5RuGpjMGomim2g6CppmrF9f5/B3V3SMOGfNJmLkwu8tV/2AKsiUZKwiso+2t2DrWvBGC9tsOr176u8pmWgqRrdfocojDg/GxIF8Y1tCGmaohkGW1sqDx/coWGYuKcTJsMJ86mL5/kkacpiMsep17BbNW7v77IMA9rtJqvV98M/L4eYAEzDoNn6eMSuoqvUtlosz6cQxvS3uggCuIsl/ur1ASbdMqgPmkRFTq1mc/f+ravK+duQJInBVpdWu8FsuqDba5PnBYIAkqxQq5k39pm+SuCHzKdzBtv9v/t4fy7STcJbluacn57T6bV58PAOpmVycXZJMPzeJk9RFXr9DoPtPrqh8fxZOdBp6Dreek2jWWO9XF+1BqxXa5I4+dmTypxGDade2wyLFui6Tq/fIe+2sG2TooCjZ8cEQVgmEgYh6eZnw9kMvp2+uCBOUr766zeIksh/+W9/qhLVfgEqsVtRUfGbwW7X2bu3x+Mvn7DeWAi9FL2SJN04oCaIAu3tLl4Qcu9wm7m7ZLlckWUZ6cZr0zANXjw75ujZCXu3dvj9nz5jdD5mtfKIw5jnT4+5OL1g/9Yus+mCo6cnOA2HeqNGo1VH0zQm0xndRo32Vht3vCCKYkJVwaqZqKKIKItIooRlGURxgmbpaI6Jahm0t7rYzRqN7TaiJKHqGvsNG61pc3k+JAwjojBCLfQbz4usyAwGPfYPd1/r8Wx3m8xnC3YPthElCe8GQQdly4PjWDx6cAchSBifjZEkkfXSAwEaDQdVU6g7DpqmkqYpRi5x5/OHzLw141FZuRQlkU6vTZokSJJEp9f+6MSBbhuIux3WYxfJjxhs9zBNg+VyReCHpFmKomqYjonVchB1hU6jRqfX/slOCavlmoLiNaeAdyHLMsaj6Uchdr21TxhFSJJEkqTMFy7zuUu9UaPdaeJ7AWmaIIoSsipDUTCdzHn65Ig4ilFlFV0ve8ElSULTywQ/2Ni+ZRkKP+91IggCvX4HQ9dZez6iLLKee6iagizLfPO3xwwvxqRpTvED25PBoFdaCKYJhqGTJCl/++t32DWLP/zT5z/rflb8OJXYraio+M2gaCo7jw55eHTBv/8f/06alWK1yMu+0JdZ9y8RRIGdO3sUqoSSgVGvMZrNSNMM0zKI4xjLMnHqNb77+ilZnpPnBX/596+RRYk0Sfnmq+84enJMEATo+oTt3QGnJ6V3ZxAERGGMXTN5/N0R1p8+w2jXEWUZRRCIgpgkzzBrFoqpoykKhmXSMjRUU0XWNVq3eti9BqrxupDtb3WRFZnhxbj0AA3jH4RBlD6jvX6H/lb3xmGmRrOOZVvMZwt29raQJJGz00um4xlRFFHkBZIsUW/U+f3vH8AqYjReYtcs8jxHMzT69Q6KIBMs18SuRy5H6IaGlhYk0zWdrkO43ePifITj1KjVrDKFbdBle/eXF2o3oZo6zX2N2I+Ilj5GzaKTdUvvZkFAtnRkQ0aSFeqNtw9QvY0kjq8G9/4eoqgcULwp3vpDkuUZRZ6DKFIUAuQFSZJy9PwUWRIRRQlRFsjzchDTXSyvXasF37fwaJqKqmnfrzBs/GzfB9vbA3YPtvnu22floFyjhqKUDiNnp5c3WhX2+h06/TZnxxfUG3XsWtmfHYYhz54ccff+4Vs9git+fiqxW1FR8ZuitdPhwX/9nCiIePr1U0JvU4lTZERZIttUa82aRX+vj2CoLBYuj/74iITS3xTAMHXWK492t0WRF/h+wGC7izsvK1Z37x1yenLObLrAsk2CIGA8mrJ3a5fBVo/L8xGQM58vkGSR8WjMt9885cGDO0iZhgA4NQvbNJBlaZNs1kDVNZBEZF2lPmhidxpvPd52p0m702TprljMlyRxQl7kKIqCU7epN5y3CiFZlrl9d5/H32QsFi5pklKv1zbHXTovCIKAVbMowpSj717QaNXZ3SvdKVqOw+Jyynq1JM8LTMPAMDQKYDlbMhlO2X1wQL/fQlUVnI0wHGzXOby9/9Ekgt2EIAhlhd0qbzTKCNqfd+r+h8EUP5WiKG4UZB8aQRDKf0URQSh1q12zME2d5WKF7welk8ebXr/5Q9NULKv8mXiJpmk/2tLx96IZGo++uE8YRpwen1NzbBRF4fnTIyhed47ub3W5c/eQxcwFoN1uXPWfA0zHfKPgpwAAiwpJREFUcy7Ohtx9cPhe9rfiZj7e3yIVFRUV7wFBENi5v09W5NQHTUYnQ2bDGVmSYdZM8gKcdh3F1gmjCN/zePC7++gti8lkhrcuPWUVRWHvYJtev8N8tkBRFVRV5fG3z9na7vHi6JQoSoiiBMsyUFSFJM6YTRfcuXuAt16zWq6xLANJFHGcGpPJHMM84+DWLrqqIac5sq4hiCK1VoNau4GoyBh1C90xUYx3XxJ36rWrdKifimVb3Ht0m2ePX5SDTxuXAFHaxNvmOTuSRLyOaLUbtDpN4jhGFWTUQkTTVCRBQhAFTMskTVN83yfNMgQE5hcTBo7Bfr9H99Y2hmlQb9R+8WrkT+V92EtJkvQPbVcSpY/iPKqqgiRJFEVBq91gsVjSaDosF6uram6cvlnsipKILEsMtntYNYtGo04cl60u3f77jZNuthsc3tnHtAwWc5fpZEEYlE4rcZIgFKUvdX+rR6Pp4C6WhEFEs1W/svF7SRCEnB6fV2L3A1OJ3YqKit8cqq6y//AWgiTidBus5mvWy1WZfBSWiV1pENDpdah1G4iGzHTmMrz83vqp2W5wcGuX6WROkqS0W028tUeWZciywsnRU0zbxDA08jyj2WqwcteML8c4DZv/6X/5b4RrHzEvEAWJRqOGKMuolkZe5Liex97BDq2GQ6Pu0GzVESURxdCRlA8vXizL5NEX9xjs9FBUhZMX51c9y6ZpsN3v4osuglAOIymKynKyIM8yDMMgICTPc4oiR5JEajWbzMwRhdJjt9tqYTVrqIikUczF0QWiCJqqoekqiq6WzheyhPyR9fC+T+yahaap+H7wk1/7MkL4Y/B4tWyLesNhNBxTbzjMZi7NVoPL0+HVAOJi5patMT+olsqiTL1Rp9Nv0um2yiQ2y8DzfCzLfGOoxM+275a58ehNGWz1GI9mrFdr4qh0Z1EVFVWT8dcBs+mCKIqwLJN607lW1X1J4AfvZRWg4s1UYreiouI3iaqpHDy8xWqry2w4wR0vCP0A8oLtg230mkGhCEwnC6bjOePRBFGSqDccur029aZDkZfBCWncIctz/v1/fEmr3cR1lyzcJaIk02w1mI5mOPVa6TIgS7QbddqWRS6pXJ6OGI2GDIucVqdFd6vD4MEtOjtdnHadRqv+XmyV/h5kWabbbfO7P36GU7eJ4xSKAlXTUHNYnE3KsAxdJQ0T4o2XriAIOHUby7LIi/xqEFAUyvhbSRKRJIlgHbAYlbHDgRcipBl5nJaV706Deq+JZhkohopWM9BMA0H8tAWDZZk0WvW/S+xqmkq7836F4E+h02sznc6RFZlazSKOY3rbPU5enNJqN0pRv/YJw/AqOVBRZGqOTaNVx3FqpW1Zr0Oe5yiKzNZO/4PEI7/8GRxdTkAobfIEUaAoCjzP4/JiTZZliKKEaRg0WnUU5bdzU/axU4ndioqK3yyyLNNs12m0HLx9nzhKKIpNJK4ksfYCgiBGlETa3SayLGOYRhnrG0ZXSUydfhtREHn6+AhNUxheTNA1HVEAp25jGDq+H7C102e722V6OuLPf/tzGSnbqLN/axtV03AcC0VVMUWFpmaW1c7Ox2O79ZJWu4G39jl5cUYcxxvxKlK8tMYSJXzve9cGURQxTeNacMZLojAmylMkP2A5dQm9gHqnQTRfEa6Dq/CA2WhG7dRmcLCNM2gSLn1UQ8MZtJA+ktCJ90W322Y6mV8L4ngXnLpDzbHf0179dBpNh2azwXQyo7/V4/JixNZ2nyzNOD05R1FkDEtH1VRemgIKQhlIYdsmvX6bwVaPetMhimJ2drfY3h18sP3vdNvYtkUQhERhjLf2rkLzBFHAskxM00A39NIr+g0YRpWo9qH5tH9DVFRUVLwDgiBg2xb8QBdEcYKiyUiySQEUeY7v+TduQ9VVDENHN7Tyy7lmoukaRV5AUbC9O2Bv0Gd6MiQOItrtZrmsTzmVbtkW9VYDyzRodRpoisJ67CKIIlbbef8n4Seyu7+FLEucnV6QphkUYjkVL0kUaUYSJYiiiCzLOI6NdYPQTaKYOEsRZQn3fEpa5KiSgj92ieLrMcd5lpf9ndkpFDnOdodw5ZHnOY2dDtJ7GlD6GGi2G2zv9Dk9Pr8x0OMm6nWHg9u7H5WoejnsmOcZi/mSwVaP2XTO7XsH6IbGeDRlMVvgJQFRVHpYd3ptdnYHbO/26fTaNFsNirzg8M4+W9v9D358uqFzcGuHuw8POTu+LIc0AVEWURWVHzPtNnSd7f2tD7CnFa/y6f52qKioqPgHURSZPMuvvnjfhigI1BsOURxTCAVJmqIVZf9tmmc0Gw7BbIW39FAUCQEZUZLQNBXN0BAEgTzPSdP06gs8TzO8iYtq6Sj6x5GC9RJBENja6dPqNJlP56znK8J5jTROkXPQDQ3LNNBNHUm+ucd47floNZPV5RzFUJFVmWC2oshyRO31r6dyydhnej5B1jWsdo1o5bMczmnudt/3If+i7B3sIAgC56eXhG+p8EqSiFN3uH3v4I2RxL8kuqFz7+EdXjw/YbFw6XZbpGlOq91g6a5YumsWswVFUYY6tLster0OpmWUDiINm0az/ou6dLQ6LXb3t5mO5z/Z6aLdbbKzW4ndD00ldisqKiregFOvYVrmO4ndl8NA7nxJr9fl7HiIaRnkWY5lm1iaxvnxiDwvkGUJSRIRBREoiIIIxVGQRRFJltFe6UFM45Ro6b8mdvMsJ/YCIi8kTzIQBCRZQrV1NOvD9bJqmspgu0/W61BTNfzFmmQdYCnaW/chimIKWSJPMrI0RVctsighXPuohv7GAlme5fheQLTyMBplKT5eB8R+iGreHJrxKSCKIvu3dqk5NpPxjPl0sWkhKQedJEnCtAx6/Q6tTvOD9LH+vWiayv2Hd/DWHtPJgvl0gSgZ1JsOqqpi12wMU6dmW0jyP+ZG8T4QRZGDW3ucvjhnMp698+t0XePw7n7lsfsLUIndioqKijcgiiK9foelu7q2pH4TURzT6TaZTWb0B10ODnc27g4R7XabPCgtimRZIkkSvHVSLoEKApquYlkm49GM/Vs7GxG8oSgIlh5Gq4YkS6Wn73xFsFiTRgn5DwIHxLmEoqsYTRujYX8woSDJErV2gzzOyKMUQQzf+vzAD9AsHX+2RDN1EApiPyLPCkRReKu/bBTFrBYrrHYd1dLJkpRoFXzSYvclzVaDZquB7wVX7h8IItrGo/hjsBl7VyzbwrIt9m/tkGVZ6bbxHi3Efk72Drb53R8/48//+hfcjff221BVhYef3ePRF/c/wN5V/JBK7FZUVFS8hVanSe1yzGLuvvV56abv1nFqFMCtOwcsF0uiKGZ70GF5NiWOE/yVR5wm18yVNF0lCEKKIqco4PJiSKNZRxQEwjBGVEQSWcBwTPASwqVHkd3sSZqnGdE6IAlj0iih1mt+sCqv3rCIg5AkjBBl6TUh/ipJmqGJIpIkgySSpzlxECLJEqIiv7U3Nc8zkjghXAdoNRMKCJceVrf+qxFL/yimZWBaxi+9Gz8bvyaRDuVKzme/u48kiXz1H98wmcxJkuS154miSL3hcPf+Lf74n36Hpn28FfdPmUrsVlRUVLwFTVO5c/8Wj79+xnK5eutz4yhi/3CXxcxld3+L589OmAynpGmG5wWsV2uS9LqIs20L27IIo4id/cHVwNqL56e4iyXz2RxVU9lb3iENIgovptvv0u423vrFmacZ/nSJKIrYvcbPcSp+FFEUqfVbgEDiR/jz9RufK8kyZsMm8kK8lYdh6OR5jqzK5TDgW9K0iqKMeH45/Aflf+dZ/psRuxW/PKIo8uiL+/S3u5wdX/Li2QnuYkme5QiSsBlm22V3f4v+Vq+6Nn9BKrFbUVFR8SPUajYPPrvD0bMTlu7qxh5eURRRFIX+Vo87925xcTbk9p0D1u6aOI6Jwuia0JVFEcu2qNVsBEngzp0DVEXFW3lcno+4vBzhewGmadDsNpEEge/+9ozlzMW2LXYPdrj/8DaN5putyfIsx5+v0Bzzgw24SbKEs1Ua/y9Ox/iLNVmUlIM8goCkyGiWjlA3EEURb+2Tb1pEREFE1lTSH2kZEQRAeOnX/3H1c1b89mi1mrRaTe49OGS5XJFlBaIgYNkGdu1m67c0TaEok+EqEfz+qcRuRUVFxTtg2RaPvrjP0l0xHc+ZzxfkeY6AgKIodHotmu3G1QS8VbO4OB3y8It7pFECXsLaXZJlOZquYVsWpm2iaiqtVh0Eyoru0QlPv32OYeiYtolpGXQHXQQEIi9E0zSSJOXoyTFpkvLZ7+6/VfCmcUq0en3A7X0iiiLOoIWsyKynS9I4KSuwglB+uUsSyxfnZHmOJItkcYEoiRiOSVrkZPGPiF1RRJak0m5MKDZ/J7zV27Si4n2jGzq68ea+8TCMmE3njIdT4jiGorxuW+0m7U4Tp1776IbxPhUqsVtRUVHxjoiiSKNZp9Gsk6YpWZYjALIiv1adMQydgoJ2p4nvBXz+z49oOjWyrEwQy7KMgnLpPUkSJFni4mzId988pWZb2LZNmmdMR3PufnGPZ9884/J8hCiJaJqKYRicn14iyRKPPr+HXbNurhAVBaHrYbZqiB+4L9Jo1ciLgmC+Ik0yyHPyNCNPMnRDZ7leY9ZtiqxAs0uREPxIbzSAIiuYNQvdMUsnCkB33nD8FRW/MFmWcXZywfBiTBhGrw27emuP0eUYp17j4PbeR2kZ92unErsVFRUVfweyLPM2q0/fC5iOZ+R5ztdfPqbbaRKmCZ5b9rEWRRk5apgGgiCS5wUnL85xHAdFERmOxmRpRqvbQtIVzo8uiMKILMtZ5itEUaDRqqNpKq12ncVcx65Z1G+YyM/SjCzOEI0PK3YFQcDu1NFsg2jlE7geeZJRFAVOo0acpzhNB1GRifIMVAkQgTf36woCmKaBZhvImkIaJkiqjFb7dIa1Kj4dsizj2ZMXDC9GZfjKDRRFWfUNw4gojLn78JDaG9ofKv4+KrFbUVFR8R4Iw3Dz5VaQZinu2qNRt1ktViTx91PbqqYgSxKz6YIsz9A0hfFwSpJkmJZJb7fH0vPwVz5JklJsfByyvGA2WaAoCr1Bh95Wl8vzIb4X0N/qoryaKFa8feDrfaPo6sYOrUaWpFexwlrPYTKaIRoK/mhdhlBYGsE6eOO2NE2j1qxhNe1yQE0A1dJ/E7ZjFb8+To/P3yp0X1LkBYIo4LpLnj1+wcPP76FpH1eQzK+ZSuxWVFRUvAfyvKCgNPwXBIH5dIG2pdDb6zM6GZLECZIolkVMRWAynmEYGtPJgjhO0HSdgwcHjNZL0nOBQiiuhC5s+mIbNQzTII7LaF5RkgjDgPFoSn/Q+b7CK4Ag/PJL/JIsXUtTa5s6UZyQJil5nuO7a/S6RZ4XRP7rPr2aptEedGjutFEMnTSO0WwDp9/6kIdRUfFOhEHI8HJ8o9DN8xx/7bNcrgn8kKIof1cYpkHgh3R6rSpp7WekErsVFRUV7wFp0z8qSaVLQ+CXX3y9fof+4Tb+Yk2w9sonb1KwkiQjL3J2bm1jtxtMvTXffPOY3//hEbZj485cBEGg3W1Rc2zyPMd1lzz5LiLPc4q8QDNUWu0cRZFQVJU4ijEbNQR3SQ0b4y0DNL8E27sDVFVB1RRWwznB0kerWwBEQQhF2TJiORadrQ5Ot4Fi6ORZhl63cAYtJLX6Kqv4+JhNF0Q3RDuvlmsm4xlREL1mRej7AUt3iSAIWJb51uHTinen+g1RUVFR8R7QTX3TSiDQbNVZuivyvODyYkzNsXEaNr1uHU0sU9H2spTRaIKz1WKxXvH102fMpy4IcHE55uHtW0hnEv1BF0VWGJ4NWbhLREGk2WoQ+CGiKDCfLTg5OseyTHb2t2i2G6h1k6PnxwC02y26/TZOvfbLnqBX6PTamJbBxNSZDWfE6wDN1hEKkAUR3dBpdJsopoYggKwp6I6FaunVUFrFR0lRFIyGE7IfhL8s5ktGF2Oi+M0R5EmScn52ybPHR+wf7tLptt/37n7yVGK3oqKi4j1gGDqtVpOL8yHNduNqEhvKys5qucYwdfZv7WKZBulixuPTM0aXIxbzNZqmIIoisiIzGU0JD3a599ldAtfjxfOTTcVIQFRFBAGCICQKI0aXE9I8w9y4Qdh1G8lQMXOJMIw4PTlnOp1xeOeAbu+X/xLN85yzkwsuzofEUYKqKeitGrIsIYkioihAAT45DVOl0XKQq17Gio+cNEmv9eYDrFel60IUx6RJSuCHhEFElmcIlFHihqWj6zpxnOD5AUfPTlAUhXrD+YWO5NOgErsVFRUV74l2r8V4NEFWZLr9DqfH52W4wobAD5lN5tApv+iOnp8QhxGiWPa2vuyzTbOMledx9/OH/Mv//i9XQvelJ6dhGkRRhO9HmLaB49QQJZEszxkvXc7+vCAKYw4Od7EdCwo4enaCJIm02s1f4tQAZfXrxfNTzk8vruKB0zQlTVIEUSSNUnLKYTZVU3C9NWmRM9ju/WL7/L4pigLfD8g2fZ6yLH9SscC/FYrieo89wGLm4nsBrrsiDELSNL32+4CovGmVFZlmq0GRFWX708WoErv/IJXYraioqHhPNBoO/a0eF2dDdnYH5FnGxfmQPP/+C2699nDqNTqdNr1+h7PjCxRVQVVUECBOyuXOJE05uryku9cnSTLW7hoo0FQV0zRZuh69Xos4TVnMXBChvdPnz3/9mixJMS2Ts9NzDu8cUHNs+oMy4tSp15Df5qH2Hrk8H3F+enkldDVNI0szpuM5pycXrJYr8jRHlEXsms3u/jZ5mmNaxkfVhvFzkMQJ85nLZDxltVyT5+XytyhJNBoO7W6LRtP5xT6rip+GJEvXWmx8r+zFnU3mBEH4mhB+SZZnZFHGerXG8zxM02Q+d/HWHpZtfajd/+SofmoqKioq3hOCILB/a4c8y5mMp+we7KBqKtPJnPVqTZ6XlZsgDNje3uKzL+7jzlcosoSmq/h+acGl6xqWbfGv/8efaXdb3Lq7S+pHuJMFpqGT5zmtVh1RFFivPey2A5rM0cUF49EE27KoOTXcxYrhxRhv5RP6IYf3DnAXS9qdD+9mkKYpw4sxSZJcHeN4OOPxd88YX07w/IA8y66m1CVJ4vjojG6vTRhG/Lf/+T99MsJv6a549vgFa88jTdLXHg/8gMlkhuPUuH3voAod+BUgSRKNRp31qhxCXblrhpeTtwrdV7FqJmEQ4a0DeoM286lbid1/gE/jN0VFRUXFR4osy9y+d4BdMxkNp+zsb9HttVkt18ymC9I0Q0TEadj883/5AxTwzdePSZIUUZSAlEazThzGzGcu85nLbDJnsD3g8396QNOpEwUhjabD+fkQuTA5HU8ZX07JswxFU/C80qNXVWWW7gq7ZjGdztFNjW6384uI3cV8ief7QNnffHE25D/+/BXj0ZQojEjTlDwvsGwT3dBRZAlBgMl4yv/53/8vDMvgn/7T7371A2ruYsnjb59diaI3kcQJ08mMLM249+h2JXh/BbxsY4qimNVqTRBE7yR0BUGg1+uwWnlEYUS9USOK3jzQVvHjVGK3oqKi4j0jiiKD7T69QRd3vsR1V/S3eoiiiCSK2I6FU69h2zZBEGJYBkdPX3B5MbmKEo6iGEEQURQZWVEoioLhZMrx2QX7t3YZHp/w1V++xl0sSdMMWZSw7FIQFRRkWYosy4hSKQ5FQeT46AK7ViPwfXRDo95wqH2gtobZdE4SJ8iyhLtY8df/+Jqzk0viOAYBWu0G7U4TWVaIo5gkTZEkEV3XURWZo6fHNBoOd+8fvvd9fV/EcczzJ8c/KnRfxXVdnj855tEX915LyvsQhEFYDkPGKeQ5iqZQ/wVbYT5m6vUaNadGOJoS+AF5/vZgiZc0Ww1My2Q0miAUsFp5ZO/42oqbqa7OioqKig+EKIo02w2a7caNjw+2e7gLF8PQ2dvfZjSccPTsGNu2EASBg9ulDZFtW/h+wOnJBZZtkqU5f/33r5nP5gDlZLeigChcbVuSZOr1GmEYMp8tCPyQxWJJmiT49w8J/IDeVpd2t8lg0Kfdfb+Da1FYVqpkReb0+JyTozOiKEbVVQ5v7wMFw8sJ4+EEz/OvXqeqCr1+F83QGV6MuXV771crtGaTBev1+ie9pijKtgd3sfygw4XLxZLFYsnocsJ4NMVdLMmzHEkWqTk1bt3ZY3d/G8f5tHqp/xFEUeTg9i5Ld3nVg/1j2LbFweEeYRiRb2zL3MXyF7mx+ZT4df6GqKioqPgE0TSV23dvkWcFsiLR7jS5dXsPBPDXPgXlEudkPGU0nGAYOncf3GY2XVwJXQBJlFBU5er/FVnGrlkkacrF+ZAoSkiThCQto3sH2z0mkxmj8RTHsdnaGfHoiwds7/Tf27G+7MUN/Ki0UotiLMfiD3/8jCAIeHF0RpIkV5Xol8RxwunJOVmakSYJg60ed+7fem/7+b7I85zxaPqjMbI3Eccxk/Hsg4jdoii4OBtyfnbJ0bMTFvMlgX89znk0nHL64pxOv83v/vCI2/cOKnG2oVazOTjcY3gxYjKZv3buXiIIpR/3weE+ggiLqXv1mCRJmFUc9j9EJXYrKioqPiLsmsX9R3d48fwU112i5jnufEVWFCRxgu+HCAi02+V0vq7pLKZHV6+XRRnd0BCl76u6nW4bWZb47usjzs+H2La1CbyAOEkoihxZkog3jgDrVTkopcgS3X7nvRynosgoqsLwfMR65XH73gGHdw6YzRYcH51R5Dm2bdHrd4iimMloymQ8Jc8LBEQK4Ku/fsf27tZHF5LxLnhrH2/97u0LP2QxdwnDCF3XXnssTVPyLEeUxH+46n1+OuT05JzH3z5jPl288XlRHHN2coHvBaRpyoPP7v7q+6l/LhRV4d7De8iyzPNnp8xnc6IopsgLJFmiXq/R7XewbJMojJiNS6GryDKSItFq1tFNjcAPUDW1upH4O6jEbkVFRcVHhmkZPPriHqvlmulkztxZkGYZgRcyvBii6zquu8TzAoIgQJRlJKH05tU0FVH+XmSoikKjWWc0nHB+fokgiMiSSFEUiIhoqkJRcOXZC2WC0/OnxxiGQbPdeC9tAs1WndXKQ1YUeltd/LXP119+x7//jy9Jk+/N+E3LZLDVY7DVo91t8fjbZ6iygqLIBEHIydEZz5++4NEXD1BfqWbfRJqmuIsV0/GMIAjJsxxZkWk0HVqd5gcd+ioH8N5tafsm8jwnS1NAu9reYu4yGc1YrdZXlXPLMul02zRbdRRVIc9zkiQhzwskUUR9S0DHYu4yHo159uTFW4Xuq8xnC/7yb3/DrlnsHez83cf3KSGKIkHgU2812IoStrZ7ZFlZ0S+dRkR8P2Q8nJJlGaqqIIgiURixXvvs7m1x9OSUrMhQFZVOr0Wr3bzxRqfiZiqxW1FRUfGRUnNsao7Nrdt7ZFnG9m6fr//6HbPpguVyDXmBJInU6zZ2zbzWo/uSZruJoiocH51SFAWqIiPJEkmaokgyhqEjiOJrwitJUk5PzpmMpgy2f/52hlanieuuOHp6zFd/+QbTNPD94JrQBfA9n2dPjjg/veDR7x7w2Wf3ubwYEfghy8WStefz7PELirzAqddod1o4jdo18Q4wGc+4vBgRBiFZliOKAlmWs1yumM/mnJ8Nabbq7O1vY5i/bIiDKApouo4oCrzMHBCEsoXjKpXrlaH+6XjGyYvzjevG9fPnrX1m00UZVNCskxcFq+WKogBRELBrFt1+m0az/tpNzWK2ZDKaMZvMftL+L+YuL56f0h903yqmfytYlkGRFxiGjqrKnJ9dYpgm9maANEtLgWuYOmmaEvohnh9gmgZ3Hxyiqhquu0QQBGI1KW37LscMBj223mOr0adEJXYrKioqfgVIksTWdp8ojNGNS/I8ZzKeYZgG23s6x0fnBEFwzdrIsky2d/pMJ2W1T0BE11XyvEAURHRDp96qI0nSVbDDq8znLqcnF+9F7KqqShzFnLw4I/AD6g2Hxdnwjc+PooSv/uNbfv9Pj9jd3+Yv//43sjRHliXmC5faZE4QRgwvx2Wv8519VFUlimKePj7i6XfPmc9csixDEARUVaHTbeM0amXlzfe5OAvxvYB7Dw7fu6epLMuvLfNLkoRuaKRJxny2YD5dkOc5giCg6SqdThvLMsnyUqxLssTocsLzpy8IgvDG9ynygsuzIbP5Ak1VOTjcw7CMq95Rz/OZTedYlsX+4c5VH3DgB6xWK8bjWVkFliVEBBDKIbls44F8E0mSMLycMJu5DLa6P+NZ+3VimAatdpPzs0tu39mn2WpweTFieDEmisoIcVmW6fW7SJJIaltYfvmzfH5yQRwlKJqMIIpomkqj6dDptBEQyPOcnb2tX/gIP34qsVtRUVHxK0EURW7d3kOSRKAgjmJWK4/eoMvOXp+TF+dEUYwkSdg1i/6gi6ZrvHh+ioCAYWgoqkKW5ZimjmkZdHttovBmoZRnORenQ9J/Tn/2VoYgCPHWPpZt0u20KfLiLcM7IkUBURhxfjLk0e/uIckSNcfGti1CP2S9WuPUbXwv4PJijCCIOPUaf/vyO54/OcLzXt/2bLq4Ok/dfgtvHbCYuzx9fMSDz+6hvceqpGWbWLZFGJZiR1EUZEXi7OSC2XSBt/Zfe814OKXm2Ay2+9y5d4soiDl6dvxWoVuKzjlZmhGFMc+fHXP/4R1kWSZNyxucJElZLFzib2Nu38vpdNt4a5/1ak0URmi6RhzFpHletr+I4tVSexonZD9YFSgKWEznTMfTSuxuaPdaeL7PaDhlPi/9slfuivXaI45TRBHGl2O6/S6tThPdMDh+cYq/Cmj3mmXqYp7hpwG+FzAeblZcigJd12h3P7xX9q+JSuxWVFRU/IoQRZGDwz063RaDrR5ff/kYBNi7tUscJxR5gW7qiKJIkRf4m0AJw9AxLRNBELBrBrquUW861Go24/H0je9XBjxkP7vYnU3nxHHCYLvPfLrk4vwS3lApFAQBQQDdKqNT3fmSXq+NaVnIqkQSp2TppgKqqVi2ibfymM8XCEC708K0Q4q8wPN8Ar8Uh0VRsFquCfyALMvob/Xw1h6L+ZLJeMrO7k+rmHmez2wyZ+muyrAQUcQ0DVrdJvV67VolVxRFur027sIFyirt86cnjIeTN24/SVJm0wVRGLO7t8X5+RDfDxCE8oZAEASKorhqSXnp0pG94viwXnmMhxO29wZXYvclvh/w/MkxmqZRUJSide6ymC0JguCVPlMRw9Cwaza2Y6Gp6pWV3EvyosC/4Qbjt4ptW8RBxOnxBWEYIogC7V6LRqtBmqXIkkRRFEwnc558d8T2bo9mu1n24L9y3eSbG448yzh9cUae59g1qxK7P0IldisqKip+hVi2xd0Ht9naGTAeTfHWHs2mw2g0JQpiVss1olKGMDQaDoIgoKgyiqIgiAKmZXDrcI8oiq+JoR8ibIbZfk6yLGM8nJLnOZquMtjpEkcRTt0hCqOrJXJBFFFkBVWTybJysCoKIy7Ohzz8/B6yrJClOaIo0mg5qKpKGIQML8dMx3OWy7Iy2Wg6qKpCmuXYtkm94eB7AUt3BUCaZpwcn6OqKvVmjWAzLNQfdN9J5Huez9nxBfP5giiMyirchikwGo6xazbbO/1roqTVaWCf2yRJwtHztwvdV1FVhdVyxWK+xGnUyLOcNM2uqq6SJBFHMb7nIcnl4GISJ1f7NZ+79Le6V+L4VXzfv2qfGA8nnJ9evtbPXRQ5vh/g+wHLxZJuv41pl/G2VwhsBHPxWv80lAN1L8WzJEm/Wq/kdyGJE77+8jFHz08JgpAwDJFEEU3XkGQRQSiDYibDCS+enxBHCWHg8/uazc7uFsOLEWEY4fshURhdfWaSKLFe+9RqFoPtHnbN/oWP9OPl0726KioqKn4DlMvhJnEUU6s7PP32GZPxjP6gg6KppHHCaDTB3wQzCIJAveFw63APWZEZj95c1RUEAds2kX5mC6kkTog38ae6rlOr2bS6pZXaeh1cq/CKkkDgB3heCEW+eX3K1k6fwPMpBJH9g20UVeb87IIwiFm5K1x3hbfymUzmfPP1E2qWSbfXRlYU1muPXr9DveFcVVbTJGU0mtBo1YFyMM5drGh33u5lu1qtefrtEa7rvqkwTRTFRNHsaoDsZQ+0qqrcvnfAl//xNZPxDFEUrgnlVxGEstXBskya7QaeFzC8nHB5PmLprsiKnCRMiMIYVVdoNB1EUSSJEoIgwrZNNE0miiK8tc9q5eE49lUbxUtkRWE6mbNcLsmyHN3QSZOEoigF6g/FcRhFDC/G9Ld7GIZ+FWsryzKapr0mdF86jEwns2tit9Vu0u40qTn2jeL410gcxVxejHHnS/76l6+ZTeZQlH8fBCECIpZt0Gg5UORMJ3PyLMe0DHRNYzSc0GzXWbprVssVaXb9pjQhIZpEfPXXb9jZ2+L+ozuf9E3DP0J1VioqKio+AVRNZf9gm16vxXQyZzyc4vs+QRjSbDpkWYbj2HT7HWo1myiK3yp0oRRX2ztbP/tEfZ4XV6JJEEDXNbq9Nrdu7/Pd10/xPZ/sFdEX+BEUOaIo4dRrbO320TSNwIu4//A2i40373zqMp3OuTgbQpGjG2VP8u7OgOl4zv/1L3/l/qNDZFnmf/zrf/Dg4V0MU2c2XWBZJqvlGt/zyypwml0ND72JIAh5+t0Ri4X71ue9JAxCjp6foijKVYW3FJMpmqqRxDGFBJIskaXp1dCgJIrY9RqSIKCqMoapc3Zywf/53/8V2zIRZYmluyLPCzRVQVIkHn/9DMPWuXV7H1mROD05x6k7NFt1kjghiiJE0bm2f6ZpoJsG33z5HaPLUvgXWc5sssAwdTSt9G9Ok4y8+L7aGycJ0/Gcnb0tBEFAFASazQatV5ICoyjmxbNjZjP3WnXyJeuVx/BiRL1R5/DOHrrx6w5RCMOIZ4+PWC3XeJ6PO1+WDwjlwJphGsRRTBwlrJcelm2i6ir1zU0KgDtfcnk2QjNU5vObV1+KomB0OeHyYoRlm+zsbVWC9waqM1JRUVHxCaEbOjt7W/S3uriLFUmc0GjUef7kGIRScI3H07e2Lryk02mysz/42fdRlMRr1TvbsciyjK2dPkmcsl6vcedLoigiL0CSyiXfRtPBMDQ0TUMSRe7cu8Xjr5/y5PERpmWwmLks3RVhECIA86nL0bNjev0Odx4csnewxbd/e8Lnv39Is9Xg67895rMv7qMoMrPpnGazwWwyZ/9wlyTxKfKCMIxI4oSiKG3eDNO4EiPj4ZSlu/xJxx4GIRfnI2zHYj51GV6Oef7sGN/zCcOIKAyRpHL4zrIMrJpF3XFYr9f4fohm6BwfnXF+dolds5BlmcAPWC7LloxIVrBrFtmmUhgEIY8+v0+jVWd4MSbLMjq9Vlk933wEglC2tSzdNZcXI77667eoqoKqqTRadV68OMUPAlRFQTf0svqaCWT599dQsGlrME0DURTo9lq0NlXxMIx48u1zZtPZGyvXRVGe62g4Jkli7j28g/ErFbxpkvLs8QvGoymmaTAZfV/FfhVVU69uJC/OxxiGTpZmpQ9yluOtfM7PLrn34PYb30sUJfKs7D13FyuKvODWnf33dmy/ViqxW1FRUfEJIsvy1RK8aRmMLqc8e/L8jWLjh5imwf7tXeoN58ef/BN5OUTmv+K+UG86IAibPtoCu2aTF1kpOIOIvChIwpjl0mOwPaDTa3P07Jhv/vYE0zKIg3J5vhzQKhCALMvJ84L5zOXLf/sbX/zpMwY7fU6Pz7l99+AqBvfw9j7j4RRY0BuUzhC+HzCbzLm8GBHHCWx6iE3ToNdvU284TEbTdz6fL5FlmTiO+bd//ZK8yEmTFN8PKSjQdBVNU0mSMs7ZqXfRdY3nz15wcT7Esi0mownf/u0poigQhhH1hoPj1AiCkPXaI0kT1isPURQREPC9gKePj3j0+T1UVSk9d2WJwzsHFJt9L6+PycaGbIG39lCbDeIopt6o0+40mU7mxElCnCTkWUa9WS/P9abCW1CwdJc4dZtGw6E76GBZJnme8/zJMdPJ7J16v4ui/LyePT7iwWd3f5VVysl4enW8kiyV1dyNcC+KgiRNX7vZ9D2fLMup1SxcNyGKYpI0Id0Ml7baTYo8L40FX4bACKXjxst/JFFkuSoHLn9pr+iPjV/fVVRRUVFR8ZNw6jV+/8eHm+GuS9K3DaQJApZpcv/RbR48uvteokkFQaDdbTOfLa7tS71R49Hv7nP8/IzRxYgoyiiKss/zpcdo3TK4/+gO0+mck+MLdF1FFATWr7gFACRpiiSJiFlZvvT9gMdfP+Wz3z9gMpqQZRnNVoPpeMbe/g62Y7Fe+fh+xHg0YT5zabbqmyX37/c9DEqbM01TGY9nPynFSpJKy66n3z4nTTP2bu1QFMV1ESiApmv0Bx2W7oo//+tfWS09dENlsN3jxbNToijGtk3W64DpeMFgu0ujVUcQBFarNUmaICAgSRJpViarrZZrmu0Gl+cj4ijBskzSNMM0DWbTBScvzmi1GywXZXiBKIqlgJJE7j24Qxx/w2q5BmDt+QiiSL1RI46/b2dIkgRd17j74Db7t3YBcN0Vi/niJw05FkWBu1jizpe/OpeBPM8ZDaeoWnldJnHCbDJnPJoiUA6J1moWuqGTZ1l5I0U5dDgZTak3aggIFHmBZZsoiowfRIyHE5IkodlusLXdR5EV8k3Md5pnSKLMcFRa7pmmzv7BbhXo8QqV2K2oqKj4DbCzvw2iwNdfPubi7BLPC8jStKyCCgKiJKKpKs1Wg939bR58dgenXntv+9Nq1TFM40pAvcQwdG7f3afZdHCXqyv7qjguB586vRaNVp3To/NyFb6ALM9LO7FXJv+TOEFWZBSUqwrmfLbA9wJa7Raj0ZRmq850MmM6ntFsNQiDCG/tsVyscDZWYTdptKKA5XLNydEZ/a3uO58nXdc5PxsyHE6wbJOiKJ0kJFEk43uh3u21WcyXHB+dMJ+VVmPtdp0szZlMZmU1VRDQVJk4jHDnS8IoYmurT5IkhGFElpWBGy8ZXo65e/+wtEOzDBBA2sRKjy7HJEmKIJQhBZIko2pl9PJ67VFv1vjdHz7j2ePnjEali4bvlS0LkiiVokuW6XRb3L13yP2Ht69uAqaj2dXQ2k8hjhMm49kHE7tRFBMGIXlRVkh1Xfu7xKK7WJLnOYuZy3g0QTf0TYvB9+0u8/kS0zRoNB1M0yAMQzRNI0lSgiDENI1NnHSBoqnYtsndB4f0t3rkWc5quSYKQ/K8ICoSZFkiDELcuYu7XLOYuSRxyq3b+xjmr7MV5OemErsVFRUVvxF2drdwnBqT8YzT43Pc+ZI0TRBECU3X6PVa9Ld7tNvN9z4gVA7U7fD08dFrjgCKqtDb6tLsNFkv1xiGztJdoZs6f/zT54iSRBxHRFFEludIlAEYOQWKJCGJwlXymK5rCIKILEsIooi39jm8u8/J0QXKZok8CEL6mkoaxyiqQhCE7B7svOYd+5KXll1hGDG6nKCqKrrx9gqvKAqkWXa1vF3kRRnuYZXhEvFsAZQtHnlRcHJ8RhjERGFMlmXIioLrLkniBAGIwhhN08is0pLNXZQCqlYrgypEoWzjkESRLM9ZbERYrWbT7bUZD6cc3NphOl2wWnrApo1XFNFf6SUFcOcrmk2HP/6n3+EuVlycXuIuloiiSKvdwHZstnb6bG13uffo9tUSehhGzGbzv+PqKFkslniej2WZf/c23kZRFCzdVekOMZ5duU0IgoCqqXS6Zd9x7SdYegV+yPHRCafHl2RZRrsj0mjWGV6Or56TJglLNyHwA7q9FrV6DdPMsGwLSRSp1Wssl2vW6xXbOz1qjoVh6KWLSRyXvtOihDufl20pkrixM5NQdYVv//aY9XLFfO7yp3/+omppoBK7FRUVFb8pao5NzbHZ3h2wWq7L+FwEFEWmVrc/aI9kt98hy3JeHJ1cBT28iqLINNsNDNPAnbv0t3p0+22+/I9viaK47JcVoICrkOQ8L+2zFEWh0aih6Rq+F+B5AXmeM7wYc3hnn63dLoOtHsPL8ZXA0Q1jcx5qaLp6Y4oZcFWRfdk3u1quf1TsarrGYra82ubL9pCiKOh0m8w3Yrfm2CzmLlEQkWUpaVY6MmRZTp68rP4KJEmCqio0202G52XMsuuuqNcdFFkmSVMoymHALM/LAAlB4NadPTRDY7VcIoi7TEfTq/aPUgxb3GT8NZ+X4tauWXzxx0cbT1+BdreFZZuIm1CLV1PnXg5a/b1kWUZ6Q4z1z0Gaphw/P2U0nBBF0WsV/CiKWa88Li9GbG0P2N3fei3eGdhcW/6mB7fg/OySMIxRVJksyFgu17RajTLo5AfXU5IkjIZTJEnCtA22dwdEYcTpizMuzkbcuX9Af6vHi+enHD095eTFKXGcEPg+zVaDVruJ7dgYlsnl6RBREun2O7Q7LXwv4Ku/fIMgCPzX//s/3bjvvyUqsVtRUVHxG0TTVLSPoB9ysN1DNzRGlxPmc3cTylAKJEEAVSttye4+OMTzPFarcghLEEqxWQ6OcdW+kOcZhqlj2yZBGDIezoiiiDgqK6SeJDCdzMmSFNM02d4ZYJg6WZ6VVe1OE7tmv1HoQinCNE3DMA28tc/SXdFs1ZGVN3+liqLIbFpWOWVZpjfoYNkmFNDptcvBMD9AU1Wm4ykIAq9mOZSC9HtFJggCeVFajTXbTVx3tXF0CLFsi8XCRVZkJFkiTTP0zXnUdY3T4wucelmtjJLkaptBGLF3sMvp8flr6Wrluc1ZuiuW7gpRFFEUGd343p1ia7uPZVvfv6DgHwskKd4YqvcPkWUZz58ec3k+utEl4erti4LADzl5cUqe5xwc7l5V9Rczl/F4ymLmEsfljdd6teb46IyCgmazQb1RtskAVxHMPyRNU2ZTl8OGgyzLnI7Kc3/vwSEFBWenlxwfnXFydHoVKhGFMetVGYqiahr3H95BNzROj8+J4oTbWtnfPboc893XT+kPuty+e/Dzn8hfEZXYraioqKj4RWk06zSadTzPZzF3SeOUgnKgy6nXcOo1BEFgdDlhPJogiRKiVLYohGGEKEkoqkKSltVOTddYLpbMJ4tNZVRE1VTyLNsMXwkolsGT756zctf8r//b/4PtnT5ZmjGbLnAXLt1+5437m+cFsiLRbNbx1j5xHOP74ZWAvImiKCfmu702qqqSJinfff2UJEmpNxx0XWO5XJNmWdmqIAi8WoxLkoSaU26/oBxeEkWRxcJF0zS63VbZ1rFpjVDWHrqu0mjWSyuzeg3btjg7vSDLMiRZRhDEK/2sqgq2ZdAfdHDdJfPp4q2fWZ6XThL5RiwqqvJaf21Z/f77K4qiKCBJP39F8vJ8xPDi7UL3VZIk5fz0EssyaHWanBydcXE+fK0XeTZZlKEZ4xlnygW7+9t0e23WS4/Bdo8wDBlejF/fflo6ckwnU3RTZ2enz9nJJaZtsHLXnByd4q3L3vUkThAlkTRNCfwI3TD48j++4eFnd9jeHfD0uyMMQ2drZ4Bds1mv1jx/esz+wc5bb8Y+dX67R15RUVFR8VFhWeZb+zN7gw5Oo8Z65RF8HdBsN/C9AEGAmlMuv9s1i/l0gbf20Qwdoog0zcjzbOMg4ODUHRRFZrgx4k/jBN3QOXp6wmK2YGun/6P7GkcxrW6T0WhKliQ/6lssihKtVoOTF+ebitz3y/2r5ZpOt0W7XQ7JzWcrLNtAURQkSSbLUuYzl+3dPvW6Q1EUNFp13JlLluf4QYAkiqia9n1wiGNhmsYmQra46sHOsxxZken12kiigG5odLttanUb0zLJi4LtnT6BH1yP/70BQRQRpbLC3m43aTR/EFJhGdg1iyB4vUXlXTBMoxym+xlJ05ThxfitjiQ3Eccxi8WS1crj/PTytcp3GER4XoCua8iqQhiEPHvygizL6A96eJ7HrcN9JEl+TWjrmsp4OCEKY3Z2B2i6hqIqGIbO0++eEwQRsiIRhtHVOZfFMsY7jiIKcv7212/4b//zf8GumUzHM+bTOa1Oi6W7Yng5Yj5bvPUG7lOnErsVFRUVFb8adF3j9r1bRFHMYl5G9IZB2fqgaWop0sKYvCgQNv6mWZ6RxBl5ljLY6uE4Nqulh2WaDHb6qJrGN199h2VbKJqC7fz4QFKSpNi2xe7eFqcvzt76XEEQ0HSF8WjGyYszGs361WOyLCHLMqvVmna3RW+rQ3+rw3KxxLAMajUT3wuQRAHLsrj34JDLi3GZumZoiCuPvMhLR4ogIAiNzXJ3TK1mkyTlQNPO7gDLthAEAVmRefDoDlbNRpQkLi9GV/sTBiE1x+bgcI8Xz08J3yJUFUXGskw63Q6Hdw9e6/d+k8XcuyBJEt1++2e3vpvPXIIg+PEnvrY/IvPNMN9LF4tXSZOEPM9AELAtkziMyfOcF89PMQwDVVdx3RX7Bzv0+m3Goxnz2ZwkycrHZku2dwY8+PwuL56dUm84RGGIu1ijb4YWIULTVURBhKLsw/a8gJpjsQ4jppM5g50BZy/OubwY0Rt0y571dcB0Mq/EbkVFRUVFxa+FZrOObVvkec7O7oDhxjoryzJmkwWiJCBLEnGalENaiBi6hCxbfPa7+zh1h8mktLVqNB2CIGC1WGHXbFqtBso7Lvd6nk9v0EUSRd6WLWFaBucnlwReQKPhoKgKyqafNgpjFvMZURhzcTrk8M4+oiji1B2cZo1+v8tkPNukbSn07S6z6YL12kNRFAxTx/O+7wU1TIMkSVDkcvtFAZ1uC6deBk+YlkGv32Vnf/sqmGA+W1xbkvfWPo2mg6bdZnQ5YbFYviZ6VU1l72CH23cP2NnbQlGVG4+91apjWRbuT0yaMy2DVrv5k17zLizm7lUM809B13VGwzG+F9Ltt197PC++76g2TAMjCPE3Q5Gj0YQ79w5ZzFwug/Aq1ndnd1D2VYsi87nLrdt7zGcuL16c0mo1WC090iRF1VXiOEEUBHKKcv839nNpliKJErZtMpnM2DvcYWqozCYLJFFAliWKvLjy8/2tUondioqKiopfFbIis73bJ3wS0mw3KIDJaIq3DpBlEUmSUTSVLM/J8oycHAGJ3//zZwy2e3z11XcAtLvN0rIszxEkiTiMObi99869nEVR4Hs+e7d2cer2Jn3Mv/IEhrL6KSsySZKyvdvn/BQQIQ4ThpcTAj+4tiQ+GU+hKHj83XMadZvf/ekLLNsgihLmM5dOt8Xte7d4+vg5oR9Rr9fI84IgCDAMA9PUicLoqnrcajfYv7VbujMAzVadwzt7VwN99XqNmlMjGk+vHZvvBaiqyuGd/bKKvnCJwpiiKFBVhW63zYPP79Fs1XkbqqZy684ej799duWZ/GMYps6tw72fFNjxrsTR3yf68iJnOlmgqjd774qigIhARumA0Ww2gDLMZDFziaMI0yoHGtcrj/XKQ1ZkGg0HQRAIvJA4ikvP47j0zk3TFEEoW0+y9LozhShLSJJEkefESUISJXjrEYEXlH6907JqbJgGeZb9Y4OCnwCV2K2oqKio+NXR3+oRxwmnx+e02g10TUOSJKIoRNXXBF6Ir2kkSYxlWXz2xX1u3d3j7OQcp2ajG1opIDbL6426g2Hq6Ib2VieGm7BrJnsHO2zvDnAXS/x1meYmiCKmpTObLqg5FkUBzXbI5fmIy4sxUfR6T+x85rK108cwNKazBUdPj7n38DZRFBGGEZPxjE63xYOHd7k4H7J0lzSbdSRRxHFsFFlGq6s0WnWarTrb2wNyCoRCoNtrc3j34JqHsiiKHN7ZI45ilsvVtX2J45g4jpEkiU63jbipBCuyzNZO/0eF7kuarQZ37x/y/Okx3tq/ctv4IaIoYFomt27vfVTJaWVoQxk4orZuFru6rpU3NZubCkmRaLUbqKqC7wW4i9Kx4+W1JQgCklAGfOR5gV0zMQz9SpTmG2s3SZEQBYksyxFEkSIvEBUJSRBJ4oQsyzCzHEEUEIrSyxlRIAwioihkMp7R63VQlJsr778VKrFbUVFRUfGrQxAE9g52UBSFi7MhiiITbmzLkl5CnpcxvIauUW862DWL0cUERdUQxZQoLHtZNVXFsk06vRYIAtJPdA8wTYN2pxRmkiTRajevLb8nccLzp8dXFlqyLLNceteqv68SBCFJknL77j5ff/WYxWJBGIb0Bh0CP2S1XDOfzjFti4PDXdI0xV2skESRdrdVCmzboNFw0DSVNMmo1W063Rb1hnNjD6xlW9x7eJunj4+uvJdfJcsyAj9AEAQMQ6e/1aO/1ftJ56nVbmIYBtPJjPFwShCUS/xFUfbD6rpOt9+m1Wm+txAJ4JoP8LsiCCJ5XiaayTf060K52uDUa4RhdCVYRUnCaZTXnmHqNJt1sizb2LYp2I5Fo1nH93xEsQyy0LSymp2lOYZhIMsyeVb2o2u6Vr5eEIjj5CrtTZJE8rz8b1kSIYd2p4mqaSznq/K1WiV2KyoqKioqfnUIgsDWTp9urxyCkjZLvxQFkizRaNY3dl0C8+kc3dQhjMhlCdMyMAyDmmNfBULUGw52zWa18t5p2dcwdG7d3sOuWW98Thn7WlYyi7zAXaywbZM0TQn9sEzt4vp7nZ9ecnh7ny9+/4jpZEaWZqyWHrv7W7TbTVZrjzCICIMIw9T53R8fcXB7n063hSAIpElyVbF+OdX/Y9Qcm0df3Gc2mTMaTvA9/0r0CoKIpqt0um3a3Z+WKHbtfJk6u/vbDLZ7rNz1VfuGJEs4Tu2DWGM1WnWGw7JV4N3ZpKqpCuZbhHjNsVnMXKIf3MiIkoRtmXT67fIafIUkTmi1W6iKQlEUtDtNFLm8cdNNfbPNBbKsoBsaYRARJwlFniMCiiojShJFlOA0agiihL/22Lu1AxTkeY4iK8xmLvXRhEaz8Zu0IPvtHXFFRUVFxSeFrMh0+x10Q8c0jathnDRNCYKQoijQDI2+0X3jNkRRoNNt0e13SJOU+WzxxuqrJEmYpsHB7V063deHlV5jo2W9TeiDKJUxu3EtJvRDfD8o0+AAAdAMDd/z2T3YZmd3gKTIpd2aH2KYOi2tsXF40Gg0HPrbPZqvODyobxgW+zE0TWVrp09/q8vSXZVCPC9vHGzbumaX9o8gy2Uy3i9Bo+lgmSaL2H3n1+Sbc+DUa2+1QjNMnXa3yWg4fc2aTDf1G9s3dF3j4HCX46NT3MWS7qDD1s6A0XCMYTi0O00W82XZrmDopEl6bVhQ043y5k6S6HRbhH6Ioqk8+vweYRBj1yx0Q2c6mmLbBqu1T7vdxKnX3vn4PwUqsVtRUVFR8Ulg1yxkWWb2I4EIN6HpOq1OE13XuP/oNu5iyWQ8YzFzybKMoigFsWEa5XJ7u/lOA1SSJCFughHWK/+aE4Cqqqiqiu3YFFkZOiGKAuKm1WB4OaHbb/PwwWEZSazr2DULURLRNI1mu/5OVVbfC1itXomGVhUaDeeNFT5RFK/Zo31KyLJMb6uD53nv7MqQZRnNZp18u/ejFf9Wp0VRFEwn86ubLl3XaLTqROH3N0+CIGBZJrfu7NFqNwjDkPXKQ5Ik9g93cd0leZ7TaDgMtrpcno8QJRlN14iimCROkWURw9DKKr4Au/vbzKYLHn1+nyzL+O//z/8vd+/fIoljnj874cXRGZZdei8Ptrrs7G3T6jTea9vIx0IldisqKioqPgkEQaDTbTOfuz9pmVoQBJqt+tWX/qu9t4FfTrcXeYEoi5im8ZO8X1VNpdls4K190uTmfRJFEd7QKqzIMlFUxtF2e232D3ff+b3nswWT8Yz5tLQWK4ocKFPJDMOg02vT7bUxzB9vc3hfxHHMbLJgNv2+kq6qKq12g1an8Ub3g5fV99VyTZKkCGKZqNdsNa6S5t7EYKtH4IVcnF++k/+vqip0+x3qzTovnp+8VfAKQhn/rOs6y+WK9cqj0axjGDre2keWJXRDp91p0em1rm5W+oMu3tpnPJwy2OqyXh4wm86RJJn+oIckioxGpWOGXbPJkhTd1BFFgTAI+d2fPkPTVGq2SX/Q5fTkkj/88xcIosDXf3tSJg8KIq1OE1VV2DvYwfcCrJpFq93g4HD3jef6U6ASuxUVFRUVnwydXpkadXE+fGcLsUazzt7Bzo2PGabBP5rh1e61GI8m/FT3J1mRaXdbV1P37+qVmuc5ZycXnJ1cEIY/dHwo+ziTZMV6vWYymnL73sEHr+SmaRnBOxpOCIPwNdE5m87QT3SazUY5XDiclEEamsp67X1vYyZ8/xpBEDg/u6Redxhs9d7YKiGKIrfu7CHJEsOL0bWhsh8+Tzc0dve22drp4/sBs8n8NdeKm7AdC9spvaC3dvo0Gs4mZlqm0ay/NignSVLpsSyILOYuh3f3QSityxRVRtc16s0Gk9GYwI82yXUSvu/xhz/9Fw7vHTCfLuhv98jSjP6gjbtY8eV/fH11DWiaBkXZTnP64hzbthhIIhdhaXt25/7he7F7+xioxG5FRUVFxSfDSyEjCALDy/Eb+26hFBiNpsPte7feaYjr76Ver+HUf/oAVqtVLjG/DI1419efnVxwfHRG8oZK8kvyvGC5XPHku+fcf3jng/VxpknK08dHjIaTN96Q5FnOeDjj7PiCVqdJo1VHEAWePX3B6fEFeZahaAr1eo12t1V6zhbFZnBvzNJdcXC4x2D7ZtcISZK4dXuPTrfFbDpnMpoRJzFFXpRVYm3jDtFuYJjl7Y5lmRze3efp4yPWK+9Hj1NRZLZ3t7h1+3tf47chyzKHd/dx3RXuzMUwdS7PR6xWK9z5ElVVqNdt0jxHEkScRo1Wu0Gn12YyntLptkiznCRJWS1XfPnXb4lfCQsRrv6AtedxdnJBp9dGViQm4xmiKHH/0e2fPbXuY6ASuxUVFRUVnxQvRUO96TAdz1jMXeI4uVrGl2UZyzbp9tt0uq33vnxbetkeMJ+5LBbutXCAN9FsNdg72CHeCFZVU6m/gxh1F0vOTi9/VOi+ynrlcfTshM9//+C9C52iKDh6dsxoOCbLbh7YyvKCi5NLXhyd4K398vzd3afba7NaepiWwWq5IgwikighiVMG2z0k+ft9D4KQo+fHyIr01iFCu2Zh1yy2tvvEcUyW5UiSiKZrr8UfQ/m53HtwmxfPT1mvvWti8iWSJGGYOoOtHtu7g3cSui8RRZFms06zWae/1eXOvVusVx7uYkUQBEyGU8IoxjR1dF0nSWJWa4/peM5i7rJ0V9y6s8/x8flr+/bq+QFw3RWLuUunV/YZz2cL3MXyvSTX/dJUYreioqKi4pNDFEU63Radbov1ysPbWGmJGxstp177oBUs0zL4wz99znrlcXJ8Xk7UFxBFMYEflD21eY6u6wx2++zsbUFRXIlWyzJxGj8udqfjGVEY/ujzfkgpqN6/0Fm6K8bj2WtCVxDKlpHR5YRv/vaEZ98dsfbK6qkkSiwWLrWaRRKl9Hd7tNtNFoslWZrhLlxEUWSw0+dVXRkGEacvLmg06zcK11dRVOWNkcc/pN5w+OIPD3HnS8bjKcvFirzIS4cMTaPXb9NsN/8uT99XMUwDwzSoN5zyegCePTlmuVxS5AXe2ifLCp5+d8T56SVQ+hnHUcx8Or+2LQEB0zSutWtEYcTSXZUe05T905PxrBK7FRUVFRUVvzZeVu9+aWqOze//9Bn1Ro2LsxEnx2dEYUhe5BimTqPZoN2pI8kyL56fQAGdbpNGu0Gv3/lRcR4GIdPJ/Cf3BsOHEzrTyZz4huQ407Q4evaCr7/8juMX59faT1RNZT5dUOQ5YRhzMRzx8NFddna3mC9csixnuVxRbzqvWYN5nl9WL9/FIu4nIIoizXaDZrtReilnOaIkIknST6rk/lScusXFWVm5T5IE110xm3wvbGuOzeXF6LWbCVVTUTX1akhSURQs20SWZVauR5omgECWlRHDzWb9o/iZ+bmoxG5FRUVFRcUHojfoMB5NECSBnd1BWdIsoKAgyzJWyzVh8L0YLIqC3YMd+ltv9gh+yXrtv7VH+cdwF0vSJH1rb3AQlEEYAsKVs8C7EkUx0/HsNTFumgZnpxd8/eVj5rPltWMQNu4R7sIHoexjXq3WfP3VYyRJojfoMp8tNn2q69fEbpIkjIezn13svoosyx9MTTWaZQz0eDRF3rQlvOrfK0niNR9eKIW5bZsIlJbPmqbRH3SQJBnXXfLi6AR3vqQocmp1h+loRn+7x2Crz87+ANv+9YveSuxWVFRUVFR8IAI/RJZldE3jfDL/3lXgBuyaxc7uFqIk4bqra8ERN5Fl2VU4xdsoCsjzDEEQStuzl3+fF6RZ9prYTdMUd176DruL5ZW4Kv14HdrdNo3mzVHErxKF0WthCwBZnvPs8RFhUAZsvIooihRFQRKnRGGCKEpX+3T07Jh2p4msyKQbsdvqNFF+sP+e55Fl2ScxeFX2ox+QZRmLxRJd1xBe+QwFhGvXgCSKOHUHwzSI4xhFlhls91gvPZ4/fYHvh2iaQp7niKJIGMYcGTrfff2U7b0tHn1+j89///CdWmg+ZiqxW1FRUVFR8YG4vBhdTc7XGzUW8yXTyYwwjImiiDzNMYzSCaDZaqCbOrPJDFkSf1TsiqLITSvogR+SpSlBEOH7AYEXIClSGTChyDj1GrZjgwDiDzbgrT2ePTlmtVzdaH3m+wGT8Qyn7nB4d/+tAQVF/sNg5DK1bTaZM9lUfLMfimFBgKKgKAqKIr92fIvFktl0QbvXwt2kjGVp9prYLfKCPMs/CbELZUz1vYd3OH5+gizJ1OsOo3AMQE6BLEub3nRtE4utkcQJRV6wtTNg6a7487/8hSzLqNVsRFEkSTPSNEFWFOI4xl2uiJ+mhGFIluf803/5Hab56w2fqMRuRUVFRUXFByDwg7L3dON1KoriJsHKYL32CMON9ZVAGTAwnqJpKk7dQVEVlu7qrfZgqqIgSRJpmpFlGd7aZ+V6xHHMdDJjtVwThREIIrquYloG+ibsQJ3MuXP/8CrtDUqh+93Xz3Dd5VuPK44TppMpaZJy79HtNwpeURZfE9OKonB2ckEcx8iy8nq/cVGAIJRVaEnkh4Xry7MRvX5n89TixkheQRSuHdenQJn0d5fVcs187vJv/1I6SciSxM7uFkVRoCjlwF0cJ+RZTq1uUxQF//4//koURpiWiSiJBEFIEqekSYJuaIyGUy7PR0iSyPByxHKxKtPebu+jm9p7tel7X1Rit6KioqKi4gMwmy2uhTwkUcLp8QXLxZIszxBEkSLPry1Dh0HEeu3jrTz6/e5bxW6tbmPZFt7aZ3Q5YbVaIwgC49GMxWzB93XVjPU6IfBDTNug0XAQNsNVJ0fn7B/uUBQFTx8f/ajQfUlRwGLh8vzJMQ8/v3uj+4Fh6Giadu0ciKJAURTU62UbRJIkLBdLok3fbp6XLgeyImOaJll6vbochAF5XiAIAoIg3ihqLcv6ZKq6P+Tl0KO39ljMXTRNpdNrs5gtCOMY0zSwJZMwjNnd2+Hpd8/wVj6GYVAUUOQ565VPHEUUQF9RuDi9ZDF3yYqC4XDM8HJMs9XAdkzCMKJm12h1Gh/Ml/nnoBK7FRUVFRUVH4AojK8qj1mWMbwcM58vvhe3+c0BC1maMZ+7nJ9dsH+4QxInTCcLlu6SNMkQRAHD1Ol027RaDb7+8lvm8wWapjIezZjP5jdvN8/KcIQcbt8/QDc0zk4vkGQJyzZZLdc/+RiX7hJ3vqTdbb32mCzLdPtt1msPVVMQBPFqsGwxd5FlGaGAZrtBnuf464C155FlOU69hlO3WbrX9ynLc4qirIarmoL6A/swRVHo9l/fl0+JwVaXh4/u8u9//vIqnvjeo7sslyuyJCVJU2q1Gk7Dpt5wuHP/kNHlBEWR8dY+gR+QZxmtTossy3HdFUVRIAKCIBJFMX/+179w+/4tdF3HXwcs5gu2dgf0Bz8+OPkxUIndioqKioqKD0D+ih2Uu1ixWLivDZRpuoZlGYiShEBZ2Qz8cDPYJfDv/+NLiqIgCqNrr53PYLlYomrqxsFAJgxj3PnbK7Mvl/7rdYciz0nTlOHFiEazTvIO4Rc/JI4TJuPZjWIXSh/Y+XzB+emQ8WiKYei4ixXj8RRJEMnynDhOqDkW9YaDbmosZkt6/TaSLBME1wfYZElGlEQEBOoN59rAHZT+xB86CvlDI8syDz6/iyiJTCcLVssVRZ5zdnzB+ckFaZ7RbDS4OBsyGc0Y7JRhF0fPThiPpuR5gVWzaTQdzo7PWS1XvNpcragqx0enDC/GdHtt1uucRqPO8YszJEl8r04XPxeV2K2oqKioqPgAvHQ5yPMcd7G85oVq1yws2yLPM5aLMh2sKApUTaXdbtLutnAXS148c9na6d9oD1YAT759jqKptDsNnj0+Js3eLlgNQ+fW3X0KCtZrD0mSWCyWJGmKoig/KYntJe5iSeAHVzG7ryJKIivX5/j5KWEYIXabdLttjo9OyYocRZYJgojRcMLSXbG9u8Vgu0erVScIIiRRInulAt5s1xEEAUVTqP3AF1Y3NHYPtn40UOJTQFVV7ty9xXr1N15MF6xXa/qDDoIg4C6WGLZBGEQML8eMxxN29rboDdr4a58gCGg0GlycjZiOZ69tO01TfC8sb6ZUBafhMBlN2drt8+L5KU699t5TCP9RPv0roKKioqKi4iPAsk0URWY+XRBtvHQFQaDTbZFlGafH58ync4If+KSulitOTy9od5s4jo3nBdR/YAVlGDqyLCPKEkIBu7d2UVQV+8Tk+OiMLLveIqHpGq1Wg/5WF1mWuTgbsb3bx6nXSKKEiVeKmb9H7GZZRpq+3pKRpinPn7wgSWJa7SaT8ZT10qPTb+E4NdzlkrwoME2dPMuIwpjZZM7Dz++RF6VQ3trpMbwYk6Qpsiwz2OpDUdDrda4loBmGzsHh3q+i6vhzkGUZJyfnRFHE9naf9domisrzvFyuCYOQyXiKZqhEQcyLZyfkWc5/+q9/4NnTY55+9/xGofsSgbJl5PT4nD0BGq36VRzxbLJgsN37QEf691GJ3YqKioqKig9As1XHMAzOg0uSjcVWt9cmCEKePj4i8F/33NU0jSAIGT4/YTFvsbu3zf6tXQShHOzSDR1RFIjDmGePX/D82QsEBE6OzymynL3DXQ7vHDAdzxiPpgDopkGr5SDLMp7nM5+5APhecDV0FP4g5ax0dwg27ROlJ6usKNRq5s1V5hti3BZzl9l0QVEUtLtNNF1luVhhmDq7+1ssv1yR5RmKotDptEEsQxKm4xnNTTxwvV5j/9YuS3eFbVu02k0UVabedMq4Xl2lXncYbPVothv/0Of1a2I2XTC6nJDnOZIiUW86V491o5jZdIEkisxnLkmcEEUxrrvi5Phsk7y3eOv2bcdCURUuzocANFsN3MWKdqfJeDSlN+i81kLyMVGJ3YqKioqKig9AOaDV4enjI6BMw4qimCffPX8t9eoluqGxXKzQNI04Snj65AjLNrn/6A4U5dDbaDghiRNcd4nnBWRpWVk9fn7K0ydHbG0P2D3YZnd/m/FoSpHlXJ6PoQBJ+d6l4GVbhSiJVz2baZLiLpa4ixVRFF8LhZAkkamq4tRrNJp1VE25ev1NrQPj4exapfhljHOe5/zxP/0OSZa4OBsBOaqmIVD6+PpeQL3poKkq7mKJaZk8eHSHL/74iE63VbqTiQK6rtFsNag59j/wKf06mYymb6zCK6pKmqTYjo2hayzdNavlCt8LWLkrHn5xD01Xid5wDUqSyOGdfShyJuMZuq4RxQlZmiFKIr7vE4XRjW0rHwuV2K2oqKioqPhADLa6DHb6jEYTDEPj6SY57CZEsQwHyIsCw9DLYAAKLs/G/PGfv8Bf+wyHE3zPRxDKpfvdvQF5VpAkZfUuDCNWyzXPn7/g4Wf3ysGl00tEQUCSZUzLwKnXNoEUpQeupmuYaUqWZFycDVktV2Q3JLNlWU4QhIRhhLf2GWz3MEydWq32WmzveuWxfIONmSiKGKbB7//0GQBPH79gNlkgiiKqrqLrGhSwf2tnszTf4Hd/eMRnv3/wyfTj5nnOarkmTVIKCiRJolaz3xrd/JLVcv1WizhBKG3pVu6aZrvJ+cXoKoBjOpkThhGDQZcXz09ueLGIbmgc3N7j/GxIkecUecFquabecMg3/3+Tv/HHxKdxlVRUVFRUVPwKUFSFO3f2iYOI8Xh61UJwE6oqY5g6hq8RxzEFBYZpsLXb5+z0kqffHXF6ck4URsiSRJKkhGFEp9tka2fAZ79/wFd/+RYoWxQef/uMz754QM2xWS3X5EnCyi1FT6v9fcyuIMD2zoCL8+GVDdXbKIpyuO3ibMjBnT26/df7ZH9YFX4Vd75kNJqgKir3Ht6hP+hx8uKUi4sRgReSxDGmZWA7NT4/3OXW4S7bu4Mrcf5rJo5jpuM549EUb+2R5TkUpf+wrpdJeq1O863JdL4fkMRvH0S0bYvVcs3Wdq98r6VPnpfJdO589YaqrICqKXz+u4fYjs1//PkrOt0WUBAFIULD2fgbC5RdvR8vlditqKioqKj4gNRbdXZv7TCdz2k0HNZrjyhOKKewBFRFwbJMWpue02EyKqu7psH+/jar1ZrHXz8ljKKrpessy69S1v7218ccH53xp//8e/7n/+W/MtsMvWVZThiGNJrOlYduXuR4no9hGlh2Kag0TaXZqnP8/PRHhe6reJ5Pkec3xhoXRf56Ohowny0ZXY6I42QToFFWDD/7/QPuP7pbCuSiwKpZ3Ll3C9M26fbbn4TQXS3XnB6f43k+WZqR5wXpK3ZvcZywXq+5PB9xcLhHb9C5cTt5lv/o5yTJEp1eG3e25N7D20RxzPprD2KBPMvQtB+6KQgYts7nXzzg/qM7fPfNU/I8R9NUTNPEDwK0TduKoig3vP7johK7FRUVFRUVHxDLMpEkkTROafdaNFqNcvm6yBEEEVmWkBSJIi+IkwQQkGWZ7Z0+k/GcPM+ZTheYZtnXWgAFZYqYbuhsGTqtTpMwCAm8AFEQ8ZY+iqYgSTJ7BzukScrlxZiiKDbRsvLVgJFlm8RxzGC3TxhFV4Ntb0LTNSzbpNttsbe/Q5wkry2/S6KEIF4XqL4XMB6OiePve03zvGA+c5nPXFRNKYMmEJAUGW/tMRnPSOOUuw8Of46P4hdjNJzw+JtnzGcL8ixHVmQs28SyLbIsIwqjjQdygef5PHt6hCBAt/+64BVEkXfR/rquQcuhKAo+/+I+siRxenyGrmtX6XqiJGLXbLqDLrdv79Hf7vL8+THPHh+xs7OFpMhYNZPAC7FqpePD/sHOO7Vb/JJ83HtXUVFRUVHxCVKvl0vAYRAhigKiLCIiUVCQ5RlJkJb+sYqCqqnUHIsojFjMXQzLwPfWmIYGG7lb5AVJknLrzj6zyZzH3x6xXLgYpkF/0GUynhIGES+eHRMFEa1OA1VTOT2+QNM0TMtk6a7o9Tt0uq3SrizPuXVnH1mRmU7mV1ZTL6k5NqZlIooCaZLirX2+/Ms3DC/H3L57QKvdvOrdNW0TTVNJXhG2S3dNHF/f5qvEUUIclc/v9tsUCOR5zmw6x/P6b13afxtxHOOt/DKiGQFFVag59gepFi/mLmcnF3zz1RMuL4bXgkEURaFWt+n22jRbdcIgurKMC4OIo+cnmLb52nHruoosy2TZm8/l98/V6G11kGSJOE5w6jW2tvukaUK94aDIErV6Dadew1v7fPWXbzg7vaTbbVOrW9RqNlmWY9kmhqlT5DmtdvPnPUnvgUrsVlRUVFRUfGBqjkWv32HlroniGPKMHzrTFkWBKArUHItGw+HifISqqWRpSlEIIJSDTS8T1Xb2t5mMpjz59oj5dE6W56iqShzHOA2H2fSYLEs5P71kPJ4y2Opw594hSZIgy9LVIFLgB0RRjCgKCMCt27v0B12mkznuwiXLclrtBmmasV6uSdOMgoLZJCHbxPwCnJ9e0mo32bu1g6aptDstvLVPURQkcVImfb1Dl4RulC4LUVjaoYVhxGyy+Mlid7VcM53MmYynRFFMkRcggCxJ1BybTq+MW1Z+EDn8czGbzDk9uWA+K+3X6g2H5XJNtvEkTpKE2WTOYlYGh+zubxEG0dXwV+CHNx63U69h12yi6M0+ua8iiiLtbhM/CMiSjHanheu67O9vE8UJK3fJs6cvmE1mCIj0+13anQa1eo16o8ZsMuP/9j/9Z7IkpdvvYP8gzONjpBK7FRUVFRUVHxhN1xhs9/D9gMlwRhhFNw5wZXnO7v42SZwSBCGyJJHlOXmW4XsBQRgR+CF7B9t4a5+//tvfQBCwHRsoiOKI2czlzr0D4rBfDpxR+uReng7LhLKtHqIo0mzXMQyNMIqo12tkeV62EMgSakPFqpkkcR/D0BgPp5wen5OkKUmcXEuDy/OcPM/x/YAwLN0a7t6/RbvTZHQ5JghCfC9458CKRsNB01W8tX+1/el4xt7B9ju9vigKzs8uOT0+v0qme5V0M9g3n7k0mg6Hdw/+7qrxTYRByOnJBd98+R3ucs1i7hKFEY1mnW63TZZluIvlVTtHnv//27vTGMn2NL/r3//ZIk7se+5brbfu0tt0M6OZ0dgYsGwZhAGDBpgxiywBEq/AIMsSwvIL3gDirV8OnhFjaQZZ2NgGWwYGMAPumV5v9+17a83KPTP27cRZ/7w4UVlZVVlVWber7s3Ofj6tVEZEnjhxMrN0+5f/eP7Pk7A/75ixur7E+Mz3fXLUZmm59UzZgGEYNFt1Bv3BucM8zmMYBotLTer1Ko5t8+knd9l5tMdkPCWKYizHotGsUyqXKFeKZN0sxVKeXqfPyvoKjVYtrSHfXHlrP6d3ScKuEEII8QVTStFo1el1++TyLpOxx3AwfBrGFGQzGUrlIo1WnYf3HzOdeGid4Lo5MtkMvh/iez5hFJJxM/z4+z9hOvWwLIuMY5Mr5AmCkNAPicKIfDFP1s2wvLpEo1FFq/Q66o0qCenI2UcPHnP/7jYPHzwmCiKUaVAs5FlZXaS50KBUKXGwe8C9u49eKGs4/d7O1OYmiabT7mIYBrfuXGN5dTEdDZy8flMVQKVaYmVt+YXXiqKIOI4xTfMlz3xqf/eQ7Ye7ryyZeHLOTrtLHCfcunMd182+9tyvkiQJu48PONw/4u5nD+m2eyRxzNHBCUEYcrB3lK4oN2s0F5oM+0Om88EiWmsO9o+o1Mqnq/OQrmqPxpMXNgHWG1W6nXTAw0U3FZZKRar1KsqAcq3E3s4hh/vHTCdp4FUKcjkXy7ZRCiYTj8XFFrfeu0az1WBhqXmhn/9lIGFXCCGE+BJUq2XcnEsUxZTKBUrlAnEcoxONMtRpkHCcNGxYtsmoP6XeqJHoOB077Ps0F+rMpjM67R5xlODm0jpfb+oRxwmWbWPZFhkNiWXhOA6j0YRSpUi/12c0mlAo5vj2H36XmefT7fbTYKafrtY+fPCYZrPGR19/nyiI0HGCaah0c5zWaA2mkQ6TyD/XY1drTa/bo98bsLK2hNaa4bwbxMsopajWK2xsraIMRTB7btX7guW1g96Q3cf7rw26T681ravdfrDDex/cvNiLnCNJEh7ee8zBwRGD3pDRYDR/XJ+WbmitGQ5GDAcjPM9nfXOFRCfM5qOkoyjm5LjD1rX10+tPdHJa9nCW7dhs3dhA6/SPi+ScvshnZbIZ1jdWWFpZSLtuJJrNrVVW15bSlfexx3Q6ZTpNbzuORavVYOvWJnc+uEE2+9P9IfBFk7ArhBBCfAmcjMPG1hr3P3uINx8sYZomPLdYNhyO8aYzstksuSWX1kId0zDpHHcJw4havcr+7gH5vIthWqBgNJrgODZaa4IgLZGYTCbkC3kmkwkzz8d1sziOw9HBMXs7Mfc+fURzoYbrZrBs65mA6M982u0u3/ujj2m0aqysL6VTu6IY00g3eU0nHp43Y9gfM/N8bMeiUCjg5l3CMOLkqEOjWWd9cxWdJCgNx0/6y87LICzbojSvny1XisRxclqre5ZlWRdaVWyfdE9/theltabfHzIajj/3NLb93UMODo6IwojRYEx42lJMnRvUD/YOMQ2D1Y1lDvePT1dnB/0hgR9gGAZJkqDgpRvpXDfLzfeukdvJ0T7uMJs93eD2RCbjUCgWWFpp0Wim/ZA3rq2RzbkcHRwz8wO01rj5LG4+S530j61cPkdrsXFa8vKzRsKuEEII8SVpNGskccKjh2mZwvN0oum2e2SzGWzbptGqUSgVcDIZvJmHk81Qa9Q4OjjBzeWY+X66QUxrjHkbM2veVsy0DMrlIjPPx/d9fD8d1nD/s0cUy4W0c4JSGKZJLvd0YhuknQJqtQrTicf9zx7hfsWlUMjjzXzQmvZxh15ngOXYaRiapMMput0BuVyWarVC1s0yGo0pFgssriwyGk+pNap43owk0SiVjqbNui5xHJ9bXwtpvWk63ODVPG9Gp3OxTVvP82c+nXbvc4XdwA84PDg+7ZnrzZ6GbcNQGC8JqwcHRzRaNfKFHOPR5PQ6gjDEtm2SJK21tl/R5stxHLaur7O0skCv02fQHxJFEUoZuG6GaqNKeT4x7wmlFItLTVoLdQa9Ib3eIP3da43j2JQrJcrV0s/0tLqf3SsXQgghroDWYoOsm6F93Dkd35okMVozHwYRsb61Sq7gEsfp29jVahnbMvnh935CHMXpaGB/ho7BUIpEJ4RRiGWblCtFTNOkVquhDIXvpyuldsam3x9yfNyh2qjg5jKn15Qv5Jl5PjPfp1DI42QcJmOPx9u7HO4fMx6OuXZrk5/86D6WZdCYt8sKg3RMcSbroDWEQcggCPGmM1DqtPVYJuNQLhWYTWfkCjlIEphP49JaE4XhS2tPs9kM9cbr212NBuOX1hW/jtaabqfH5rW1N35ut9N/ZgS0PlNSYJgGbi5LcOYPiSfiKKZ93GV5bfE07CbzUbxP8nGhWLhQ94NsNsPSygJLKwsXvu50k2KF6nyYyVUiYVcIIYT4kpXmvU2XVxfp94bpkAk0/d4QrZewHRtv4nHv7jZRGGI7NhnH4f2v3MbNZ9l++Jher48ywbYtgkDPNxkpFhab5Ao54jhm2B+dvqZtWxzsHmFbFq7rntaKQlpOUKlVCPwArRMe3n9MGIb0uwNm3oyjow6rGyuMh2N6/T67jw9Y31rl+s1NfN8n8AOcM1O1giCkfdym3x9Sq1fxph5BEHJ8lD6WThBLN61Va2Vq9Sr5Qu6ZXrPwdFX3/PG2z4rj+LW1q697fhRFb7yiOegPMU0TwzAIw+iFt/2zrst4PD23+0a322N5dRHLtojCKF0JNgy01liWSbNV/5ksI/iySdgVQgghLomsm2XxTBcAf+Zzb76Tfzqd0T5uMxqNsax5Ta1WfO1bH/HeB7cAxfFhm8RJyLoxShncfG+LhaUm3W7/dEQwpHWYcRgzHI64fnMT03wxQBUKOXzb5ic/+ozOSZdiKY8yFIZhMhlPOT5sU29WmYwn2BmH9knaCeDm7S0mYw+t9TP1pXGi8SYzjg5OaJ90mIynGKaBZZhgpm+ZK8Og1x3Mz11jdW2JIAiJomgedOusbVys3dVPOyNCXXQX3Nx04tHr9Tk5bjPzfEwz7V28tNwifBye/jGRyTq4bpbxePLC6nXgh6ddJqIwIutmcTIOURhRrpSoNSo/3Tf1c0rCrhBCCHEJTSce9+9uc//uNkmSYNsWC4tNIN2AZhomRwfH/ME/+ifcef8mbi7Lytoio+EYO+PQbNRYWG7hTadYpkW5UmLm+YRhSLlcws7YFIt5WosNhoMXuyOYtsXx432mU49czsU0LPL5PDrRJHHCdDKlUqvg5t3TTVeddhc3l2VjY5XhYEQ29zS4N5o1UPDZJ/cYDEZ02j0mkymT0YThYIShDCq1Ms2FOsVift5dImLj2jq2bVGtVdi8tpauck89fD9Ez+tY3Vz2mZVkAMu2MU3jdCjDm7Id+7Wrut7UYzgYMxgM8SYzgiDg3qcPGY0mKKXIF3IUCnmq1QphMaI9H71cqZZOexGfDbxap8UNT4J6pVrGcWyymQzXbm7gOM45VyFeR8KuEEIIcckEfsCDe49oH3dOw1oYRti2Rb1ZI0kSRsMxCbD7eJ96s8qgP8CybPKFPPmCi51x2N89RKGIkgjHSjeZZbIOjVaDJI5pLTYxDPOFjgemZeLP25lpNIZloNEUS3lA0+8OUCgM03ihu8Dx4Qkrq4vp1+YMQ7F1fY3PPrnPvU8fpK2r5s/Lulls22YyntJp9+i0uywuL7Cxtcp07OFNPL7+zY/IF3MM+yO2H+3S6/SJomgeDBUZx6HRqlFrVCkW001llUoJ13UJwxFvyjTTQQ3niaKIfm9A+7jL4+09drf3mUym2JaVBvVyETfn0uv2GY8mjIcTptMpC0stWgsNjo/aGKZJrV7BMA286Yx4PoXOtMy05jpOsG2bhaUm+XyO9c0V8oXLP6nsspKwK4QQQlwy3U6PXneAm3Mx+wPiee3paDSh3013y+dyLjdvbVGpligWCnz0tffpdwckScLhwTEHuwfYto1hmigjDdCzmU+xXKDWrFGulHDzLp1274XXty2Lw+Mu/pmNVnESYxgG2WyWMOpgZ2z0OaumQRDSPunSWmgAaXC+efsavc6AH373R8xmPguLLQzTIIljvKnPdOIRBD5JoonjmIf3tonCiDsf3kKZCj8IOLp7wslJ59xNZ4EfMB5PODw4ZmV1iZW1JSzbotGqMx6P37h2N5vNUjtno9Z4NOHBvW3axx329454eH+b0WB82pP40cMdXDdLoZhncbnFZDTBD0JM02L7wQ6b19epN6p02r154K0SFAK86QzP86jVq2SzWSbWlBu3t3j/w9tUqiWp0/0pSdgVQgghLpEkSTg+6hDHcfp2vuMQz3zCIKTX7tE56THzfZRS3H7/Ot/41leYjKeMhmPi+ajZ+589wHEytBYbuFkH27aZTqagFLZlYxqKzevr7O2mY3Sfp7VO32J/7vHADygUc9RqlXT871H7hefaloU/CyiVChzsH5PLu7h5l//v//5jRsMJWTcDaMIgpNvtE/jBvIds2oPWMNMNWbs7+2SyGZqtGjrW1BqV06CrE81kMj0dnAEK2zLJFXNEUboxbX1zhUazRvu4w3B48dVd0zRpLtTJPjdBbTQac/eTB+zvHtDp9tndPmDQHz5zTBRFzDyf/d1DphOP9c0VojhOu1MkCQd7R2mNtGWeDodwMg5OxqFYLnD9+gb1Vo1rNza4defaC9cgPh8Ju0IIIcQlMhyMmIzT1lN2xqZYKhIEAZPJlOFwjO8HaDRLKwsow+CTj+8ShSF7O4dYtslXvv4BxV/4iL2dA/Ye7+Hmc2xsrbC2sUK5UmBlbZlSpYTWMY5jkck6+LMXV0uT+MVV2zCMSBLNzdvXKFVKfPaTB8983c1mMUyD8WhKrzvAm3q898ENTg5O+MmP7uJ5MwqFPLZtM5vNCIMQ07KwLIskjkk0GACmgWFAp90jl8vSOfmMX/ilr5FxHA4PThj0h+nzw6cdDZRSOG2bXMHFm8zI513qzRpbN9a5/9kjxvOf6auYpsnCYpPV9eVnHo/CiIf3tjncP+bosE0QhKe/oxeotDTj/r1HWLZFs1XHm3pkc1mCIEAnCfVGdV6i8vTPiVq9wsr6Ms2FOq2Fxgs1yOLzk7ArhBBCXCK+HxCdGQlbb1aZTqZ4kwNmnk9CwsJik3KlxKc/vsd0km4gC4KQIAi59+lDrt3cYPP6GpvXVrEch0qlyPrmGstrC4yHk3SD18ynkM/RqFfZ2zt64TpM00i7KZxZ3k10krYzW27hODbrmysM+kPG43QTHEoz6o9oLDZoLTVpLTaxbYf7dx8RRdHpYIT2SZckiskX84RBwGQyIwwCEp2W8pqmQTabIQxjWq06pm1xdHCCbVkcHR4/E3Kf0FrjBwF+N2Ay9iiV89SbNaq1CjdvX+Phg8dMJtPTXr9nGYYik83SbNVZ31x5YWNar9tn2B9xctwmSRLGo/FLRxBHUUQu7xJHEfu7h9RrFaIowbJNHMchCEOu3djAsizCIEInCW7e5ebtLW7duY5t2xf8lyIuSsKuEEIIcYnoRD+zQz/tRFAmXyxgnHSxHZtmq869uw/pdnpUKuX5avCYTCaDk7EJw4ij/WOcrINSin53wHTiYRiKQqmA1mltrGGZbN3cJAxjet0+yfxxZSgybgbLsoiDp8Hbsizee/8GtUaN7/3xD7Bth+ZCg4UlA9M0GPRHFEtFFhYb2JZF+6QLCibjKZCunNqOjT8fZXuwd5i2Hnhuk1sUgx8E9HpDcrnsaT0ypKumYfhi94izgiDg3mePWF5bZnVtiXK1xIdffY9+b0jnpMtwMEqDOwprvrGs1qiSz+de/H1ozclxh35viOfNMC2T6fTFaXdnj4+jmGK5yGg4ZjyZks1miOf1zdPpDDQ0WzWSRJPNZlheXWR1fVlqc98RCbtCCCHEJWKaBoahOLv3K45jCgWXtY0VsrksURjSPe7h2DamaRLHMZValUwmrc9Na1s13nRG4AeEQUjGcTg+alOulk7Pe3zUZnllkVvvX+eTjz9j2B9hZR0s06JSKdE+7hKG6UpouVLk9vs3WN1Y5ujgBMMwmU6nTKfp2N9eZ0C300NrzcrqIv3+kESnbcq0TrAsC9uyMQxFGEZ0O33CIMR1M9i2RTIP+UopDEOBofA9n163j+/7uHmXne09llcXn+kZfPpzMxTKMACF1gmD/pDd7X1aC3Ucx8GyLBrNGo1mjcAP5qF+PlL5FS3GplOP0XDMcDhOh0SYJvo13cwSnaAjTalcIIoiypUm3U4/XS0HUJDL56hUytRbNarV8sX/gYg3JmFXCCGEuERyhRy24xBFT1cPk0QzHI6ZeR5rmys8erBDc7GBaRjYjpN2SXAzgKLWqJBECW4+HcMbODZOxkErzXAwTqeSRQmHB0f0u32O9k+4dec6yysLlEoFjo/SgFtr1Fj3A8bjKYvLLZqtBpZlsrezj2lZ1OoVjo/aKKVIooROp8ts5lOtVihXS3jeDEMZoBQaKFdKxFFMFMYM+0OCwEfHCVMvwQltorOT0pSBk7FJkhjTMkmShJPjNrZtobWed3JIE6dtW5iWRRiGxFGCRqMMhWNZJEnMoDeiufBsG7E3qYeNwogoitMNfgBo1AUWYLXW84EYMcVyfr4RzcY0LZZWFlhcal1oEpz46UnYFUIIIS6RfD5HtVbGO/NWuWEoDKUwLIvJeMrezgFRFFOvV8gX8oRhyGg4Zjab4fszet0BpmFQqpQoFHJkHAc3m+7s39s5xMnYDPuj04EM3U6Pw/0javUaW9fXMa308dt3rhGEEd12n8OD49N+vFEUU6qkK8RJkjAZeyhlUCwWuPXedTKOw3g0QRsJ5nxKWmuhzmgw5uiwzdSbEfppOMUAI2fMByqk5RuJTsDX+EFItVYFrbn36UNuv38DNJhGWk9sWxbT6YzhcQdv4hHGMWiNYRhkMhnWN1c4Pjyh1qhgmuaFfwezmU+/OyAIQsIwYNAf4vs+zFeeMxmHi/Z3SJKEMIiwLJNypUQ2m6HeuNjIY/F2SNgVQgghLplGs0bnpIs/b7WVzWbJulnieUlAEAbUKhXcnMvJUZsH9x4xnUxptBr0OgMG/bRHb7paqqlUy1i2ycP727huBpTB40e72I7FzVvX2dhapVQpMxwOOdg/ol4vs7DUot6swXRGLp8lm3VI4jh9K1+lb8fX6hXCIC1JMFBcv7VJc6HGcDAm62bQWpPPu6ysLzEejdEaHj7YIfADojAGEkiMeY2y4uxuOA2Uy0VWVhbZfrSXhk8/IJd3GY+ngKZ92Kbd7ZOcWRWGtOwjDEN63QHD4Rg7Y59uCnuV8WjC8VGbzkm6Sh3HMW7OZTwc0+8O8f2AUrlIoVig3xsSRS9ulHueZc9fc74cXCoXKZYKF/p3IN4OCbtCCCHEJVOtVVhcXmBvZ58oiskXclSqpdOV1UI+T76UZ/vhDsPhmDhOKFVK5PJZ4ihhfWsVy7TSVVXboX3cYeb5ZLMuWTeDN52lE8gSzWQ84Qff/RH5Yp7NrVUMw6Td7hHHCYnWtBYalCslisXCvCvBmMlkSr/XZzSaUCjmaS002dxaJZPNcP+zbcz5KubSSotypUS+mOfuT6ZUaxUKxTz7u0fAvPD1JfMeDEPx0dfuYNomH3/3E6q1Mlk3S3OhQRzF9HoDyrUK1UaV8XhK57jDzH86Ca5SK2PZNr12j51H+9i2zea1tbTDxDm6nR4P7m0zGU84sz8QQymKlSKWbdLrTfFn/rwEIXtu7fDz6vUqcRyTcbPY81ZkL7sG8W5I2BVCCCEuGaUUaxvLaK053D8iCEJq9SqD/hhDGSwuLbD7eJ+DvUNcN0dzoY6TccjlXfxZwKA3YDLxME0D3/MJgohM1mFjc5Vf+rVvYRiKg4MjMo6NZVuMRmM8b0YURty4tUUun6PfH+HmcliWxa0711nfXKHb6fP44S57e2kpxMraMitri0zHHkdHaf/bQikdaxsEASdHHSzTorVUp7VQ5/DgmGYz7TvbafeYjCeoJzu2Tr93g2Ixz/rmKtdubvKj73/C+uYKtWaVMAj5wXd/xA++82O67S6GmYbq9Y1l1rdW8f2Ane09tNY0W3X82QwUJEnM4f4RpXKReqP6ws970Bvy4O72ub14fd+nXC7SaNbo94aEYUi/NyBfyBH4wenq+3nyhRzlSokwCCnkc9TqNarnTGYT75aEXSGEEOISsiyLzWtrFEsFTo462I7NeDLFsdPNXN//TodSuUShWEg3pSUJx4dtDnYPSZKYUrlEGESnPWmfTFlz3QxRFPPLv/otfD/A82YM+yMCP6DfG7C7s8/m1hrj0YThYESlWuJw/5hGs0axVKBUKWFnnvaCNUyD0WhCb94a7Kzp1ONg/wg7Y7G8usjM87n3yQMKhQK5Qg5/5jMde+lb/Vpj2hblSpFarcLK+hInRyfUm3WODk/47rc/Zn1zlWIpz/Hhyekq7nA4YvfxPrV6lTsf3uL6zQ0Gg1H6czvu4LpZTMvE9wM6J50Xwm6SJDze3n3p0Il0ZT1La7HJ/v4Rg17IZDrFdiyqtQr97uCZFeUnlFK0FpoYhkGhlKe5UGfrxvprSynE2yc/cSGEEOKSMgyDQjFPohPiJOH9D2/hOA5aawrFIkppwjDCMk2GY4/D/SOSJMaybUzbxPNmQFpbu7jcYvPaKu2TLg/uPqJULlGplmifdKk1quhE0+8POdg7ZnllkVzexfM8JlMPN5c9HYN7ctx+7hoV5XKJfCF32k/3LM/z6Jz0yOVcllcXuX5ri53tPR49eIyTydBcbJDNOERxjOu6FIo58oU8ve4AyzSZeT53P31IHKar01PPe2E1VaPpdLp899vf55f/xC/yzV/8Onu7B6A15XLptH9trzdgMp6QL+RPnzvoDxmNXj1dzZ/NWF5dZDrx+Ph7P8ab+fT7Q1oZh+V5m7XJeJpuYiMNuiurS6ysLeI4Nrfeu8761iqOI1PRvgwSdoUQQohLKIoidrb3OTlu4898kiTBsmyKpTyOY1Otlnhwb5tqrcxkMuXo8IRypYw/88lkM0RhSBhELC0vsL61Qqfd5cG9bXrdIflCjqODk/St+f6QB/cf0VposryyyGQ0ZX/3iLWNZU6OO/ieT6I1k8mEQX/4wnUmicayTWq1yrlhV2sYDcdMxx5RHJHL57h55zora0vs7R1imRbKULhuhmIpTxjGdNpdAArFAt/7zsfk8y7FUp5CMcfjR/unXRuA+WAIE9d1KZYL9LsDBr0BSRTjZrMUS0+DbeCHjMfTZ8Ju+6Q770v8qt9FzMzzuXXnOqZp8OmP79HrDeh2BmSyGVoLDaJaxHQ6xTAtms0aqxvLlCtFtq5vUq4U3/j3L94eCbtCCCHEJROFEffvPuL4qE18ptNAGIZMRh793oD3PryJMhSGYdBpdzHnU8/yeRcN9Lo+K2uLrKwvs/1wB9u28bwZ08mUUqnAYDAik81QrpTYebzH9sMdBv0ht+/cIEkSojBCa02SJChgOpkxm734dj1wumlrMvXotnsvfD0MAkbjCVonGKbBJz/4lKXVRW7e2iQIIybjdIzv7s7h6Sa8tfVl2sddHMfBzWWxbJtms85sFhCFITPfn/fjdchm08lxgR/wkx/fxZvN2NhYZTyaYNk2pUqRUqmANvRpf15Ie+GeF+DPE4Yhk3HC7Q9usrSyyONHOxzsHWOaJoZpkM/m2Li2RqNVo1QuknWzLCw2yWYzF//Fi3dCwq4QQghxyTx6sMPx0Qlx/OKoLq0TxqMpU8/jw6/eYdAfcnTYxrQsdKLxfZ+sm6VYLnD7/Rsc7B9RLBeJw4iH93fSUBgEeBOP9nGHWr2K66YlC/3egLufPuDr3/yIBE0SxxgqHakbR/EzY4zPiqIYwzDZuraehu+T7jPHxokm8NNJbJmsw8raMjNvBqXifGqagT8LsC0LK2+RdR1q9Sr7e0e4bpYojCg284RRRDIfxVt3aji2zWQyZTgc0+n1WFla4P2PblOplNi8vsZolK5GH+we4tUqLC43numEEMcv/55e9n12Trpk5yOMb9+5iWEqLNMCpchkbHL5HNVahVxe+uheFhJ2hRBCiEtkNBzTbnfPDbqQlgWUygV2dw7IF3IsLLX44Ku3GfVHtE+6REmMm3VZXGpSLBXY2d6n3x3QPukwnXrkCnUSrYnjmOFgxGw2Iz+vz4W0BVev12d1bZHDIMLO2NSbNaIoxrJMbNtGGQp0Ovwh8EOSJCEIAhzH5vqNDer1KicnHUaD8em4YXRCvVUjDmNWf/Gr7O8esL97iNakIXG5mbb80ppiucB04nF0cIJpmSwtt8iX8mQyDqZtMhqMcBybXm+AYRh85Wt3WFlbJtEJk4mHThJ63QGum2VxsYkfRHjTKYP+GOfs5rr5cIo3NZvOmE1n2LbFrfduvDChTVwuEnaFEEKIS6TT7p2+lX+eJEloLjTIPdhhOvGYjHbZ3z2gWquwvLZI1s2SzTiUqyX+6A+/z4O72/i+j2VbWPNWXdOJx5MGt6EfYpgGCgM9733b7wzQGizToFqv0GjWaB930Bq67R5hGKGUwsk6FIsFbMci8IP5xLGIcqVItVZm6nnEUUwSp9e8urbE0eEJ49GE5dUlAHqdAdOpd9o1AiAbRHgTj2IpT7VexbHtebjWNJt1oiDi5LjD6toSX//WVxgOxvzwe5/w4N42w+EInSTUm3WWlhdYWVtkcblFvpCnXCk+E24NwyCXcxm/ZoPayyjDwHIkSl128hsSQgghLokwCF8oAXieHwTUGzUaC3WmYw/DMpiMpxwdnBAGEbmCy8JSi6Uw4v5nD9OaW0ORJAmVeoVMJsPx4cnp+RKticMY2zIJogQ1/1+vO2B5bYnllUX6vQGPHu7w4N4jvOmzQTyfz1Gplmku1MnlXaYT77QLhGVZ2K6NZVmsrC5Sb9aYzXy6nbSud21jhcWlFv3ekH5vQBwnKKWo1crk8zkGgzGBHzCbzag1q/PNdw7ZnMvK6iK3P7jJpz++x7f/8HsMh0N87+m1GYbJoDfgkx99xur6Cr/wrY+o1asMh2OqtcrpcY1mnW63TxS+fhra8/L5HOWybD677CTsCiGEEJdEEISvHUEbhRHZbIaNjRUePdzFMAxM0yQK4/mGMo1O0ulnpNUGmIYJQL1RRam0gwKAk3GwLINxd4xhGhClgxCcjE0Yxrz/4a3TfrtRGJ0+76zJZMpkMqXX67N5bY1SqchkknZliKIIIshm0o1wAIvLLaZTj8P9YybjKYZh0GjVaC7U0Yme17467G7vM+gPsGyLhcUmtmUxm6Wb0hYWG1QqJX70w0/5f/7Pf8qwP3r2opSBaRnEUTo2+MHdhyRJTK6Yw7QMmq06uVxaU1utlcm5LsPwuXO8hmmatBYap23NxOUlYVcIIYS4NPQzbbVeZub5LCy1CMOI2cynudDg5KT79JkKkjjBzbl4no9lWjQX69i2Tb83oFwpMvN8KtUSUZwwmU7J53NYpsniUpNypcTWjTUCP6TfH2BaJk7GoVgoEPi9c1eepxOPh/d3uHFzk6ybOe3ckIbZOraT1sqapsnW9XVMw+D4qI3vB/Oyiqe86ZRCKc/q2jJKQebM+eIkodmq02l3+e4fffxi0CXdBGeaJjMvAAzyhSzDwZjv/L/fp1Qq0u8MTsOu7dgsry4wu+cTBK9uQXb641VpSD5vGpu4fCTsCiGEEJeEYZoo9fqVwiiKUIZidX2JydhDazg+bDMZj7FtG7TCUIpczsXNpRPEMpkMvU6fMAjTr+VdVteXGY8nVCrpJLaFpSbLq0tk3QwZx+Hg4OiZrgJPyhBeNm3Mm3rs7x1y8/Y1IA2FtXqVhaXmM8dZlsXWjQ0arTqddo9Ou0sYhOh0YZdMJsPCUpMkSbj7yQP82dMQ6mQdLMfm4f3HTMYTMm6WMAhJzrRoc3NZkliTydhkMhksxybwfba3d9jfPWBwa4vltcXT4xeXFwiDiN2d/VeO/02/J0W1VubajU2cjAyJ+FkgYVcIIYS4JLLZDIVCDm/qvfbYMAjRSUKxXOSDWhlv6nH/7iMc28ayTVpLTW56W3iTGd68TlZrTSbjpBu9WjUMw4REs761yur6MqVyicAP5pu4NDrRz7xN72RsFldaHO4dM5lMz13hHQ5GTCcemYxDqVzk2o1NMueEQqUUpXKRUrnI8urifHCGxjQNstkMtpO2SNvfPcLvPA2gpWKB4WDEvU8fEoYRtp12iIiTiCROyGQylIqF9GBDkSQaf+ajSUgi2H64S6fTJYqiZ0b3rm2u4GQdDvaOmE6mBEH4zPUaRnpdtXqV1fUlsm72tb8jcTlI2BVCCCEuCaUUzVaDXnfw2tpdSPu+jkdjavUKdz68SS4/7yygFKVKgcWVRX78g0+ZzXyKpQL5fI7ZzKdWr1Bv1pl5HpXaOguLDeyMTb/fRyewurZEFMfnDkRw3Swra0sM+gOGgxG+Hz4z+EJrzXg84et3vkKjWb3QiNxMxjk3EG9srTEZT/nuH39MvzsAIJfLcXLUpt8fksQRfhyhlIlpm+RyLuVKCWUY+L5PHMQvlIV0TjpMxh5R+GzYBVhYbKZT5XpDOu0u/iwgjmNs26JcKVFvVHFz0j/3Z42EXSGEEOISqZ52IrjYZC+A0XCCk3HYvLbOoDeg3e7R7wwpl4uUKyVmhyf4M59SpcTqxjL5Qh7fm81LHtIpaU9qXx3HptGq4898rMz5McHJ2DQXGlRrFcbz6WdJkmAY6USzWqNCrV65UNB9FcMweP+j29i2zScff0an3UUrTRQn6ORpH2LTNMhkHPL5HFEYEUThK88ZxdFLO16Ypkm9UZV63CtEwq4QQghxidiOzca1Ve59+pDpBcoZAAxDsbq2zPFhG8syqTdrTCZTTMsil3N5eG8bb+aj44SZ73Owd0SSxJiGycJyE+atZ03LZGNrlZW1JfZ2DonjV68uW7ZFpVp68XqU8cxY3p+GYRjcfv8Gy6uL7O8eMRqPOdg9pFwpAwrHsclknDTERjFR8vJrNpRBJptFKYVpmm/l+sTlJ2FXCCGEuGRq9SrXbmoePXjMZHx+bewTrptlbXOFpZUF8oUcdz99wGg4JpPJYJoGWzc20klqj3bZ2Tk4LY8wjTQUl0oFZjOfUqnI+tYK7394G8u2ONg74kx1whtRSqWtzN6iYqnA7fcL+DOf9lGb9c0VHm+n/YOjMCR5xWougEKRzWYolvJUqmXZXPZzRMKuEEIIcQk1mjVcN8vJcYf2SYfZzJ+vlurTcoF6vUajVTvtYVsqF7n13nUe3t9mPJrgeTM8b0a+kOPmnRu0llscH7aZTjxsx6ZSKWGaJs1WjdXNFZZXFrBtG8+bYTvW01G/byiXd3Ec+/UHfg6ZbIaNrTUWl5ucHKcjiRP96lVkhYHrZsjlc6xvrbG0vPBOrk1cThJ2hRBCiEsqX8iRL+RYXllgMBidhk/LtCiWC7jndAQolgp88JX30k1WJx0G/RFJkmBaBqvry7z3/k0cx8Z2bAylyLoZ8oX8M+dw3Sy1WvWF/rcXYVkmjWb9nQ5bWFlbYnV9mb2dQ7TWzDyfKAyJkmeXohUGtmWRyaYtyMqVIhubqyyttN7ZtYnLR8KuEEIIcck5GYdmq37h489usvL9gDiKUYbCtiws+2L/119v1Tg5br+27+zz3JxLrV55o+e8qVK5yHsf3OLwoE3gB1iWSRwnhEFIFCegNYahsB37tOOCYZl89I0PuPneFtmstA37eSJhVwghhLjCMhkHXuwg9lqVSomFpRZ7OwfPtBZ75WtlM6yuL38h9bB3PrzJdOqhgHufPUzrlLMZsurpMUmSEMURxWKeb/3SN/jFX/kGa+sr7/zaxOUiYVcIIYQQL1BKsb65gk40hwdHhOGrOzNk3SzrmyssLDZfedzb4jgO3/jmR7jZDEvLLR5v77G3c8BoNEmnqSlFtVZhY2uNW3eucfO9a6yur5yOLRY/PyTsCiGEEOJc6VjfdfKFHMdHbSbjyTNlDUqlHQ4q1TKthQbVd1y+8LxMNsOHX7vD6sYyB3tHHOwdMxgMTyep1ZtVllZbVKsVypXSC0MkxM8H+a0LIYQQ4qUMw2BxuUVrscFgMGI0GBGFESiFY9tUamUKxfzrT/SOWJZFo1mn3qixdX2dmR9AosFQOLZFsVScjz8WP68k7AohhBDitQzDoFotU62Wv+xLOZdSikKxQKH4ZV+JuGzeXV8QIYQQQgghvmQSdoUQQgghxJUlYVcIIYQQQlxZEnaFEEIIIcSVJWFXCCGEEEJcWRJ2hRBCCCHElSVhVwghhBBCXFkSdoUQQgghxJUlYVcIIYQQQlxZEnaFEEIIIcSVJWFXCCGEEEJcWRJ2hRBCCCHElSVhVwghhBBCXFkSdoUQQgghxJUlYVcIIYQQQlxZEnaFEEIIIcSVZX3ZF/AFMZ/cODg4+DKvQwghhBBCnOO5jGa+7Lg3pbTWb+tcl5ZS6pvAt7/s6xBCCCGEEBfyLa31H72NE0kZgxBCCCGEuLJ+XlZ2M8BH87snQPwlXo4QQgghhHiRCTTnt3+otfbfxkl/LsKuEEIIIYT4+SRlDEIIIYQQ4sqSsCuEEEIIIa4sCbtCCCGEEOLKkrArhBBCCCGuLAm7QgghhBDiypKwK4QQQgghriwJu0IIIYQQ4sqSsCuEEEIIIa4sCbtCCCGEEOLKkrArhBBCCCGuLAm7QggBKKV+Syml5x+bF3zOo/nxj875mn7u49cueM5/9Nzz/toFn5dXSo3OPO+vXuR5L7nWJx+BUupIKfWPlVJ/WSlVfcU5Wkqpf1Ep9deVUv9AKdU+c57fuui1CCHE2yZhVwghvhi/8boDlFIrwJ/6nOf/14DCmfu/+TnPc5YNtEiv6b8GfqyU+tWXHHsE/F3gvwD+DFB/C68vhBA/NQm7Qgjxbs3mn/91pVTmNcf+W6T/XZ695rjz/MX55/H883tKqX/mDc/xR8BHZz5+Afg3gf9r/vVF4O/OQ/mrPAb+4Ru+thBCvBMSdoUQ4t36XwEfqAD/0muOfbIa+z+9yQsopVaBf3Z+968Bvfntv3juE15uorX++MzHd7TWfwv4k8DvzY+pAP/JOc/966Tf36LWegP4D97wtYUQ4p2QsCuEEO9Wn/TtfXhFaYFS6qukq6kAf/MNX+M3SP97Hs2f+ySY/rpSyn7Dc71Aa50Af+XMQ3/mnGP+S631/6y1PvppX08IId4mCbtCCPHu/fb8859VSr2slvXJKux3gB+/4fmfhOh/qLU+OfN6deDPveG5zqW1fgB05nc33sY5hRDiiyBhVwgh3r1/QBoUbeDXn/+iUsokrdeFp0H1QpRS3wTen9/9nfnnfwI8nN9+01KGVwnnn823eE4hhHinJOwKIcQ7prUOgb81v3teKcM/T7r5KwJ+9w1P/yTMjpjX+mqtNfA/zB//c0qp2hue8wVKqSawML+7/9OeTwghvigSdoUQ4ovxpA73F5VSN5/72tkyhAvXvM7rcZ+sFP9trfX0zJefrPI6nLOa/Dn854Ca3/4/3sL5hBDiCyFhVwghvgBa638KfDa/e9pzVylVAP6V+d03KmEA/izQnN/+nbNf0Fr/hLSVGHzOUgallKOU+lAp9TeAvzx/OAL+u89zPiGE+DJI2BVCiC/OkzB7dsDEvwrkgCFv2HKMpyH2APjH53z9SQA+bzX5PH/i7AQ10pZpP+RpG7EQ+Eta64/f8DqFEOJLI2FXCCG+OL8NaOCaUupX5o89Cay/r7X2Lnqi+ejeJ317f3feHux5vwvEz73O59EmDc7f1Fr/9z/FeYQQ4gsnYVcIIVL6zG310qOe9eQ4/cqjnhyk9TZPp5H95nwS2ZNhEG9awvDrpPW48FwJw5nXO+bpJLPfUEq97vt6foLae8CC1rqptf5NrfUP3vAahRDiS2d92RcghBCXxNlVVfeCz8nPP0/e4HV+G/g14N8A9kgXHR4Df/AG54BnV2q/8/ocy+b8dV/1OhMpURBCXDWysiuEEKnumduLrztYKZUhHZ37/HNf5/eAGVAF/ur8sd+Ztwu7kHn97S+9wWs+8TZ77gohxM8EWdkVQojU2bfofwH4315z/Fd5Olzhwm/va60HSqm/Q7qym50//KYlDGdD639EOpL4Vf494E8Df0Ep9R+/SW2wEEL8rJOwK4QQqT8gbatlAb+ulPpvXrPaerajwnmdEF7lbwL/8vz2d+Ztwi5kXnf75LU/1lr/jQs8Z0YadkvAn+fNB1cIIcTPLCljEEIIYD7M4ffmd78B/JWXHauU+lPAfzi/+wj4O2/4Wn9Pa52df/zyG17qr5HW3wL8/gWf878A4/ltKWUQQvxckZVdIYR46j8F/jmgBfxXSqk/Sdrp4DPSVd9V0nZf/w7pfz8T4N/XWsfnnewdORtW/8eLPEFrPVNK/X3S0ol/QSm1qLU+fJsXpZT6VeDGmYcaZ27fUEr9u89d02+9zdcXQoiXkbArhBBzWusDpdSvAX8buEP61v+ffsnhfeA3tNb/+xd0eSilXOAvzO9++oadE36fNOyawL8N/Ldv+fL+EukfAef5lfnHWb/1ll9fCCHOJWUMQghxhtb6U+ArpHWxvw9sA1MgAA5J63P/M2BTa/33vuDL+/OkdbdwwVXdM/4+T9urSSmDEOLnhnqDbjdCCCGEEEL8TJGVXSGEEEIIcWVJ2BVCCCGEEFeWhF0hhBBCCHFlSdgVQgghhBBXloRdIYQQQghxZUnYFUIIIYQQV5aEXSGEEEIIcWVJ2BVCCCGEEFeWhF0hhBBCCHFlSdgVQgghhBBXloRdIYQQQghxZUnYFUIIIYQQV5aEXSGEEEIIcWVJ2BVCCCGEEFeWhF0hhBBCCHFlSdgVQgghhBBXloRdIYQQQghxZUnYFUIIIYQQV5aEXSGEEEIIcWVJ2BVCCCGEEFeWhF0hhBBCCHFlSdgVQgghhBBXloRdIYQQQghxZUnYFUIIIYQQV9b/D2NiGrIQEdqjAAAAAElFTkSuQmCC", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "def plot_UMAP_combined_single(data_exc,data_inh,neighbours,distance,random_state,figsize,labels, exclude):\n", + "\n", + " data_scaler = StandardScaler()\n", + " data_all = np.concatenate((data_exc,data_inh))\n", + " data_all = data_scaler.fit_transform(data_all)\n", + " data_all = normalize(data_all)\n", + " fig = plt.figure(figsize=figsize,dpi=200)\n", + " # ax1 = fig.add_subplot(1,2,1,projection='3d')\n", + " ax12d = fig.add_subplot(1,1,1)\n", + " neighbours = neighbours\n", + " dist = distance\n", + " # labels = np.concatenate((np.repeat('exc',len(data_exc)),np.repeat('inh',len(data_inh))))\n", + "\n", + " clusterable_embedding2d = umap.UMAP(n_neighbors=neighbours,min_dist=dist,\n", + " n_components=2,random_state=random_state,).fit_transform(data_all)\n", + " kmeans_kwargs = {\n", + " \"init\": \"random\",\n", + " \"n_init\": 10,\n", + " \"random_state\": 1,\n", + " }\n", + "\n", + " sse = []\n", + " kmeans = KMeans(n_clusters=2, **kmeans_kwargs)\n", + " kmeans.fit(clusterable_embedding2d)\n", + " if exclude:\n", + " labels = kmeans.labels_ #+ np.concatenate((np.ones(len(data_exc)),np.zeros(len(data_inh))))\n", + " else:\n", + " labels = kmeans.labels_ + np.concatenate((np.ones(len(data_exc)),np.zeros(len(data_inh))))\n", + "\n", + " if len(labels)>1:\n", + " labels = labels\n", + " df_2d = pd.DataFrame({'UMAP1':clusterable_embedding2d[:, 0],\n", + " 'UMAP2':clusterable_embedding2d[:, 1],\n", + " 'type':labels})\n", + " # sns.diverging_palette(220, 20, as_cmap=True)\n", + " # sns.set_palette(\"Spectral\")\n", + " sns.set_palette(['blue','red'])\n", + " # sns.set_palette(['orange'])\n", + " sns.scatterplot(data=df_2d,x='UMAP1',y='UMAP2', hue='type' , ax=ax12d,sizes=25,alpha=0.3,)\n", + " # ax12d.scatter(data=df_2d,x='UMAP1',y='UMAP2', hue='type', cmap=\"spectral\",alpha=0.5)\n", + " ax12d.set_title('UMAP excitatory and Inhibitory')\n", + " ax12d.legend(fontsize=5)\n", + " ax12d.set_xticks([])\n", + " ax12d.set_yticks([])\n", + " # plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/all_umap_cluster_without_labels.png',dpi=200)\n", + " plt.show() \n", + " return labels\n", + "df_exc = exc_df#df_all_conds_exc \n", + "df_inh = inh_df#df_all_conds_inh \n", + "# for rstate in np.arange(10):\n", + "# for i in range(20):\n", + "labels_seperated = plot_UMAP_combined_single(df_exc[['AP_avg','ap_width','thr','isi','sub_thr','imp']],\n", + " df_inh[['AP_avg','ap_width','thr','isi','sub_thr','imp']],\n", + " 25,0.0,\n", + " 42,\n", + " [4,4],\n", + " np.concatenate((np.repeat('exc',len(df_exc)+len(df_inh)),np.repeat('inh',len(df_exc)+len(df_inh)))),\n", + " # np.repeat('orange',len(df_exc))+np.repeat('orange',len(df_exc)),\n", + " True)\n", + "labels_wrong_classification = plot_UMAP_combined_single(df_exc[['AP_avg','ap_width','thr','isi','sub_thr','imp']],\n", + " df_inh[['AP_avg','ap_width','thr','isi','sub_thr','imp']],\n", + " 20,0.0,\n", + " 2,\n", + " [4,4],\n", + " np.concatenate((np.repeat('exc',len(df_exc)),np.repeat('inh',len(df_inh)))),\n", + " False)\n" + ] + }, + { + "cell_type": "code", + "execution_count": 161, + "metadata": {}, + "outputs": [], + "source": [ + "combined_exc_inh = pd.concat([df_exc,df_inh])\n", + "combined_exc_inh['ei_labels_old'] = np.concatenate((np.repeat(1,len(df_exc)),np.repeat(0,len(df_inh))))\n", + "combined_exc_inh['ei_labels_new'] = labels_seperated\n", + "combined_exc_inh['ei_labels_misclassify'] = labels_wrong_classification" + ] + }, + { + "cell_type": "code", + "execution_count": 187, + "metadata": {}, + "outputs": [ + { + "data": { + "image/png": "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", "text/plain": [ - "
" + "
" ] }, "metadata": { @@ -394,516 +625,445 @@ "output_type": "display_data" }, { - "name": "stdout", - "output_type": "stream", - "text": [ - "10\n" - ] + "data": { + "text/plain": [ + "" + ] + }, + "execution_count": 187, + "metadata": {}, + "output_type": "execute_result" }, { "data": { - "image/png": "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", + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYgAAAEHCAYAAAC0pdErAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAAAxeUlEQVR4nO3dd5hTddbA8e9hhqIgIDKIgDKowFBEFMS66lpxsawrKnaxYO++il3Rta9t5V1lF1fEVWStqCivhVXXRhEVEUGqDI4CgjSRNuf949zIMAQmM+Tm5ibn8zx5Mrm5SU6GISe/dn6iqjjnnHOV1Yo6AOecc9nJE4RzzrmkPEE455xLyhOEc865pDxBOOecS6ow6gDSpWnTplpcXBx1GM45Fyvjx49foKpFye7LmQRRXFzMuHHjog7DOediRURmb+w+72JyzjmXlCcI55xzSXmCcM45l5QnCOecc0l5gnDOOZeUJwjnnHNJeYJwzjmXlCcI55xzSXmCyDVPPgnt20OvXjBnTtTROOdizBNELnn7bTjrLKhdG95/H447Dlatijoq51xMeYLIFarQvz8UF8OYMfDEEzB2rLUonHOuBjxB5IoPPoDx4+H662HLLaF3b9hjD7jnHigvjzo651wMeYLIFc8+a4nhpJPstghccQXMmGHdTc45V02eIHLB2rXw/PNw9NFQv/6648ccA1ttBUOHRhebcy62PEHkgs8/hwUL4Kij1j++5ZaWJF55xZKIc85VgyeIXPD223Z98MEb3terF/z0kw1cO+dcNXiCyAXvvgudO8O222543+GHQ0EBjByZ+bicc7HmCSLuysvhk09g332T37/11tCtmw9UO+eqzRNE3E2ZAkuWwJ57bvyc/faDTz+FlSszF5dzLvZCTRAi0lNEpojINBHpn+T+/UXkMxFZIyK9KxzvKiIfi8gkEflSRE4MM85Y+/RTu64qQaxcaesknHMuRaElCBEpAAYCRwAdgZNEpGOl074DzgSeqXT8F+B0Ve0E9AQeEpHGYcUaa+PGQYMGUFKy8XP228+uP/ggMzE553JCmC2IHsA0VZ2hqquAYcAxFU9Q1Vmq+iVQXun4VFX9Nvj5e2AeUBRirPH15ZfQpQvU2sQ/ZVGRFfD7738zF5dzLvbCTBAtgYrlREuDY9UiIj2AOsD0JPf1E5FxIjJu/vz5NQ40tlQtQey6a9Xn7rcffPihl91wzqUsqwepRWQ7YCjQV1U3+GRT1UGq2l1VuxcV5WED47vvYPFia0FUZd99YdEiG9R2zrkUhJkg5gLbV7jdKjiWEhFpCLwO3KCqn6Q5ttwwcaJdp5Igune3688+Cy8e51xOCTNBjAXaikgbEakD9AFGpPLA4PyXgKdU9fkQY4y3b76x600NUCeUlEDdujBhQrgxOedyRmgJQlXXABcDo4DJwHBVnSQiA0TkaAAR2UNESoHjgcdFZFLw8BOA/YEzReTz4NI1rFhja+pUaNoUmjSp+tzata2l4S0I51yKCsN8clUdCYysdOzmCj+PxbqeKj/uaeDpMGPLCVOn2uykVO2+OwwbZoPbIuHF5ZzLCVk9SO2qMHUqtGuX+vm7726D2jNnhheTcy5neIKIq6VLoays+gkCfBzCOZcSTxBxNXWqXVcnQXTubJVdfRzCOZcCTxBxVZMEUa8edOzoLQjnXEo8QcTV1Kk20LzTTtV73C67wKRJVZ/nnMt7niDiaupUaN0attiieo/r1MlWYC9ZEk5czrmc4Qkirqo7gymhUye7/vrr9MbjnMs5niDiavr06ncvwboE4d1MzrkqeIKIo8WLrfBecXH1H9umjQ1We4JwzlXBE0QczZ5t1zVJEAUF0KGDJwjnXJU8QcRRIkG0bl2zx3fu7AnCOVclTxBxNGuWXdekBQE2DjF3Lvz8c5oCcs7lIk8QcTR7to0jNGtWs8f7QLVzLgWeIOJo1izrXqppRVZPEM65FHiCiKPZs2vevQSWXLbc0hOEc26TPEHEUaIFUVO1alkr4quv0haScy73eIKIm+XLYcGCzWtBgCUIb0E45zbBE0TcbO4U14ROneDHH2Hhws2PyTmXkzxBxM3mTnFNSGxVOmXK5j2Pcy5neYKIm3S1IEpK7PqbbzbveZxzOcsTRNyUllq5jObNN+952rSB2rW9BeGc2yhPEHFTWgotWliS2ByFhVYN1hOEc24jPEHETWkptGqVnucqKfEuJufcRoWaIESkp4hMEZFpItI/yf37i8hnIrJGRHpXuu8MEfk2uJwRZpyxks4E0b697SuxenV6ns85l1NCSxAiUgAMBI4AOgIniUjHSqd9B5wJPFPpsU2AW4A9gR7ALSKydVixxoaqFdlLZwti9WqYOTM9z+ecyylhtiB6ANNUdYaqrgKGAcdUPEFVZ6nql0B5pcceDrylqgtVdRHwFtAzxFjjYfFiWyjXsmV6ns+nujrnNiHMBNESmFPhdmlwLOzH5q7SUrtOZxcTeIJwziUV60FqEeknIuNEZNz8+fOjDid86U4QTZpAUZEPVDvnkgozQcwFtq9wu1VwLG2PVdVBqtpdVbsXFRXVONDYSHeCAGtFeAvCOZdEmAliLNBWRNqISB2gDzAixceOAg4Tka2DwenDgmP5be5c2wNiu+3S95w+1dU5txGhJQhVXQNcjH2wTwaGq+okERkgIkcDiMgeIlIKHA88LiKTgscuBG7HksxYYEBwLL+VltoucnXqpO8527e36rBetM85V0lhmE+uqiOBkZWO3Vzh57FY91Gyxz4BPBFmfLGTzjUQCYmaTFOmwN57p/e5nXOxFutB6rwTRoJIzGTybibnXCWeIOIknYvkErxon3NuIzxBxMUvv8CiRVaoL50KC2Hnnb0F4ZzbgCeIuCgrs+t0Jwjwqa7OuaQ8QcRFIkGkc4prQkmJF+1zzm3AE0RchJkg2rf3on3OuQ14goiLsBMEeDeTc249niDioqzMZhtts036n9unujrnkvAEERdlZbYPda0Q/skSRfu8BeGcq8ATRFx8/3043UsJJSWeIJxz6/EEERdlZeEmiPbtvYvJObceTxBxEXaCKCmxon0//RTeazjnYsUTRBysWmUf3GEnCPBuJufcbzxBxMEPP9h1GKuoExIJwruZnHMBTxBxEOYaiITiYttnwhOEcy7gCSIOvv/ersNMEAUF0K6dJwjn3G88QcRBJloQ4NuPOufW4wkiDsrKbIFcs2bhvk5JCcyYAStXhvs6zrlY8AQRB2VllhwKCsJ9nZISWLvWKrs65/KeJ4g4KCsLdwZTgs9kcs5V4AkiDsJeJJfgRfuccxV4goiDsOswJTRoYHtee4JwzuEJIvutWQPz5mUmQYDPZHLO/cYTRLabNw9UM58gVDPzes65rBVqghCRniIyRUSmiUj/JPfXFZHngvs/FZHi4HhtERkiIhNFZLKIXBdmnFktsQYiE4PUYAli6dJ1r+ucy1uhJQgRKQAGAkcAHYGTRKRjpdPOBhap6s7Ag8A9wfHjgbqqugvQDTgvkTzyTqYWySX4TCbnXCDMFkQPYJqqzlDVVcAw4JhK5xwDDAl+fh44WEQEUKC+iBQCWwCrgCUhxpq9PEE45yISZoJoCcypcLs0OJb0HFVdAywGtsGSxXKgDPgOuF9VF1Z+ARHpJyLjRGTc/Pnz0/8OskGiDtO222bm9Vq0sNlMniCcy3vZOkjdA1gLtADaAFeJyI6VT1LVQaraXVW7FxUVZTrGzCgrg6ZNrdJqJoj4TCbnHBBugpgLbF/hdqvgWNJzgu6kRsBPwMnAm6q6WlXnAR8C3UOMNXtlapFcRb4/tXOOcBPEWKCtiLQRkTpAH2BEpXNGAGcEP/cG3lVVxbqVDgIQkfrAXkB+fqXNVJmNikpK4LvvYNmyzL6ucy6rpJQgRORFEeklIiknlGBM4WJgFDAZGK6qk0RkgIgcHZw2GNhGRKYBVwKJqbADgQYiMglLNP9U1S9Tfe2cEkULomMw2Wzy5My+rnMuqxSmeN7/An2BR0Tk39gHdpV9EKo6EhhZ6djNFX7+FZvSWvlxy5Idzzvl5bbdaKYTRKdOdj1pEuyxR2Zf2zmXNVJqEajq26p6CrA7MAt4W0Q+EpG+IlI7zADz2oIFVmoj0wlip52gbl346qvMvq5zLquk3GUkItsAZwLnABOAh7GE8VYokbnMr4FIKCiADh2sBeGcy1spdTGJyEtAe2AocJSqJuowPCci48IKLu9FlSAAOneG//wn86/rnMsaqbYg/q6qHVX1rkRyEJG6AKqan9NPMyHKBNGpE5SWwuLFmX9t51xWSDVB3JHk2MfpDMQlEXULArybybk8tskuJhFpjpXD2EJEdgMkuKshsGXIsbmyMmjUCLbYIvOvXXEm0z77ZP71nXORq2oM4nBsYLoV8ECF40uB60OKySVEsQYioXVrqF/fZzI5l8c2mSBUdQgwRESOU9UXMhSTS4gyQdSqZQvmvIvJubxVVRfTqar6NFAsIldWvl9VH0jyMJcuZWWw997RvX7nzjByZNXnOedyUlWD1PWD6wbAVkkuLiyq0bYgwMYhfvzRFuw55/JOVV1MjwfXt2UmHPebxYvh11+jTxBg3UwHHBBdHM65SKRarO9eEWkY7BX9jojMF5FTww4ur0U5xTUhMdV14sToYnDORSbVdRCHqeoS4EisFtPOwP+EFZQjOxJEy5awzTbw+efRxeCci0yqCSLRFdUL+Leq+vLasGVDghCB3XbzBOFcnko1QbwmIt8A3YB3RKQI+DW8sFxWJAiArl2ti2n16mjjcM5lXKrlvvsD+wDdVXU1sBw4JszA8l5Zma2gbtgw2jh22w1WrfLNg5zLQ6luGARQgq2HqPiYp9Icj0tITHEVqfrcMO22m11PmABdukQbi3Muo1KdxTQUuB/YD9gjuHgV1zBFvQYioV07a8lMmBB1JM65DEu1BdEd6KiqGmYwroKyMthll6ijsM2DunTxgWrn8lCqg9RfAc3DDMRVki0tCFg3k8m/HziXV1JNEE2Br0VklIiMSFzCDCyv/fILLFmSXQli8WKYOTPqSJxzGZRqF9OtYQbhKsmWKa4JFQeqd9wx2liccxmT6jTX97AV1LWDn8cCn4UYV37LtgTRubONRfhAtXN5JdVZTOcCzwOPB4daAi+n8LieIjJFRKaJSP8k99cVkeeC+z8VkeIK93URkY9FZJKITBSReqnEmhOyLUFssQWUlHiCcC7PpDoGcRGwL7AEQFW/BZpt6gEiUgAMBI4AOgIniUjHSqedDSxS1Z2BB4F7gscWAk8D56tqJ+BAIH+W8mZbggDYYw8YM8YHqp3LI6kmiJWquipxI/gAr+qTogcwTVVnBI8dxoarr48BhgQ/Pw8cLCICHAZ8qapfAKjqT6q6NsVY46+sDAoLrVBetthzT9sXwgeqncsbqSaI90TkemALETkU+DfwahWPaQnMqXC7NDiW9BxVXQMsBrYB2gEazJr6TESuSfYCItJPRMaJyLj58+en+FZioKwMmje3bT+zxV572fWnn0Ybh3MuY1L9BOoPzAcmAucBI4EbwwoKm121H3BKcH2siBxc+SRVHaSq3VW1e1FRUYjhZFg2rYFI6NwZttwSPvkk6kiccxmS0jRXVS0XkZeBl1U11a/qc4HtK9xuFRxLdk5p0G3VCPgJa228r6oLAERkJLA78E6Krx1vZWXQpk3UUayvsBC6d8/rFsTKlTYMM2sWLF8OTZta3mzfPvqSWc6FYZMtCDG3isgCYAowJdhN7uYUnnss0FZE2ohIHaAPUHlx3QjgjODn3sC7QTmPUcAuIrJlkDgOAL5O/W3FXDa2IMDGISZMsE/KPDJmDJxyCjRuDPvvD6efDhdcAMcfDx06wA47wM03r5tb4FyuqKqL6Qps9tIeqtpEVZsAewL7isgVm3pgMKZwMfZhPxkYrqqTRGSAiBwdnDYY2EZEpgFXYl1ZqOoi4AEsyXwOfKaqr9fkDcbOqlU2GJyNCWKvvSy+PKnLVFYGJ59sefG116BvX3j5ZZg6FebOtVw5aJCVqrrjDth5Z7jttrzLny6XqepGL8AEoGmS40XAhE09NtOXbt26aU747jtVUH388agj2dD331ts998fdSShGzlStahItV491ZtuUl2yZNPnT52q2ru3/Xq6dFGdODEzcTq3uYBxupHP1apaELU1GAeolFTmA7XTnKscZOcaiITttoO2beG996KOJFQPPQS9etnbnTABBgyArbba9GPatoV//xtefx1++MFaHS+8kJFwnQtNVQliVQ3vczX1/fd23aJFtHFszAEHwAcfQHl51JGknSpccw1ccQX86U82YaukpHrP8Yc/wBdfwK67Qu/e8PDD4cTqXCZUlSB2FZElSS5LgSzYrCAHlZbadatW0caxMfvvDz//bPtU5xBVuPpquO8+uPBCGD7cKozURPPmMHq0JZnLL4d7701rqM5lzCYThKoWqGrDJJetVNW7mMIwdy7Urg3Zuq7jgAPsOse6me66Cx54AC65BB59dPPXKNatC889ByedBNdea91UXqXExU0WLdV1gLUgWrTIrlXUFe2wAxQX51SCGDoUbrjBprI+9FD61jQUFtpzn3km3HIL3H13ep7XuUxJdT8Ilylz52Zv91LCgQfCq6/C2rVWBjzG3n4bzjoLDjoInngi/Xm5oAAGD4bVq+H66y33n3FG1Y9zLhtk6dfUPFZaCi0rl6zKMoceCj/9BJ/Fe0uQyZNtnKBDB3jxRahTJ5zXqVXLks8hh8DZZ8Obb4bzOs6lmyeIbKIajxbEoYdaP0yMP+mWLIFjj7WB6Ndfh0aNwn29OnVs2usuu9jsppjnVpcnPEFkk59/tv2os70FUVQE3brFNkGUl1s3z7RpNltp++2rfkw6NGwII0daFfdjjrH1Es5lM08Q2WRuUMsw21sQAD172kKBRYuijqTa7r7bSmbcf/+6SVmZst128MorsHAhHHecl+Vw2c0TRDZJrIHI9hYEWIIoL7dR3hh580248UarsXTZZdHE0LUrPPkkfPQRXHSRT3912csTRDaJUwtizz2hSRP7OhwTM2ZYYthlF/j736Mt0X388ZaoBg+2dRfOZSNPENkk0YLIxjpMlRUWWkf6q69ahdcs98svNmNJFV56yfY+itptt9mv8Ior4J382OnExYwniGwydy5su2148y3T7bjjbDpQln+6qcL558OXX8Izz8COO0YdkalVyxbSlZTACSdYC8e5bOIJIpvEYQ1ERYccYlNzsrxs6d/+Zh/Et94KRxwRdTTr22or66VTtWm3y5dHHZFz63iCyCZxWANRUd26cOSRNiVo9eqoo0nq44+tYF6vXtbnn4122gmefdbqH559tg9au+zhCSKbxK0FAdCnj62qzsI1ET/+aIvStt/eWhDZWt4K4PDD4c47rcDf/fdHHY1zJov/y+SZFStscnycWhBg012bNbN5m1lkzRo48URbpvHii7D11lFHVLVrr7XZTf37w1tvRR2Nc54gskdiimvcWhC1a8Opp9pspgUbbD4YmWuvtYKzgwbZ5j1xIGI1mzp1suTmg9Yuap4gskWc1kBUdsYZNgbxzDNRRwJY+YwHHrBFaKeeGnU01dOggU3DBfjjH33Q2kXLE0S2yPad5DalSxfYYw+bLhTxVqQTJkDfvrD33pYk4igxaD1pkg9au2h5gsgWce1iSrj0Uvjmm0hLb5SVwdFHWzG8MMt3Z4IPWrts4AkiW5SW2pqCBg2ijqRmjj/eFvk98kgkL79ihXXJLFwII0bYvtBxd801PmjtohVqghCRniIyRUSmiUj/JPfXFZHngvs/FZHiSvfvICLLROTqMOPMCt99Z9t5xlXdunDBBba5wuTJGX1pVetWGjsW/vUvK4aXC3zQ2kUttAQhIgXAQOAIoCNwkoh0rHTa2cAiVd0ZeBC4p9L9DwBvhBVjVpk1C1q3jjqKzXPRRVC/Ptx+e0Zf9vbbrSvmrrusFZFLfNDaRSnMFkQPYJqqzlDVVcAw4JhK5xwDDAl+fh44WMRqbIrIH4GZwKQQY8wes2fHP0E0bQqXXALDhmWsFTF8ONxyC5x+unXJ5KKKg9ZnneWD1i5zwkwQLYE5FW6XBseSnqOqa4DFwDYi0gC4FrhtUy8gIv1EZJyIjJs/f37aAs+4JUtsN7ni4qgj2XxXXWWlUu+4I/SXGjvWZtjuu6+td4iyfHfYEoPWw4fDvfdGHY3LF9k6SH0r8KCqLtvUSao6SFW7q2r3oqKizEQWhtmz7TruLQiwVsTFF9tX3hBbEaWlViq7eXPrgqlbN7SXyhrXXGNVX6+7bl23k3NhCjNBzAUq7vbbKjiW9BwRKQQaAT8BewL3isgs4HLgehG5OMRYo5VLCQKsFVG/Plx/fShPv2wZHHWUXb/6qm2RnQ9ErKJJjx5wyikwfnzUEblcF2aCGAu0FZE2IlIH6AOMqHTOCOCM4OfewLtqfqeqxapaDDwE3KmqubvvVq4liKIi+5r78sswenRan3rtWvtw/PJLG5ju3DmtT5/1ttjCyoM3a2ZJcs6cqh/jXE2FliCCMYWLgVHAZGC4qk4SkQEicnRw2mBszGEacCWwwVTYvDBrlvWRNGsWdSTpc8UVNm33yivtUz1N+ve3dQ4PPZR9eztkyrbb2mzi5cstSSxdGnVELleJ5siUiO7du+u4ceOiDqNmTjgBPv8cpk6NOpL0evZZ2wR68GCbfrOZ/vEPOPdcm03r+zjDqFG2z0XPntZYKyyMOiIXRyIyXlW7J7svWwep80suTHFNpk8f2GsvG4v4+efNeqrRo20d3mGHWevB2cymv/7VWhNXXRV1NC4XeYLIBrmaIETsq/68eXDzzTV+mqlTbfvrdu1smqd/U17nggusN++RR7xV5dLPE0TUfv3Vtj7LxQQB0K0bnH8+DBxo3WjVtGiR7WpaUACvvQaNGqU/xLi77z4rUnjppT791aWXJ4io5doMpmT+/GcrsXrhhdUqB15ebvs5zJxpH3xt2oQYY4wVFNhwz557wkknwX//G3VELld4goja9Ol2vdNO0cYRpq23tuW/H39cra1Jb7sNRo6Ehx+G/fYLL7xcsOWWtiakdWub2TQpPwrUuJB5gohaIkHsvHO0cYTt9NOtJsa111pN7iq8+ioMGABnnmn97K5qTZvazKZ69WxmU2IPKudqyhNE1KZPt1XHubQGIplateB//9cGFapYYf3tt9a1tPvu9pBcrrGUbsXF8MYbsHixJYlFi6KOyMWZJ4ioTZtmrYd8+BTs0sXqNA0aZJX2kli2DI49FmrXtl3httgiwzHmgK5dbV3E1KlWr+rXX6OOyMWVJ4ioTZ+e2+MPld12my0FvvDCDVZYq0K/flbjb9iw3B63D9tBB8FTT8EHH1hpkjQuZnd5xBNElNautW3C8ilBNGoEf/kLjBsHf//7enc98YTNxhkwAA45JKL4ckifPvDgg9YSu+wy30fCVZ8niCjNnQurVuX+AHVlJ50Ev/+9FfSbNw+wVsMll8DBB1u9JZcel18OV19ty1AysEWHyzGeIKKUD1NckxGxT6zly+GKK1ixwvZcbtAAhg61ef0ufe65xyaR3XyzleZwLlVetCBK+TLFNZkOHWw20223MXjxaUyc2JM33oDttos6sNxTq5bVS1yyxFZbN2pkCcO5qngLIkrTptl0nVatoo4kGtddx5KWJfR6/QKuu3Q5PXtGHVDuKiy08Z2DD4a+fb0kh0uNJ4goTZ9u9SPytE9l9g916bN4EG2Yxe21bok6nJxXr55Nf+3Rwwaw33476ohctvMEEaVvvoH27aOOIhKrV9tY9Ye1fseSPv0oeORB+OyzqMPKeQ0aWPmS9u3hj3+ETz6JOiKXzTxBRGXNGlvJ1KFD1JFE4tZbrTTToEHQ8G/32Eryc86xWV0uVFtvDf/3fzbec8QRtn2rc8l4gojKjBn2YZiHCeLtt+Guu+Dss232Eo0bW02NCRPgFu9qyoTmze3foUEDW3Pixf1cMp4gojJ5sl137BhtHBk2bx6cdhqUlFiV1t8ce6xljHvuse3jXOhat4Z337V5EgcdBF9/HXVELtt4gohK4n9jSUm0cWRQebklh59/tlIa9etXOuGhh6BtWzvpp58iiDD/tG1rSaJWLUsS33wTdUQum3iCiMrkydCyJTRsGHUkGXPPPdb3/fDDVrdvAw0awDPPwPz5cMIJNpKdDuXl8P33VuLUbaB9+3WNtt//HqZMiTYelz08QURl8uS8Gn/473/hpptszOHcczdxYrduVqPp3Xet8mtNCwitWWMT/w87zJoqLVvaWMcuu9jIuFevW09JiSWJ8nJLEokeUJffPEFEobzc/gfmyfjDggU277642D6bq6xsfvrpVqdp0CArJlSdJKEKI0ZYIjj5ZJspdt55Vtrjrrts67XzzrP+lAULNuNd5Z4OHSwvl5fD/vv7rGMHqGpoF6AnMAWYBvRPcn9d4Lng/k+B4uD4ocB4YGJwfVBVr9WtWzeNjdmzVUH1b3+LOpLQrV2r2quXap06quPHV+OB5eWql19uv6fTTlNdvrzqx3z8seoBB9hj2rVTffFFC6Dy8w4ZolqvnmrXrqoLF1bn7eSFb79Vbd1atWFD1ffeizoaFzZgnG7sM3xjd2zuBSgApgM7AnWAL4COlc65EHgs+LkP8Fzw825Ai+DnzsDcql4vVgli5Ej71f/nP1FHErr777e3+sgjNXhwebnqgAGqIqqdOqmOGpX8nLFjVY85xl6oqEh14EDVVas2/dxvvGFZa++9VVeurEFwuW3OHNWSEsujr78edTQuTFEliL2BURVuXwdcV+mcUcDewc+FwAJAKp0jwEKg7qZeL1YJ4s477Ve/aFHUkYTq3XdVCwpUjz3WPsdr7I03VIuL7XdWUqJ64YWqN96oes45qh072vGGDVVvv1116dLUn3fYMHvsNddsRnC5a9481d13Vy0sVH322aijcWHZVIIIs5prS2BOhdulwJ4bO0dV14jIYmCbIFEkHAd8pqorQ4w1sz77DHbc0QZNc9TMmXD88dCuHTz55GbuqNqzp82//Oc/bfeboUNtb9ImTWC33axE6YknVv/3eeKJNjJ7771w6KG+S1ElRUU2JnHUUVYWZcYMGxrKh91xncnqct8i0gm4BzhsI/f3A/oB7LDDDhmMbDNNmGAfbDlq2TLbC3ntWnjllTTN5K1bF84/3y6wbuB6cz+tHnzQPgUvuAAmTrSKdu43jRrZ1OSzz4YbbrAx/8cft38Ol/vCnMU0F9i+wu1WwbGk54hIIdAI+Cm43Qp4CThdVacnewFVHaSq3VW1e1FRUZrDD8nixVbFdffdo44kFGvXwhlnWOmG556zhVihEEnPV9kttoBHH7XS6/fdt/nPl4Pq1YOnn7btxIcMscaWTwDLD2EmiLFAWxFpIyJ1sEHoEZXOGQGcEfzcG3hXVVVEGgOvYzOfPgwxxsz7/HO7zsEWhKotXXjxRdt2+rCk7b4sdNhhtjDvzjttG1i3ARHbke7ZZ2HMGNhrLy/NkQ9CSxCquga4GBuIngwMV9VJIjJARI4OThsMbCMi04ArgcRuxBcDOwM3i8jnwaVZWLFm1IQJdp2DLYgbb4THHoNrr7XlC7Fyzz3W/BkwIOpIslqfPjZss3Qp7LGHtSxcDtvY6HXcLrGZxXTaaaotWkQdRdrdd59NCDr33M2csRSlSy6xaVdTpkQdSdabO1d1//3t37xfP9UVK6KOyNUUm5jF5CupM+2zz3Kqe0nVFij/z//YrKW//S3Gs1xuuME63G++OepIsl6LFvDOO9ZaHDQI9tln3RbrLnd4gsikhQtt9HbvvaOOJC3WrIHLLoPrr4dTToF//Svmu6duuy1ccYWNrnudiSoVFsLdd1tlk5kzrdf0qadqXj7LZR9PEJn0YTDe/rvfRRtHGvzwgy1P+Otf7TP1qadsX4HYu/pq23LNWxEpO+ooG1rbdVebwXb88T7LKVdk9TqInPPBB1Cnju0an2YzZsD48fDtt/afU9WqZ7dpY2vySkpsF7HNpQrPPw8XXWQDlU88AX37bv7zZo1Gjay/7PrrbcPmvfaKOqJYKC62weu//MUmK3z4of1tHHFE1JG5zSGaI+3B7t2767hx46IOY9P22cc66D9Mz8zd0lKrjD1smC1gSqhf37p6li9fv6r1zjvDAQesu1RnbaEqvP++zYUfPdqGUZ5+OkcL0i5bZlm1a1dbJeaq5Ysv4NRT4auvbJvx++7L6aIBsSci41W1e7L7vIspU1asgHHj0tK9VFoK/frZt7bbb7cP+r/+1VoQy5fb59vixfDrrzZw+NZb9s2uY0dbo3D66bbd5A47WAmFRx+Fjz+2TdwS3xdUYdEiSwq3324b/Bx4oP2nHzjQ5sLnZHIAa3pde6394t5/P+poYmfXXWHsWLjmGmtFdOxoK+pdDG1selPcLlk/zXX0aJsT+NprNX6KtWutQniDBlaI9JJLVGfMqP5zfPGFVVc94QSbcWvpwC61a6tutZVq3brrH993X9XHHlP95Zcahx8vy5erNm9uczljO283emPHqnbpYn9DJ5yg+sMPUUfkKmMT01y9iylTbrzRpnzMn2+DoNU0c6bVwxk92mrKDRpk4wubSxW++87KEE2bBj/+CCtX2gyV5s2t2N5ee0HTppv/WrHz6KNwySXWkvBCfjW2erXVQxwwwLo/H3rIth2P7XToHLOpLiZPEJnStasNgL73XrUf+s470Lu37fT1l79YovD/XBmwcqUVk2rZEj76yH/pm+mbb2xM4sMPLd8OHGhfQFy0fAwianPm2MjdkUdW+6F//7tNJ23Z0so4nXOOf05lTN261vL75BN4442oo4m9khIb0hk40MYodtnFZhOvWBF1ZG5jPEFkwuuv23U1EsTatXDVVTYYfcgh9gU2HV1Krpr69rVf/E03+QqwNKhVCy680FoTJ5xgEyA6dYKRI6OOzCXjCSITXn0VdtrJvkKlYNkyOPZYeOAB6wJ/9dU07angqq92bbjlFltZ/fLLUUeTM5o3t32fRo+26ia9esGf/mTjYS57eIII288/2yDCkUem1Dc0Zw7st599o3r0UXjkERswdhE65RRo395qNa1aFXU0OeXAA63r9O67YdQo6NDBCuv6rzk7eIII27PP2mDnaadVeeqYMbbIeuZM65W66KIMxOeqVlgI998PkyfbFByXVnXq2LKTr7+2rTn697dup5de8l69qHmCCNvgwbZyqIr9H/79b1vdXK+ejTccfniG4nOpOfJI20f1ttu8HyQkrVtbUnjzTZsf8Kc/WQtj/PioI8tfniDC9MUX9td91lkb7V5ShTvusAG73Xe3VkSnThmO06Xm4YftHyx2uyHFy+GHW7fTY49Zo617d2uAT5sWdWT5xxNEmAYOtPbzKackvXvZMksMN91kp7zzDsRla+281Lq1/WO99BIMHx51NDmtsBDOO8+KT157Lbzwgs3x6NvXClO6zPAEEZaZM+Gf/4Rzz4Vttkl69777Wm2k++6zGR316kUQp6ueq6+GPfe0+cezZ0cdTc5r1MgGsGfMsBl9w4bZfIFzzvEWRSZ4ggjLHXdYSdXrrtvgrhdesGbzd9/ZbKWrr/bFb7FRuzY884wtaz/xRF/llSHNm8ODD1rxyQsusC9U7drZXhRvv+2D2WHxBBGGCRNgyBBrI7ds+dvhpUutidy7ty2LGDvWB6Njaccd7d/300/tH9Q/nTKmRQub+j1rlvX2jRkDhx5qq7Iff9yqGLv08QSRbr/+aiNqzZrZAivs82PECJvM9NRT6zZU2XnniGN1NXfssdb38dxztjS4vDzqiPLKdtutm1D25JM21Hf++bZr7Iknwmuv2exyt3l8CVY6qcKVV9q+02+8AU2aMGmSbcn51ltWF/+992whnMsB11xjCyHvvht++cVK7NatG3VUeaVuXdvm9PTTbbuVoUOtB3D4cNvW4w9/sNnJBx2Unh0V887G6oDH7ZIV+0HccIMVvr/6av3oI9XjjlOtVUu1cWPbf2HVqqgDdGlXXq46YID9u/fooTp9etQR5b2VK1Vff1313HNVmzVbt6dJSYnq+eerDhumOmeOb/ORgO8HEbKlS23Z89ChfL3POZy9dhCffCo0bmzN3quuytP9FPLJSy/ZV9nVq20/68su8wJaWWDtWluK9J//2OWDD2x6OUCTJlaFf9dd7dK+vY0NNm2aX5NGItsPQkR6Ag8DBcA/VPXuSvfXBZ4CugE/ASeq6qzgvuuAs4G1wKWqOmpTrxVFglhatozSu4bSYsidNFjyPbdzM7dzI+07FHDBBTZ+2aBBRkNyUZo71xLDCy/YJsxnngknnwzdulkZUxe5NWus7uKYMbaO9YsvbLOsX39dd85WW9k8hDZtbFB8u+3Wv27e3JJL7drRvY90iiRBiEgBMBU4FCgFxgInqerXFc65EOiiqueLSB/gWFU9UUQ6As8CPYAWwNtAO1Vdu7HXS0eCULWBrRUr1l0WLoT5369m6fR5/DJrHqtnllJv8gRafj+WvVe8w5as4EP24R9t76X4lH3p3dtXQue9sWNtC7VXXrEWRePGsP/+9jW1XTvbDLyoyL6qNm5sq8Ly6StrllmzxtZUTJtm02gTl1mzoKzM9mZPZqutLFE0aWJLnRI/N2pkXwwbNLAd9BI/Vzy25ZY2sF679vrXBQWZ/1OIKkHsDdyqqocHt68DUNW7KpwzKjjnYxEpBH4AioD+Fc+teN7GXq+mCWL+fGtarlix/reIhNMZwhDOXO9YOUJZw/Z8X3IQ9DmJ9mftS8NG/h/cVbJwoS10ee8969uYNs36PCoTsdHWevXs+pxzbB2Nywq//mqJInH54Qf7p614+emndddLltS8Gq3IumRRWGgNT5Gqr3ff3Rbd1uw1o0kQvYGeqnpOcPs0YE9VvbjCOV8F55QGt6cDewK3Ap+o6tPB8cHAG6r6fKXX6Af0C262B6ZUM8ymwIJqPiau8um9Qn6933x6r5Bf7zcT77W1qiYt8hPraa6qOggYVNPHi8i4jWXOXJNP7xXy6/3m03uF/Hq/Ub/XMEfO5gLbV7jdKjiW9Jygi6kRNlidymOdc86FKMwEMRZoKyJtRKQO0AcYUemcEcAZwc+9gXeDebkjgD4iUldE2gBtgTEhxuqcc66S0LqYVHWNiFwMjMKmuT6hqpNEZAC2MGMEMBgYKiLTgIVYEiE4bzjwNbAGuGhTM5g2Q427p2Ion94r5Nf7zaf3Cvn1fiN9rzmzUM4551x6+eod55xzSXmCcM45l1ReJggR6SkiU0Rkmoj0jzqedBORJ0RkXrDOJHGsiYi8JSLfBtdbRxljuojI9iIyWkS+FpFJInJZcDxX3289ERkjIl8E7/e24HgbEfk0+Jt+LpgYkhNEpEBEJojIa8HtXH6vs0Rkooh8LiLjgmOR/S3nXYIISoAMBI4AOgInBaU9csmTQM9Kx/oD76hqW+Cd4HYuWANcpaodgb2Ai4J/z1x9vyuBg1R1V6Ar0FNE9gLuAR5U1Z2BRVgds1xxGTC5wu1cfq8Av1fVrhXWP0T2t5x3CQKr7zRNVWeo6ipgGHBMxDGllaq+j80Kq+gYYEjw8xDgj5mMKSyqWqaqnwU/L8U+SFqSu+9XVTWoR0rt4KLAQUCi0kDOvF8RaQX0Av4R3BZy9L1uQmR/y/mYIFoCcyrcLg2O5bptVbUs+PkHYNsogwmDiBQDuwGfksPvN+hy+RyYB7wFTAd+VtU1wSm59Df9EHANkNiybxty972CJfv/E5HxQSkhiPBvOdalNlzNqKqKSE7NbxaRBsALwOWqukQqlMTMtfcbrAnqKiKNgZeAkmgjCoeIHAnMU9XxInJgxOFkyn6qOldEmgFvicg3Fe/M9N9yPrYg8rWMx48ish1AcD0v4njSRkRqY8nhX6qaqGmZs+83QVV/BkYDewONg3I1kDt/0/sCR4vILKwr+CBsf5lcfK8AqOrc4Hoelvx7EOHfcj4miFRKgOSiimVNzgBeiTCWtAn6pAcDk1X1gQp35er7LQpaDojIFth+K5OxRNE7OC0n3q+qXqeqrVS1GPt/+q6qnkIOvlcAEakvIlslfgYOA74iwr/lvFxJLSJ/wPo2EyVA/hxtROklIs8CB2Klgn8EbgFeBoYDOwCzgRNUtfJAduyIyH7AB8BE1vVTX4+NQ+Ti++2CDVQWYF/whqvqABHZEfuW3QSYAJyqqiujizS9gi6mq1X1yFx9r8H7eim4WQg8o6p/FpFtiOhvOS8ThHPOuarlYxeTc865FHiCcM45l5QnCOecc0l5gnDOOZeUJwjnnHNJeYJwzjmXlCcI5zJMRM4XkdOTHC9OlGgXka7Bep3EfbeKyNWZjNM5r8XkXIap6mMpnNYV6A6MDDca5zbOWxDOVSIiLwfVNCclKmqKyDIReTA49o6IFG3ksc1EZHzw864ioiKyQ3B7uohsWbE1ICLdgs1/vgAuCo7VAQYAJwYbx5wYPH1HEfmPiMwQkUvD/S045wnCuWTOUtVu2Df4S4NSB/WBcaraCXgPK1+ygaDIWj0RaQj8DhgH/E5EWmOVSX+p9JB/ApcEGwAlnmMVcDPwXLBxzHPBXSXA4VgBt1uCIoXOhcYThHMbujT4Rv8JVvm3LVbnKfFB/TSw3yYe/xFWiXR/4M7g+ndYzajfBEX3GgcbPAEMrSKu11V1paouwCp65sweFy47eYJwroKgKNwhwN7Bt/oJQL0kp26qiNn7WEJojVXe3BVLKB9s4jGpqFiQbi0+huhC5gnCufU1Ahap6i8iUoLtcw32fyVRYvpk4L+beI4PgFOBb1W1HNv+9Q+VHxPs5/BzUJEW4JQKdy8FttqM9+HcZvME4dz63gQKRWQycDfWzQSwHOgRTEM9CBtETkpVZwGCtSTAEsPPqrooyel9gYHBFqJS4fhobFC64iC1cxnl5b6dS4GILFPVBlHH4VwmeQvCOedcUt6CcK6GRGQgNlupoodV9Z9RxONcunmCcM45l5R3MTnnnEvKE4RzzrmkPEE455xLyhOEc865pP4fL1L0xWhH/YgAAAAASUVORK5CYII=", "text/plain": [ - "
" + "
" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" - }, + } + ], + "source": [ + "sns.kdeplot(data=combined_exc_inh[combined_exc_inh.ei_labels_new==0], x=\"ap_width\")\n", + "sns.kdeplot(data=combined_exc_inh[combined_exc_inh.ei_labels_new==1], x=\"ap_width\")\n", + "plt.show()\n", + "sns.kdeplot(data=df_exc, x=\"ap_width\")\n", + "sns.kdeplot(data=df_inh, x=\"ap_width\")" + ] + }, + { + "cell_type": "code", + "execution_count": 282, + "metadata": {}, + "outputs": [ { - "name": "stdout", + "name": "stderr", "output_type": "stream", "text": [ - "15\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\manifold\\_t_sne.py:790: FutureWarning: The default learning rate in TSNE will change from 200.0 to 'auto' in 1.2.\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", + "name": "stderr", "output_type": "stream", "text": [ - "20\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\manifold\\_t_sne.py:790: FutureWarning: The default learning rate in TSNE will change from 200.0 to 'auto' in 1.2.\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", + "name": "stderr", "output_type": "stream", "text": [ - "25\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\manifold\\_t_sne.py:790: FutureWarning: The default learning rate in TSNE will change from 200.0 to 'auto' in 1.2.\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", + "name": "stderr", "output_type": "stream", "text": [ - "30\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\manifold\\_t_sne.py:790: FutureWarning: The default learning rate in TSNE will change from 200.0 to 'auto' in 1.2.\n" ] }, { "data": { - "image/png": "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", + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXAAAAFwCAYAAAChGSA/AAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAADWHElEQVR4nOxdd3gU1Rc9M9vTOwm9g5TQQXpXqqiAlWYDLCCIBbCgIuLPAigIiIAIomJDAUFUUFBpIgpSpLcESEgvm2Tb/P44vMxusqkESGDO9+2XbJt5Mztz3n33nnuvpCiKAg0aNGjQUOEgX+sBaNCgQYOG0kEjcA0aNGiooNAIXIMGDRoqKDQC16BBg4YKCo3ANWjQoKGCQiNwDflwLYVJ5VEUVR7HVBJU9PFrKBgagV9jTJ48GQ0aNCj0MXfu3GJv75tvvkGDBg2QlJQEABg+fDjGjBlT7O/PmzcPn376aYn20aNHD7z66qvF3kdB+OKLLzBnzpzL3s7cuXPRokWLQj9js9mwaNEiDBgwANHR0WjdujWGDx+OjRs3enzu6NGjGDly5GWPyR15z9+VQlpaGiZNmoQDBw7kvtagQQMsWbLkiu5Xw9WD/loP4EbHY489hnvuuSf3+XPPPYcaNWrgsccey30tMjKy1NufNm0aZLn48/TcuXPx7LPPFvqZbt26YdWqVQgICCj1uLxh4cKF6NatW5lusyA899xz2L59O8aOHYsGDRogKysLP/30E8aPH49p06bhvvvuAwD88MMP+Pfff6/KmMoahw4dwrp16zBq1Kjc11atWoXKlStfu0FpKFNoBH6NUb16dVSvXj33udlsRkhICJo3b14m269bt26ZbMcdISEhCAkJKfPtXi3ExMRg/fr1mD17Nvr165f7eo8ePZCZmYm5c+fmEvj1hrK6rjSUD2gulAqOb7/9Frfeeiuio6PxyCOPICUlxeP9vC6U1atXo3///mjatCm6dOmC119/HTk5OQC4vAaAN998Ez169Mj9/osvvoiHHnoI0dHRmD59ulcXQHZ2NqZMmYIWLVqgU6dOmD17NhwOR+773pbujz32GIYPHw6A5BkbG4uVK1fmjgMA9u/fj5EjR6JZs2a4+eabMX36dGRlZXlsZ8mSJejevTuaN2+OZ555BtnZ2YWeMzFul8uV772HH34YDz/8MOx2O+bOnYt58+bBarWiQYMG+Oabb3K//8ILL6BLly5o1qwZRowYkc9Kj42NxZNPPom2bduibdu2GDduHM6dO+fxmR07dmDQoEFo2rQp+vfvj02bNnm8/9tvv2HYsGFo0aIFmjZtikGDBuHHH3/Mfd/pdOLNN99Et27d0KRJE/Tr1w+fffYZAGDnzp0YMWIEAGDIkCGYPHkygPy/w3///YeHH34YLVu2RIcOHTBlypR815CG8guNwCswNmzYgOeeew6dOnXC+++/j6pVq2LWrFkFfv7PP//E1KlTMWDAACxZsgRjx47F559/jnnz5gHg8hogaYvXAPpsa9Wqhfnz52PQoEFet/3tt98iISEBc+bMwbBhw7B48WK88847xT6WefPmITw8HLfeemvuOI4dO4Zhw4ZBkiTMmTMHTz/9NNavX48JEybkfm/JkiV45513cMcdd+C9996D3W7Hxx9/XOi+GjZsiEqVKmHatGn43//+h127duVOYtHR0XjooYdgMBgwdOhQDBkyBGazGatWrUK3bt2QmZmJe++9F9u2bcOkSZMwe/ZsKIqCYcOG4fDhwwCAjIwM3HfffTh8+DCmTZuGN954AydOnMAjjzwCp9OZO44ZM2Zg+PDhmD9/Pvz9/TFx4kQkJiYCAPbt24fRo0ejXr16mD9/PmbPng2LxYJJkyblTkAffPABvv76a0yYMAFLlixB586d8fLLL+O3335D48aN8dJLLwEAZs6c6eGSE4iNjcV9992HjIwMvPnmm3jhhRfwxx9/YNKkScX+3TRcW2gulAqMRYsWoXPnznjxxRcBAJ07d8b58+fxyy+/eP3833//DYvFgoceeghGoxFt27aFwWCAwWAAoC6vo6Ki0KhRo9zv+fr6YurUqbm+9GPHjuXbdlRUFBYsWAC9Xo+uXbsiPT0dn3zyCR5//HH4+fkVeSyNGjWC0WhEWFhY7jjmz5+PsLAwLFq0CEajEQBQs2ZN3H///fjzzz/RqlUrfPjhhxg6dCjGjx+few4GDRqEs2fPFrgvo9GIRYsW4emnn8bSpUuxdOlSGI1GtG7dGkOHDs11q0RGRiIyMhKyLOeOacWKFThz5gzWrl2b657q1KkTbr31VsybNw9z587F119/jYSEBPzwww+oVq1a7vl5/PHHceLEidxxTJ06Ff379wdAt9Sdd96Jf/75Bz179sTRo0fRu3dvTJs2LffzlStXxh133IG9e/eie/fu+Ouvv9CkSRPcfvvtAIB27drBbDbDYrHAz88vd3z16tXzcNMJfPzxx9DpdFi8eHHub2QymfDmm28iOTkZwcHBRf5uGq4tNAu8AsDlcsHhcOQ+nE4nsrKycOjQIXTp0sXjs7feemuB22nZsiWsVituu+02vPvuu9i3bx+GDBmSSwAFoXr16kUGQnv06AG9XrUHunfvjuzsbA8FREmxc+dOdOjQAbIs5x578+bN4efnh+3bt+PkyZNITk72OAeSJOGWW24pctsNGzbE2rVr8dlnn2Hs2LFo1KgRdu7ciYkTJxZqgf7555+oW7euR2zBaDSid+/e2LVrFwBOlHXr1s0lbwC46aabsHnzZtSrVy/3NXelTJUqVQAA6enpAIDBgwfjvffeg9Vqxb///ou1a9di5cqVAKigAYDWrVvj999/x/Dhw/Hxxx/j7NmzmDhxIlq3bl3k8YtxtmnTxmOC7dmzJzZu3KiRdwWBRuAVAO+//z4aN26c++jduzfS0tKgKEq+Gy0sLKzA7bRu3Rrz589HeHg4Fi1ahKFDh6JXr1747bffCt1/aGhokWPMu18R5BSEVBqkpKRg1apVHsfeuHFjZGRk4OLFi0hNTQWAEp0Dd0iShJYtW2LixIlYtWoVfvvtN/Tt2xfr1q3Djh07vH4nLS3N6/bDwsKQmZkJAEhNTS3WOTObzbn/iwlS+OWtViuefvpptGnTBvfccw8++OCDXDeP0HWPHj0aU6ZMQXJyMl5//XX06tUL9913H86cOVOs4y/uODWUX2gulAqAu+66y0NeZzQaERgYCEmScn2mAkUFoHr06IEePXogPT0dW7duxYIFCzBx4kRs27Yt101RGggyFRDjcler5A0aWq3WQrfp5+eHnj174t577833XnBwcK4lmldPXdQ5eOONN7Br167coKRAaGgopk+fjg0bNuD48eO4+eab8303MDDQww0icPHiRQQFBQEA/P39vZLoli1b0Lhx40LHJjB9+nT88ccfWLRoEdq0aQOj0Yhjx45h7dq1uZ/R6XQYNWoURo0ahXPnzuHnn3/G3Llz8eqrr2Lx4sVF7sPPzy/fubPZbNi+fTtatGhR5jJRDWUPzQKvAKhUqRKaNm2a+2jQoAHMZjOaN2+On3/+2eOzW7ZsKXA7c+fOxV133QWAJNO/f3889NBDSE9PR0ZGBgCUSDPujj/++MMj42/jxo3w8/PL9aX7+fkhPj4+932r1YpDhw55bCPvvlu1aoUTJ06gSZMmucceFRWFd955B0ePHkWtWrUQERHhocwACj8HAFCjRg0cOHAAv//+e773Tp06BQCoX79+gWM6duwYjh8/nvuazWbDzz//jJYtWwKga+To0aOIjY3N/czx48cxevRo/Pfff4WOTeCff/5B586d0bFjx9yJVayUxHl+8MEHMXPmTAD0j48YMQK9evXC+fPnAZDgC0PLli3x559/5q4cAGD79u0YPXp0PsNAQ/mEZoFXYIwbNw4PP/wwpkyZgn79+mHHjh35CN0d7dq1w/vvv48XXngB/fv3R2pqKhYuXIhWrVrlWsoBAQH466+/0Lp1azRr1qzYYzl58iSee+453HHHHfjzzz+xcuVKPPXUU7lugi5duuCbb75B48aNERIS4tVCDAgIwIEDB7Br1y60adMmN8npySefxODBg2Gz2TB//nycP38ejRo1giRJGD9+PF588UWEhoaiY8eO2LBhAw4cOFAoed15551YvXo1Hn/8cdx3331o3749zGYzDhw4gMWLF6Nnz55o06ZN7piysrLw888/Izo6GnfeeSc+/vhjPPLII5gwYQL8/f2xbNkyJCQkYOzYsQDov162bBnGjBmDcePGQafT4d1330V0dDRuvvlmrFmzpsjz2bRpU2zevBmrV69GVFQUduzYkSv/EzLJVq1aYcGCBQgPD0fTpk1x/Phx/PDDD7mZo/7+/gA4ofn4+KBOnToe+xg5ciRWr16N0aNH46GHHoLVasXbb7+NW265BbVq1SpyjBrKARQN5Qq33Xab8txzzxX78z/99JMyYMAApUmTJsq9996rfPLJJ0r9+vWVxMRERVEUZdiwYcro0aNzP//9998rgwYNUpo1a6a0bdtWefrpp5WEhITc95cvX660bNlSadOmjWK32/N9X1EU5euvv/bYR/fu3ZVZs2YpEyZMUKKjo5UuXbooH330kcd34uLilEcffVSJjo5WOnTooMybN0+ZOXOmMmzYsNzP/PDDD0q7du2U6Oho5fz584qiKMru3buVYcOGKdHR0UqbNm2UMWPGKEeOHPHY9hdffKH06tVLadq0qTJmzBjl/fffV5o3b17oebNarcrcuXOV2267TWnevLnStGlTZcCAAcqiRYsUm82W+7nExETlzjvvVBo3bqwsWrRIURRFOX/+vDJhwgSlVatWSvPmzZVRo0Ype/fu9dj+mTNnlMcee0xp3rx5vvOc9/wpiqKkpqYq9evXV77++uvc/Y4bN05p3bq10rp1a+Xuu+9Wfv31V+WWW25RXnzxRUVRFMXhcCjvvfee0qNHD6Vx48ZKt27dlNmzZyt2u11RFEVxOp3KM888ozRp0kQZM2aMoiiKUr9+fWXx4sW5+z148KAyatQoJTo6WunYsaMyffp0JSMjo9Bzp6H8QFIUrdKNBg0aNFREaD5wDRo0aKig0AhcgwYNGiooNALXoEGDhgoKjcA1aNCgoYJCI3ANGjRoqKDQCLyCY+fOnWjQoAGmTp3q9X33kq3FRUm7thSnA87kyZMxYMCAEo3jcjB8+PBCuxwtWrSo1NuOiYlBgwYN8MMPP5ThiIu/bfGbixK2V/vcaig/0BJ5rhN8/fXXuO2227ymf5cU10vXlpYtW+K5557z+l5UVNRVHs2Vw2OPPVZkWQIN1yc0Ar9O4O/vj5deeglr166FyWS6rG1dL11bAgICrptjKQzeSsVquDGguVCuE0ycOBFnz571aMRQENatW4eBAweiSZMm6NWrF1asWOHxfl4Xyo4dOzBkyBBER0ejf//++O2339CoUaN8xaDWr1+PW2+9FU2bNsWdd96JPXv25Nv34sWL0aFDB7Rs2RKTJk3KV3Pjp59+wuDBg9G8eXN07doVc+bM8ejs06NHD7z99tu46667EB0dXayiTYXhm2++Qbt27bB161YMHDgQTZs2xZAhQ3D8+HH89NNPuPXWW9GiRQuMGTMm31jPnj2L4cOHo2nTpujbt69HoSmA9V6mT5+ODh06IDo6GsOHD8fBgwc9PrN3717ce++9aNasGQYOHJjvfYCp8Lfffjuio6Nxzz33ICYmxuN9dxeKcMFs3rwZDz30EJo1a4bOnTtjwYIFHt+JiYnBo48+ipYtW6JTp05YsmQJRo0aldu5R0PFgEbg1wmio6Nx//33Y+nSpYUWTFq9ejUmTZqENm3aYOHChbj99tsxc+bMAonw8OHDeOSRRxAWFoa5c+fijjvuwIQJEzw6ywBAVlYWZs+ejfHjx+Pdd99FVlYWxo0b50G+J06cwBdffIGXXnoJL730Enbs2IExY8bkVilctWoVnnjiCURHR2PevHkYNmwYli5dmo9UPvroI/Ts2RPvvvtubus3b1AUxaOOuvvDHZmZmXj55ZcxevRozJ49GxcuXMj9/6mnnsK0adOwbds2vPvuux7fmzNnDho1aoT58+ejWbNmePrpp7F58+bcfT/66KP4/vvvMWHCBLz77rswGo0YPnx4bqXCmJgYjBo1CiaTCe+99x4GDx6c71j/+ecfPProo6hVqxbmzZuH9u3b4+WXXy7wmAWmTJmCZs2aYeHChejevTvmzJmTW+QrOzsbo0aNwsmTJzFz5kw8++yzWL58Of76668it6uhfEFzoVxHmDhxIn7++Wc8//zz+OKLL/IVdHK5XJg1axYGDhyY226rU6dOkCQJ8+fPx3333QcfHx+P7yxatAiRkZGYN29ebrcdWZbxv//9z+NziqLgrbfeynVZOBwOjBs3DseOHUPDhg1zP/fBBx/kFkoKDg7G6NGjsWPHDrRr1w5z5sxB//79c7vQdOrUCf7+/pg2bRoefvjh3O3UqVPHo89nQSisfOu+fftyXU12ux1PP/10bieevXv3YtGiRfjkk09yi1r98ccf2Lt3r8c2+vXrhylTpgBgJ6Djx4/jww8/RI8ePfD7779jx44d+Oijj9ChQ4fcz/Tv3x8LFizAzJkzsWLFChiNRixYsAAWiwVdu3aFoih44403cvexePFi1KxZE7NmzYIkSejSpQvS09PzrZryom/fvrlditq1a4eNGzdi69at6Nq1K9asWYNz585hw4YNqFGjBgCgdu3aGDx4cJHnVEP5gmaBX0fw9fXFyy+/jP3792P58uX53j958iTi4+PRrVs3D2u0S5cuyMzMxL59+/J9Z9euXejWrZtHt50+ffrk+5xOp0N0dHTu87wdZgC29nKvctelSxcYDAb89ddfOHHiBJKSkvJtW7Qc2717d+5rxa2U16pVK3z11VdeH3lrnzdt2jT3f9HkoEmTJrmvBQUF5WtOkbf7Uffu3bFv3z44HA7s3LkTFosFbdq08bD6O3XqlNssYs+ePWjTpg0sFkvuNvJ2E9qzZw86d+4MSZIK/Iw3uPv+ZVlGREREbqBz586dqFevXi55i2OtWrVqkdvVUL6gWeDXGbp164a+ffvivffeQ+/evT3eE40OJk2a5LVt2MWLF/O9lpyc7NGUAfDe8cZkMnnUzs7bYcbb9yRJyiVG0RAib4cYf39/GI3G3Hrl3j5TEPz9/T2IuTD4+vrme82dWL0h7zhCQkLgcDiQlZWFlJQUZGVleUwCAqIHaVpamsfqBADCw8M9nqelpZWq45B7tx+Av4eoW5eSkpLvNy3udjWUL2gEfh3ihRdewLZt2zBt2jQPRYqoD/3SSy95WMsC3iywSpUq5evakvd5cZG3a4/L5cqdIEQ3m7yBwrS0NNhsttz3yxPS0tI8nickJMBoNMLX1xf+/v4IDQ3FBx98UOD3g4KC8h1vcnJykZ8pquNQUYiIiPAaLE1KStLqgFcwaC6U6xBhYWF45pln8Pvvv2Pnzp25r9euXRtBQUGIi4vz6PCTkpKCd99918PKFWjdujW2bNniYUlv2rSpVOP677//kJCQ4LEdh8OBtm3bolatWggODs6XwLJ+/XoAyO12U57g3ktUURT89NNPaNOmDWRZRqtWrZCUlAQfHx+Pc7127drchg7t2rXDzp07PSaCrVu3euyjXbt2+OWXXzwCr0V1HCoKrVu3xtGjR3H27Nnc144cOeLxXEPFgGaBX6cYMmQI1qxZk9spHQD0ej3GjRuXGyRr3749YmJi8M4776BmzZpeLfDRo0dj0KBBGDduHO6++26cOnUqV41R0vZrsixj7NixGDduHC5evIi33noLXbp0ySXnJ554AtOnT0dgYCB69uyJw4cPY+7cuejTp09ui7OSIC0tDf/884/X9/z9/fN1qCkpvv76a4SHh6NJkyb48ssvcezYsdzgcPfu3dG0aVOMHj0aTzzxBKKiorBx40Z8+umneOWVVwCwI86qVavwyCOPYOzYsbhw4UI+GejYsWMxePDg3O5Bhw8fzu1OX1rcdtttWLhwIcaOHYvx48fD6XRizpw5kCTJw9euofxDI/DrFJIkYfr06bjttts8Xh82bBjMZjOWLVuGpUuXIigoCH369MHEiRO93rx16tTBwoUL8dZbb+Gxxx5DzZo1MWXKFDz//PP5FCtFoU2bNmjRogWeffZZOJ1O9OvXz0M2J8a2dOlSfPnll4iIiMADDzyAxx57rFTnYM+ePbj77ru9vte+fXssW7asVNsVeOGFF7Bq1SrMnTsXtWvXxqJFi9CqVSsADOouWbIEb7/9Nt566y1kZGSgRo0amDlzJu68804A9KF/8sknmDFjBiZMmIDIyEi88sorePzxx3P3Ua9ePSxduhRvvvkmxo0bh1q1auGll17CM888U+pxGwwGLFmyBK+88gqeffZZ+Pv7Y/To0Vi2bJnXWICG8gutI4+GQrFt2zb4+vp69Mf8/fff8dBDD+G7777LF4TTUP5x+PBhxMTEoGfPnrmvZWRkoH379njmmWcwYsSIazg6DSWBZoFrKBT//PMPlixZgueeew61atVCbGws3nvvPbRp00Yj7wqK9PR0PPbYYxg7diw6dOiAjIyMXOtbyDY1VAxoFriGQuFwODBv3jysW7cOcXFxCAwMRO/evTFp0iT4+fld6+FpKCXWrFmDpUuX4tSpUzAYDGjdujWefvrpy44LaLi60AhcgwYNGiooNBmhBg0aNFRQaASuQYMGDRUUGoFr0KBBQwVFuVGhZGdnY//+/QgPD89XRU+DBg0abkQ4nU5cvHgRTZo0yVffBihHBL5//37cf//913oYGjRo0FDusHLlSrRu3Trf6+WGwEUVtpUrVyIyMvIaj0aDBg0arj0uXLiA+++/P1+VSoFyQ+DCbRIZGanVJdagQYMGNxTkVtaCmBo0aNBQQaERuAYNGjRUUGgErkGDBg0VFBqBa9CgQUMFxWUR+Lvvvot+/fqhf//++OijjwCw/OjAgQNxyy23YPbs2WUySA0aNGjQkB+lVqHs2rULO3bswJo1a+BwONCvXz+0b98eU6dOxYoVKxAVFYUxY8Zgy5Yt6Nq1a1mOWYMGDRo04DIs8LZt22L58uXQ6/VITEyE0+lEWloaatSogWrVqkGv12PgwIH5ehxq0KBBw5VGcjLw44/Azz8DeXpPX1e4LB24wWDAe++9h6VLl6JPnz6Ij4/3EJxHREQgLi7usgepQYMGDcWBogBz5gALFgCiQ6AkAc8+Czz44DUd2hXBZQcxx48fj+3bt+P8+fM4depUvve1JqkaNGi4Wvj8c2DePMDHB/D358NkAmbMADZuvNajK3uUmsCPHz+OQ4cOAQAsFgtuueUW7Ny5EwkJCbmfiY+PR0RExOWPUoMGDRqKgKIA770HmM2A0wkkJvLhcgEGA/Duu9d6hGWPUhN4TEwMXnjhBdhsNthsNmzatAn33HMPTp48idOnT8PpdGLdunXo0qVLWY5XgwYNGrwiMxOIiyNpHz0KnDvHx9GjfG33bmDlSuCS3XldoNQ+8K5du2Lv3r24/fbbodPpcMstt6B///4ICQnBuHHjkJOTg65du6JPnz5lOV4NGjRoyEVqKvDllwxY6vVAUhKQlQXodKoP3G4HEhL42ksvAbIMdOlCV4vFom7L6QS2bSPpV6sG3HwzP1uecVlBzPHjx2P8+PEer7Vv3x5r1qy5rEFp0KBBQ1E4dw4YMoRWt8FAAs7IoMtE1H5yuQCHg//rdEBwMF0tv/wCTJ8OvP463ztyBHjgAeDiRX5HloGoKOCjj4Data/N8RUH5Xx+0aBBgwbvePVV4MIFkrKfHwOWwmK220noNhsJW5b5V1FomQcGAl9/TYlhdjZw//0k74AAICiIf8+dA4YN47bKK8pNOVkNGjRoKC4yMqjxDgpSX5MkulEkiYTt7089uF7P57LM97Ky6BPPyAAefhho2RJISSGpuyMwkK6XX38Feve+igdXAmgErkGDhgoHq1UlagFJAsLC6FJRFKB6dbpPMjPpFgkPJ1HHxKjW+J9/Aps301rPS+AALfiTJ6/aYZUYmgtFgwYNFQ5hYbS+s7M9Xw8Pp+7baKT1bDCQvP39gZAQIDZWDW4GBgKhoYCvL63xvNsC+P0CmuGUC2gWuAYNGiocZBkYPx6YNo3BSYOBr+fkABERwCuv0BIHgOPHgR9+AM6fp0Wu05Hkq1Th+8HBfO/iRapPBLKy+Lny6j4BNALXoEFDBcWwYUB6OjB3LolbUZiB+eabQL9+np89dAiYORNYt47Wu7+/aonr9bTEc3LoM5ckbstkAhYtYoC0vEIjcA0aNFRISBLw2GPAyJHAv//SCo+OVq1xd9x0EzB1KnXe7uTtctHPbbEAr73G/0+cAOrWBSpXZgBz2zbg1luBpk3V75UXaASuQYOGCg1fXybdFIWGDYFOnYCtW+n/jo9XU+1lmcHMt9+mhHDkSGDvXlVDvmgRMGgQrfuikntcLmDXLkocq1cHWrS4csSvEbgGDRpuGLz/PjBlCrBiBdUpksQJoEoVYMcOJgZ17gzs2UPfuLul/s03QOvWwD33FLz9I0eAhx5SlTAAUKcOsGSJ6nMvS2gqFA0aNNww8PUFJk+mgqV2baBBAxKs2awGM1esoBXubjXLMj+zeHHB27ZagfvuI3kHBNDKDwgAjh2jv97lKvvj0QhcgwYNNxT++YcyQz8//nWHotAy13vxTZhMJPiC8MMPrM0SEKC+JkmcLGJjgT/+KIvRe0IjcA0aNNxQMJsLf99gYDBTQFFYJOvIEfq1p0xhoDMv9uyhnjw9Pb+1bbfTEi9raASuQUMFRWIi8O23wFdfAWfOXOvRVBzcfDMt7Jwcz9dFEay771aLYikKMzFjYkjC/v7AqlXAgAEkbICfWbCA7pWEBOD0acoWExPVbQupYllDC2Jq0HAV4XIBp06RKKpX5xJbVMzLu5wvDB98ALzzjpoSDgCDB7O6nqjEp8E7fHyoCZ80ick6Pj60uO12EvObb/Icrl/PYlfp6fSBR0SQhCWJrz3zDOuxfPMN8NZbzPRMSeH7ksRiWAYDHyYT0KtX2R+LRuAaNFwlrFvHEqbJySTdqCigXj3qjLOzGVR76imgf//Ct/PTTyQZf3/VV+tysS52lSrMUNRQOG67jVmXH3xAuWCtWiwne9ttJOt33wXGjaM1rtMxnd5dX+7nB5w9S7/3+PH8TU0m+rtTUvh7uFy03KtXJ8GXZIIuLjQXigYNVwE//ghMmMCleUAACeCvv4Dly1lIKSSEFtv48XytMLz/PonbPdAmy9zm4sXlu/xpeUKLFsDChcD27cB33wG3365qvK1Wki9ANUne5CBR1XDMGFUymJVFIvf1JeEHBpLE7XZOzK1bs4mE01l2x6ARuAYNVxiKArzxBklAdIBJS6PbRJYZIBN6ZF9f4H//I4EUhCNHuOzPC6ORlnxy8pU5jhsFn35Ksh0zhrGFY8eY9OOO7GzWTvHzU0vY6nR8ZGaqbhlRSEuQ+axZ7ApUVtAIXIOGK4zUVAa23ElX+Ep1OlrlAkYjLbS//y54e5Uq5Q/AAZwQJMlTxna9wemky2PXLhJlWWPzZuDFF0nK/v50c+n1lA+KoKTNxkkyMJC/aUiIalWLmEZsLMcXHKyulIxGuli++ILvlwU0AtegwQ0ZGcB//+W3uC4Hwvcpgo3uEN1i8qKw1OuHHqIF6C5VUxRa9XfeWbRMrqJiyxagfXvgrruAESNoJc+dW7YJMu+9R8IVv5nRyNiE2UwSz8jguR46VG0mUakS33c6ObHa7VxBKQon6jNn1N9e/NY7d5bNeLUgpgYNoFU1cybw2Wd87nAAHTvSnREZWfrtulzAhg28sQ8coMUWGKi2+wJopbmPQ6ejf7Yg3Hsv0743blQtP52OxZamTCn9WMsz/v0XeOQR1TIGSJRz5tAt9fDDZbOfAwfU7QM8v5JEEk9JAT75hOc5NRX4/ntVeli3LjXiFy7w8xYLryG9np81GGjNC3hLFCoNNALXoAHAs88Ca9bQ/aDX88b8/Xdaexs3enYvLy4UBXj+eeqGzWZaX+npJAJhiQnL+fRpStTsduDllwvfn05Hy3P3bkrdcnKAnj2Brl057vXr2WkmOJiyuPLclLe4WLCAZOruHjIYOCHOm8fiU96qEJYUokmEwcDgpHCbiPKyoaH8GxFBK/zzz9Vrxmrl72o0Ug10+jR/T0Whv1w0hpAkFtUqC2gEruGGx5kzlPgFBanEKsu8Kf/+m9Zwmza08rp2Lf529++ntE9s18eH7hmnkzd1pUr8XEoKHzVqsJaG08nJpGdPBjW9QZI4pjZt1NfOnaN1fu6capnPnUv1y+OPl+iUlDvs2uX9XJhMPHeLFvF5vXpA9+6lJ/MRI4DZs+m/TknhZClJJGJZZvPjn37iWF55hUHMFSs4iVqtfL16da6knE5PxcnBgyT+F1+k37wsoBG4hhsef/+tNr0ViI/nw+lkdt2OHZSbjR9ffJ31hg38vnundEHkDgf/Bgez7nRCAi2+hQvVpbfBQOuye/fi7W/CBErf3F0yDgfdDG3aAG3bFm875QmCAAMD6YPOq6VOTeUE/PbbXH0I63jlSk6IJcXDD3PFtXEjiVuUkzWZqBW/eJGT67338veZOpXXw5kz1I3HxvK3O3pUbabscHDCNhr5nWHDLu+cuEMLYmq44WGxeAYNbTaStyB1g4EE4udHi/bs2eJtN2+PxbzBNvFckrhUT05WezeKaniPPqrqkQvDqVOciHQ61uk4dIjyN9HQtyhteXFhtZLAFi0iybnXDCkKaWkMRP7xh/f+k+44eJBukbp1aVXbbPy+eyDYbqebwmKhayM8nOftwgUScWmCmxYLMHo0fweXS51A7HZeE5IE/PKL53f8/IBGjYAnnuDnUlI4Tp1OnYgjIliPXKfjeSsraBa4hhseHTuqtTFMJvqpBVFIkmrR6vW8oX/6CXjwwaK326ULl9eKoga2AJVYhEsgO5v7rlzZcyIxm0nqn38OPP104fuKi+O4RUswWeZ2z5whqZ0+XfzzURB276YCxmolURmNPDcrVgD16xf8PUXhxDd/vvrcYKCvf8iQ/J8/eJCv5+So5/7MGa5SEhJIgv7+JHVJosvCHYGBPN6//wZatSr5cZ44QbWJ0aj+HorCfefkeO9eD7Dhw65dTKYSVrf43StX5mccDrq4ygqaBa7hhoevLzBjBgkvJYU3qbC+goI89dsuV/H1x5070zJLTua29Hre/A4HCchsVivd6XSerg8BnY6EVhR8fLgdWVb9tiKxJCXl8jurp6Ux1dxm4zGEhXFiSE6m37iw7M9PPmFqutlMa1W0NJs8mYHivHjjDe4nOFh1QSQkqA2J9XpOVk4nlR0mk+f3BekWZ+XiDVu3qn0xBRSF+0tO5rl21+4LyDJr0bz0ktrxvnp11hsX9WkMhtK5dgqCRuAaNIBp1F98wYJD4eEkhWrVgKpV1c8Iy7F16+JtU6ejdTpkCK3W9HTe1N27kwAzMkiMDRqQiLwVoXI66XstCocOkSALchtcDoHbbKzhEhPD8WZlqeQWEMCJY+tW7991uWh9Wyye0jmTSa054g67naQu1CZOJ11WwuJ3OOhSuekmbi8tLf8+xdhK2wHnyBFazIrC/dlsnNxF8Pnjj4EePei2ygtJovumRg1OVO6NIdLT+VpZFrXSXCgaNFyCqI3hclEi9vffvGl1Ov5NTQWaNwfatSv+NgMCWHhq2jRawqGhJNqMDBJAYCCJpndvugncl+dCE37vvUXvJzubvvO0NDWJRFTFEz710iA+ngWd9u3jmK1WWsMhIarLx24vuJxtSgoJXiS9uMPXl/pudwiyczoZEExNVf3sonelQHg4z2F2tmfyUloaLd+WLYs+PquV33dvnxYayvNXuzbdHWlpqioJ4LEnJTF4uWZN/m1aLIw5jBzJ79psnHwCAkj+pZGkFgSNwDVoyANZBj76iHKvDRv43Omkpvq114puausNos6JgJ8f0KSJ+vzDD6k5P3tW7dUYEMCSsXXrFr39Nm1IMLVq0ULOyiL5+/mRpEqrO54yRfWjZ2Zym8Lt4+enFnoqyNr18VHPX94Vht2e322k13Os33yjqnYA1YUhy+rkJNqg2e08XqeTRBkeTj90Yb/TmTPAq6+y6zzA8T/zDH/jUaO44ggOVsvB6vW0xoUkNDCQq57jx+kiyYsmTVhl8pdfOBFVrcqVV1lXJNQIXIMGLwgI4PL+5ZcZIKxUybuPuqwgXAqi96JQv3z/PdPji7rxb7qJBLFpE8fu46OuGpo2LR2BX7xI1UhgIEnzwgXVChbKGRFQ7NbN+zbMZpbH/e47T+2zonBieeyx/N+54w4GbgF1FeEeVE5P53fj47l9Hx8qPLp04QqpKKKMj+c5FSsDSeKxPvkkrfH776dL6LffOGmJycNkUrMpJYmknpDgncABfr5Pn0JOcBlA84Fr0FAIgoNJDleSvAHghRdIiFWqADVr0gUQGkrJnSCzojB3LgOKOTkkucxM+vZXrChdk4eEBFXLrNOpwTenk0SelUV3wLJlhRPmCy/weFJS6FJITeX/rVoxMJoX6emU3YmSA2JiE8HK2FhOqpJEyzYggNbwb78x+SnvWBwOrqg6deJqpmNHrnRCQtTJyMeHxzJzJl9bsoRWfNOmfL1qVfre3euvOxzFi09cSVyWBT5v3jxs2LABANC1a1c8++yz2LZtG2bOnImcnBz07dsXEydOLJOBatBQEDIy2FZMLLv792dGY1llu11pJCeTfPLK04SbYPlyEnNRMJu5Ynj2WRJcaOjlVSasUkW1PiWJvlyzWVWctGsHrF3rvbStO0JDuZJYu5Zp/kYjLeBevbwTv9nMh3sNmpQUJvKIgKLFwvGJfQcHA4cPc8XQs6f6PUUBJk7k/i0Wno/Dh3kMBoPnPsxmTh5Hj3JF060bFTQDBvCz7pLClBS+HhFRwpNaxig1gW/btg2///47Vq9eDUmS8PDDD2PdunV4++23sWLFCkRFRWHMmDHYsmULupYk/1iDhhIgNZUqjxMnVN3u7Nm0OlevVvW35RmiZZc3n63BUPL63j4+l28Zxscz+7RZM2agpqSoumtFoQWakUFrvygCB+j/v+cePopCjx48F8nJfOTk8DxUqkQL3mDIr5mXJE40v/ziSeD//MOuOe5lEoSePyGBk4tIuxft6dzVMvXqUb8+YYJnIlH37rTWrzVKTeDh4eGYPHkyjJem0Dp16uDUqVOoUaMGqlWrBgAYOHAgfvjhB43ANVwxLFzIjEPRMNbh4I22dy9VJWPHMrOutJKyq4HISFqHQq3gjszMgv3LVwKKQtXMkiV87nKRzEV6P0CyrFaNfuPZsxkMLEuEhbFs7Kef8rlOR4s5M5OWcVZWweV285bS/fFHtXGGQFCQWjUwPV1dqVmt/C2ys5kBu307J5577+XEsHcvr60mTUjs5QGl9oHXq1cPzZs3BwCcOnUK69evhyRJCHcTnEZERCAuLu6yB6lBQ0H4/HO1/KfDQTK/eJHPU1Io2+rfnxZ6eYXRSMLIyFBrbwAkElm+MoWoYmNJ1EOHMgX8jz9I3p9/zj6Rvr50NwQGqpmdQUH0Bd90E0nP35/FurzVOb8cxMeTPGvW5P71eipeatYk4drt+ROHRFnXfv3yv54XISH0pzudPN9OJ68Vp5MrhCFDSPyKwhXerFl0YbVvzwBreSFvoAyCmEePHsWDDz6I5557DtXz5rQCkAqrTK9Bw2VCSNsA3vh2uxp4A+gbTU+n/K8skZpKN83zz3MVcOHC5W1v9GiuFrKySOTp6Vzaz51beG3w0mD3buCWW0jU+/dTKjlyJLXqIunGPegp6npYrSRx8Z5er8r38uLgQU5KzZoBN99MEvSWdOMNv/zCbQYGkrQbNqQmW8QI2rZVk6AcDrVy4IAB+c9Vt24cp/sko9NxuwEBfGRlMcD5+ed8yLIqIRRSxf/+42RV3nBZQcy//voL48ePx9SpU9G/f3/s2rULCQkJue/Hx8cj4lp7+TVc12jdmrWvAwPV8p+AWv1NaHa3bFFVE5eLf/6hRSYSZgC6EmbPzm8BFheyzODj6NFMmjGZSEZlrRt2Omlxu1yqssbXl88/+YRWf1gYfc+KQsvXbKYfOm/hqsxMkmve5gQ7d3JCsNv5/cxMVlXcsIGBZveGCd4gShkUhObNOdnNn8+syWrVWKNl6ND8rpV27Uj427dzvwYDt5+ZSQXM22+rnz16lBOxxaL63YVKxWQigY8cWfjYrzZKTeDnz5/H448/jtmzZ6N9+/YAgGbNmuHkyZM4ffo0qlatinXr1mHw4MFlNlgNGvJi4kQqTqxWVaPscvFRqZKncsBu907gVisTaT75hJNA48YMWnnzPdtsJAubzTO7MCeHY2nZ8vI6+AQFUc9cGBSFk9Y//zCA2KtX8fe5Z49a9dAdIkEmJYVyRnci9PHJnwUpWodNmpR/bFOn8n936aXJxKSXzz9nZ53C0Lq1mjDkPg4RYOzYkXXZe/Qo+nhlmXLAOXNYYjYzk8c+aRInAXfExXEVJyoliizWyEi1OFh5Q6kJfMmSJcjJycEbb7yR+9o999yDN954A+PGjUNOTg66du2KPldaya7hhkabNuzW8vzzJAmrVc0MFASbmUm/pTfLz2YDhg8nsYlCS4cOkaRfey1/GvvWrXRv5JXniX1/+21+YihLiKJS+/apmYqvvELrvShiFN8vyKvpXu9DFMRSFLorRCGq9HR+1mRi4abevT23ceoUNdbezrXZTCu2qHE2auSZlCRUIyIpqXPnoo/THRYLM0qfeUYl8LyKn5wcZt6KrjpiAhFFtPz86HYqbyg1gb/wwgt44YUXvL63xluBAA0arhB69aI1tmYNLWejkTepotBt4nAAzz3H4KbB4GkZ/vQTLdmQEJXYRKnS115jiVB3mVxcnHefr0BpK+AVF88/zxot7rU7HA727mzShIG2wtCwIT+f16IGaJkHBfHY3QtWATynBw/SZQHQt523CiDASUVkT+aFLBe/fvjcuTymzz9Xx3LHHfTTl6aUAaBWg/SGjRv521WtygCvOD+yzIzNsLDiafGvNrRUeg3XBWSZWYeVK9OSOn6cJBIVxXTmV19Vb8zKldUsP9F1Jy/hCP31zJm0Mjt2pFVWq1bBBCJJJMgrhZ07acFaLGp5WkD9u3gxCVzoob/5huTXsycnIn9/rkz69mVii9BGi76cLhfdBaJcqwg6Bgaqeu+2bXke9+7leXSv1ggw2Ojrm7/AFEDrtl07z/6QBcFsJlk/8wx/o9DQon3nl4NffuF5EBP5hQvqRG02s9vO5bjGrhQ0AtdwXaFtWyZuiBtw/34G7QwGLsfPnOFDlhn8iosjOVksng0WTp6kNblkCZsSh4czI/Lmm0laZ896+sAzMvj9gQPL/phyckggP/yg1vw+f56EEhbGz5jNlFDa7cCYMWp5V1lmlueCBcxWjYqifFCWSeIGA89T5cpqM2dJ4rGIIlFpabT409LYWOKPP/hZux3o0IEKEzEOvZ7uismTSYii5rmo6vfll8DXX9OCf+01ShILg48PFSNXGiKQC/BYg4J47Ho9r4eyrOFdlpAUpaxVnKVDTEwMevbsiU2bNqFq3mldg4ZSQFEY7EpIIBGI7u/uleX8/EjoJhPrciuK2nhYkkgwOh2/GxpKYjx/nmqE2Fi1yp6fH33Tf/9Nwm3VisHAPXu4n2HDuBIoTU2SV16hnt3fn2ngYgXgcpHcTCYSZKVKnMA2b+ZY3VcVycn0Ky9erL524QJdIsHBdL+8/z7J2GLhBOZyqVmXQUEk+YwMWuTuQc969Zgi774y+fZbThTx8fxOZiYnHFEYKzWV+/n++/wdda4Fdu1iESt/f06S8fHq8VssfL+wrkNXCkXxomaBa7huER9PYhN+z6QkldR0OroJqlShlZidTaIRyR2SxDoXgnADAjgR/PorXSqbNjHV/NQpktvHH7N6oagZ8uWXJDRBTrt2MQj2/vsl8+FarfQDi2Cevz8nEzGu2Fjuz+Win/rzz3kMIujocJAsc3JYi+T0adWajIz0dAuMHg389Re3IbIXJYnnLyCAPvD69dXxSxKP/cQJNmFwV8/cfjtw222cJPr3p7UuXCrie8nJVP9Mn17883Gl0KYNdeQrVvB8uU+0JhMn7I0bL6+2zJWAVo1Qw3ULUeNCwN3XLSRqssxyoCKhQ/SUrFSJhJma6tnYVvSWlGW6D+67j5OE0KIHBNDyFhZqcjJfCwpiwPTHH0t2DBcueNbnqFKFFqFo+SZae0VF8SECiKdPk+gPH+b4RNPkW28lSXuD0UgFTXg4J6+wMPr8a9ZUJ4mzZ7kd94bMNhtXHnkhpHdWa35/OMBV0ebNJTsfVwqSRJ+70agmMvn50acvygZ88821HmV+aBb4DQZFYULFkiWMujdsyJu2KPVCRURICGVn+/erpJeTo1qWIsdMklT3yOrV9N/Gx3vqkCMj1e7iebFihdrZXqhehJUqejfqdHzt889LViM6LEwla9EPsk4dEve5czyeBg3UhB8fH+7T5eLqQHxHFGpyOOinb9yYft+hQ1kZUOjjExL4v3vAMDOTsQLRDzQnh9dOWJiq0PHWcQeg9Sp0+UKhIir7uVzelSzXCgcOcLJ1L4Bms6mdgaZM4bjvuqtsu+pcDjQL/AaCorDh6rhxJLWsLHYNGT6cAbrrEa++SvL77z9VjibcJCIZJS6O1vOkSZzcrFZ+V69XiffcORKOt36GKSmqtS+sdXf/s7BWdbqCKwtarXSz7Nmj1vk4cYK1tkND1WYKYtu+vtxnSIhntqZQd7hLBcUxBwfzOC5epGX+33+8Hu65Rz3mWrVULbgY++nT6oQkmgzb7YwFnDnDvwWV7q1cmWM9eJCZjocPM9hqtfL3GDrU+/euBQwGT+lkVhbHnJTEY7ZaGY+4/36+Vx6gWeA3EA4coAUoglAAb367nV3ZBw688o0LrjYaNya5iLKkOp1KhGlpXOIbjbxJ//yTVqs76blb4bVqeS+dKkquBgaqjXdzctTvJSfTcrfZ6BN//31u55ZbSHBLljB4KIJmPj70yW7erDZPEI0QhDpEr+dn8hbp8vHhkv/kSfUYAFrLom6JWIH4+fEz//7LLNTRoxm0jY7mZJKdrRaPEpa8e7EtgGOLiGAiUZs2+aV2335L940gRlnmOI4d4+eHDSvtL1v2aN6clrWQQMbEqElNTicnx8BA5g189RUNn2sNzQK/gbBunXoDu8Ng4I24Zcu1GdeVxIEDJL/atZnh17ixWg5UVNqrWZMTl8WinhuTiWQUEkLfcoMGapXDvBg3jn+zsmiRCrISvusLF6hLT0jgb/DWW1wZdOvGjM+ZM/kbiEzQlBR2kJFlWt/h4Ry/KJWr06myQSHzExD7rleP469Rg26y8HC18Jeo7SEKZ+n1aulWSaJFnpys+v/FRGA0cpxiVSLGFxXFyemLLzzPi8vF4zSb2QknOFjtrBMQwLIDV1LbXVIYjcwuzcnhb5WdrdYZ9/FRO8ybTMBnn13r0RKaBV7BceQIHyEhTJIoTKYmAl4AL8rMTP4vNLDlsdbD5SI9XSUtAdHpJieH5CRIW5w7kTHo76/qm61WtR9iXrRpw0JWTz7Jz4nUbxEkVRSOIzzcM+PTbidxRkV5BlxFunpCgqp6EBmeoo2Y0G8nJfF/kYgjy3x//nwGWIWWWTRjEJ85f1511YgmxWlpalsxoVRJSuJDp1OzKIXf2unkpCPO3YED6jEcPszzceCASvbh4QzCisDn9u3F+w2vJvr144ritdd4jnQ6Pg8N9bxOxL1zraEReAVFSgrrRO/cqRJPUBBLhF4q054PXbrQhRIXl9+aFBbR9YaGDUk03rqiOxyesjBZ5vPUVNXyEp+Lj+f/bdowK/Oxxzx1wQMGMGFmxQoSv3C1iEni2DFOGt66yAiNuYDNxrEKP2tODv8XpC3agQm3RqdO9MteuEAXTdu2PJaPP2aH9bQ0lXxFfRPhFhHHZ7Pxeho/npOQsIzNZnVycD8nYiUnzp/TqZL+uXMM9ImJSLitRMnd8HA+Ly+BwLxo3Zqridat+TxvRcisrKvbZKMwaAReQfH449Qhi67aAIln+HD6Tr2lKnfvTmtbJLMI69DhoPV99Oi1SVa4kggJoSW6fDldJqJIUXo6z4WQt+XkkHgyMlSyS0jgZ+LjVetL6Kk3buQ227RR92WxcHvubgEfH3UpnrfGttCbZ2erYxIp8sJfD6ifE+4Rd0IRSTzeKhhGR9PK/eUXfua//+iaSUtTlSmCmKtW5Wf79fOcZGSZvv+TJz3llHq9WlZAFNW66y6+//HHPI9BQbTes7M9a7aHhnKSGDOm2D/jFcG5c1whmM00iHbu5G83cCDdbU8+SZcKwHMufiOLha6v8gCNwCsgjhzhxeZO3gCXs8nJDLA8+mj+7+n1JBR/f95A7gGugACW3Ozf3/M7TidlVGazdwmd3U7i1+nody1toaErieef53lauVINSFWvzsSbp54iocXEqO4Fo1F1sVSvTkKvVMkz8JueTrnhzz+rv0G/fgwG5i2DKiAszuRkroIcDrUjjLv7QahkREDZaFQDr6JDjUBODn36BcFkUmWLogzttm3qb6/Xk7x9fNSJAvBsoWaxMLh5/jwnLDHW7GyVnF95hX5ugIHL+Hg1CCgCscISj4/n9q5Vbe3sbBY3+/57PhcKo5AQ/u6LF3NsU6fyfLz7rirNrFePRbbKQ/YooBF4hYDVSn3yd9/xxhIXjzeS0Om8J1UAvNkvXKDl5HJxW6JovaKQiAWJ5eSQjBYsUJfQeetXfPUV1SuisUFoKJ8Xp07z1YTBwCSNJ5/kMQYEcKUhSfx/6FDVpaAoJM7KlUncR4/SRy3IW1Q4zM4mke3axdgDQHLr1YvJOhYLiTcrS60ZcuYMz1VMjOoX1ulU1YrwLYtJxGqlZehykVhEzRIBYaWPGlW88yBJXIWdPEnCFgFFcR2J4l9jx7IaoI+PquNOTeV1t3w597luHQtaRUUx61Jck3/+yfNis6l+feF60en4ePhhTpyXm9WYlkbVUHAwlTeAWhJX1LXxhhdf5CoqKIi/iXAHJSdzEtLruVJp3x548EEqZU6d4m9atWrB5XivBTQCL+dIS+PS9OhR9YbYtYvSLKEFdofT6RlsO3+eLb+EAkUsAX18PJf0djutu+xs1rD48EPVdRARQZfM3r0cy/ffU3r23HOeSR+pqVwWf/aZ6j8sLc6eZbp6Tg59us2bX/6NExTk6fIA6M+uXZsEKVpoiXNqNvO8COtXaKIzM1X3w113sWLeE09wfPPm0dJfsoTnr25dqlTat6dVt2GDp+vC11dtRgHwdwkKUjsMPfUUP1OvHuWHu3apgVe9ntZhgwbFPweDB5OETSbP399q5X7at2e9bX9/HovoxN6tG1PeRVmCgjrMz5ihrgTFSkRY8iYTf8dp0y7vt7TZGGj99FN1cqhVi2P+5x/ut1EjWtAdOnh+NyGBhlBQEM95RoYa5HY4eF9VrszXli5lJUejsfy6FjUCL+d4/3214JC46P38GIQ8e5bkIyAyAO++m89jY1lGNClJVQs4nQyo1a/PCzM1lYRks9EyevBBumeSknjjSRKJyGajlZOczCXm1q2qq0HAx4fbmz2bJFYaKAqt/Llz1Ui/vz8txyVLvOuwLxcmEyeivEE1UcgoJ4fPz59Xb3ihDw4K4vHWq8eVh8FAovbmHnjnHTWNXaejBXr2rGqJy7Ln72kycbXTvTufd+jAhJj9+3lOunYt+flo3JiTzbx5PAaDgZOUycTXhH/9oYdY/zoujvsqqI62O6xWNpqIiuI5E80R3N9/883Ln4hfeolBRlEfJjtblcCKFm9Hj/I3WLrUswHEsWPquRZafTEeWVYTmkymK1/bvSxQDj2WGtyxahXJN+9FX7MmCS45mVZScjKfP/UUrQ+AySFJSfTtiaV6VBQv8NOnSQZnztDqEC6TTZtICsLKE8E3URDJYmFNj7NnvZOHnx+X0aXFZ5+RwIUG2enksa1ezclo+fLLbyCcF4MHe8+sy8gg4QUG8jwmJ6vkLVq2CbfU4MEk20cfVeul5IXZTIIMD6e7yWBQg2OAJ9kJ33HeYHTDhvzt7XZqyx0OBh/XruXvWRxMmEAC7NBBbd4QFERDwb3hgsFAl0FxyNt9/JJEi1jII3U6bqNaNa6mLgfnz9N1FxSkWvZCUSVJaschf3/ud/p0Ncdh+HCqh2JjuRIV5z6vbh/gOSmq1G15gGaBl3OkpXmvM+HnxxvkiSfYAqxyZS7nBXkDdHX4+zNIk5Skvi6sFqGaCAzk586fJ0mZTOqFLSwURVHdNhER6mt5JxaR9FBaTJ2qWoYA/xcqjU2bSDKTJ9PnGhZG62r4cE/fcEkxbBhrVJ88yeOTZZK30UirOTCQ/nNhkel06kR46pRqjQcEUJ2yYwfPfd4xiYQg4YcHOLkKP7d7FmxaGom6cWP1tf/+YzsyIWkUiTjBwerE0rw5XWbuskRvOHeO4xT67JQUJt1s2kT/r6jWaDIVv16J2UxJ4x9/cExhYaqOPimJHXVKC0Xhb/Tqq5wgDQZuOzxc1fq7XPxfHLuvLye5119nSQKRhONw8LeOilKrIorzFxqqauZHjy79eK8WNAu8nKN5c88EHIGsLN7gEyYAixYBL7/sSd5C6xsXp1bHE0tHm40XcY0aJMLAQD5PSSEBiyQP0R1cdCS/eJGElZnJGzUlJf+4MjJUOVlJkZamJk8IuOuXxWcSEuiKOHCAx37rrZ4qjpIiIICV5p54Qg1+9enD19q0obtp1SpakHXq0DILDuZYxdhMJp5bf38STMeOHNeHH6p6aEmij1ivJ6EJ+Z2fnxrUTEnh6iMoiAFkcdzp6dR6C5eGxcLfIz1dTfgJCOB5GT3as6ZHXuTkcKI0mbgfg4GTbnAwrfnXX6fPu0UL1eVy7lzxzuXzz3NsyclqzZSkJO7nySdL/NPkYtYspuuLTFRF4bk4dYrvC4PDm9Z/6VKe48BATjK1a/Nz586pgVrR8Nrp5DU3Y0bFyIvQLPByjokT2SjAvUWVzcabcNKkgv2JksRA4jffqNXfxOvib1qamlRx8qRnnQuTSZWJuW/TYqEFaDTSWklM5GvCUq5Vi8vU0kA0JBbjEK4K8T/AyUN8JjOTE1BamlqIqrT+1cBAup+eesr7+wEBVFusXq0GN0V1PZeL1qDNRotPdLIRWY1ffMFlf2AgJ+TvviM5b9nCCeORR7iS+PlnknKrVkwMEnELgPXFz55VXTbC4jQa+VpmJrcVHEw/9IEDBcsL//qL38mbxi5JnIDffpuuExHo27AB2L2bTRsKKlol0KABZYTvvUdXm07HCf2JJ/K3Xysu4uOZoCZKH4hKkXo9j1s0W5Ykz1VMerraSchdemk2c5wxMVwl3X47J2pF4Tns1q34bqNrDY3Ayzk6d+Yyfto09SLV62lxF9W+q08fEo7Q4AJqirfoMiOWoCK7T6gjADXABXgWeHK5aH2+9RZ96N9/z+0NHswAqiCGc+foUrBaac20a1e4TjwigjeUe0EpdwgrVfwvgov+/iTOkyc9g4BljWnTWDxKVHIU8rOwMN7wp05xYhErHR8fPo4fpyX+yCP0v4aH06LMi4IsvuRk6qyTk1UiEkoYcZ5yckg+4rc7erRgAhcugrxwubgPoVICeCzBwZxYPv+8eJNz3bok8MvB6dMMlv/yC6/P1FR1pVKtGt93ONSEKEB194jsVoOBgWVvdbz1em5vxIiK4SopCBqBVwAMGsRGtPv38yZr0sR7gfy8iI6mny81VfUjm8187dw5tVCR0HELqyYigt8RN4aQrIkkGGEBHj1K5Urfviyc5K7rXbiQE48gfIOB4162rGDrRpZJkuPGqQTlHuATvnnAs5a0mJC8uZouF6dOcWKIiKCL6uuvmQizbRuX5jYbrVJ3SZrT6WmpWiw8Fx9+qGYudutG3/vy5VT9+Phw8hs9Or8+et48ng/3CUynU1cBer2nhSmKTBWEZs3UPAD374lrxFtFSpOJUtTSrq5KgoMHeS7EqiI5Wc3orFOHE3bdupxU0tI46U+dqlbbvHiR10RICFct3uI1Is5SEdwkhUEj8AoCo7HkF1vz5iRW4ecD1Ma1oaH0gcfGqr5uWeZrAQFUWCQmejYFANQCTTk5TJlevFi1AocMAV54gTWt336bFo74nqJQR/788ySkgnDffbxx33qLlpcI8FWvzokmMVENrIoAmXBl1KlTsvNTGJKTGV8QDXydTlr3CxbQ/9+pE1dHo0ap/R3FCkWvV8fmcnGyFD0hhetj/Xq1kJW/P8lp/nwmAX3zTX73SXg4JwhBRILAnU4SkVj1CJdCYQ06goMpE/zgA37WYODxij6Q7rkFOTlq2d3QUPU6uZKYMoX7E5NgaChJOSuLv394OA2RqlU5tvnzOSFu2cJzVbkyz4fLRXeR6CAkClI5nfzNWremu6oiQwtiXsfQ6ZgeD6huElFX+rbbqDh44w1K0wC1BZZ74wDxujtEh5VTp0gAfn6cJD77jL7OJUv4OXfrTvRB3LiRN2FBENH/vXtp8W3cyGQK98p+DgcnGJH+nZ7OlUBh2XclgaJwDL//ztWCnx8ntRMnmLwi9Ont2/OY27ZVrW8/P1qHkkTr78ABdRISSiARfxDuFr2eE2xICHXKX37pOR7hT69SRbWc3QN2gYE8B0JNsWhR/gSvvHjmGSZiuVxUMQlLVZb5u54+zYnn6FGuuBITqQC6994rW4nvwgVa4O6rEKE4ARiwBbjySU4mAXfuzPMxbRo/K8q+6nQ8p8HBnCjT09UGy7fdxuu0PGVVlgaaBV6OYbfzxrJYCi5lWhTat6eP+qOPqM8ODaXs7pZbaF1OnUqLJDKSUX0hJQwL4+tBQZ5twkTTAVlW0/AB1Ve6dSu/463SnHDFXLhQtMzNx0fN5uzcmWPdu5ckuGEDCUW4TMaOZbC3rPDvv8zoc681I5r7JifzfAqlTcuWTFpSFE44EybwXJ09q7okAJ6fCxfUcyrcU+npnmRlMjHg+cAD6mtt2vC3Cw7meRHNKUTp2EGDSLbR0VwFeatZkxeyzKzZvXs5BpErkJrKsYsG0KJLjY8Pr8E//6RCQxR5KmtkZan5B+6IjFTT3RMTOVGOHUt3m07HMcfGek/PF77znTt5jUdGXj+NSzQCL4dQFCbVzJqlFp1q1jAHs/tsRPWYbWSA227z1A0Wgtq183f+drlogQnpG8C/CQm0UJo2VdtHic7m2dmqHzo5Ob8vWygyBMnk1Q8L6zFv15aiIMskcZFR9+KL1ERbrZT4lXVTgEOHCi5IJZbleaWSksSgsiiUlJ2tEpEIPCsKz69wj+StUCiO1T2ZBuDkdN99PF4fH56/7GyS3euvU65YGqSnUykiyBtQi2UdO6YGvMPCOCkIrfs339BVdiWyYqtV4/lxV10BHIfRSB/89OmexoN4H1Br1QBqn1LxfkhI0SqaigbNhVIOsWIFVSYOB8mpjs95TN7UG8qkSbB/9iXXyIMG8e4tTPBbCP77Ty2XKuDjQ19ztWq8WVu0YH1okRFYrx4tPqFq8WbFyDKte8BTlqgonARuvbVo67soSBK12K1aXZmOLu4t5/JCSPfcu+AAXPa/8AIlgqKLTq1aalNid1IWxAjkT9LKzmZQ2B1t2tBfHRTE/YoEm3feKT15A2rd87yTiK+v6tZp1IiWt/iMiKEU5ga7HOj1wNNPk4SFykhReNwmE61uobl3R5Uq/O6BA3R1nTjBiViUirjttisz3msNzQIvZ7DZaHm7Bx4nxDyNSFcsUhAMgx2oHA6ywNKl9JGIYhklgEgi8QbhCwe4zPb1pSwsNZU3b7dutELzWqgimPjooySxvCqU6Gguv8s7unRRKwm6u4LOn+ek98knVDv06sVVyg8/0CoUAcULF0ga7nXERdlYvZ7bDQhQC2UJtY1I4OnYkb7nOnXU7/foQZ+8sIzr1fOMMZQGERG8xkTlQKH2cG+hlhfCby980qmpnFAqVSra715c3HMPx/D222oZ17p1Ga8pSCb63Xcci4Bw9506xXPl7pK6nqAReDnDqVO8wYVlGWY7h8bWXUjXBUFWgLR0oDKgllBbtqxUBN6gAW84my3/jZqTo1p2kkSf+X330X8oAnqvvkoViujPKIoAvfQSl6ljx9Lq+ekn+qqLowMvL/DxYTGtRx8loRmNJOP0dLW9lstFxciePfkrQ4relcKHLKxWkQF7882cGIXaRiSmCGnciBF8HhLCwJywyGXZe1W8uDiqgdat4/f69WMQtihXldFINcq776oyPTEpiwxc4bYBVEt45EiS/RNPUPkhNO+PPkqt++X+xpLE623oUOYZmExqKzZvEGQvqjheuMBxS5IaVC4sLpCWxgCtjw9XdhUpsKkReDmDqL8sfLDBjotwgmUB3fs35n44NrZU+zGb6VudMUOtNy3qPgcF0fftDp3Os7bHiy+SkJcsYUZbq1YkDXf5WuXK165o/+Wie3da1itXMgsxLo4WsfBfyzJdSCdO8H93V4jNplqAQmstdMfBwTzvHTsyY7JpU5aI1esZUAwOVifv9HQG6ZYu9d5xB2Dw8vbbPd1hy5bRIv32W7VOdkEYN44TkciaBHjdiQzXs2fVbF2DgRUQH32UHrz4eNXdlJPDRgfp6XSBlAUMhuJJQ0UJBhF0rlPHs0DVf/95/57TSeL/6CM+d7l4zc6aVXH04RqBlzNUr07f6enTvJHjjNWggwOS4oTi0nkGYbKyPKsdlRAPPEDinj2bUjdFIbG8+qr3lmzukCRa6Zfjgy3vqFmTmuRPP6UyxV2bLSAsVXfYbKp2XEgffXxoBYpaMz/9xLZ4ovnA0aO0gB0OlYgtFj5/662CCfydd0je7teFyUSL+s03uZIoDKdOkaTdKwf6+qqlcpOSSMhGI+WSTZty5XXxomcMRNQXFxmn3pK1srM5sXz9Nd1HffsyGOytWFtJYDarE6b7JASozam94Z13GFsQZWkVhb/N8OFUOpWXrjuF4bIXtBkZGRgwYABiLpVq27ZtGwYOHIhbbrkFs2fPvuwB3miQJFoyOh1vnovOEGzyvQ2+9lSYzYp60wgz7zKa84ml6o4dXArv3s3MwJo1y+RQKjxWr+aKYvJkTqiHDnF5npWlWnh51RAACUVktdaqxTm2dm011T0qioWZhEUuXFkGA91N7gFSPz9OHqKkgTsUBVizxjtZBgaShISmPy+Sk7nK6tOHk0dcnFrjRpCg6Ax0223U2UdHc/zu1ro7RP34vXvzv5eZyezKqVP5/n//8Trv06f4hbIKgmgblzewrCg8n/fem/87GRm0vAV5A2oZ2uxs1Sov77gsAt+7dy/uvfdenLpUEiw7OxtTp07F/PnzsX79euzfvx9bRKV1DcVGixas7zx0KG/6r6KnI6ttN9QJS4MuLYV3n91O0yw6+rL3J9wjl2sJXU9YvZqWZ0aGWoLUaqXH6tgxte6J0EenpqqkHhSkBiaTk9X3RFsySeK23AOk7n7X5GT1f+G68EaYIqnJm89ZZByK3pd5vzdmDCduUcVQVK88cUL9TnY238vrSxfuNm9QFE/5aHo6A75Dh1LL7+dHkhSFt+LjueIrDrKyOGG9/z7/irgLQAVQpUpqXfyMDK4qb7rJe62TY8f411sg2GxmwLgi4LII/IsvvsC0adMQcSlCsG/fPtSoUQPVqlWDXq/HwIED8cMPP5TJQG801KlDC2XHDuD7X3zQ4Kd5kAf0U69MSVIzQzSUKZxOVhEUBBsbS0tUkKxoTXfiBBU5a9fS0haZfjExnnK7U6dovUdE0J8t1DoCIktV/JTu5CiyZgsi6TZt8lueAMfSooV3ZcjBgwy+Cp9xeLiqWRcNNGw2EuSECflJbvBgzzo1AqLGfIsWfP7vv3T9vPgis37T0oDDh9WSuYmJHN/PPxed3blvHxtQPPUUXUOTJvH5P//w/UqVuOKYPJmZxU2bUhn01VcFJ/eIWkB54d5QurzjsnzgM/JowuLj4xHu5jyNiIhAXFzc5exCA8A7esgQlmYT5QKPH2fGyLFjjMRoKDPExJBkAgLUzup6vZpk43KpLdimT6dCYuNGFhvbsIG1XoSlLVLmbTYqSKpXJ3m4XGqjX4AElJGhNjfOyuKjUiWmvReEZ5+lGywjQ/Wdi0Jgzz3n/TuHD3u2ErNY6DaLiVGTtvz9WbcmbzAbAHr3ZgB7+3a1h2hmJrf35puc7Ox2tuezWtVAp5ggTpzwbHgsyywYVlD1xKwsBsNF5yCBjAzWotm2jSuhwED63x95pODzJVCnDo/51ClPghermmHDit5GeUCZiroUL9OZVJE0OeUVP/xAE8blUssC6nS8ohcvptZKQ5nBaFSVQCK1G1B9wr6+lGGGhKjt3SSJVt8//5DUREnZoCBqpiMj6UKIj+f3Ro4kuQvfttBWV65Moo+MZAOE778vXA7YujX9tVWrknhFdb4lS0iy3uBeIkDAz0/d7/DhTJl/6CHvkjqDgft86SU1xb1LFza96NePn/ntN3UiEN/JzuZEJkhSKGFdLlrOBeWkCSmq6OEqinr5+XHi+PHHgs9PQZAkqk1EwFeUrE1JoQJJHEd5R5mqUCpVqoQEUW0GtMgjilOYQUPhWLBATQEUEPnZmZlcwz/++LUb33WGqCj6Tg8fVhsmCIjWZ6JzS161Tmys9xZkog5MQgJdKVOmkNwWLeL2HQ6WCnj99aKlf3nRsSNJTnQIiooqXMvcqRMnIaHxTkzkxCImE1EXvjAYjbR+R43y/v6FC+o2kpM9A78A38vO5r4qV+a5/vdf7yGd48e5PfdArixzNWO3q115SorGjekieu01KnH0ehZOe+21y0+SulooUwu8WbNmOHnyJE6fPg2n04l169ahS0H6Jw3FR0Fab7EOvtwwvoZ8mDHDs+mw06lqutPT6S6Ji1PrUIsSpQ0aeG+QLAKKVarwuSyzTMHu3bSyt29nCYWSkreAJJEIK1cuOhHFaGQJVoDujJgYNTM3IoIB3JEjLy+8UrOmal2fP68qVNyhKJzEQkK474KaQW/apNaWEYtPRVE/X1q538cfc8K0WDhh16nDFdTdd6tt8Mo7ypTATSYT3njjDYwbNw79+vVD7dq10adPn7LcxY0JUbQq7xpTPO/Q4eqO5zqHzUYC+u47StAqVSL5mM18TyhTatUi8fboodZm+eUXLsPdW9EJBcodd+SX/JnNJI6idPdljfbtWRJAFDMLDeU4RKW+f/6hG6S0uPlmTlYJCWoNcXcljcnEiURMEorC85wX8fEMAOddFcgyJ9Ts7NLlIlitFHH5+nIVImrChIbSXlq9uuTbvBYoEwLfvHkzql5qeNe+fXusWbMGGzduxNSpUzUfeFlg3DheZaKwiLtJGBFxfWfTXEUkJTHw17QplRTDh1Plcfo0tcv16tHvWrMmLW3hk01KYmKLKKOr09GqTU2l+yAtjX7VadOu9RF64tQpknXt2iRboboRwcbS+JYFZJkWrijmJeSOogG0iBEoCq3dqCi1fLA7jh3jea5ZUyVt8dDp+DuUpg78v/8WXO9Fr2dZgoqACuLpucHRti0jWgsXkg3c27589VXFcdiVY1it1CqfPElVgugZOnUqrcixY+krrllTnUdzcmiN6/WqJtloZEAxOZk/WWgo07IbNLimh+cVRdUs8aY9Lwlq1GDgtmlTVWLodNLjJ4p7CR26aDeXF6Gh/Jy/P+WBqalq/R5F4cqnNCjs2L11ty+v0O78igBJYtm73r1ZnCM+nubKsGEVI9+3AmDNGlraeXtZ6vVMR7/vPlqKFy6oniuh9c47fwrfr8HgPQuwvEB43vL2xhQEVhbeT4uFVQSfe06tJ26x8Dz6+VGGOWRIwbXF69endX7gAEnXYiGpSxJ/j9LK/Zo140pAyDatVj5ESv4dd5T+mK8mNAKvKJAkz64GGsoUP/zg3SozGHiTP/UU/4qSsYqitp+TpPzJIkIkVJ4REUHx0ty5tGh9fNQKhF26FN5XsyQYMoS+/3feYQq9ycTsyKefLro2/DffUCUrysomJzMoGhHBbNKOHUs3JqORNtFTT9GVJOSNisL33LM8yzPK+SWmQSAnhxlrx47RIqlVizW5AVaIK8lSMjubleq++kotHXvffRWsW8nx43SyWq1MVbxMtVNhS2aHgzK9qlXVFHrRy1I0hHbXagurvFu3yxrSVcGTT9LVMW8eFSlhYex68/DDZVv6t3dvPkShr+JsOzZWlVs2asTgsNCA+/oywelyQmy3387aPxs38rlez3sgKIhSwujo8l+VUCPwcoiEBFoqfn68iI4d41JRpDinpFCqFhJCFcM77zDx4J13irb6rFYu6/fvpyUpSUytXr6chF7uPTIuF1PtPvlENZnefZd3+Nat3is7FQO3386CXnlbqdlsfFgsJI26dbn8FzIzo5HnUfjFs7P5uP/+4pVCBVhM6quvuN0WLajTTkri71unzpWtTy1JdBfccUfBbeTKEt6ChgD3feoU0xpq1+Zq4NtvOVmK74SGqhZ7Whp/btH9qTS4eJHBzAYN8k8oWVlMhtIIXEOxYbNxWffFF7TwXC5esFYrL6jAQF50QhObmqpmwq1dy8QEb4V73LFsGetKhISoN6uvLwN0r7yidpQvt5g7l4JpkRkD8O4/eJBFqn/9tVSbvfVWTpb//KM2Z8jMJIEMH06CBbj8r1FD/V5iIq3WxEROvPXqUTSUt2dmQVi8mDVvhETu44/5W0dG0toUDadvuYUNFLw1dCgrXCvB2P79dKccP67qvMeOpQVe0JicTrVDvTfY7bxv/P0LtvZjYz1XA06n2gjCZGJyUXlHBeiPcuNg+nTgs89oeYvON7Gx1MGKBq8JCaqm1uWiNS46onz4YdEtMj/9VC1r6o7AQFqg3gojlSvMmpVfJiBEvDt2MKWuFDAaadSPG8ebNz2dbqnFi6lE0enyNxu22Wg1nz+vpnZfvKjqnovCwYMkb1GZLy1NzViMiSGBZ2XRB7x2LVcJ3kq1VmTExHBFeOIEr3c/P5LqnDlUq3g7j+Lnr1s3/3tpaaxM2LQpdfnt2nFS9JZZGhnJCdrlUvXmp09zJSBa15V3aAR+DXDmDEmhdWsmPLz5Jq2PVatIpO7cJArNJyaqNSTcyVckjJjNJI/cdOO8nXQvIS3Nu5tFaHTzBm8SEhhIWrWKFsmhQ2w/VVSq9RWBMLu83dU6Hd+/DLPJx4fdcnbvplvj22+p3/b1pYbbauWqR5SWPXaMlnqlSlwphYTQ1TJtGsm5KKxapfrLc3I4aYjfXkwCQukCcL8vvljqwyuX+PhjrnQCAtTr2mAgke/cyd/EPStSJEXVqsX7R6RFALzc772XRorZTF92VhbL1b71Vv59R0YyCBoby6xaYRiJe+HoUS7oFOUaXe/FgEbgVxlHjgADBvDmtdl48S5cqPog8wbThJ9aVHsThA6o2YEAiTwsDDAkxzO607AhnXt9+gCbN2PzZsb6Tp+mfz0+3vOizM7mBe+eEfjBB5SaPfccg13R0Xzevz9jhlu3XtFTlR+yTDb1tswQjHeFau/cfTfjBG3acFf+/nzUres5oYqMwZUri97m2bPq7y0mYpFEA3geZkYG93fwIH+76wVbtnjWRRcQ1/1LL6mrE/GoX58KlNtvZ4ygUSNOmqtXc/4ODlaNFLOZk8OSJTSC8uLNNzl5uufGuVyclC0WBnSjo+mX79WLZQ/KEzQf+FXGK6/Qesvbjkp0enG3RABaIsJdApCkhRZZ1JF2ubjNSQ+lQLrzDq7pRQ3PU6eQfs8jWCW9g8NBtyMqiskqFy6QFGrVotWelaW6CgBg82Ze3P7+nDySk1UL3WrlzfDww5yIRP3nKw5JYpGOOXM8/RRiaVKzJtfOVwgdOqja6c2beXMXJD08frzo7TVvrk6C7ttxn6DFc/euMd6681RU+PsX3HTC5SJ5/v47vWPx8fyJz5xhPXChGnE4GBbJySFh53UPimt65878VQbNZm4jIkLVgQcG0qV27Bhfu+kmNcV+/HjeO5fRCKtMoVngVxHp6byIvBWYDw6mRZ63iI6i8OKqXFn1T4uOKEFB9IGnpQEDBwIjDJ/y6goJya3V6fTxw/kUMyakvoxgX1tuKrheTwKPj+cNNGUKcO/dl5yBycl4/31+Rq/nJt0LCSUl0TpxucilVxUzZnDGcDh4x9psZLSAAPp6rlIkrnr1ghsC2O3Fk3XedZeaROLrqyaRiBplItVcknh9iPrgUVFlfzzXCvfdx/OV9zxmZLCwV926vAY7dQLuvJPz8yuv8Prz9+e5MRh4yYt2dGlpNDjc69EUpMsXpX8NBt5nERH8TVJT+X2jUW3m4etLg+rtt7mv8gDNAr+KEJaTN47R6XjxmM28+ERRfIDulTfeYKGkEyd4E9eooerAu3S5VO+q3zrPWqaKgpwLKajsiIdJycZXBxri+9AR+LTSU/BvFID4eCZrLFsGWH7dAHSfyciRouCRhFZYGPUqTjsbwm5XK8CJFOjYWJLKzp1X8ox5gdHInX72GSOMmZmUkEyceFXbqNSty3nkr788d5uTw3PlrRFCXkRGsq722LE8jJAQzp8mk1quVpb5uqLw+fPPl60++1pj4EC6PrZt40+r13OiMptJlHnvlYMH+b63BtNGI1eG7kaQry/PX3Y2v+MulbRaGUROSlJjQyEhvL9SUjiZ5s2NEIldf/7J+Mi1hkbgVxHBwSTec+fyF+BJT6dU7OWXGYT54w9ePPfcw4QQWWYXb3e0aZNnB3nv7IQEmC5egF2R4YIMu2RA/8TlaJq5E0/XWQ2TycwCTJu/p5PbaMzVUTc9vwdvnhyKifXW4RBq5BIKwJsgJYWPa1LuXXRjvu++Aj9y9Cg1vr6+TF4tKFX7cjBvHjBiBCdVkaBiMFAo400h4Q1t29I9sG2burL54w+6Dc6cIVlYLGoN8eutuKfRyDZz333H6z4tjb/XAw94yjUBBtBnzKALUKfjCjQiQg0Cp6aqhoZYvSQn8xERQe9bzZqM7dSsSTfIzp1c0djt3EZ8PO9F0S3JYPBeaqAotdfVgkbgVxGSRD/z6NH8XwRv0tN5IY8fz+dhYZzdW7SgSqXYFtegQTTVReXCuDjIeh3gVOCCHtk6f2QpCqplH0WHlO/xrTwY/fq4eFeIXOpLsEQFwXEmGXde/AA7/V5HUpJqvQjXitDa7t9fcDusqw2rledRyMFlmTfhm28y+FqWiIgA1q9nSdlDh0govXuXPJfIaPTM2nSfqFNS1MYR12thT6ORhcSGDi34M3//zfk6J0d1NSUmkvDr1FGD8qLe+sWLaiMOnY5WtV5PieA991CVsns3jSpJ4oQrqkemp/NWsNm40jx3ji7MkBC1n2k+4+kaQSPwq4yePVlMf8YMVT/csCGlTn/+yRReEQk3GCgkWb68mGnud99NMfOZM7mRG0lxQS8DMVJl+ldlCU7IaHfxe+xrPxi3Nj3Hqz2PYz44GMhO80Wb1J+gC3zdI7Cm03GMIsK/alX5IfAXX2SAUdyYAG/kiRMZsBWl1csKskwpWmlrchQF9x6QNzJefJE2iUhAi4nh35wcTp4OBz+Xnk4S9/GhpS4s8Zwc3k+BgSTpjz7ybC4ty7xmRD9Rcd6zs9WeKcKyf/ZZtVXctcZ15E2rOLj1VlqIW7Zw6bxuHQl76lRaFNnZJEd/f1ZhGzmSvlZxkRYIEcgbNoxXp9MJmM3Q1a0J/6qBkOVLEX8FqFlLwhdfAGZ/g9f1oAQgqpILtRsa0acPL+6wMLokTCYuO+vW5U0hWnldayQmsqpgYKCntSp8yuU+y1SDV8THU/oqSFO4IkV9cLud94voMHj4sFqgShhD7i4Qp5OB+bwrGodDTYyTZUoHw8LU7+TksFxFUdnOVxOaBV4KOByUf504wWV0r14l97HKMpdlAC+0YcPUZAKA/lBxgcbGMngTFERXQM+ehWw4NJQpnc89x3WewQDJaESoHxASCjgdCnTpLtR8bRAQBACVuAQ4ciSfFS5lZsL82IN4rAv9snmJUVJcqJx9Cl1q6ACl+jVf458+rfZ8zguzmT5xDRULGRksdXP2LBeWFgvdIUJuK5pDVK/O+1EQtlAIiQB8Xjdk2xZ2RO77BV1ifoFNNuOPwP7YI7WCoki5XYp0OhoqUVEMnEZEUHtenqAReAlx6hTJNj5eLSxvMrE5bWnLby5apHo9BPmIoIogJKORlvmjj7Iuh7fmrx7w82Or71df5UB9fSHZ7dCnp1OL5d7F57XXmMKWnMzvuVxwZWQiRqqOu5c8gIRF9LKIhgY6HdA+dQMejHkVwa5EVP0IwKbK3E6nTqU7CWWAsDBVkiZJgNGVjX6JK9AvcQUs9jRctLUC9jxe/isUaQDAy/b++1k+QGSk5uRwoo6IUEk6IIArQ4uFfmxAlWMCJO8LF9QmyIFIxZRd9yMz+zAy012QJQX9Ej/BJnM/jHXOQmglXb6iWzZb6fuVXkloLpQSwOViF+64OF40YWH863QyqWX/fpLr11/zgikuli7Nn40m3CXiIjUYVO31ggXF3PDIkZRK1Kih5uKPGcNwv7vcsHlzygD69wckCYrFgm8qPYpBztWwmoIRGMiL125nckPD85vx9KlxCEQqIuoEQB/sz5Py4IPY+9Ee3H8/Nyn6TxTp+ikjVK/OwG9KsoIOKd/jm/318MzZcaiTtQ9mVwZapG1BYu978FKHnzB2LHs+lhc1gYb82LCBLsTQUK5WRTKbLKv5C7Ksujnsdl7WQg0UEaFquFNSuKq1WoFPGr4Gy8mDCK0VgIAawciyhCBD8kcfxzq8eNOX8DPa0DF1PZ458wQmnXkSbZJ/hOxy4MEHr9mpKBCSopSPSzgmJgY9e/bEpk2bcvtrljds20YC95aIc+IELVN3N8MjjxRds9jloq/NbKZ1L5Z6IglBUdS+hYBq9e/eXcLBF7fCEnjTDBqU32WiKEBigoItlj4IzzoNS7gf3A8tPTYVmzPa4unIlfDx4Q2VnU0iX7Dg6uiXY2KA9R2m4/Zz8xHkTIATeshwQZaBODkKVskXNtmCwVV2wCnpMWIEA2SX4/2x2RjTuHCBc2WnThWnJVd5xkMPcZIV91taGs+xSH3386NbUQT49+9HbpynUiUSuM2mqlXGjAEeuteKm+5rQZ9n3h/JaoUtJBJ/HfZFVMoh9XUJyGrYEg13fAzJx0ve/xVEUbyoWeAlwNmz3ovaiOQBkdoeGMiL64MP1DKkBUGWad3KMhM73P13IurtvnRzOEqpTCgBe+7Ykb9oFsDnPrAi6OJR+IT5epC30wWcSfJHdPYupKbSIHe5OPls2kSp3dVA1fRDeNjwMQLNOZB0OugMMvRmPRyKDuHOC3DpDfBDOlqb9iEggCnYJZ4M3bB3L11nTzxBDf8jj7DBxokTZXZINyzy3msBASzXe9NNdOXNmEFLOyVFVUXZ7TRwBKmL1IbmzSkdvCkqpeCml0YjjEcPoJ3fAQTXDIQxPAiWyCBE1gvETel/Qvpg4ZU94FJAI/ASICrKOw9evOhZWArg9WEyAe+/X/R2H3+clmpwMGWDlSur3c2rVeNFCqhtvIYPL5vjKQiFdUxxSAZVm+VwsDrg6dPIOXUOelsmrE5TbirzqVP07btcrOx3VbB+PWSXEyaDAoMeMBoAKAAgAYoCXyfT9AwuW661VtQkWxDS05nII6rphYTwb1wcX79arqPrFQMGeFYbBHifCf4dPpzuym7daGlHRvL8V66sqk5ycviYNOmSQRIWxhvTS6VOV2YmlKwsyAH+CAyUULkyLXmzRaJFtmLFVTnukkAj8BKgQwf649xrZouqrUJH6g6LhSRWVCnKu++mDz0jg2Qg0uorVeJ2RY2HlBQm9lzpRrndu6vVWd3hcgEuvRFKr150Qh45ktueRp+SiNo4gRhUy203Jjq7i4YUVwUZGbxTAwNz7/zc+18C9IodiiTjuIXCdb2+8MYAheH77/l75U3rDgzk6fn999JtVwPRvz8t7uRkNThttfInnjiRhtN//1EZsmMH/xclmS9eZEGxU6dorefWjzEameaZkZF7Y2ZkAieO2BFz0oGLVl+cPqdHTl5+Nxo5kPLhcc6FRuAlgF7PgKO/P6PdiYn8K0m8QISlLGCzccIvynshMjS3bAFmzgRef53+9v37aZ3XqcPC9O++y/rJBbWlKitUr85rPC1N7QqTmckJ5K67gKCZk/nkUiaEIklMloAR9ZXDaOram7stWeZ2evW6smPORfv2ap61Xg84HJAlBYqiQFIUSFDwRfjjyNKRdZ3O0quHCquL7nBQLVGeIeqBlVeYzcDnn9PSttkYhAwPZ/2SffsYW5kyhY2J27ZlbL5XLzWj2c+PK9jTp6lmWSg8IOPHszJWejqyLqQg4VgqpOwsLKs8FedNtZCTko3jxwCbe9XHzEzWsS1n6bCajLCEuOkmBlY2bmTCgCjP+vHHnoVyFIW/+dixxd925cpqOvGBAyTvfftIguHhqhrlamDKFLZoW7iQVkzVqkxgGDwYwD9JXB5kZwNpaQxu6oORhBD4ONIwxP4Z/jU1y62xbDJdxRoe3bsz5fL4cUZ+z5+HnJ4Oo+RCuhSI96New4awEVAUTiwBAbyXSwMRu/AGvZ6nqDwiNpYkuH49f5+mTZk2cKWySS8HgYGsPjhtGkncZKJadf16ztHi/Nts/ExICJWzQUHqveLjw8lK9I6tXl0PvP02lCfG4c2BfyJOMeC/8M5I04cgW++Px2KfR6rDgIsX9ahSGTRU7HZ1ZihH0Ai8FPDxYYVAgexsWmN//qkGIPV6BrMeeaTk2z9xgpauzaYGLFNSgAkT+P/AgZd5AMWAJFGJMmiQlzcTE3l3BAdzBlOA5IPM3lQUA6KU2Fz3i8VC8naPD1xRGAw026ZOZfQ0LAwICoLSqw/e1L2Or34MgN7K36lWLaosi1WmwAsGDmTpmeRkHqc4RuFWKQ/V6vIiPp4uh8REtWT84cNUnH7wQRFJYtcQsszza7XS0g4I8Jw8jUa+N3Mmf9u8ho5ez9c3bKAaBQDizDWwIqsGAiNVw+vH4LsRZjuHOy8uhCNJAvwuWWXPPpu/mHg5gEbgZQCzmSVItm0DfvyRF9att9LtURrp3MKFatd5AR8fXkczZ9I3eE1LitatS5Pm0pJDlsiT8fGAUefAhfAWqB+iph8/9dRVHl9ICE+i6DQcFQVDQABmApgYTy17SAgDxqVdEYvUfKtVLaSk03GlFBzMSrfuUvvyAtGZxv3a8vfncbz8Mied8lyuVtQP8lbbW9Q/SU/n8Vgsni0KRTs2AVGMTSjIqB6T8GnkU/jafxRauXbhvbkyb+SSVii7StAIvIwgy9T/FjsRcc8emjz79zN8/sADnOFlGb/8QgsuM5OKBtEpRPT4O3eOLo1rhlq1eKC//cZBSRIiKgFSlhWpaSZ8a7onN4v0jTd4/V8ThIby4QZRtP9yMWsWfz6xi+Rk/l4uFy3E8lLcKy++/9572QeLheT44ot0T2RkAM2aMVhYnlwrIZcMA29pDQkJ/B1Ez1JJ4v1Tq5ZaK6V1a342LY1ZzSKUI8v838+PMaDzOSEIf6APcMvVPsKSoRzPtdcxVq+m9GTTJl5J+/fTvzZlCqAoMJn48smTKnmLxsbnz5eTwNN77zH6d6kFipSejogaFoR8twxT5lbG/Pl0KQ0Zcq0HWvbIzGQwOyBALa0bHk61g9lcelni1UBBKw6Xi9fW8uUkSH9/xl9GjuTlWl7EF8HBdPO4W9IA75OLFxl3EKogIRM9dYoB0Jo12fwEoGFx8CDJWtQQB2iNnznDFWV5KlpVEDQL/GojI4NtVSwWVZoUF0eH95w5QFYWhvZ/A8+94pPbVgtQ9a+yzHKpdepc06Mge33yCR2ohw/TEm/fHqEGA8qfp7BscewY/xbUoqs8ywcHDWI547wxCZF4FRHhGYi/cIG2Ru3arKM9caJ3b8K5c5RJ//47Sfbee+lGvBLumBkzVImg0ImnprIeSmgo9x8To3bmsdkoPli2jL+ZzcainX5+qvvL6VTLy+r1zFsor0Fod2gW+NXG779zjWc08q6JiVGjLooCLF+O4ZtGwiA5qLt2qTkzksSL6ptvrvVBuKFBA7a779Ll6klkrjH8/dUQQF44HKUPil4NjBpFkk5KUiv3paZyIeXeNCIpiZaouO5cLiqthgyhteuOfftI1osWkVh37ADGjWN2qreGxZeL0FC6gp5+mv+LfpWiy5VOx5IGDRpw4qlWjYqu8HC+n5HB47pwgfaTXs8JzcdHzewsL/W+i8IVIfC1a9eiX79+6N27N1auXHkldlEuUaxlZlaWysgXL3rWupQkwGiE79F/MCh4KyIicnsTIziYsUOLRcvwu9aoVYsrIG8NqB2O4vXDvFYIC2PdsrvuonoqORlo1YpxCuEbF+4U0chaNPEICaFbb80a9XPbt1OGGRfHa9PHh4uzwEAG9H/88cocx5YtlAUmJpJ8s7JoTYvGC4BaAE6W+ZsJBAaqjYvF8QmIVe/y5eWncXFhKHMCj4uLw+zZs/Hpp5/iu+++w6pVq3BMrDmvQ6SnUxkSHc2LpH9/urYLRMuWvMLE1ZH36gkIgFHnQm/HBgQGslT3TTcxaGky8aYbMOCKHpKGIiBJwMuPxWPkuZmYu6cj3tvTCQMP/Q+Ij0e3bmXfuq2sUakSfcCHDtFiFskyoom21erZV1K0/xNEvmYN4wD33ku3yqlTnAiOHOGCUnxHlhnQLWtkZ1PZZDTSsLFYmEOh05HQxQpB9G5t2pT3kIBOR7VN3iQsl4sTsMNBL2fz5gx0xsWV/TGUFcqcwLdt24abb74ZQUFB8PHxwa233ooffvihrHdTLpCdzQt40SI+Dw3lDTF6NG8Kr6hRg2qTjAzVVBCmm8EABARAkoD2HSRkZfFGyc7mjXHoEL9Wv375CSrdiDi/Oxb+9w7AsOwPESSnIRApGJG1CEsvDsCrY8959Y2XR7jHWO68kySYlKQSm/ANR0Z6+rIVhdnCu3bx2hSfczhoBYtSyno9t1fW2LaNfmx3P77BwCAlQMJNTqZb6Kab3DIw3XDHHVwpiLELH7iAxcL3f/wxN2mzXKLMCTw+Ph7hwtkEICIiAnHleQq7DHz/PesvhISodYf9/OiLe+01tSRsPrz9NjByJBRFgcvuhNPhgsPHnw67S3dV/Sf7Yt48XkhHjtCyMBp50Y4bx848Gq4NDj/8FvxsCcg0hUAxmSBZzMiyBCPYmYCjj75zrYdXKgQEsDDU7bcjN/YiKmG6KzGdTjZd/vprtQWZuzGhKHS/ZGVRLXUl+nuIvpV5IVLnO3ZkU6rPPgPWrvUuG+3Ykb0za9Tg6rZKFbWOuKhrJP7GxV3FYmwlRJkTuLfy4lI5qx9QVvj22/w+NIBEa7dT6u0VRiMOjfofPqj8Ci64wnFaqY5D1ho4fVqBNTYJ23Jaoe6DXTBpEi3v6tW5DKxTh4EYf38mimgtwq4+XA4Xah74Hln6/FIMqz4QNfetgctRRPWycoqICOrbDx6kUiUykqQmWpMlJtJN2LEjiTwxkSTnHrsWaqlz52h8XInKmU2bqpNMXsgyXTv33UfNd0HUYzYDs2fzf1HyQWwzJMRTK6/TAeXViVDmBF6pUiUkuJV3i4+PR0RZZE6UQ7jXPsmLwuas1FReYLMMkzG7+mwkm6MQikRkZEqYnvQERhs+QkCwDjk5XAqePUvXzMmTatNVp5NWkIarC5fDBZ3igEvKf+u4JBk6lwOKq2L7t4xGVsd8/321mZPNRk34l1/SWgXUjjgGg6ekUpJona9cWfqEM5eLapaPPqIO3b0CaM2aVL2kpKgqF+HvDg2lKKo46NmTFvrQoawIYbFw26JXrYCilM+sWuAK6MA7dOiAuXPnIikpCRaLBT/++COmT59e1rspEyguBbYMGww+Bsj6YsxliYnU8O3dC1SrhnvaDMGOHfkF2aK8bPPm3jfz3Xf0ZQcGSvgFQ/BLyBBILicOHZZhs0uoZ1ZJWvgWRap2Rgb9iiEhpS+DqqH00Jv1iI1ojoiEA8g2eLZmsjjSERPZGtWM10c7nj59+HA48q80Bw+mCkQYMYLEHQ6S6E03MZOzNLh4kZPFsWO0/IVrY9YstSjaO+9wJfrNNxybw8H7bdas/OV9C0P9+gzo2mzsAZ5X9iis88GDS3csVxpXxAKfOHEiRowYgdtvvx0DBgxAdJEdeK8uFJeC7c9+g73B3RAf0hAnfJvilx6vIv1cIZGKPXtYOf5//2Mpwg8+QL/3+uBh8ye5mlpRrzgzk63UCupUv2dPfh9etl0Hh1OCJKmZlu7+RUHgej23n57O2uClxZEjPIw9e4quV67BE36vPgcZCkyOzNzWSSZHJiRFQcD0Z6718Mocen3+FeUrr9C6tttV5YZIBAo0ZePplpspVylFa6LHH2dumL8/JwNRz+TJJ9XNmc0k3h07qHTZtIkZsNWrM9XirruARo1Yw3/hwqKzl41GJgjl5HCF7HDQj5+cTGK/pZym1F+RePnAgQMx8GqUzCsltt63ADW/fgd22YR0YwhkxYE6v32EQy3+RPPjX8Pol6fgtt1OaUmefmay3Y5nMl6Bvk8HLN5cGzk5XHI+9VThy7jIyPwELp4LqZbDwSBo3sYKotVaVlbxl4ruSEoCHnuMbcRsNh5a5cpc7jZsWPLt3Yho8vDN+DttEaRXXkaQ9RwAINm3CuTpr6LZA22v8eiuDkwm4KefKGlNSlI11+0yNmFG+kRUX5MDrFHI6j16MMu4IIvGDUeP0qi4VGLHY3+iEuELL6ivh4R4Jk59+SUrUsgyxQRpaQz4//orM0ULyzUbMIAT0Lx5wN9/c7sjRvBRXnPUKojgqeyQeiYV1b95F1l6P7hk/iouyYAMQwgqJR3CP2/+iLav5hFab9umFo92h8EAneLE07W+xlMHn0FODi2DomK2gwezKpzDofoOTSY1AOTnp0qajEZaBSIbTvjjatTw3ly5MCgKG8X+9Re9QaL0bWIirYwdO1gDXEPRaPFUdygTuuHczrMAgObtqkGSr89gfUGoW5cJNStW0AKu7TiCmQceg19VA2TLpVRGRQF+/pmsO2tWkds8cya/xe/upjl0qODvioqKPj5q0xPR2nD3bo6xqLr0bdsyiaei4IZLpT++ajcUIJe8cyFJUCAh+8u1+b+UmFiw8FqnA2JjIctqskNRqFePBfQzM2m9pKfTXRIWxkdmpnoRi2atDRqQtOvWpRKlNPKsvXupXElIIHkLl4zBQGv/zju1LM+SQJIlVGlfHVXaV7/hyFugUiWmtG/YALzfZhkCLA7IFjeBtuj0vW4dndtFICpK1WbHxVERs38/XSrJyarW2xt271arVLhDkvhYvbpUh1iuccMReFE3muKNgevWhaIALpeCfDTucjENs4R4+GEGM4cNo59u3DgmRqxYwYtU1GOwWEjcFgstc5eLpPvYYyXeJY4cUUue5m3KLctMwti6tXjbEtIyLaFIQy727vUu1xA5+adOFbmJm26iXPbYMV6PooGx3U5jpyBf9rFjTKiLjaVqKzPT831J8trHuMLjhnOh1Lm7NRKmSJBddk8r/FK/RJ+7PR3LLhfw6d6mqJ7UCLVO7YUBdoTIKTDoFEhmE51mt99eqrE0asT2T+6IimKarygj+8EHDMKIxM2AAOCtt6iFLSmCgoom3RMn6LIsCHY7b5QlS3hDRUSwbdyoUeW7EYCGq4CoKJrKeX3dItPYLSMoM5MujYQErkg7duT1I0l08/36Kz8nAuySRPnid9+x8vLp0yTk5s1ZtvjJJ/lcxHVSUrg6EApml4vSQzGcv/+my9Bk4vXuXiulxHC5VDP/KuOGI/CAqgH4+66nUHPV/+CQjcjR+UCn2GGxp+N8eDO0mOTZffeNN4AlSyTUD3kLn6W1h68zDS6nDLsDMChZkBwOTvtlXIJO3ANPPgk8+CCXkiYTiTuv9VxcdOlCS95dUwuoPsbAQLpwCoKicKXw44/8fFoaEzbGjmVi0XffqRXfNNyAGDGCzCv8cwKpqbRWatcGwFXeY4/RmhYVJKpV4+qzcmXgn3/UJCKrlX9Fj8vYWKBzZzWN3ulUKymKKpEi8TsujqvW7GyuYm+7jcH/0aNJ3qJ87MyZ7KcydWoJOXj3blpTu3bRbzNoEOvtRkWVwcksHm5Im6nL8kdwYfIcpPhWQYAtEZKi4ETvsWiyd6WHAuXCBSYSBAQAfbK+RYYuEGfM9RFvrIaz+lrIqNGYZsO0aVd0vP7+rBbXvHnpyRvgRb9wIS9Su91TYx4ayou9d++Cv793L60mvZ43kri5dDpaQX36XJnaFxoqCDp3poBbJCukptIUDglhAxBwwh8zhsZAYCCvu4AAelceekhVWQmDIiqK5Gww0GoXuQ/+/nwoCn3j6em8lkWavcNBa/z4cXaq//JLGkVvvQX88Qf3GRbG/fv7s0HH99+X4Fh//50pn3v2qBW1vvqKRVaK4esvK9yQBC7JEtpNH4hWST+jcvYJ1M/+F902PAffCF+Pz+3Ywb86HdAz5Wtkyb7IkS1I1wfBKvkhNY3VA7FvHwOdFQD9+/NiFRaNjw9vkMBAumt8fQv+7i+/kPjPnVPljqIgkixTQfDJJ1ftUDSUN0gS1SZffsngzq23UjC+aVNu9PHLL0msFovnV4OCSLbr1vE6iosjqbuXdBWWtZuSN5fsExLo/svMpDEs+qVYLMxgDg6mJf7ZZ7xl3S1tnY73greiV/ngcgH79sE1fgLSM2WcTgnE6RgZqVY9lOAQOu4/+qjk566UuOFcKHlRWAamu1vL7LLC35EEPRywSWakIAiAmw/dvZTZFUJ8PFN/z53jirRv32JJa/Ph/vvpZ//mG1709etTgVKcigciacPbSsBoZH2Y8eNLPiYN1wkkiUvFAtKQ//vP+7UjSSTfRx/ldaTXA2kpLtyc+hNGGVYiTJeEX63tsDZkJCRDdQC4JCzgX5tNdce4bzM0lP7y33+nr93pLLiT0unTRRzb1q3AM8/AGXcRrjMxMCgy9PpKSJLDkZbGe7FWlA/kNWvYxf4q4IYn8MLQvj3/tkzehHBbLHxc6XBJOkhIQTDi4TJUB7J0jK5c4f5L69YBkyapGZ86HX13K1dSYlhSVK7MjiklgcjHKCgJycdHy+rUUDhq1/be5MHppBVdpQo9LsGBLjx2dAK6ZX4Pp0MH2aTHQ7qDGJb2GV7OXIF9hlY4eVJ1BYqUd7EaFE2uggJcqHV+Gyyv/4DwZi60z+6Nfy1dIBs8Z5HsbEp0C8S+fZSO6fWIz/RDiCIDkBDuvADIMlJ1obBmAsnJEkKDrp4064Z0oRQXERHA+OHJePbsOCTpwuCU9IACOBQdZEmBJe4UoyIljn6UDGfPkrxFAfuQELo80tIY4LxapBkdTasfUCcSodkNDuZfrdmEhsIwdCiNj7ySPtGcSmgB2mVuxi329cixBCLbGABLsA98qoRAcrkw6ewEnD7pgt2uuj+EVZ2Tw+vQYgHq17Th5bMP4u3EUbhpz6cwfPU5ZmWOxoxjd8PktEKn2NEu7Ufcc34WBiZ+hHF3xxc88PffB1wuuCw+SEwzwCEZAAlwQUaIPR4SFMgykJ2YeVU7emgWeBF4vO5GZIbYEJsZhNOojUjnOfhKWfT/yjL9EUKfdIXw1VckzLx9+gICeOHv2EEt+ZWGJAFz5/Jm+fBDWj9GI33oOh2DQiNHXvlxaKi4qFmTqe0ikU2sJi0Wz0XsLUmfMydDkpnQ5gSCQ4C0NF8EpsWhjrIfB/XRcDp5DVarRh+608lJoEoVYEj8h2iRtgXJcgiqVJEAPRAcqKDV0b8w/NSraJG1HRHO89DDBj9/HYKmzwTMMzjL5MWuXYCvL102koSLhihE2c8AACTFAb0rBxaXFUlSCKo89NDVOZnQCLxISHEX4Gd2oEFVQIEFEuqo5mdy8lWRDJ08WbjGWnRAuRowGFgrYuhQunAOHyah9+0LTJ6syQg1FI3bb6d7ct06XrtNmjAOM3CgGpQMcCbB4UZPfn6ALAE1a0lIOK1DWFYG/Mw0aoKCOAlUqcL6+aKgXL+4ZciU/FC5igTDpU3JTjvCfa0Yk/AWHDoTsgIiYIoMgckic1kwdSq1unkLAwUEAAkJ0FkM0OmATFcAzhlrItR+Hj6KFT4uKzb5DMCWts9iyVVsZ68ReFGoX59XR0ICpLQ0Vd8UFMTIR716V3wIDRt6lzgJ33P16ld8CPnQtSsfWVn560Fr0FAUKlWibNAdvXrRPx4UBOz164h61r3IdPpCrwOCLvXPkJxO+FmcSAlsiJpBnt8PCeGqsH59IMBfQdVzCTBXCVED/VlZwIkTkC51bzAYXDBknAdiU+icNxope1m5ki193HH//cAbb0AymxERIeH8OcCq84NeH4bffNvj+SofI92qw4fPlf25KgyaD7woREfTTyGEz5mZ/P/wYTp+u3W74kMYMoRJPFlZfK4o9H+fPUvZ37UsQGWxaOStoWwwaxat84wM4Bvz/ciEL4L1aahdS6FyxekEUlNhGnE3LFVCkJrq+f2cHAbSly8HVn8rIaR1bfgoVvUDsbGqiS90sDodbyyRwGA0Mi8/L4YNo7ImJQWhxnREBVnhZ09GihSMt4Jeh82pwyuvFJ7FfCWgEXhRePttWtzuHVQBXky33JK/cs4VQEQEMx2F3vXAAWpk09OZK9G+PSsMatBQkeHjQxL/4w/gnZWR8P3uM1S9uTpMtnQaTpmZwMiRkKe9hCVLaHGnpjIFIzmZ1vecOWrHIDzxhKovtNkoNRElP4WWUSQyCAK3273XVfbxoYj8jTcgRUcjrG0dhL02AYmf/ICXFlfHn3+S4682JMVbE8trgJiYGPTs2RObNm1C1dL2YSpr5OTQQefnxx88M5MXghCqms1MQbxKSEtjE4fERBr/ovOIqF74+++cazRouG6gKCwSnppKd6VbFk92NmuS//cfXTL9+3s2YIaiAO++SwWJyECTZX7IalVTiUV1t1q1eM+vX1+EpvDqoShe1Ba/hSEry3O29vVVUxUvLeeuJvbsoTFRrZrn676+zFheu/baWAEaNFwxSBKd2l5gNjPwWWDvGEkCJkyg/3rLFrbJMpnUgvsnT6oWutnM1956q9yQd3GgEXhhCAigrCItLX/ub2Zm6Zv+lRJnzhRcr9vloqGiQYOGPAgPZyDJamVqf04OibxePaY3Oxxs4zNsWJkXpbvS0HzghUGW2R8tKys3Vd6lAOkJOUhOcuH7ehMQX4j2v6whKrQVNNTCit1r0HDDY/hwFp7T6RhASktjTYoffmD9hwpG3oBmgatQFNaxFEWCe/ZkDcohQxghmTMH9owcnDunIMtlxjuBb2Pzqo7Qf82Ss3fcceWH2K0bda8ZGZ6dt7OzKeUrx21INWi49pAklry95x6qAMxm+iOvQR3vsoJG4AAt7LFj2fvSvUjwgw8yO2X0aCiRkTg6YiZC7aeRYzSjkek4DgZake7wwXPP0ZtyqdzxFYPRyEJnI0bQ/e50cqhGI+M0hdXy1qBBwyUYjQX61SsaNAIHgP/9D/jtN0o7xGzsdFK716wZcPEibM+/CpPVgERjFAyKDXfFv4/ojG14vtZnyHQa8fnnTOK60oiOptpk/XrKVatUoeVdAVd/GjRouExoBJ6dDaxaVXCR4HnzgJMnkWPwQ5ZsgCwBdsmEVMmI+pn/4NHYF1AnYy+qzkoAjjQFHn+cLd6vIPz8gLvuuqK70KBBQwWAFsRMSlKLBItWHkIabzZTZOpyweBjyNfQONiZgMEJCxFpOwMfg4Om8X33sSi2Bg0aNFxh3LgWuGhEKtwmsbEUU4tU25AQmroREUBKCswW8nl2No1zH1cGTK4spMsByNb5olo4AGMQJUrPP88KhXmlhxo0aNBQhrjxLPBff2XR6tq1mWX5v/8xqHGpj50i6+BwSXDGXYQz5hyUJ59kx3qHAzVq8KNOJ+BrSwagIEMXhGrVAZPIqDeZaMXv3HmtjlCDBg03CG4sAl+9miXQjh5lOq1eDyxaxGigvz+cTgX2LDucNiccTglp2UY8v+ImZD8yDkhPh9FuRf3wFNTzP48gfTokowFVGgUiMMDLvnJy1P/T09m/bNEi9ge8Cu3XNGjQcP3jxnGh2GzMwvLxQZZiQmo84HIZEOzQw2x3wBYShDOZkfDVZwKShExdAHyd6ZB3bcezdR7He+McwIsvQsrOhhlggNPhALIzVVG23c7MrpQU4KWX2IapYUNKEW02PkwmumVWrqTOXIMGDRpKiRuHwPfvh5KVhfPp/khMAkRE0uWUEOkCHAkpyJbqwG5Q27IrrgwYfAz4cYMT9pAvYIiMZCaNqGj233/sCtywIZ8fO0ZSDw5mqv28eST06tU9q0zFxQGjRtEaL6xTQxFITubuwsI8BTTJRy7i3xdWwbBjK5x+gfB/6G40fbJHoQ2cNWjQUPFwQ93RmZms5KeTAZ2ejyxDAFyKBIdNgeR2NiTFCRd02BPYA23tf8AZl0ASlmW1BGW9eoxsXrjA4txOJzv0VK+ulp91OJiy646AAAZNd+8u1XEcOMAE0datWUq2Z0/OBQBw+qcjiG/WGzVWz0bEhX2oevRXBE4ei99bjofLoXUc1qDhesJlE/i7776LuXPn5j5PS0vD6NGj0bdvX9x///24eCk4eM3RuDES000wIgdws1btsglZsi9sMMLsyISsOGF2ZsLfmYbvQ0cgxlgbodmx0MOZf5sGAwvl3HMPy1w2bkz3iEB2Nok+JcXze8KCP3u2xIdx7Bg14Hv3cpeBgcD588CYMcCmnxVcvH8CjI5MZBqDkWPwg9UYCKs+EDUObcBfM34o8f40aNBQflFqAk9PT8fUqVOxdOlSj9fnzJmD1q1bY8OGDRg6dChmzJhx2YMsE5hMWBQ2BT6KFRYnu6nKigMBjiQctUTj1eDZOKevBpMzE6n6ECyJnIrFUS8iJQUIbloFepPO+3YliS4UWc7vDjGZSNQFlVwvRT/NefOY+R8YqDYW8fXlXLLg2ZMISzmKLEOeqKoswSnpYFuyvMT706BBQ/lFqQl806ZNqFmzJh544AGP13/99VcMvFRVacCAAdi6dSvs5UR1cabDvXgp7H3EGashyJkEsysLPwXfjUk1v8EvYUOBDh3hUmT425Iw8twbePnIvWgRdhajl3WkozmvKyQri7rCu+8miWdmer4fHEzyztvNJy2NpQVvvrnEx/DLL/m70wNsGJIemwYn9F6L8zglA0yZSSXenwYNGsovSh3EvP322wHAw30CAPHx8Qi/1Jpcr9fDz88PSUlJqHQVOzUXhDFjgFHb+2BPeB/46HLgkAxwQUZyMrA84ml0OvkNsmsHICVTD6ddQTdpN/opQyEH/gQsW8Z6waJ3k15PC3vRIkoSJ09m8SurVU3gyclhqxCDgd9zufh/UBCwdGmpApgGA8Us3nDOXBsSXJBcDiiy509rdGUjrWG7Eu9PgwYN5RdFEviGDRswc+ZMj9dq166NZcuWFXsn8mUoLUqKffuATz5htcibbmIJYNFgo1MnFpx64w3AqpjgdJJD77z5HDrs/BYICoJZlhHpB9BRHgQkJQDffUfy/u03Rgv37QOOHGGLptmzgZgYdmNduBB4+WWqTAAGM59/Hjh9Gvj6a742cCAwcmR+q7yYuOMO5PYDdEdaGtC8bQBOJQ5H3d+WwqoPhEum28foyIRDNqL29Ae8bFGDBg0VFUUSeN++fdG3b99ibzAiIgIJCQmIjIyEw+FARkYGgtz62F1JfPQR8PrrNHSNRjb6/fxzNjoVh/Dgg8BttzEhMzsbaNUKuOnUXuAvnXeLWJaBrVtJ4CYTA5XTprGGisnEnf3zD3f06af8bEwM8+1zcoB77+VnXS66Uw4fplrlscdKdYxjxrAS4fnz9H3LMvOELBbOHfVrPYffbrGh1q7P4XLJkBUFGcZguN6Zg2Y9rnC9Ww0aNFxVlLkOvGvXrvj2228xduxYrF+/Hq1bt4bBYCjr3eTDmTMkbx8foJ7jENqkb4IMF3ZJnTHpqebo1EnK9R2HhVGGl4t4n4I37HBQ9ifw0ksk5OBg9TVFoVX+8cfAo4/S8lYUdllNSvLUgDsctNpvvhlo2bLExxkWxgXBokVM7nQ4aPw//jhQpw4AGNDj91dx8b8nEfPjQRiDfdHonubQGQpYBZ07xyJcTifQoYOWXKRBQwVCmRP4k08+icmTJ6N///7w9/fH22+/Xda78Io1awCXw4Wn4iaje8o30CkOSApwtzwXO42d8cvGhbhtiNH7l2++mdZ0drana8PluuRjuZPPU1KAP/7I3/pdkmgCf/opCRxgks/Royr5Z2WRzIUDe8EC4MMPS3WsYWF0BRVWfzy8YSjCG3Yu+AOKwjowS5aoShlJokbxtdcuK8FIgwYNVweXTeDjxo3zeB4UFISFCxde7mZLjKQkYGDGZ+iZ9hXSdUFQRFaOoqBd1q+I/+pdYMgz3r9sMrEb9bhxJFqLhYFKYd62b8/PWa3e5YIAg5rp6erzixf5miQBCQlM9hEk6XSyBvmIEUDnQkj2SkBReGxr1gCLFiHLFIjEFB3nLqML4cs/h6lmTWD06DLdbVISk1YDAxmTqMBdrDRoKDe4blLpmzcHIjM+QI5sVskbYF0T2Q+Ndi8HnE/RN+0Nt97KYlcffgj8/TcTckaNovNcsE2lSmSgrKz8QcjMTKBHD/V5zZokyqwskrfI4ARIoiYT/R67dpU6oFki5OQA8+fTzZOaCiQlIUvni+PWEM4rMpBllZEJX4S+vghhDz9cJla4zQa8+irwxRc89Q4HCfzdd6+brlYaNFwzXDfr5FtuASor55Dl8iRDpwMwWIywKFnsBlwYGjdmxHPLFuDLL+nDdicxnQ548kla4u5aPmGZP/GE+lr16kCXLiRvUXscUP+PjCSpbt16eQdeHLhcwCOPAHPn8v/QUChZWdAlJyDCeR46PYev0wMOnQnW2GTEHrXmfj07JRt7527Fnjd/RtLRxBLt+vnn6Vny9eUjIIDZpHffzbIGGjRoKD2uGwI3m4GwFtUQYMyG00mecjoBXz+gZmUbJD9fz1bupcX999P57HRyQkhPJyt9+CEbVrpj9mym2otOP85L6fjVq9MCdzrzp9lfCezYAWzfzsCrkXEAh2yECzICnYnQK2qilUGxIUuyYP0v1LLvfH4Nzka2gf+kRxD0wuNIa3wzfun1WrHqqly4wOZEQUHqwkeSuIhJT+ccqUGDhtLjunGhAIB5wlhUmzIFEWYz7C4ZRgNgMipAcgYwenzB7pOSQJKAhx8mkR86RCK+6Sbv7obAQKpWJk+mP1ynYxqlLKv+8IYNC92dzUaL1WwGKlcGfvwRWLeOmxg4EOjdO5eTC8bPP3MCcXM8Z/uGwZhzHpIC+DgzkKZn1qivKwOf+I6GkqLDwY//RKU3J8Emm5FtoIRHdjlRZ8tS/HZvELp++URBewQAHDzIQ/Z2anQ6il/Gji1i7Bo0aCgQ1xWBY+hQSAcOwPzppzA7nUAOSJx9+tDfXJawWIonAxw4EJg1i35n0ThZUWh5t2gBNG3q9WuKAqxYAbzzDj0tdjs3YbGoLvOff+bXP1mhwPfkfr7gcgFdu1Lg7h4pzBM1lMJDkZGSiQBXCizOdEhwwSnp8a/vzVgRMgHvtAASn5gPHyhw6E2533PJOmTp/RC1dhFsGaNh9Ct49vDzK7gMjKi6q0GDhtLj+iJwWWbEbNQo+rFdLipIGjUqs10cOcIs+L176cYePhzo3r0QVYW/P/DZZzQ1z57lGJ1OShfnzi3wi59+yv4Tvpc8P+fOkcAzMhgENJtJjv/+48R/fZ5Cq7j1akPmhQuBjh2BDz7gCuGWWzgbCKsfgK+fhAu+VZCTacKPofchS+ePXf498Zv9ZlSpIqN7d+B47F5k633zjc0pG2Gxp+PivxdQpX31As9Vy5ZchGRkUJ8vIHKaatcGfvoJaNs2vzJTgwYNReP6InCB2rX5uFwoCrNmFiwATp9GkqUK5l94BOssd8FkkXHsGGOQ999PspUk0FT+9VcyfVgYybNePVrH+/ZRXljE+BwOWt4+PnSPKApLqRgM5P6LF4FqVRWE289jaPYXqLRrLdAkSPVVKAoH9t57wDPPkCE7deKk5usLGI2Q0tNRW4mDVa9Hz6QvcdTUFL/KPdCsnYy5c7lfqyUUgRmxsMmeiViSywVJccGvsrdecir0eqpNHnyQ4zeZeHpSUngcixfYEeRMRIYcgEee9MHjj2vyQg0aSoLrisATE2kZm0xAmzbF8A0Xhddfp7ltNMJltiD50HlMck1Fs5C9eD9kJiwWWpOffQYMGgS0Cj9DNr9wgc5rg4H57bNn043TrFmxdhsbS6tV5AApCvej0/HRLHUrZmS9gkq2M4iwxcCpyFCsRkgiSCtJNNuXLweeuiSd/OADPpYuzW37Jlss8KtbBQaHjNDUw+gsj4LhsYVA5Z4AgKy7RiJs8UuwKRYPZvVxpOJ0nR6oWSOoyGNp356p/8uWAX/+yVypzHQXJhrmY0jMhzC7sqAoCjY9PwBr/V7EbaNCitymBg0aiOtCheJ0Mnnw5ptZYuTBB9mtZv36y9jo6dMsrhIQAPj6IjNLhyz4IEMfhF4pX6JG9n8AaPS6XMDXXymU6p0/T39AeDjlF3o9pYdnzhR712JiEP5jSVIt8TbOHZhvewhhtlhkyAGQFRf0kgPSqVOUMwoYjXwuStwajUxU+vtvZouGh1MNo9PBZJLgE+EPg8+lCcdFhUm7d+7G6Zrd4GNPhY8tBWZ7GnxsKUixVMZNX71W7OOpVYsrlPXreSzPO17BiMTZgKIgQxeALJ0femd9h6iJd0PJzil6gxo0aABwnRD4vHnkWj8/Pvz9yUFPPkm+KhW2bOHMcEm54rykmlMkGbLiRLu0n3I/KsuA79F/1FRDd5hM9Il88QUUBdizh8KUiRNZoNCdcwUiIpiYlJaqoE7WfjTP/B03hcTB5QIm2v8HWSchS+cHBRJskhE60evywgV1Izk5nHy8SSfXr/d0SgtYLKykeKlTkMHHgI4HPkTyG4twumEfxNboiNjRr6LusR8Q3qTk5YFdLiDtyAUMTP8U6boAOGQukVySDun6YIRnnIJj/Y8l3q4GDTcqKrwL5cQJejqysuhbDQkhh5rNXK4vWMDCTyWGw+Hx1GK51Af5klWsd6naaUUBOlSPAf6VvTtxdToo/x3GhyN+g27tatzkzMB2nx54Zc1AzJ7tiy+/zN+c5+0HDyL+ricQnhMDRZahU5zYKPdFC2UP0uQwSE4AEuAKDYc+LZaplMLadrn4/6RJ3jV8hTmaRaDz8GFg+XLoDh1Cixo1gI8fLFXxLXfIMtBW9xdckKBInpJOBRIkSYH+l5+AOwde1n40aLhRUKEt8L176VoWPRYyM+mpEN4KHx9Wei0Vbr6Z7o9LfgyTkQat06HACT3+9u+SqwYMCQHa3F6l4PZpDgdS/tiPWz5/ALdkfYcO9l/xTMoLWB53Kxxnz+OZvCVaLl5EzSn3okVEDMzh/rCb/GA3++Ne/3Wo5p+CGtVdqF6D8vOA6sFsKOFy8ZGYyCyZQYNYe9YbBg3ybvpbrRSb791L+ePnn1PrvmYNi1yVaib0RNdeBuGh8YDLCfj7uSBZrkJZAQ0arhNUWAJXFGDCBLVgoCTR26HXU26XlkZSDw0t5Q4aNWK79+Tk3LT5apXsqGxJxh7jzdittEJaGrPvv/gC8OvcgqVYU1M9t5OTA2Rnw3E6FulyINL1wcjUBSBdH4RQ+3lMyZiKnTs9vR/48ksgIwOGkABEVZbQoD5Qt74M/2pBkGUJATmJCPAHdDJ44JUrs05Lr170Ya9fz8CpvoAF1qhR9NMkJanSw7Q0/j95MvDss3T9BAdTtRIcTFfMW28xNnAZGPDazTCZZUgOG5xO5D7MZgVBQZeykzRo0FAsVFgCP3SIao3AQHKMyFIH1AKAOTks+FdqvPceA36KAqSmQnY5EPrsQ+jy3yJ8slLCjz9SZViz5qWdLl5McXhqKgcglgZVqiATvpBlT9dFhi4QLTJ/RwiSPOuCbN/unXxlmTOSyUTyzcnhIymJ+12yhN1+6tUr/LhEUfG77lLL3DZvDqxcye05HNyHO/R6nuS1a0txIlX4RAag0tznUT0kExHmNAT5OVAjwoq6IcmQe3Sjfl2DBg3FQoX1gWdkqJZ3lSr0hdvtfO5ykYd69ACGDr2MnRiN1FE//jiwfz+VG7VqIQRASBUvn69RA9i8mTrw//4jUfbpA/TtC4PFAFcm4O75VSQZTkWGnyMF1aq5yefCw/P54HOh19O63r0b+P57HvA99zC9vyR9RytVYm+5mTM5QQlf+fLlnrNhXiRdfmNk/ajh0NeuAd958zgTh1UCHniA3Yu0OuQaNBQbFZbA69cn7zid5Nl69eiPFp6Au+5iQuJllT8R+eyzZtFSdTpZu2TmzAJT4GE0MnnnllvU16KjEXZuM5IyjVBcjDcCgM5lh0PRo/NdUR5Nf3DXXZc6VFzya1+8SGve6eSSo1o1oF8/ylkuF5LkGdRs3JgnzS1rMxc6HVP0ywJduvChQYOGUqPCmjtBQcyZSU1V1X4hITR6q1WjK/iya1etWEEBs9NJbWJgIDMs77mnZL7gRx+FySyjRqUcKGDAzuVwweJIx/7WozDlVYvn59u1A+67j6R9+DATb0QxKoOBBP/XX5d5cAWgZUugSRPOhiLaKFJBhZ9dgwYN5QIVlsABVnUdOpQBwISzWRh4fA7WnmuFv5Jro8rDfYGNG0u/cZuNlrePj+oPliQSeVISl/xLlqgd6AtDy5bA//6HAF0mGoXFoVZICmqGpMN/zH24Y+tET3fzjh08qOXLuR+nk2MID+eyIyqKrz3/fMGVoorCr7+y01C9esx4mj1bVaVIErM1O3fmciYjg3+bNWMXoby+cQ0aNFwzVFgXCsCmvt9+C/iZ7Hjnwgg0d+yG0+IHXVgwcPIk0zKff56pmcWF3U7COn+eQnL3RBibTXW2b9tGK/zNN+lSEX0zRcsy9zz+jRuB6dMBvR6ywwFfOZtjevllT5/vL7+wlZkkMVh58SJfdzhI4CKw6e/PGrMXLuQXkAu4XMxdP3WKlnPHjrTeV63izKfXczs5OSyq9dtvlA0ajVSdLFvGhJ6zZ/l9dkzWoEFDOUKFJfB//yU3WyxAF9dmtMDfSDeGwGmTcDYGqFXLj0T65pu0aEVL+oJgs7Hy0vLlJG6AFrDFovpiTp0imcoyyTA4mN+bPJnW8fr1dLukpwNVqzJ1vX59/jVeEpIHBHAbK1eSfEUTZJcLmDaNxOrrVgFQ9CFLTPQMUkpSwYHOmBiuEE6fVnWWQUHA+++zWuOlglbIzOR2c3IYfP3wQ8+yu9Wq8aFBg4ZyiQrrQvn4Y3KT0Qh0Tl1Lb4IkQacHMjKBHBtIsorCvpNFYcIE9owULWN8fBi4PH6c2xBt1ETxk5BLqhGjkUR6zz2MmgrrOSmJlu7Ysfy8e99LQdILF6qt2c6epUXtnuIeEKASsHvnnqws6rireJHCuFzUTp48yUkrKIjbSU2lX12sDuLj+Zm0NI4hMxOYMoVLGg0aNFQIVFgCP3JEdcfKitNDMSFJgN2tZWWhsjiAUraffiIpC9eHLJMkRVNiq1Xt02axqAQOkBTPnFFblkkSidjfXy2PmBdGIy398+cLHld4OC1w0SNOUUi0NhvwwgveJXfbt9MCDwryVJH4+/NY0tNpccfHX2qEqVP/6nRcTeRNRtKgQUO5RIUl8Lp1yUMAsD2wL2TFiRDbBdTM/g91cg7CknCGAThFYW3ZwrB9u2fLsQsXSOoXLvD76em05vV6JszUru1JnuL9vLI7/aVuwWlp+fcpJgPh2qlWjS6SvBUFa9fmX7OZVnhUFFcKfft6P5YTJwp2rRgMJP+kpPwyQUXhBOR00p2iQYOGco8KS+AjRpAb7XZgr28H+DrTEOa4ANnloEGZmkwyGziw6N5der1KZvHxDB66W6U+Pny/XTuVlAWys/m8oIbJgYEcZF7FSGoqmywIS16WGdR0OEj4QuRutTKt/88/+di0yVNjnhcREQWn0Msyvys07eIh3CpBQXyenl74+dKgQUO5QIUl8ObN6UWwWoGbY79ChuKHRF04a2frnHRbhIayC05Rcrtu3dRg4cWL/F/0rhQ+baeTha1r1ybBJiSQhHNygP79adna7Z7bdThI7F270npOTSU5pqTQPTJjhufne/akc79RIwYXMzIo9/v2W+43JKTASoJ//AEMGwZ0mNwFpy8YkXE+DR5HnZNDYn/lFQZYnU41FV+S+JooKFNQkpIGDRrKFSqsCgVg2Y/evQGl55cw6X1hDAyD0bcyJMWlWsmnTjFAWL3g3o2oXp2qjYULSWx6vZoFGRysKlEOHKC75Y8/mCq/cSMLfG/fTrJNSCAxR0bSMs/JAZ54giqUn38GvvqKM06vXsDgwd4bQXboQMIWapdipJYvX05xSffMdXg/bSYMOWkwpl+A7aIRxsgQSML98847JPCMDAZR7XZuX1GoWKlUibrw5s1L83No0KDhKqNCEzjAQnwIzQH0MmACAAkeFUdkWVV6uGH/fnYY27WLBvaw+6fgrmlVoB/3qCpvCQtT3S+XilJBlpnkcuAAyTsoiK8FBDCpJyFBTbkfN45ELUmsidKnT/EPrCA3SB4kJ9OQ7+NYh8lJTyJHNiHFGI40VzBCbRcQlGaFYeI4dgtKSeEBBwfT9372LCcUSeLx1arFglxaY0oNGioEKjyBA6AZ/vHHHmoPpwtIv5iN5KwA/O9/tXD7YHooDAa6kR+9xNM+PlTTvfiShB87j8TS236AvPtPErOAotCaHjbs0sadZH9fX9VClmUGGP39SYQbNlyVQ9+6FVCcLoxOeB05sgk5MmWILp0B5w3VoJeTEJyZyZlu+3Z+SaTk166tun6ys5ltqbWH16ChwqDC+sA98NBDJM6UFEBR4HAAZ/7LQuqFLMw2PItNv+rwxBPA8OH0HjzzDPkrKFBBY8dePJr+Bp7JegWOn37B1ltn0EJNTlbTyEXAUZQ2TEujL9ubPNDHh9rxq4ScHCDccR5BjovIkTxrqkgAsvV+qqokMDC/dW00ciKSJAZANWjQUGFwfVjgVauyCcJLLwG7dyM5QUKyKwwrq8/ArsB+6Jf+PZpk7kDcj8FY8NRAZGbWQ4CfC+Njn0XXlO+gUxxQIKGP6xMkT2sEbP2SFvTmzSS3u+6iekO4NXx9OQM4HPldHTk5V5UIW7cG7JIRkrdArQT4+bhUbXunTpQjZmXRry/gcNDHf8cdV2fQGjRoKBNcHwQOMGX988+RFZuEOzpnwxoQiXAlDu8f7Y1w+znIihOKAriWLYA96HGk2iuje/I3SNcFQblU39XlUFA56V8GM994Q01zzwujkdb4J5/QWhdWraKQHCdNukoHTS9I2/7hOPRREzRwHECmPhC4pEA0mQFfyQoMfYgfNpvZAXrMGK4wjEa6UCSJHaAbNrxq49agQcPlo9QE/tdff+H111+Hw+FAUFAQXn/9dVSpUgVpaWl4+umncfbsWYSEhGDOnDkIDw8vyzEXCqs5BHE6IFAPTDoxERG2GKTrLwUiFUBxOnF/yjykZYTCJplyyZtvS9CFBACrV9Oa99a5XeDZZxkJ/fdfNdNTlqkwGT78Ch5hfsyaBXyE6aj14T3wsycjU/JFqK8LlQKskGtcUtgIdOkC/PADa7bs28fVy7Bhl92wWIMGDVcfpSbwZ555BvPnz0fDhg3x1Vdf4bXXXsOCBQswZ84ctG7dGosWLcK3336LGTNmYM6cOWU45MIRHMz4Y2DqGTS07ka6Lij3PacL8PHVwV8CAlKOIc54qVCToqoHg0L1QOalbsWFEbifH902W7YwKmowMDuyTZur3lXGaATGzGuKrLFrkDNnPirv/hV6ixEY+iCrHroHZAF2Dnrhhas6Rg0aNJQ9SsU0NpsNTz75JBpeWnI3aNAA5y/V9Pj1118x8FJj2gEDBmDr1q2w501wuYKQZRbU87PGwwE1vV24iCMigOBIE4w+epicWXA5Sey+fkDtOoDeZScZh4UVvTOdjn3bZsxgFmW7dte0JZilSR0ELX4Hv83+C3dEbkeDD55C+75BWLBALTugQYOG6welYhuj0YhBgwYBAFwuF+bNm4delzq1xMfH57pM9Ho9/Pz8kFQGfRRLgpEjgVsfqQ7Z5YBkt8Not0LvykGVKpcy3rOy4XtrZ9Ss6kDdWg40bAjUrgWY9C6qS0aN8qznfa1w7BiLS3XtCtx2G+t1e9G0u+OTT9ge8+BBoLOyFdMP3IG+4+shNqo1nG/P8qy1okGDhgqNIl0oGzZswMyZMz1eq127NpYtWwabzYbJkyfD4XBgzJgxBW5DvspWqSQBj04JguPbQMj/7oUi6yArQM45M07GREJy6fBq6Mt4svdWNNs8G5JdUdPmBwxgQO9aY9cuzkQ2GxUjcXEsgP799+yYYzDk+0pGBhcDvr5An8yvMS7mOTglGVkGf0iZOch5Zx58ft+qddbRoOE6QZEE3rdvX/T1UvkuMzMTjz76KIKCgrBgwQIYLhFKREQEEhISEBkZCYfDgYyMDATl9cFeDUyZAn1yAhDgD8VqhcPmgk7JRBXpFF6psQQ7M5tg8M9N8OKYIRhV5zf6GNq1Kx+dZ1wuKllEhUABRWEnoPXrgUsrIHfs2qkgwJ6EAKMDo8+9jGzZArtMonZIJiQ4jKj+778MYnr5vob/t3fm8VGV1/9/37kzk20SQlYIYNgEBZcq0aJtBZSiELbiAi1VXBF/LoCVihsWLQoURdS6W6gWyxcqCLZAVSy1ilXABSmKyr6FQLbJJJPZ7vP743AzSQgBkkASfN6v17zI3Jm599wJOffc85zzORpNy6LeofGkSZPIzs5mzpw5uKukG/r06cNbh4YCLF++nJycnErnftLYuxeWLhXxp86d8WV0Ic9sT547mwOuLNwqRHy8pFMefzWDkv5XyrCD5uC8QXRW8vOrT+YBuUNwOuGvfz38M++/z7m/6c/CnT9m/tfn0zawHQOr2lsUh8SqFi8+gcZrNJqTRb0c+KZNm1i1ahWfffYZw4cPZ9iwYdxyyy0AjB8/ni+++ILc3FzeeOMNpkyZ0qgGHxMbN0YHFQCFFXEUmymUOpMJG07O830ARLMQxzKw56Ri65PUpklimpIrqcqqVTB2LK3K9+I1WlFmeHCrCtoHtuKyoquXSa2QfR5twMWRsKyjv0ej0Zw06lVG2KNHDzZv3lzra8nJybzwwgsNMqrB1Ixcq2CqSLXSwmbJGWdEZ17W1unZt2/0uVKS+Ha5cCYkkJ4OBQcSsAwnDhWhdSiffWYHYmIOyZyURI48DKI2gkERuJo7V+4KsrNlWPQ11zRpxY1GozlVtFBqcsEF0ZmWQPIhfSZDWWAYvN/6KiAq333hhU1hZB14PDKd3uuNGqmUaLJ4PFFRLRD1w507K2vWM9tAZpaDQlcGCvBESmidrOjcMYKjqFAad4YPPzY7lBJnPWuWiF2lpcnxHngAaixsn1AsS4S47r1X5HnffFNX02g0nKoO3O2Gp56SCLaoiESXnxSnl7iQl3cTR/Bl/EWUl0smYsKEZirAN3483H23nIMtqtWjByxcKHrjNk5ntYEVBpCWCm3OTsfZri0xCU7aJ5bgDJSL1smbb9Z5h1KNtWulUal1a6laMQysuHgOhJLY/fu5XHbmXsaMgXXrGvfUq2FZMHGidLcuWiQa7JMnU953IDN+k8+oUXI9+frrE2iDRtNMOXW0UGrSpw+8/Tb86U841q8n46wM3vSMYfYn/SktNOjUCe666yjBqDpUXtgUqQKHQ6LNm26SoRQej8zNrEnr1jKAYcOGalciAzBdDqkjv/NOKUU83tr2d9+tNivUUiK9W15mkqSgV/l/WPzRSNasketlbm59T7YOliyR36Otuw4UFYP3891kf3M/c7NeYe1aua79/vcwcuQJsEGjaaacug4cROBq+nQAXMAoYGSVtvnDBvvaFBTA7NkSrdo62ffcI2p+jUlBgaQ/0tMltVEbcXFw5pl172fqVMlJFxWJo7cHMbdtK8JV9b3FqKFw6PVCeRmYTnCEwWkqkpPlK3rgAZFlb/T+p7lzZacOBwQCRIq9VORZWI54Lg6uJiu2EK8nhWAQHnpIlgcyMxvZBo2mmXJqplDqwFAWzgV/gZ/8RAYv9O4ti3T2JHevF0aMgDfekLRBSorcn48ZI000jUF5uaRHLrpIyhf79oVRo6T8sT6cdZaUTQ4eLCkHp1M0UJYulYtDfbn00mopmqIiwJDvUGHwpecnQFSh9osv6n8okBT/vHmS/p88WVIzKj9fHPi+ffDdd5CXR2o4n6zQDlJC+aSGRMLB7ZZfYdU5GuXlUFioi2c0py6ndgReGw89JHXUcXEyS83ng8ceE1XBp56SdvVdu6LT4kGGRfj9ondyxRVSylcVyxJnOW8e5OVBz54wbtyRV0dvv11yy61ayb6UknzzNddI2iIurvbP1cXpp8OcOcf/ubro3VseH34IiYkoy02MVUG8KmdlyuioGNghjktvpbgYnn9ech/l5ZSeeQF3bJ7Ax6EcHA65S3rzTVjgOZvzDyzHKCwE08RSBmEDDKVwqwp+tv01Pm49g+Rk+TUUFsqv75FH4F//kq+2bVsZ4qF7lzSnGj+sCHzrVli4kEhSMgX+OLZuN9iWF0uxkYz6x3IREFm6VELKmsTFSXRes3xSKfEO99wjr/n9MufsV7+SIcY12bwZ/vMfyV3bFwLDkOf798M77zT+edcXh0PuTu64A4B0swC/I55X2j7Ei1lTK99m37yce+4x7re0FK66Cl5+GSIRVHw83nc+4dHvf8lljvdJSoqO7Xz0wG1YRd6oSShQFg4iHCCVy8uX4ssv5/vvoaxMlgmuvFJmcSQlSeq8sFBueBYsaKTvRaNpJpw6EbjXK1Ftebl4ktqGE3zwAZFgmO/zHARDstCnAJ/PQcARpvWKVbjrut82jMPywqxdC8uWSTRtL3bagxIeeoj/dbiCOa96+PhjqfR78IwNDLIMzNpy75YlrfLNKVSMiZG2/okTUQUh/t8wN3n7DVopcBgSdZeVyTppUtIx7nPhQlkNTUkhYsnnCyOtSHCWc9eee/lz+F6chPlfwoVsjM2hyEwhLbAPgkEcQCwGJSSyn7YkGmWkmUXssuLx+eS/wMGD1W+g4uPlWjl9ujj3k90YrNGcKE4NB/7WW3DffQT9EYIBC9OEmMt+huP5P1bX9FaKkmLxrWaNMw8GFB99aNFvyBB44gmJwgsL5aGU7CczE7p3r/7BZcvkfr9mpYrbjb+4giev/Ih/x16OxyPXlsUrEziv1KBtMpg1738sq5nWNAIOB0npMfztTXj4YUlPGIZUJD74YPWZEUdl8WIsdwz79kJRoVS3hELQijK6qe+ZsPs3RAwXGPBB3OWY4UNTg9xulIJgyCCeCtLJp4xEDlopxMVJtL10qUTuNYmJkcB/82ZZMtBoTgVavgPfsIHIbyaxuyAOb4XUNxtKkbzg3zjDD9Fm/hOVbw1e8BNK/U5M06Ja9kgpLNPFi5v70W9eNrz2Gnz5ZdQxKyWlFnFxEjmefnr0s2VlhznvYAgCFVCYD7FpFZXzFJxO+K7tJVQUOSnJD5DSpooiYCQiYWJzir5roW1beOklueHx+URfvWaz6FEJh8nLg8JycJhgAjHBUjLII4KB30wk4IgFZfFz75vEOf3iwE0TpQxUGJRStGE/r7S6jvbt4oiNlQvkwYOHJIOPwHHbqtE0Y1p+DvzllzmQr/D63ZjmIQkUp4HXaEXF35aR/01Ui7zitG68Gz+UJKu4UiPEaQVJihSxLrEf64LnSBg3dGi0dE0picY7dZK//oceqn78vn0rSxEjFuzYKVHejm0WoYBiVdH5VFRE317h9PBk5nT8RQEp6/D7pfyitFQqR3r2PMFfWOOQlARZWfVziPk5gwj7KjCdUcmXDCMfA4WFiV/Jhc1SDpyEcFsVUk1jWTisMKYK4zAsAo54NqdeXLlkEQhAr161L6aWl8uadbduDThpjaaZ0eLjkfL1X+MNxGLWzGuaJuGQk3fn7mb0DEmIJibCvDNnsntHN0aWvESrcCE+M4m/Zoznz3G3cVaPQ3npZcvkrz0mRpy3vdiolNS2FRZGk6wXXSSvf/stvlAcoXAKbtNFPD7edPyCtqGd3Pn13fRyfkmZM4mVKb/iDc+tTMlazKs/+ZMIb7VrJ0MkLrnkxH9hSknFzX//Kxepfv1E3+Qk8p/TRtPVMZ/U8EEZKo1BPCJ7sI+2hMMGTsBwgCfRRPkUO8tTibhSSXaWEnFZFAU9uBzhSrncQEB+DY8+CvffLxWHHo9cYEpL5Vr82GNavkVzatHiHXhRfDvcagdhalSOKAsnYdZtT2P0oU2GAePvNpk8+VaWpI3F4/QTNGKpCDrwl8PECQr++Fz1gmbTFAeblBQNF+2Qets2Kf0LBIgog/iKQrIpwqeSmJ8xkU8OdOOV0BgiOPAqD7FWgGvyn+Wcwn+z4YH/g/ufPBlfUZRAAG67TapgQiHJuRuG1LhPnVp7U9MJwEhP446sxYz3TePHpe9iKEWFIx6/I4EyWpOcBKlpkl8v+D6R5EgxpeUmymHiC6ZiGBAfE8IKRPgw9GP8XnHUTz4JOTlS/PPiizK3oqxMSv7Hj9dzmzWnHi3egZdeeT1xH3yEoSIoI1qfnRgp4TN3b+K7ZlV7/1VXSQPknDkGBwOywOlyiTZTn+1/Fi/g8UjY5nSKk9u5Ezp3FgeXkhLVIpkwQdIgqamUOlPZuzNMjBEiRlXwTUIvphy8l3LiCBoxmBaEnDFUKDfdwxvJyfwHcOVJ+pYOMWsWrF4t51dYKN4N5OS/+UZy/7WVUDYyffvCZFd7Hs16Ho/px2UFuMD7HnftuRcHFpmZDqnaLFH4yyz87mxaUUw5iYRNFzHhcmJCAZh8L/f3TMLjEeUEO/edlCSVnZMmnfBT0WialBZ/Q9ltbF9WtLmR+HApSeFCEsNFeCIl5LvaMT1t1mHaGIYhPTZr10ZVUtetg2tGhKURJj5eHLTdTWLnwfPyJPL+7W9l27ZtUjd+qGrENCFiOAmYcUQMByPznyHOEQC33OIrJEfuSTLIzHKS/P5JHqoQCEh3aUKC2O7zyXnYuul//7sUS58EUlKk9b6sDPJL4yi0knnbOYKVcb+gbUIpseUFUFiIP9/Llpge3HzGB/wt/f+BYZAcKeBgbDseSZlDwZVjGTlSNFjqWrjUaE5VWnwE7jANfrr8fiZcOZyLDi4jSZWw3n0xazwDuG1CDOecU/vnPB742c+qbNizXzyKXczcsSPs2RMdIuz3w3PPSSExSATrdFamHRISpCzQsiBsuGkVLgDEP7oNSTMnHKpHxmdEu19OFsXF0bRJMFh99dE0Zfu770qzU+fOJ9ycMWOkmOeFF2TRt2tXB1k3/oGU9tdirFgO5eW8sLoPiw70Jdbl5C9tJvGXNpOkjd9w4PPBmLITbqZG06xp8Q4cpK731Y97sHhxD9avhw5tYdFVor56zCQkiBOzLIlIExKkZCEYlBKGrCzRK7Hp1Eki9EPlfw4DTjsNtu8AZ7iCtYkD6eNbjqFCpKW7SKpamxwOnyDpvjpIThanfeDA4a8pFR1y/NlnJ8WBA1x8sTyiGMC58CNp6Ux8Evx/pNrqhjIclV97bb1aGs0PiRafQrFJSYGbbxZ5jSlTjtN5gzi4n/5USvqq4nKJU7/22sMPOGKEvL+iAgIBPB7o1sGPJ9nJFxeM5dOf3k2nNB9tWvnFSUYiErlnZYk299GwP9MYxMTIBahm5K+UnF96utxNVG18amJGj5brqNcbbYCNROQrHzWqerelRvND5JRx4I3Co49K+WBxsaRTSkvl5/PPj07BCQZFGa+8XNT6AgH49lvJA/zvf7gjFaQuepFn/tmNX626idg5MzCSk6P7HDZMVJpqaxe0CQbh2WelqLlLFymjeOONhsvqTZokIa9lSTolHJafU1Oj/ebV8kpNS2am6JdkZ0cbh8rKJP3SFKNWNZrmximRQmk0OnSAlStFq+Odd6Qi45prYNAgiU5nzRLFwWBQHLfXK5FrSorkyO3o1s4vG4aUvYwYIe+Ni4umKo6EZckq6+rVEn6mpoq83tix0kR07bVSM96x4/GfX1ycDEcYO1bkB0xT7jwiEbF/xoy6LyxNQI8e8qv47jv5Crt2pbKzVaP5oaMdeE1SUsSBjhsnz7dulcqT11+XiDw5WapU8vMlHFRKomTbMZeWwsyZko7Jy5OW/Lg4+PGPj+68QUpibLVCw4AdO8RzgTjyuXPlAvPaa1L0fLw4HKICePXV8Kc/yfk5HBLubt4s03/qc3E4gRiG7qDUaGpDO/C6+PZbqTopLpb7d9OUBKzPJ9G2yyX5b58vGrl6PDLe7N57YfHiaOtfTIzI4Q0aVPcxq44x83rlYUf04XC0YmTCBJGtrU9roWHA5ZfL/u6+W9IpxcXSFTpvnoh5DR58/PvVaDQnFZ0Dr4tp0yS1YOuPOhziTCORaD5aqWiEDLK9tFSi5MTE6MN2uhs21H3MqnK1hYW1d0cmJMgdwP/+V/9zKywUmVi3W+46EhPlX7dbtM0LC4++D41G06RoB34kAgGZRGO30FfF1kaxK0SqRsHFxeKEk5Kqb4+Nlfe/8ELdx+3fPzrGLBKJHtue3+nxyL8OR7STsj78858SeddM68TEyPZ//rP++9ZoNCcF7cCPhF0TXtVpVo2O7Q7GcFgePp9ErXYkW9vUgPh4yYnXxYUXStVJUZE4fduOSETy4m63/KxUwwqhCwqOXKIYiYguq0ajadZoB34k4uLgRz+SdIjLJcLXdgeJXW0SDotD9XplsfGcc6TcD2ov+QuFIC2t7uM6HCK4fdddciFQKjrYMStLjun1SpF0Q8oxunc/8gh5l0t3yWg0LQDtwOvi/vvlX7tc8LTTojlww4D27UW/u2dPcYhffSXt9717H94QZNdejxkjz/1+ibJrc/Rut+TLN26ETz8VpSaXS2rPKyrgppuittWXvn2lmsZO+YD8W1ws2/v2bdj+NRrNCUdXodTFBRfAX/4Cv/+9LBgqJdUbGzZIhF41gnU65fHHP0o76FVXSdu6LYplGDBwoKRIbr9dipuVEmd5zz0wfPjhxzcMuQtYuVLK/bxeKVk85uGTdeByyd3CLbfAli1yLMsSgZKXXtKDIzWaFoB24EfjwgtlwIPXK07O75ca79rSD/Hx4gzbtRMHvWyZDI9MTJRmnh49pDwvPz+6yGlXg/h80W7PmhiGOO7Gpn17WL5c7hx275bnZ5990nTBNRpNw9AO/Fixo16XK7p4WXOeWCAguWoQpz16tDxsnn9enHfr1tFt8fGyn5kzpbnmWJp9GhPDkNz9kWQbNRpNs0XnwI+X2Fi44grRQyksjMrNWpbkp2+++cifXbGi9sjd7Zb9bNp0YmzWaDSnJPWOwNetW8djjz1GKBSiXbt2zJgxg1atWuH1ernnnnvYtWsXKSkpPPXUU6SnpzemzU2HUjL04e23pQbbbnbxeESzZMgQDpsgURW7vrs2wmH4+msZEHGS5Fw1Gk3Lpt4R+H333cfMmTN5++236dq1K6+++ioATz31FDk5OaxYsYKrr76aadOmNZqxTc6yZfDMM5IeOeMMcbSpqZKG+PWv4amn5H2bNsH69VKCWJURI6QSpaoTtyzRH9m9Gx55RBZJhw6VbRqNRlMH9Xbgy5cvp2vXroRCIfbv30/SoRzx6tWrGTJkCACDBw/mgw8+IBQKNY61Tc0zz0i6w859JybKwl9WFvzjHyJE1bevVJSMHi1VLDNnRksFR4wQVabCQsmXRyKy6FlSIgufHo/k2jdtEhXE0lJx7DNnyv5++9vqA5c1Gs0PmnqnUFwuF5s3b+aGG27A6XRy96F5ivn5+ZUpE6fTicfjobCwkMzMzMaxuCnZurX6AqRNbCzs3x8d+pCYKFF5OCyt8y6XjEX/7DMp0/N6RanQ7rDs0iU61NEwpEGnsFBEpf7v/yQ/7nLBf/8LS5bIvu6446SdtkajaZ4c1YGvWLGCxx9/vNq2zp07M2/ePLp3786aNWtYsGABEydOZMGCBbXuw1EfxbzmSFqaNNPUnNweCkl5oWlKSsXG6RRn/tJL0pTz739L+sThkEd8vPx7pIm8zz4rHaBVLxrhQ8OX+/c/tm7JXbvE5o4doxUuxcUS2aeny74XLhS53OJikai97TaZU6fRaJo1R3XgAwcOZODAgdW2BQIB3nvvPfr37w/A0KFDmTFjBgAZGRkcPHiQNm3aEA6H8fl8JJ8qCvzXXy9DHWJiqotMlZaKo65tHJnLJQ09//ynaG5XrbHes0cqV2qL6m2t8Zr7tDtBFy+uuxtz0yZJuWzeLBcWl0sGOezYIbl8hyOaj49E5Dgul9j57rvw4ovQr9/h+92/XyYKbdokF4VrrpEOVY1Gc9KpVwrF6XQydepU2rRpw1lnncWKFSs4//zzAejTpw9vvfUW48aNY/ny5eTk5OA6Vbr6br4Z1q4VlUJbCMo04bzzxBl+++3hn7Hb07OyDm+QSU2Fb76B77+Xi0Lr1iIVq1RU+zsQOLw23DTFkR6J3bulGqaiIqqmGAyKw4+JkclDpimt/Lt2yba0NHmf2y13E5MmScqmaq37mjXyHQQCcgGwLBkOMWNGtJPUsuRz770X1R3PyamfbrlGo6mTejlw0zSZPXs2U6ZMIRKJkJmZWVltMn78eCZPnkxubi6JiYnMmjWrUQ1uUtxuePVVcVDLl4sTHzAALrlERpT99rdR2VcbeyBDzUg6EIBt2+TnsjJ5FBVJi34oJMcqK5MFzoSEqA4LyDHqmsYzb558turUX8sSJ24PiwC5sJhmVCCrVSvZHhcndxUbNsg8UJA0zK23ys9V7xiCQRle0bu35O5vukn0W2zBr9deEy2X5547sniWRqOpF/VexMzJyWHx4sWHbU9OTuaFo2let2QcDhkMfPHF1bcPHSr14f/5jzhFp1OctMcjDn7z5uoObNeu6FSfzp1l0bKsTFrqk5Nlku/27dFJvtu3y/t8Pomqhw07so2rV4sTrorfL/8qJXbFxVUfSuHzRR24UvL+Zcvk2BdfLJIAFRXR99jYF5ply+Ri8/HH0XFw9n7//nep1vnd747rq9ZoNHWjW+kbC5cLXnlFIvO//lUi2Esukfrw7dvhuuskWrX1T+xhDJmZ4kzbtRMHuGOHbHc4xInv2iX7Ki8Xje6uXaUlvy5BK4/ncK3vqncFdjojMVH2C9EhFX6/3BmEw/DnP0sEbcvm7t0rP7duXT0lopR0pv7tb1Ht9LIy2Lkzql3++OOSKnruucYR49JoNNqBNypOp0TiQ4dW356VBY89JhKxBw9Wz3GXlUkk7HCIM7VfA3m9Y0dx/Pn5kp6YMuXoYlOjRsEDD1RP5yQmynOXK3onkJIi9oRC4lQtS0olw2FRSUxIkOehkNinlDjxoqJot2hFhTjprl2jaZtQKNqIZJrRhdI1a0TnfN68hn/XGo1GO/BGw+8X2devvpLyvGHDxHHbnHaalB+2by/P9+wR5+bziVNs3z6a466ZL3e7JbI9VqXAX/xCKkXWr5cFSqdTLg4ZGfL54mKJ+oNBWbxMTpbXS0rEiWdlyTl8/708d7uj4l2RiLx3+3Y550hEnPsrr4jdFRWST7es6jl7t1si948+kouClgvQaBqMduCNwdat8MtfSmrE1v6ePVta40eNkve89JI4Oruk0u+X9zsc4lDbto2WJ9bMMwcCEjlfdpk89/kkZZGaWn2h0iYmRnTMFy0SzW+fT3Rabr5ZjvXKK6K70q4d3HADXHqpjFh74glJ/6SlSRRdURFNrSglDtjOyRcXR6P57Gy5IJWXR2d1Vi2ztCy5IBiG7O/777UD12gaAe3AG4pSMhShsLC64w0G4aGHoFcv6b789tvqC4tt24pjs6PeoiJJc/zyl7IIGQyKIw4Gxek9+aRE8A8/LN2ZIBeLSy+V9EzVBiKQz/7617VrjD/33OHb0tKkecd22DUnBRmG2J+eLsMtXC7o1EnSLPZrkYg4888/r56DT0uLVq5YVu0XHY1Gc9zo4tyG8sUXslhnGJKnLiyMLvpZFtjdqR06SCRt43DIttNPJ5KSxrcpvdm7tYK9Sz+l3A9WOCKvjx4tVRyDB8PEidIxGRsrKZXERKm3HjUqKmvbEAYNEsdfUSH227Xedo4+MVEuOLZzLiqKVreAfDYmRi4wqamSR+/eXS5WhiGLsRkZ0dJEjUbTILQDbygbN0r6YOdOaa7Zs0eac4qLJQe8dau876abokORbZQiWFLOtyVtiPlqLcURDwUVCWwpTiO/JAZrX56MX+vWTdIO774rkaydW3Y45Pn27bBqVcPPpXVrGQkXiYj9Ho9cjJSSyLqgQJqEbDXF4mI5P1tWNxKRu5ABA2QR1ekUp+31yntjY0UbRjf1aDSNgk6hNASlJJ9sN97YWJY4uowMGXgMkr+++Wb405+ipXUuF1/7O9I6vI0yVyswTEwA0+BARRKeA0V45s+XyPutt6CoCMtfQZmRSGkkHqcTWiVDjGVJd2gNyYN60a+faLYsXSpKifv3wyefyN1DXp5E2G63nINpyrnu3SvReTgMv/qV7OfOOyW9s3Ch7KNXL7jySp0+0WgaEe3AG8LGjeK8EhKqL/jZOiN+f3QR0zDgvvvEia1YAaWlHDz9ImbcbTLNHIcyzGq7Ngwo8rnxfPih1IYvXIgqLiFsleJWB4h1JLLXeRr78w06Jlok2i345eXiYBsiX5CRIXl9m2AQpk+Xi1VGhhzDrhW30yx5eZCbK2kYm549YerU+tuh0WjqRDvwhrBnT7ThZvt2iVKr1l736hUtG7Tp1k0eQP4mKHN9iQN1WAu+YQCRsKQtvvgClZ5OKL+IsHJgGAYe5SVN5VPoSKew2MTrS6TdpZeKs3c6JWc+ebI43IbidssipX2Bio+XhdmCAqlKCYdlnudTT0XTO/n5En2vXy9liSNH6rmbGk0jox14Q8jKitY7n366lM/ZkbhlSSdmHXTsCN/HnU2hmU5y5CB+MyorqyIWcQlKlAzj4qiw3Bww2tLG2IsCLGXQOpRP2HCzyXU2vZ6bDW0PCWJZlqRcPv1UOkMbo/OxV6/qUb3bLYuTthrjdddFnfdnn8lzv1+uRH6/lDX+5jfSyKPRaBoFvZrUEM4+WzoQS0rkeUKCVF/ExYmzs9MnRyA+Hm66xcHvWs/BwiQpXESsVU58qIREvJhDB1fqj4dC4HWmssfdmXJHIpbDScRw8mzWY2SHt1CmEqIlfaYpueZ9+6Ilh8dJqDxEweaDBH2HqlsuuUTuNIqKoouYliWLk2eeGRXXikRg3LhoCmn3blnkzMuTO4Ja9HM0Gk390A68IRiGNOi0bSuVFgcPioOrqJCJOepQaiQYlFLACRNEsfCDDyrrrCdMgN639+LGrJUsTLqJ7WYXvmr1U3wzn6f13CellNDvJ8YNCvCbCeyL6cgedyd2xnZnd2wXnIRwemIOt8/tluMeB0FfkNWDZrIj5TxKz7qInann8a8Bj1HhC8P8+SJs5fXK3UZpqSx6zpsXrSz59FO5oJWWSorFHl7hdIpTHzdOnLlGo2kwOoXSUDp0gPffF7W+TZtkYfOjj6Qc7+mnpRY6HJZUCIjjXrxYZmc+/zwOl4v77oPbbuvApk33Ex8Pfc+pUml3223w4IPEJMeSkOCgzAemaRFnlfF65j34Qy4MFKm1FXcoFc1bHwPKUvw353Y6b1mF35lI0N0KhxWiy79eYW3Ot/z027kYr78uUfW+fdLJWVUuAOQCFolI1G2a1Vv/TVOi8vnzJZ2i0WgahI7Aj5eyMmnOufVWuPvuqHzsgAGSa/7XvyR9Yg8o3rhRhh3HxkobfUqK1Eq//744skMkJ0tw+6Mf1SiTHjVKFiTz88mOzSfDLCA+VMo7scP4s3sseWYWbVKCxBTslai36sT7YFB0UY6R75Zs5LQtq/G5WhNxSL7bcrjwuVrTYcdHfPPXz+WN7dvLwOaazhtkgbaiQn6u2k4fCok9ZWVS0bJsWXVbNRrNcaMd+PGwbx9cfjmB3z5I8ZL3KXl9KYHRN8jCnN8vEXd8fLQm3NbVNgxJr9gYhjj0o6ny5edLXfWKFRAbixkOkHFeO8pemo/zmdnM/fV7fMAlxBsVEuFv2ya64+Xlkr7o0gVGjDjm08tb8jGmCh0umGUYmCrE/iUfHX0nXbvKhCK71t1OIYVC8rqdSpk4UcoSNRpNvdEplOPAuvc+Cr7aR16gdWXw6PBatHl9Ba27nYnp90tDi03VsWs+X/WdxcRE0yq1EQ6LLsqOHRKxGwYkJWHk7aPnkt/T8+mnYdp4uVi0ayfv2b9fjrNrlywY3nGHLGweI4bLiaJ2tUOFgeE+xtry11+XFvqiInluC3zZF7aMDFnoffJJucOo+p1pNJpjRkfgx0pBAaUrP2K/vxXmoTU5pxMcpoOSchf7Xlgq+e2qaQGnM6qjXTUvUloqLegFBeJoa5uluXq1tOcnJ0cjYlvN8JtvZLhyOBydl+nxSMR9zjmSdx8y5HBVw6PQ8YZ+KMPEsKoPgzAsC8twctqYS49tR8nJcteQnS3fgT1UWSmxKSlJnluWzBjVaDT1QjvwY0QVFlHkNTFMB9WCVAPCppuiHSXSeej1ooDiEvh+i8G+UBrhYAR/3CE1vn37JNVxqDyQRYvE2b73XvUDfv55dLBDVewBxV98UfsCpWGIo9+9+7jPscMlndj6s+tICHuJCZdhWBHc4TISwsVs+/FIOl3e7dh3dv75kufv2VMuMh6POPQOHY7bLo1GUzvagR8jofQsQpYDpxE67LU4Vc5XjnMJ/346xMVRtLWI/B1+jHIfYUy2O7uSX+jEuzVf0iYOh6Q9bJlVl0tywvbiH1SPvGtimuIIIxGJYu18M0TzzrUtMB4Dfd97iL0T/0CRpwMxlp8ST3t23zmDPqsfOf6ddeggkrpt20rXUtWGInvKzwUX1MtOjUajHfgx42oVz4qMMcSHSzFUVCvbZQVQymBl9jicZ53Blj+uZK5xE4Uxbdkd343n20/jurM+58ZuH/FJ4Dwi8R5xbKmpKKQoY3dBLPt3BXnvkTWUlh7a8cCB4qhDNS4YwaCkJUaOlIvBxo1Svvjdd1KfXVIiOt3nnluv8zQcBr1njiCn4B06B76hV8G7XPzk1Tic9fyvcsUV0uhTVCTnolR0ePPdd+v8t0bTALQDP0YMA+Ie+A3L4keREPESHyklPlKKA8UjrZ+kz0TRuP7HZ2353HUhBe4sYq1yepSv5zT/Zq4teIof+1eDrxR27UJ9/TUHt5WydRsUFUJ5mWLRPB/9+0vqm/btJT9uT78pL4/qb0+YIIMd7M5Lu9pl2zZJV7z88rGNXjsZuN0yFeimmyQlVFAgdwezZ4s6o0ajqTe6CuU4uHGsk0nfPcbIJXfRvfxzAkYsG+J7c8Uv4rj+ekApct5+mKEFb2CYDkKGm3aBbQwumEcIF8VGaxKMAJgmVtgiqXAHJbFdCTpicGKRl3oWBw9KYPq3vyFO77zzZDL8li1S2XH99eIQS0slDZOZKVF3OCxOOyWl+eWZExNFH/z++yXd49T/7TSaxkD/JR0H9mSzzbe24d//HohhwNQ+leKC8NnnnPfNX9niSMJhys1N2HCRHtxNnGFwwNkdzAMQDhMOOTCwSAnup8KMp9iZzowtV2Eoiw8LLmPPh3fQ7qedZDGwe3ep6vjmG6naWL5cFgVBnKE9Tk0paVPft6/eOfATimFo563RNCL6r6kedO8uj8NYsoRYd4TYeAf+8kPVcyp4KM0BrWP9ODp0hC1bcKkAoEi2CggqHw4VwetMQRkGPy9bQsoN78A/3pTI+te/lojbngC/Z4+UCtacgwnixLWT1Gh+EOi/9MakpATDNOnUSeY8lBRDSEmpn2kqMtMsjAMHQCnCphsiCgWYKozH8lJoZAAOSswU2kWK4dFHpUa8rKx6TXdpqZQJ2vXUVbefcUbjaIBrNJpmj17EbEx+8hMATAd0aA9n9oBO3d24E2NxGhYOlyn5atPE6XKgkOA8YrhwqSCeiJdIRCoLzeQkmXNZUHB4pUbbthLe79kjVSmRiCxwOhzwSD3K/TQaTYtEO/DGZMgQSE+v1Mw2HRBjRjBaJYlXLimRFEckgkNZkJyMwkABKEVsxEer5EPpa8OI1nnXxOmMTny3ByZcdpmoHOqJ7xrNDwbtwBuT+HgZI9arV1Qzu6xMBKm++kp0P2xVwo4dienSAXdKIm5nBKcTUlIdnNYBHAZSJ92ly5Hz2aYJN94IX34pi5svvQQ9epzMs9VoNE2MzoE3Nu3bixPftUs0sU87TaJvkPTGypVSG31I2Mlok4npK5VIO6VVtNElEoEZM2DKFMl3V82B2234w4ef/PPTaDTNBh2Bnyg6dJBuSNt5gyw6/u534oCLi6Myq2lp0moeCEiaJTsb5s6Fn/1MJGfbtJGIvqBAXnc64dVXa69C0Wg0PxgaHIFv2rSJa665ho0bNwIQDAZ54IEH2LhxI7GxscyaNYsuXbo02NBThlGjoHNnePFFaYE//XS44QYYNEiccyQijtnupMzOliERH34onZbp6XDppZKu0Wg0P2ga5MD9fj+PPPIIoSp6Ha+//jpxcXGsWLGCtWvXMnnyZBYtWtRgQ08pLrxQHjWpGq1XxemUEWx9+55IqzQaTQujQSmU6dOnc/3111fbtnr1aoYOHQrABRdcQFFREXv37m3IYTQajUZTC/V24KtWraKiooIrrrii2vb8/HzS09Mrn6enp5Onp5BrNBpNo3PUFMqKFSt4/PHHq23r3LkzPp+PeUeb6XgIh0OvlWo0Gk1jc1QHPnDgQAYOHFht26JFi3jxxRcZPXp05bZhw4Yxf/58MjIyOHDgANnZ2QAcOHCADN3ardFoNI1OvRYxr776aq6++urK5927d2fp0qUA9OnTh6VLl5KTk8O6deuIiYkhqzkq42k0Gk0Lp9FzG9deey3BYJDc3FymTZvGzJkzG/sQGo1Go6GROjE3b95c+XNMTAwzZsxojN1qNBqNpg6aTSt9JBIB0BUrGo1GcwjbH9r+sSbNxoEfOHAAoNrCqEaj0WioVhhSFUMppZrAnsOoqKhg48aNpKenY5pmU5uj0Wg0TU4kEuHAgQOcddZZxMbGHvZ6s3HgGo1Gozk+dIeNRqPRtFC0A9doNJoWinbgGo1G00LRDlyj0WhaKNqBazQaTQtFO3CNRqNpoWgHrtFoNC2UZuXA161bx4gRIxgyZAjjxo2jpKQEAK/Xy9ixYxk4cCCjR4+u7Npsbqxfv54rr7ySYcOGMWbMGPbs2QO0HPsB5syZwzPPPFP5vCXZ/vbbbzNo0CB+/vOfM3/+/KY255jw+XwMHjyY3bt3A7BmzRqGDBnCgAEDmD17dhNbVzfPPvssubm55ObmVorWtST758yZw6BBg8jNzWXu3LlAy7IfANWM6N+/v/ruu++UUkr94Q9/UE888YRSSqmpU6eqF198USml1JIlS9T48eObysQ66devn/r666+VUkotWrRIjRs3TinVMuz3er3qvvvuU+ecc456+umnK7e3BNuVUiovL0/169dPFRUVqbKyMjVkyJDK/0vNlS+++EINHjxY9ezZU+3atUv5/X7Vp08ftXPnThUKhdSNN96oVq9e3dRm1spHH32kRo4cqQKBgAoGg+q6665Tb7/9doux/5NPPlGjRo1SoVBI+f3+yr/dlmK/TbOKwJcvX07Xrl0JhULs37+fpKQkQOZsDhkyBIDBgwfzwQcfVBuk3BwIBoOMHz+eM844AxCN9H379gEtw/5Vq1bRsWNHbrjhhmrbW4LtIJFT7969SU5OJj4+nssvv5yVK1c2tVl1snDhQh5++OHKgScbNmwgOzubDh064HQ6GTJkSLM9h/T0dCZPnozb7cblctGlSxe2b9/eYuy/8MILee2113A6nRQUFBCJRPB6vS3Gfptm5cBdLhebN2+mT58+fPLJJ+Tm5gLV52w6nU48Hg+FhYVNaephuN1uhg0bBoBlWTz77LP0798faBn2Dx8+nLFjxx6mQ9MSbIfDZ7FmZGSwf//+JrTo6EybNo2cnJzK5y3pHE4//XR+9KMfAbB9+3aWL1+OYRgtxn4Qf/P000+Tm5vLRRdd1KK+f5smUSM80pzNefPm0b17d9asWcOCBQuYOHEiCxYsqHUfTTlnsy77g8EgkydPJhwOc+uttx5xH01lf122HyvNccapqkXSxzCMJrCk/rTEc/juu++49dZbuffee3E6nWzbtq3a683d/rvuuotbbrmFcePGsX379sNeb+72N4kDr23OZiAQ4L333quMWocOHVo5GCIjI4ODBw/Spk0bwuEwPp+P5OTkk212JbXZD1BWVsZtt91GcnIyzz//PC6XC2he9h/J9iPRnGyvi8zMTNatW1f5PD8/v8XNYs3MzOTgwYOVz5v7Oaxfv5677rqL+++/n9zcXD799NMWY/+WLVsIBoOceeaZxMXFMWDAAFauXFntDrQ522/TbEIpp9PJ1KlT2bhxIyCR4vnnnw/InM233noLkDx5Tk5OpXNsTkyaNIns7GzmzJmD2+2u3N5S7K+NlmL7xRdfzMcff0xhYSF+v5933nmHSy65pKnNOi7OPfdctm3bxo4dO4hEIvz9739vtuewb98+br/9dmbNmlWZ6mxJ9u/evZsHH3yQYDBIMBhk1apVjBo1qsXYb9NsBjqYpsns2bOZMmUKkUiEzMxMpk2bBsD48eOZPHkyubm5JCYmMmvWrCa29nA2bdrEqlWr6Nq1K8OHDwcken355ZdbhP1HoqXYnpmZycSJE7nuuusIhUJcddVVnHPOOU1t1nERExPD9OnTufPOOwkEAvTp04crrriiqc2qlVdffZVAIMD06dMrt40aNarF2N+nTx++/PJLhg8fjmmaDBgwgNzcXFJSUlqE/TZaD1yj0WhaKM0mhaLRaDSa40M7cI1Go2mhaAeu0Wg0LRTtwDUajaaFoh24RqPRtFC0A9doNJoWinbgGo1G00LRDlyj0WhaKP8fVuUqu4EvAiYAAAAASUVORK5CYII=", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", + "name": "stderr", "output_type": "stream", "text": [ - "35\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\manifold\\_t_sne.py:790: FutureWarning: The default learning rate in TSNE will change from 200.0 to 'auto' in 1.2.\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", + "name": "stderr", "output_type": "stream", "text": [ - "40\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\manifold\\_t_sne.py:790: FutureWarning: The default learning rate in TSNE will change from 200.0 to 'auto' in 1.2.\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", + "name": "stderr", "output_type": "stream", "text": [ - "45\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\manifold\\_t_sne.py:790: FutureWarning: The default learning rate in TSNE will change from 200.0 to 'auto' in 1.2.\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" - } - ], - "source": [ - "df_exc = exc_df#df_all_conds_exc \n", - "df_inh = inh_df#df_all_conds_inh \n", - "\n", - "df_exc['labels'] = np.repeat('exc',len(df_exc))\n", - "df_inh['labels'] = np.repeat('inh',len(df_inh))\n", - "df_comb = pd.concat((df_exc,df_inh))\n", - "\n", - "for i in range(5,50,5):\n", - " print(i)\n", - " plot_UMAP_combined(df_exc[['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr', 'imp']],\n", - " df_inh[['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr', 'imp']],\n", - " i,0.0,1,[5,5])" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 }, - "id": "y49PcmC8ESHS", - "outputId": "d1e4d1ea-ecb6-4c72-b6a4-24da3661b31a" - }, - "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\manifold\\_t_sne.py:790: FutureWarning: The default learning rate in TSNE will change from 200.0 to 'auto' in 1.2.\n" + ] + }, { "data": { + "image/png": "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", "text/plain": [ - "" + "
" ] }, - "execution_count": 33, "metadata": {}, - "output_type": "execute_result" + "output_type": "display_data" + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\manifold\\_t_sne.py:790: FutureWarning: The default learning rate in TSNE will change from 200.0 to 'auto' in 1.2.\n" + ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, "metadata": {}, "output_type": "display_data" - } - ], - "source": [ - "sns.pairplot(df_comb[['Vm_avg', 'resistance', 'thr', 'isi', 'peak', 'ap_width', 'sub_thr','labels']],hue='labels')" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": { - "id": "riDcGWIqXcsJ" - }, - "outputs": [], - "source": [ - "plt.scatter(x=np.arange(len(df_exc)),y=df_exc['thr'],data=df_exc)\n", - "plt.scatter(np.arange(len(df_inh['thr'])),df_inh['thr'])\n", - "plt.ylabel('Thresholds')\n", - "plt.title('excitatory v inhibitory')\n", - "plt.show()\n", - "plt.scatter(np.arange(len(df_exc['Vm_avg'])),df_exc['Vm_avg'])\n", - "plt.scatter(np.arange(len(df_inh['Vm_avg'])),df_inh['Vm_avg'])\n", - "plt.ylabel('Vm_avg')\n", - "plt.title('excitatory v inhibitory')\n", - "\n", - "plt.show()\n", - "plt.scatter(df_exc['Vm_avg'],df_exc['thr'])\n", - "plt.scatter(df_inh['Vm_avg'],df_inh['thr'])\n", - "plt.xlabel('Vm_avg')\n", - "plt.ylabel('thresholds')\n", - "plt.title('excitatory v inhibitory')\n", - "plt.show()\n" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 }, - "id": "Rh5zHe0GT-Ov", - "outputId": "10a07841-a4d5-4dfe-d5da-1a3d4afba3a4" - }, - "outputs": [ { - "name": "stdout", + "name": "stderr", "output_type": "stream", "text": [ - "5 0.0\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\manifold\\_t_sne.py:790: FutureWarning: The default learning rate in TSNE will change from 200.0 to 'auto' in 1.2.\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", + "name": "stderr", "output_type": "stream", "text": [ - "10 0.0\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\manifold\\_t_sne.py:790: FutureWarning: The default learning rate in TSNE will change from 200.0 to 'auto' in 1.2.\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", + "name": "stderr", "output_type": "stream", "text": [ - "15 0.0\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\manifold\\_t_sne.py:790: FutureWarning: The default learning rate in TSNE will change from 200.0 to 'auto' in 1.2.\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", + "name": "stderr", "output_type": "stream", "text": [ - "20 0.0\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\manifold\\_t_sne.py:790: FutureWarning: The default learning rate in TSNE will change from 200.0 to 'auto' in 1.2.\n" ] }, { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAegAAAEWCAYAAACtyARlAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjMuNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8QVMy6AAAACXBIWXMAAAsTAAALEwEAmpwYAAClCElEQVR4nOydd3yb1fWHn6tpyfLee484w86eJCEhQAi77L1p2aOU9te9KC3QAqXsvfcmQICQSfZOHNvx3nvIsmTN9/eHFMWO7MRJ7NhO3ufzobWv7qv3ytF9z73nnvM9QpIkZGRkZGRkZEYWiuEegIyMjIyMjIwvsoGWkZGRkZEZgcgGWkZGRkZGZgQiG2gZGRkZGZkRiGygZWRkZGRkRiCygZaRkZGRkRmByAZaZlAQQpwihCgc7nHIyJysCCG+FkJcO8C+K4QQN/XzWqIQwiSEUB5pX5nBRTbQQ4wQQhJCpB/U9ichxJuen+d7+nx8UJ9cT/uKg9qFEKJUCJHfx71WCCG6PROmWQjxsRAiZgg+lg+SJK2WJCmrx1jKhRCnDfR6IcSrQoi/Dc3oZGQGj5E6pyVJWixJ0mvH+vkkSaqUJMkgSZLzSPseypjLHDmygR4ZNAGzhBBhPdquBYr66DsXiARShRBT+3j9DkmSDEAmEAz8Z5DHOiKRV/AyIwx5Th8hnoWKbJN6IP8xRgY24FPgMvAam0uAt/roey3wGbDU83OfSJLUCnwEjOvrdSFEkBDiJSFEnRCiRgjxNyGEUgihEUJsF0LcuX8sQoi1Qog/eH4PFUK8IoSoFUK0CSE+9bTPF0JUe35+A0gEvvCs/H/laf9ACFEvhOgQQqwSQoz1tN8CXAn8ytP/C0/7GM+KvF0IsUcIcW6P8b8qhHhGCLFUCNEF3CeEaBBCqHr0+ZkQYvuh/vAyMkPEcMxp7+5VCHGdEGKNEOJRzzwtE0IsPuiSJM/c7hRCLBNChHuuTfbs9FU9+qYJITZ65u5nQojQg/sKIf4OnAI85ZnHT3n6zBJCbPJcu0kIMeugMf9dCLEWMAP3CyG2HPS57t//nDnZkA30yOF14BrPz2cAe4Danh2EEHrgItyT/C3gMiGEpq8380y2nwHb+rnfa4ADSAcmAqcDN0mSZAOuAv4ihBgD/BpQAn/3XPcGoAfG4l71+6zmJUm6GqgEzvG4v/7leelrIMNz3VbPZ0CSpOc9P//L0/8cIYQa+AJY5ul/J/CWECKrx62u8IwrAPgv0AIs6vH6VZ7xysgMB8d7Th/MdKAQCAf+BbwkhBA9Xr8CuB73/NIAvzzEe10D3ADE4n5uPHlwB0mSfgusxrPjlyTpDo8h/8rTPwz4N/DVQZ6Fq4FbcM/jJ4EUz7NnPyftPJYN9AhBkqSfgFCPAboG9+Q+mAsBK26j9SWgApYc1OdJIUQ7sAOoA+47+E2EEFHAYuAeSZK6JElqxG1oL/OMZTfwN+AT3JP2akmSnJ6zr8XAzyVJapMkyS5J0soj+IwvS5LUKUmSFfgTkCuECOqn+wzAADwsSZJNkqTlns98eY8+n0mStFaSJJckSd24Fx1XeT5jKO6H4tsDHZ+MzGByPOd0P1RIkvSC53z4NSAGiOrx+iuSJBVJkmQB3gfyDvFeb0iStFuSpC7g98AlAzxWWgLskyTpDUmSHJIkvQMUAOf06POqJEl7PK9bgfc4MI/HAsm4/zYnHbKBHnqcgPqgNjVg76PvG8AdwKm4jePBXAu83+OL/DG+LrG7JEkKliQpTpKkKyVJaurjfZI8Y6jzuI/bgedwr6T38xruibFUkqR9nrYEoFWSpLa+P2r/eFzlDwshSoQQRqDc81J4P5fEAlWSJLl6tFUAcT1+rzromjeBc4QQBtzuxNWSJNUd6VhlZA7DSJzTfVG//wdJksyeHw19vY7bvdzztYPpOdcqcH/e/uZuT2I9/XtyuHn8GnCFZ7d/Ne6/j3UA9zrhUB2+i8wxUonb0O3t0ZZC38EibwDFwOuSJJl7eqOEEPHAAmCaEOJnnmY94CeECJckqfkIxlSFe9UeLkmSo58+T+NetZ4hhJgjSdIaz3WhQohgSZLaD3OPg8ukXQGcB5yG2zgHAW2A6Kd/LZAghFD0MNKJ9P679bpGkqQaIcQ64ALcE/uZw4xRRuZoGIlzeqhJ6PFzIu7FSPNB7dD3PE46qC0R+Ka/ayRJWi+EsOE+z77C899JibyDHnreA34nhIgXQiiEO/XoHODDgztKklQGzAN+28f7XI37AZCF2xWVhzuqs5rebt/D4tlVLgMeE0IEesaVJoSYByCEuBqYDFwH3AW8JoQweK77GnhaCBEihFALIeb2c5sGILXH7wG4FwUtuB9CDx2m/wagC3fgmFoIMR/33+3dw3y814FfAePpe8ciI3OsjLg5fRy4SgiR4zkz/wvwYT9pWAfP46VAphDiCk8g2aVADod3Wb8OPAU4PJuDkxLZQA89fwF+Atbg3jH+C7jSc87rgyRJayRJqu3jpWuBpyVJqu/5H/Ash4j8PATX4A4MyfeM60MgRgiRCDwOXCNJkkmSpLeBzRwIBrsa9+q5AGgE7unn/f+B+yHWLoT4Je4JVwHUeO65/qD+LwE5nv6feoLVzsV95t2Me0d/jSRJBYf5XJ/gXrF/4jkvk5EZbEbqnB5K3gBexe0W98O9cO+LJ4CLPJHjT0qS1AKcDdyPe3H+K+DsAXgH3sAdrX5SBoftR0jSwR4JGZnRjRCiBLhVkqTvh3ssMjIyR44QQod7AzCpRwzMSYe8g5Y5ofCc5UnA8uEei4yMzFHzC2DTyWycQQ4SkzmBEG4JxRzcaWGuw3SXkZEZgQghynEHj54/vCMZfmQXt4yMjIyMzAhEdnHLyMjIyMiMQEaUizs8PFxKTk4e7mHIyIxotmzZ0ixJUsRwj+NQyHNZRmZgHGo+jygDnZyczObNm4d7GDIyIxohxMHKTCMOeS7LyAyMQ81n2cUtIyMjIyMzApENtIyMjIyMzAhENtAyMjIyMjIjkBF1Bi0jc6TY7Xaqq6vp7u4e7qEMOn5+fsTHx6NWH1w4SUbmxONEnstwdPNZNtAyo5rq6moCAgJITk6mdy360Y0kSbS0tFBdXU1KSspwD0dGZsg5UecyHP18lg30KEeSJHZWd9Bg7CbMX0ObxYbLBRmRBlIiDlXe9cSgu7v7hJzQQgjCwsJoahpo6V+ZExmLzcHuGiNlLV2E6NVEB/oRqFOTGKo/Yb77J+pchqOfz7KBHsXYHC4+217DX7/Mx9jtIC3CnyunJ/GPr/eiUyt586bpTIgPHu5hDjkn4oSGE/dzyRw5n++o48GPdnp/n50eRmSAlinJoVwwMQ69xv0oN1rs1Bu7MWhVxAbrDvu+3XYHbWY7wToNOo1yyMY/UE7k7/zRfDY5SGwUU1Bv5Fcf7cTY7QCgpKmLdzdVsnhcDMZuB/9bXozV0VfJVhkZmdFCVauZv32Z36ttbXELKeEGfvvJbvJrjQAU1hu57pWNnP6fVSx5cjVf7azF5uhfkr6gzsjd72zn9H+v4s53tpJf2zGkn0PmyJEN9CimosXMwVLqRQ0mEkL1AOypM9JllQ30YHHdddfx4YcfAnDTTTeRn+9+aD700EO9+s2aNeu4j03mxMVid9Jpdfi0251u41veYsbUbef3n+1ha2U7AG1mO3e8s43C+s4+37Ops5tb39zCt/kNdFodfL+3kZte30x9h2XIPsdIYrTMZdlAj2KiA/182iICtGRHG7h0agLn5sYSoh9dEcANxm6aOkd+FOeLL75ITk4O4Dupf/rpp+EYkswJSmyQHzNTQ3u1aVUKlAq3yzQqQEuD0crGstZefSQJylu6+nzPihYzFS3mXm217d2UN5v77H8iM5LnsmygRzFZ0QHcMCfZ+7taKbj5lFR+++lu9tR0sGRCzJCf6VhsTuo6LHTbD+zUbQ4nhfVGtlW20Wqy9uovSRK7qtv5aEsVG0qbWbqzjs+311JQb+TlNaWc+fgqznpyDe9srMRosR3T2F5//XUmTJhAbm4uV199NRUVFSxcuJAJEyawcOFCKisrAfdq+q677mLWrFmkpqZ6V9aSJHHHHXeQk5PDkiVLaGxs9L73/Pnz2bx5M7/+9a+xWCzk5eVx5ZVXAmAwGLzXP/DAA4wbN47x48fz3nvvAbBixQrmz5/PRRddRHZ2NldeeSVyVTmZ+g4LK4ua+LGggeo2M3tqOvjH13v57Se7uGthBmeNj0alEGRGGfj14my+3FHHIxdNoKrNzIayFn6zOJsgXe8FeZi/ps976TRK+no06LXDfw7dFyfrXJaDxEYxgTo1952WyaTEEGra3Eby1bVlGC0OdluMFNZ3MjY2aMjun1/bwaPfFrKhrJXZaeHce3omsUE6Xl5bxlM/FuN0SWRGGfjv5RPJig4EYHN5G3/5cg+3zE3j+dVlCGBGahhvbyhnakoYbWY7AL/5eBcRBi2n5UQd1dj27NnD3//+d9auXUt4eDitra1ce+21XHPNNVx77bW8/PLL3HXXXXz66acA1NXVsWbNGgoKCjj33HO56KKL+OSTTygsLGTXrl00NDSQk5PDDTfc0Os+Dz/8ME899RTbt2/3GcPHH3/M9u3b2bFjB83NzUydOpW5c+cCsG3bNvbs2UNsbCyzZ89m7dq1zJkz56g+q8zop7TJxC2vb6G4yQRAdKCW62Yl89zKUgA+21HHS9dM4f/OGoPF5qSyzcyfzs3hlje2YLa5F8f+GiV3LczgH18XAPCzSfFkxwT0eb+0CH9unJ3Ci2vKvG1XzUgiLXLkZX6czHNZNtCjnJYuG4X1nXy6vYaq1t7nRyVNfbu3BoO6Dgs3vraZug63O3rZ3gYKGoz86dyxPPHDPm+/ogYT//muiCcum4gQ8NyqEq6Ynsid72zz9vmhoJHfLB5Du9mGn1pBt919tvbVrrqjNtDLly/noosuIjw8HIDQ0FDWrVvHxx9/DMDVV1/Nr371K2//888/H4VCQU5ODg0NDQCsWrWKyy+/HKVSSWxsLAsWLDiiMaxZs8Z7fVRUFPPmzWPTpk0EBgYybdo04uPjAcjLy6O8vFw20CcxywsavcYZoN5oZV+jieQwPeUeV/Rfvsrns9tnEx+iJyMqgN9/ustrnAG6bE7qO7p57fqpaFUKsmMCCdb3vYP2U6u4dV4qU5JDKG82Exfix4T4IPw1I88knMxzeeT9a8gMmPy6Dm5+bTNXTU9kVlo477VW9Xp9fFzgkN27osXsNc77qennDGvVvmZau2wE6dX4a1X8WNA7F1CSYGtlG7nxQejUSq+BTgrTH/X4JEk6rHu/5+tarbbXtX31OZox9EfP+ymVShwO3yAgmZOHndXtPm2lTV3Ehxww0E6XhKvHd+rgBTlATbuFeVmRA7rntsp2bntrK0qFwO6UWJgdyS/mp7F6XzNRgVpmpIaROgK0FE7muSyfQY8i2rpsNBoPGMVvdzdQ095NRauFvIRgFnl2m1qVgmtnJfP93gZKGk39vd1h2VvXwctry3h+VQm7q9vZUdXOE98X8cg3BdgcLh8D6pIkYoJ8A9cmJYYQqFej16hYMj66z7MvAWRGBXhd3MF6NWeMjT7qsS9cuJD333+flpYWAFpbW5k1axbvvvsuAG+99dZhV7lz587l3Xffxel0UldXx48//thnP7Vajd1u7/P69957D6fTSVNTE6tWrWLatGlH/ZmGGiHEy0KIRiHE7j5e+6UQQhJChA/H2EY7Vrs7LiO/toOubt8H+IJsX0/RlOQQ9vRIfbpzQTqh/geMwSVTE3yu+dnk+AGNp7Gzm99/thuXBHan2/j8UNDITyUtPPHDPv7vk91c89IGKvoJMjuenMxzeUh30EKIe4GbAAnYBVwvSdLID9EdYXTbHSwvaOLhrwvosjq46ZQUfjY5npp29wr63U1V2J1OMiP9yY5Ox+mS+GZ3PaXNXYyPC8budLK71ohaqWBcbNBhz5nq2i1sKGthT62RYL2GtcXNADy2rAirJ6/ymZUlPHZJLve9v8Ob6nXj7BQmJgZxyZR43t9cDbiDVB48M8vrOpucFIrDJbEsv8F7nULAWeNjiDBoeeySXFQKwdjYQNIj+z4/Gwhjx47lt7/9LfPmzUOpVDJx4kSefPJJbrjhBh555BEiIiJ45ZVXDvkeF1xwAcuXL2f8+PFkZmYyb968PvvdcsstTJgwgUmTJvHWW2/1un7dunXk5uYihOBf//oX0dHRFBQUHPXnGmJeBZ4CXu/ZKIRIABYBlcMwplFPg7GbJ3/Yx9sbK5EkOG1MJH84eyyJPRa401NC+PcluVS0dFHZYkGjEiwe79YzqG4zc+X0JGan9V4bzU4L5+ELx/PkD/sQQnD3aRk+0d79YbY6aTBafdr3p24BVLd3s7m8lbhgHSrl8O3lTua5LIYqelQIEQesAXIkSbIIId4HlkqS9Gp/10yZMkWSi7y7qWu3sK2qnapWM8nh/tz6xpZer//pnBwyowJYV9rCyqImYoL8KG82U9jQO+/x6hmJ/FTS4j2PDvPX8PbN071BWwfTYrJyz3vbWb2v2dt2/6JMatstvLOptwt90ZgobpmXyt46I8lhesbHBRPir6Gz205xo4kum4PkMH/iQ3rvtGvbzfyw171aVysVzMuMoM1s5dpZKaj7eRA0d1ppMlkJ9dcQ1SO9bO/evYwZM+Ywf83RS1+fTwixRZKkKUNxPyFEMvClJEnjerR9CPwV+AyYIklScz+Xe5Hn8gE+3VbDPe9t79X2wBlZ3H5qOuCO3v7H1wV8tr0WgNz4IB69OJeMKPcC1eWSUCj6d8+2mKwIINSg7bfPwVhsTu58Zyvf7z0QzawQcN+iLB5dVuhtu2thOjNTw5mZFjbg9z5aTvS5DEc+n4f6DFoF6IQQdkAP1A7x/UY9pm47zSYrf/w8n5VFTUQEaFmY7Xum9Mb6SrKiDXy7p4ELJsYRolczNjaQwu96G+iMyAC+39tIZpSB4kYTLV02fixo6tdAF9R39jLOAF/tqmVcXLDvWK128hKCmZrce9Ue4KdmYmJIv58xNljPnIwIAvxUFDeY0KoUnJ8X369x3lzeyr3vb6eq1UJUoJbHLs5ldnr4CS0LOFIQQpwL1EiStGMA54C3ALcAJCYmHofRjQ5+KvFdzyzdVceNc1LwUytZta/Za5wBdlR38P6WKv5v8RiEEIc0zgBhR2CY96PTKPnN4jEIBN/tbSA60I/7Ts/k6R+LvX00SgVqpYJnVxYzOSkYjWpkpmCdyAyZgZYkqUYI8Shut5gFWCZJ0rKD+8mT+gCby1t5aOleFmRHsrLIHUhltjoI1PmKjUQGaAjTa3C6JD7cUs0Tl+UxLSUUk9XJq2vL8VMruPe0TAJ1auZnRdBmtnPBxDh+LGyipr1/MQKLzVd5rKDexM/npfHhlupe7dfN7n/HezhSwv1JCff3aTdb7eyqMVLbYSHcX0t0kJbb3txKoyefusFo5ZY3tvDVXaf0eb3M4CGE0AO/BU4fSH9Jkp4Hngf3DnoIhzaqyI0P9h757Gdacij1HRYCtGo2lLb6XLOioIm7Ts0goI+5P1ikRRp48vKJNBi78dcqcbmgssXM5ztqiQrUsnhcDM+vKiXUX4PDJdF3PLjMUDJkBloIEQKcB6QA7cAHQoirJEl6s2c/eVK72dfQyVUvbaDb7mJOxoGzpi6bE3+NkgiDliaPkVIrBefmxrIsv8Hbb0NpK+flxXHXgnQWj42m1WzDJUnc994Or0zgN7vruW9RJpOSgvsdR1a0AYNWhamHtOCM1FAiA7S8fN0UXlhVhsXu4Ja5acweZLeXw+nik221/OXLfKwOF/4aJb86M5sLJsby3OoD+Zpmm5PqNrNsoIeeNNzzd//uOR7YKoSYJklS/bCObBRxSkYEeQnBbK9qByAhREdimJ75j670qP4l8tHW3teMjw9iX5OJSYfwRA0GOo2S5B7zaH5WBJWtZlq6rPztq3xcEvzyjCxvMQ6Z48tQ/tVPA8okSWoCEEJ8DMwC3jzkVScppU1d3vQih1MiUKfCaHEbyadXlPDrM7OxOl0YLXYMWhWd3Q429JD2GxcXhNMl8fG2Gv7w2R6vqtjBGr4fba3mkim9oz9bu6ys2dfC+5urSIvw5/lrJvPymjK2VLSxeFwM181KJjPafR42Oy0cCfBTD767K7/OyB8/34PD5V6nddmcPPZdIY9elMt9fmpsThdqpYKPt1YT2o9CkszgIUnSLsB7viKEKGeAZ9AyB0gM03PHqWnsqTUSEaClstXM37/aC7i9U1VtZk7NiuDHQrfXLC3CQHKYP7//dDdv3zzDRx1sKBkfF8R5ebE8/v0+EkP13DovjQXZEcft/jK9GUoDXQnM8LjJLMBCQI4a6Qf/HhJ7b6yr4N5FmXyX30BRQydnjIsmM8rAX77Mp9vh4vpZyeypNXpFCvISgpmTHk55cxdvrqvg9lPTUSkECaE61ErhTaMAt/HXKHufaX3q2bUCrClu5uOtNbz385mE+2sI9degUioob+5iX0MnapWC7OgAooMOX8ruSKnv6PYa5/0YLQ7MNif//q6IMH8N87Mi+N2SHNJHQH7miYYQ4h1gPhAuhKgG/ihJ0kvDO6oTg43lrTy/qow7FqTzrEcdbD+vrC3n8UvyyE0IRpLcUd9P/LAPtVJgtjqOq4HWqpUsHBPFjNRQHE6JII/QicXmYHtVO6v3NaNUCE4fG834uKFTKZRxM5Rn0Bs80Z9bAQewDY8rW8aX7OhATkkPZ3VxM51WB3/9Kp+nr5jI5ORQQvVuI/n+z2fickGIv4aaNgtnT4hBpVSQGRVARICWnVVtzM+O5MXVpVgdLtIjDPz6zGz+tnSvN6Xp9lPTCNZrKG/uwu50odcoeapHYAhAp9VBUb2RnInunMrdNR1c9dIG2j05ymOiA3jm6skkh7ldY1WtZrZWttHUaWV8XBAT4oPQDcAl1tplxeaQiAzQolAIEkL1qBSil5EO9FOhVgqWjI8hPkTHV7vq2F1jxOFysWCAggwyA0OSpMsP83rycRrKCceM1DBeXVtBdlQAdy/MAMDqcPLK2nLsTheBOjWPf7+v1zUXTIwj/CgCwAYDf23vRcGWijZ+LGyitctGRqSBR74p4NeLs8kZQilhmSGO4pYk6Y/AH4fyHicK4QFa/nXxBHZVd9Bg7CY90sCE+GD8tQf+iYJ0B9y6cSE64kJ672I7rQ6eX3VgdV7cZOKHggbuPy2T1cVNXDUjicmJoTy/upT/fOfOaf7T2Tn0FSO6P2LX4XTx0upSr3EG2FvfybriFpLD/KlpN3Pz65soqD8giPL4pXmcPzGu389qtTv5sbCRvy/dS3uXnWtnJXHF9CTSIw08dME4fvfpHmyexcPfzh/Hqz+VMS8zEpvTxQUT41ApFXyytYZQvYah00o7vjzwwAMsXbqUs846i0ceeWS4hyMzyExOCuGpKyby+892e/OPIwK03LkgAyFgUmIwj16cy1+/zKfDYuf0nChuPzUdtWp4taSsDie7qtvZUd1Bo7GbL3fVIUlw98IMtle1ywa6DwZzLssn/yOImCAdMcfgOq7r8BUe+KmklYd/lsvP56ehUirYUNqC2ebgntMysNidVLSZuXFOCv/69kDuY6BORUKonlfWlhGs07DLUxC+J/s8CmV7aoy9jDPA377KZ1ZaGJF9lMMEt6zhz988EBXz1I8l+KmV3LEgg59NTiAvMYTadguxwX4IIDZIR4i/hr9+me89pz81K5LKVjPjTpA4seeee46mpqZesoEyJw5BOg27azt6iYM0dVoxdtu5e2EG/loVF02OZ1ZaGN12JzFBOnSa4U1rajZZefrHYl75qRxJggnxQdy9MIPHv9/HOxsr+fO5Y4d1fCOVwZzLstTnCURftZ/HxAQSpFOhUiqoajWzrbKddrMdo8XB+5uq+S6/gYgALf+9PI9FYyK5eW4KL10zhdvf2sKfv8jn4W/2MjPVN1p7v3BBVx9pWe1mO7YeikQHs7+ofE/e2lBJi8mKUiHIjApgflYkmVGBpIQbuG5WCq/9VO41zgA/FjZymPTQPvl0Ww2zH15Oyq+/YvbDy/l0W82Rv0kfnH/++UyePJmxY8fy/PPuk5xvvvmGSZMmkZuby8KFCwFYuXIleXl55OXlMXHiRDo7Ozn33HPp6upi+vTp3jJ2MicOjcZu2rqs7Kr2Xejm1xp7eclig3WkRhiG3TgDFNYbMfipuG1+OmNiAthZ3UF5s5m0CAPddidxwYMfh3IknAxzWd5Bn0C4JImzxkezdJc7AyZAq+KWU1LQq1UU1Bl5cvk+9tQYuWJGIv9YekCi7oEPd/KPC8fR3GWjtqObhBA9kQF+1HVYaTBaUSsFZ42P5pvd9aiVCu5ckE5efBCripowWx1olIpeBvnyaQm91L4Opq9ztdhgvz4jw1VKBRGBWor70BTfLzs6UD7dVsNvPt6FxVO7uqbdwm8+3gVwSJf8QHj55ZcJDQ3FYrEwdepUzjvvPG6++WZWrVpFSkoKra3uiPtHH32U//3vf8yePRuTyYSfnx+ff/45BoOhzzJ3MqOX5k4rH2+r4ekVxQT4qbhpTgo/Fjb26nNeXuwwje7QVLWaeX1dBd/uaUClEFw0OZ6zJ8TQ1mVnVlooof5axsYO3wHTyTKX5R30KKHB2E2LydeF3ZNN5W10dju4d1Emdy5I5+qZSby8tozaDgs3vb6ZpbvqiQvRsWxPg8+1K4ua6bI62FNr5A+f7elVqOLlteUoFYKld53Csnvmctv8dCpbzXy/t4E3N1Twm7OyyUsIJsKg5bb5adw6L+2QAiaTk0JI6HF+rlII7j89q9dOoidh/lpO7UNNLTPqyLS6H/m20Duh92OxO3mkh3v/aHnyySfJzc1lxowZVFVV8fzzzzN37lxSUlIAd4k8gNmzZ3Pffffx5JNP0t7ejkolr5FPVFYUNfLQ0r20m+1UtVrYWtnOzaekeBS6BLfOTWVeZu8UJkmSKGro5Lv8ejaVt9LZ7Vu44Xjw2fYavvU8JxwuiXc3VaEUCr7ZU09koB/n58WiHEZ97pNlLstPhxFOU2c3H22t4bmVJejUSh44M4vTc6L7NGZTkkJ4flVpL6nOO05Np7bdQnWbu7BGu9lOQqivaypUr2Gb5cDDoN1iR6tSeHepc9LCyY4JpLnTygurS/nfj8UoFYLLpyWyuqiJUH8NS8ZHc+OcFBSKQ0/c5HB/3rxpOruqOzDbnGTHBDC2n2CTLquDytYubpuXRphew/tbqtFrlPxuyRhyYgOpKPFdbPRHbbtveb5DtQ+UFStW8P3337Nu3Tr0ej3z588nNzeXwkLfh8Wvf/1rlixZwtKlS5kxYwbff/892dnZx3R/mZGHzenk7Y29a4t8tr2W205N47v75iIB8X0UoVizr5kbX9vs9UhdNSORX56e1W9d56Ggy+rgix11Pu35dUaUCsFjy4oI9FOTMoypjifLXJZ30COc7/IbePjrAtrMdmo7urn3vR1srWzz6VfVaiYhVMcdC9JRe/KcT8+J4uIp8Wh7uI7z64xMTQ5F2yM6NNBPRUKorlcAS2KonglxQaRFGPjHheNZNNZdDm/Vvib+8XUBxm4HbWY7T68oYVJSKKuKmthV3XFY47yfpDB/zs6N5ZKpCUyID0bZx4FyVauZ+97fzuIn1nDxc+tQqxR8eedsvr77FC6flnjE6kax/ZyZ9dc+UDo6OggJCUGv11NQUMD69euxWq2sXLmSsjK3Atp+t1hJSQnjx4/nwQcfZMqUKSO5spXMMaASClLCfCMYdSolSWH+JIf5+xjnps5uHvxoZ6/jojfXV7K3zvfseijxUyuZlOSrYBYb7Edzp/sZ8epP5XSYbcd1XL3HcnLMZXkHPYLpsjp4c71vhb8VhU2ckhHh7fPZ9loeWroXk9XBFdMT+PDnM9GqlSSG6tFrVIToNZwzIYYvdrpXxc+sKOEfF47HYneiVSqICdJxxzvbvO+fFRVAWbOJOxamMzEhxKsF7nS6eGej73h2VLeTGWXgyplJR/wZrXYnBfWdtJishBk0pIbrCdC5z6i/3FnndbO5JHcg2dTk0KM+Y3rgjKxe51aA2ytxRtZRvd9+zjzzTJ599lkmTJhAVlYWM2bMICIigueff54LL7wQl8tFZGQk3333HY8//jg//vgjSqWSnJwcFi9efEz3lhmZKBSCq2cms3RXvff7FqJXe2u290Vnt4PaDt9qvE2HOdoabJQKwZXTE/g+v8F776yoAFzSgaDQyADtsKaAnSxzWTbQIxiNUkFCqJ78g1bQMUEHArB213Twf5/s8v7+9oYqbA6Jhy4Y560+E6hT87uzx3DWhBiK6jvJiQ1iYmKwN1irrt3CrfNSMNucKBUKmjqtvLWhkpQwf+ZlHjj7VSoVZEQa2FTeewefFOqWMuzPTd0fkiTxxc5amjtt7KrpoKDeyJz0cK6akURiqJ6lu3zdbKv3NR21gd5/3SPfFnrSuHQ8cEbWMQeVaLVavv766z5fO3jS/ve//+2zn8nkGwQnM7rJSwjm49tmkV9rRKUUjI0NIv0QtdgjDNpemt37STioXOvxIDs6kLtPy6Cp00pssI6iBiMvrHZrLCgVgrsWZgyrPvfJMpdlAz2CUasU3DI3hRWFjd6z4HCDhryEYDaUtpAcpsdosZMa7k9pc5f3uqW76rhvUWYvd09UoI7F43QsHhfjc5+YYHf+9UNL99JgtBKiV3Pfoqw+q15dNi2Rz3fUeYtphOjVXDQ5nuyYI4/orGgxU1DXydJddd6dQ0lTF4UNnTx39WSmp4Syq6aj1zV5CcFHfJ+enD8x7pgnsYzMQBkTE8iYAc6NqjYzl09L4Kxx0eyuNfJjQSM3nZKCSzr+NYRUSgW5CUE8s6KElUWNzM2M4K4F6Ri0aqYkh4wImc+TYS7LBnqEMykx5MAqXCHQaZV8uLWK3PgQPt9ey5bKNvISg7lgUhyPf78Pp0siI8pAQD8R0f2RFuHPknEx6LQqLDYHr6wt49mrJvv0mxDv3hXsrTUiFIKxMYGkHWJXcCiM3Xb0WqWPW299aSuVrWYumZLAN3vqvQFuE+KDmJspC/fLnHjsqm7n6pc3ehX7ZqaG8odzcnhsWRFxIXomJ4Ue5h0Gl+o2MwW1RhQCUsIMTE4KITFEP6yBYScjsoEe4Qjhdo2NjQ1iY1kLP+xtRIGCDzZXeQU/Cuo7SQ7Tc/nUBD7cWs1vzxpzxHVkx8UFo1QovO61i6YkkNPPyj8zKuCIU5z6IjZIh38fbjKFALVSQVKYnicuy6O61UJ4gJaMSH8iA32DQCRJ8kqTnkhIw7Bzkjn+dNudPPHDvl5yuutKW5meGkar2UaE4fhWbjNZ7PxU0sLS3W49hdnpIfz3h308dnHekN/7RJ3LcHTzWTbQo4id1R08t6qUuxdm8PbG9l6vlbeYeeCMMK6dlUzGURrPI3HHHQqXS6LZZEWnURLg1/9CITxAy+z0cKYmh/Q6175kSgLvbaoiPcIfh0vip5JWVu1r4qELxnFebhyKHhHffn5+tLS0EBYWdkJNbEmSaGlpwc+vf8EXmRMDU7eDHdUdPu1tXTZ+eXoWaZHHV892U0Ubv/pwp/f3FYVN/N9ZY9jX2EnSENZgP1HnMhz9fJYN9Cihy2rng83VAPT33Y0M9BuwcZYkibLmLuqN3YQbtKSG+6Z9HA3VbWbe2VjJe5uqiAvW8eDibGakhPUyqj0ZFxfEP382no1lbRTUdxId5Ed+rdHt/lYr+WxHLQF+Kn531hhe+6mM3PhgUnu42eLj46murqapqemYxz7S8PPzIz4+friHITPEBOvVLB4bzevrK3q1T0kOJTc+iPiQ42ugP9pa7dO2sayFSYnBQ3rfE3kuw9HNZ9lAj3CaOq3k13VgtNi5ZmYS/11ezPrSFs4YG823e+q9/U7JCD9khOjBLC9o5I63t2GxO1ErBX8/fzznT4xDo1LgckkU1BspbjRh8FMxNjbokNKd+3E4Xby8poyX15YD0Gyycc1LG/nk9tmHDCpJjQggNSKA9SUtXPXSBvRaJTfNSeHf3x0ov/fbT3fz5GV5tHbZSO1xDK1Wq70KPzIyoxGVUsF1s5MpaTaxtrgFjVLBnQvTOSUj/LgKlOxHrfRdTKsUguSwoY0ml+eyL7KBHoGUNXfxze56nC4Xa4qbWV/qToxXKwW/WTyGf35TwDm5sdx7WgZ1Hd1MTgphVloYIQOczFWtZu59f7s3h9DulPjNJ7uYEB9Edkwg26raWFnUhEDwY2EjGqWCJy+feFgRgEZPelZPHC63dOFAoj4tdicOl8TstPA+lYy2VLQxpQ8BBRmZ0U5qhIHnrppMVZsFrUpBYqh+UDxaR8NlUxP5dHutt4a8EHDl9CTCA+TjluONbKBHGM0mK3e9vY1dtR3ctyjTa5zBbUjf3VTJE5fl0WGxMzkxhPSjOG9uNlkxWhy92pwuiXpjNw6ni/ve30FFixmFgIsmJ9BssrKrpuOwBlqjVBCi11Bv7B2V7T/AyjxZ0QHEh+iw2Jx9Lja0KgXKPlb3MjInAgY/NWNijiy4cyiYlBTCe7fM5JNt1UgSXDApjkmJ8sJ4OJAN9AijpNHErlp3wEhfJRvLm82Mjwsi7hjECyICtATr1b2iRkP1aiIMWv79XREVLe78Z5cE72+u4oEzsmjtOrysX3iAlj+ck8Ntbx2o9ZwRaWDsAHMmY4N1vHLdVL7YWUtGZABbKttwutzL+BC9mqQw/0MGncnIyBw7aqWCaSmhTEs5vqldMr7IBnoEo+uj/OKSCdFEBBxbIfD4ED1PXDaRO97aSqfVgZ9awaOX5PL5jlrWlbb49Dd1O5iVGkZlq5mKli4C/FSkRwRg8PP9+izMjuT9W2eSX9tBqEFLXnzwESkhxQbrOC07CrvTxd/PH8e+RhMapYIAPxW5CUHDql4kIyMjczyRn3YjjPRIA7nxQeyo7vAqgr2ytow2s53Tc6K4c0GGV8LzWJiXGcGXd82h3thNhEHLnz7fg4RbDKSnWx0gOyYACTj3qTXeXffVM5K4d1EGof69FwtatfKQq2+rw4nD6cJf67sTdjhdvLuxkr9+tReAM8ZGc+a4KIJ1atIiDCT2UXxARkZG5kRFNtAjjDCDlscvy+O7/AbWFjcTHaTlvVtnoFK4i1roBnieOxCSwvxJCvOnsbOb/DojLV02fr8kh9KmLho9VWuumJbAlKQQ7nxnWy+X+BvrK1iUEzVgZS9JkthS0cYzK0uobrVw1YwkzhgXRWSPwJOy5i4e/uZARZhv99Tz7Z56vrhjdi/j3NZlo7bDgtXuIibYj5igY6tgIyMjc4AdVe18ubOWZpON8/NimZIc2m+tdpmhRf6rj0BSwg3cMtfALXPTjsv9wvy1LBkfw2vrKvjXtwVcPi2RAD8VkxJCmJISSofZ5iPgD1BvHHjt1T21Rq54YYP3XP33n+3GZLXzi/np3j6d3Q7sTl+1HYvtQMWaDaUtfLKtGq1KSYi/hm6bk3Pz4siJPXaBFRmZk53dNR1c+vw6uu3uefrJthqevWoSZ/ah4S8z9Mj1oGVQKgTXzU5mQXYE3XZ3SckArZpJSSEYtCqC/TXMTg/3ue7gs+X6Dgtri5t4f1MV3+6uo65H8fT8OqNP0Nvzq0pp6BHxHR+iI7ZHpa7UcH9+t2QMywsaeWr5PjaVtbC9qh1jt4MfC5uoaDGTHRPAlztr6Lb3jkqXkZE5ctaXtniN837+u7yYLqu9nytkhhJ5By0DuHft/71iEjVtFrSeMpf71b/8NSr+76wx3PH2VkqaulArBfeelsm4HuUlC+uNbCpv5a9f7vVW3hobG8izV00mIVSPto+cTn+tCnUPhbHIQD+eu3oyv/t0N7tqOrh+TjJ/+GyPNx/zv5dP5I31Fd7iGZWtZvY1dvKHJTl0WBz4qeWvs8zw02mxs7G8lc931BIfouOs8TFHXIp1uNifNdETh8tFH80yxwH5iXaS0mmx02l1EGbQoPUEnflrVP0WwRgTE8j7t86kstWMQasiOdwftcfoSpLE+pIWPt9Z5zXO4HZrb6loIyFUz/j4ICIM2l7F5391Zhahht5BZuPjg3n9xul0WGz87pPd9NSX77Y7vcZ5P7trjNS0W0iNkAPIZEYG3+bX88sPDmhZv7Gugg9+Pous6ENrFnRYbOyu6aCy1UJMkB/j44IIMxxbxsaRMiM1DLVS9Dpqum1+upzeOEzIBvoEoqrVTGuXjcgALTGHEBXZVN7K377Kp6Cuk9PHRnH3wswByYSGGbR9PjCsDhfNXTYqW33rR+93YadGGHj75umsKW6mrsPC3IxIJvaj7RukU6NVKTB2H96tNjY2EJVCeFXRZGSGk1aTlf/0kKgFMHY72FXdfkgDbXM4eWVtOY9/f+Day6Ym8LslYzD4qaluM1PTZiFIryY13H9QMjn6YnxcEO/ePIM3N1TSbLJy5fQkZqeFDcm9ZA6PbKCPMw6nCyEEyn6KRxwNkiSxvKCR+97fQYfFTrhBwxOXTezz3Lik0cS1L2/E7Am8+mJHHU1GKy9cO+WoV8l+aiXRgX7Mz4rwFvTYT0+Jz4yogAEV82gxWanrsHDtzGS2V+3wti8vaGBhdiQ/FDSiVgruW5RJfq2Rh78pZF5mBNfPPvpKXjIyg4FLcruE+2o/FGXNZv67vBiDVsVpY6JQKwXL8hu4bGoCTglufn0zrV02lArBL0/P5JqZyUMSWa1QCCYnhzI5ORSXS+q3yI3M8UE20McJs83B+tIWXl1bjlqp4MY5KUxJDhmUlXBZcxe3v73VG9zRbLJx+9tb+eKOOSSE9g7kKm02eY3zftaXtVLTZiH7GGQG52aGIyFh6nawLL8Bg1bFg2dmk5sQfETvk1/bwV3vbqO4sYsFWRE8dME4b9DaFdOSSAjVc2p2JAoBz60spcKza397YyXbq9p548Zpx90teKIghHgZOBtolCRpnKftr8B5gAtoBK6TJKl2+EY5sgkP0HLHgnR+/+keb5tOrWRc/KHPoM02BxMTgpmXFcFHW6qxOVxcNjUBhUJw/3vbvUp+TpfEP78pZGpyKFOSh1bpSzbOw49soI8T60tbuOHVzd7flxc28u7NM5ieeuzuo9p2i0/kZbvZToOx28dAG/pYdes1ymPOr04I9efCSVqmp4Txi3lphBjUJBxhmbxOi53ff7aH4sYuAJYXNrGutJWPfjGTtAgDWo+yWlKYnlVFTV7jvJ/8OiMVLWbZQB89rwJPAa/3aHtEkqTfAwgh7gL+APz8+A9t9HDOhFiC/NS8vbGSxFA9V0xPIqePOutGi51N5a18s6eeuRnhLB4fzV+/3Ot9/dmVJUxPCaWkqcvn2rqObp82mRMP2UAfBxxOF696SjDuR5Lg8x21g2KgwwO0KBWiVwSmn1pBqL9vwYms6EAW5UTxXX6Dt+3Xi7NJDD32UnJ6jYqMqAA6u+2UNHVR195CariB8AFKkzabrGypaOvVZrE72ddoIqdHFKwQgiB937t9rVrOHDxaJElaJYRIPqjN2ONXf0CO5z0MwXoN5+bFsWRCrPcoq8Nsp6ixk06LneRwf1IjDCzdVcevP94FwM6qdh/N+kmJIeys7iAtwt/HSMcEy5WlTgZkA30cEEL06cr2GyRjkhpu4M/n5vCHz/bgktx5zQ9fOIGUcN8dbKi/hr+fP47LpibQ2GklNdyfcXFBCDE47qz6jm7+9lU+X+50l4vMjDLwvysmDehsOFCnJjlcT3lz751xZB8GPi3CwDm5Mb3KUl4xLaHPzyxzbAgh/g5cA3QApx6i3y3ALQCJiYnHZ3AjmP3GucVk5aGlBXy01R2fodcoefGaKTz5w4GAsDaz3Ud7X6EQbKlo5aoZ7jrw+8+gb52byphoWZjnZEA20McBpUJww+xkfiho8KYNqZWCJeNjB+X9NSoFF09OYGJiCI1GKzHBfqRFGPo1upGBfiwMHJoV+KbyFq9xBihqMPHGugr+eO7YwwbGhRm0/OOC8Vz/6iavy/7amcmM6cM9GOCn5rdnjeHMcTEU1BoZGxfI5KQQuZjGECBJ0m+B3wohfgPcAfyxn37PA88DTJkyRd5pe9hdY/QaZwCzzclfv8xndka4N6iysdNKXLCOQD8Vxm6H57oOHrs4l199uJNLpiag1yhRCMGpWeGy9OZJgvyvfBzosjqIDvLjvVtm8FNJM6ZuJ2eNjyHvCAOoDoVWrWRsbBBjj9LmW2xOLHZnn27xI2FHdYdP2+riZkxWO0G6w7/3zLRwvrrrFCpazATr1GREGgjQ9e3Ojg7SsWS8jiXjZRnC48TbwFf0Y6Bl+qZn7v9+Cho6uW9RZq+sh1fWlvPy9VMpaTThcErkJgSTGu7PU1dO4vWfyvFTK7l6ZhJj44KP4+hlhhPZQA8h7WYbKwqbeGtDBUE6NbPSwvDXqLh2Zgohx2gIB4v9RSye+GEfZc1dXDolgZ9Njif2EHnUhyIvPtinbX5mBIY+qlf1R1qEgbSIw+dlyww9QogMSZL2+2LPBQoO1V/Gl77iO+ZnRjA+IYhHLprA2xsrSQ335+qZyeQlBDMlqXd09rzMCOZmhA/aMZTM6EE20EPID3sbuL+HotDKoibuW5TJloo2TsuJGsaRHaCgvpNrXt7IhRPjmJQYgsMlsXpfEz+bFI+qD3nOwzE1JYQLJ8bx8bYawC0kcuWMxEHN+z4Wypu72F3TgdXhYkxMAGNiAuUHnwchxDvAfCBcCFGNe6d8lhAiC3eaVQVyBPcRMzY2kL+cN5aHlu6l2+5iXGwgv16cTXSgjounJHBeXiwqhaLPtKYOi40uq5Nwg2bIxElkRi6ygR4iOsw2nl5R0qvN7pRoNtkwWUeOgS6s7+TWual8sq2G8hZ3cFZOTCDj44J6RU4PlKhAHX85fxzXz07B5nSSHOY/YtKeihs7ueqlDdR3uF2OWpWCt26e7rNjOVmRJOnyPppfOu4DOcHw16q4anoSp2SEY7Y6iQvREaw/4EHry/BKksSGslb++mU+JU0mloyP4eqZSbR32YkI0JIeeSDtUObERTbQQ4RCCFRK3xWxUiFIHUHu22C9mvWl3V7jDO584p9KWo7KQIM713r8YYQZhoO1xS1e4wxuidKnfyzmmasme/XIZWSGAoVCkBI+8Hlf2NDJtS9v9Grbf7S1hnpjNwLB2pJm/nB2DldMS5SN9AmOnDQ6RDSbrFw/K6VXm16jJC5Yx9QhVgA6ErKiAihq6PRp31bZfvwHM8TUdfjWr+6yOmnvsmF1yFreMiOH0iZTr8Iz4F5gTkwMRpLgL56dtcyJjbyDHgLq2i3c/PoWAvxU/N9Z2eypNRJh0DIvM4Ls6AAihijF6WiICdZxWk4UWw8yyPOzIoZnQEPInPRwnl1Z6v396hlJBOpUXPL8etIi/Lnj1AwmJYUM4whlZNwcHFQZFahFpRBemV5JgkajlZwBZG00d1qpaO1Cr1GREu6Pn7zrHjXIBnoIKGowUexZ3W6vbictwoDV7uSK6Ykjyjjv55wJMWyvbGeZR13sosnxnJIRTnWbmbLmLvQaJRmRAQT2k+40WpiYGMJ/Ls3ln18XEhfsh8Pp4n8/uuMEKlrMrCtp5dPbZx+2LKCMzFAzJiaQU9LDabPYOHt8LKXNXcQG+6FTK9GplThcLuJCDp9pUVhv5La33HXchYCb5qTwi/lphPqPjLgQmUMzpAZaCBEMvAiMwy0ReIMkSeuG8p4jgW67w/uzJEFxowkhwCmNTO2GhFB//nNJHuWtXSiEIDlMT3FTF9e9vJEWj0j/ubmx/G7JGCJH4AJjoPhrVVwwMZ5T0iNoNlk556k1vV632J3sa+iUDbTMkJFf28Gm8jZcksSUpFDGxfWdRRARoOXRi3P5qaSFe9/f7m0P9ddw2/w00qMMh41lsTqcPPHDPq9MqCTBC6vLmJ0ezvysyEH9XDJDw1DvoJ8AvpEk6SIhhAY4dsHnEU5Tp5XKVjNRgVoajAcCki6aFD8oetdDhb+firGeoDCLzcl/lhV6jTO4dcPPyY1lUc7oNdD7CTNoaOmyoteo6LC4a05PSwnllIxwrE4XRQ2dpEcY5Go+MoPKzqp2Ln1+vbd2uVal4J2bZ/R7rOKnVvD0yuJeba1dNsIMGhaPO7w4T5vZxpriZu/vWpU75Ki0qYv5WUf7KWSOJ0NmoIUQgcBc4DoASZJsgO1Q15wIFNYbeXRZEXcvzKCkyURJUxdTk0O4YGL8qIkUNnbb2dJHkFjVQdWjRiNdVgefbKvhhdWlXD0ziaeWFzMzNYyEUD2PLSsCQKNU8OzVk5ifGSkbaZlB44udtV7jDO4sgrc2VPRroB0ud/nWg7E7B+aJC/LTMD05jE0Vrdw4JwWz1YmERHqkrFc/WhjKKO5UoAl4RQixTQjxohDC55shhLhFCLFZCLG5qalpCIdzfLDYnVgdLv71bSFbKtowaFV8uKUadR8pVyOVEL2aBdm+LrATYWLvrungd5/upqLFzObyVn51RhYXTorj/c1V3j42p4sHP9zFC6tL+Gx7Da1dvlKNMjJHSn0fJSJrO7ppN/f9/QozaLllbmqvNpVCDFgiWKdRcu+iDO5emMEzK0p4ZmUJz64s5ZY3trC9yl01zmp3UlBnZGNZa59ZDjLDy1AaaBUwCXhGkqSJQBfw64M7SZL0vCRJUyRJmhIRMfojh9MjAwj0czsmylvMrCluZmpy6IACOkYKGpWS2+anMTbWXaRCqRDctSCdCX3IeI42ypoPlO1bX9rKv74tpLaPB1OTyUqn1cnd727nU48qmozMQClv7uK9TZU8tqyQVUVNmLodLO5DM35WWhgljb71nvdzTm4sD10wjswoA3MzwnnzpumMjxu4xkBObBBVrWZv9DdAt93Fe5uq6LTYeWZlCWc9uZpLnlvHeU+tZWd1+xF9TpmhZSjPoKuBakmSNnh+/5A+DPRIp8VkZXtVO4X1naRFGpiYEHzIQKmUcH/euGk6//1hH7trjJydG8NV05NGXZWljKgA3rxpOpWtZnRqJSlh/qhVoz9tPqKP0pVBOjVCQM8YvrQIf2ra3Ib7v8uLWTIhlqhRHCAnc/yoabNw42ubetVw/scF4xkXF8gvT8/is+01uCSJc3Jj2VDayqy0/mvChxu0XDE9ifPyYlErFUcl99nXzl2vVrJ6XzOPf3+g5GVjp5U/fraH126YNuozNk4UhsxqSJJUL4SoEkJkSZJUCCwE8ofqfkOB1e7k6RUlvLSmzNt2fl4sfz1/HAF+/X+Bc+OD+d8VkzBZHYToNaP2HDNEryFE33dRD7vTRYOxGz+VkvA+jN5IZXxcEItyovjOk1KmUyvJjQvm8Uvz+N0nu+m0OkgM1XPVjCQe/tpdF0KpEMhy3TIDZU9tRy/jDPDwNwV8ceds9tZ2kBFlQCkEL60uY1xcIJ0WB59uq2ZcXBDpkX1nEPgfQbGZg7lgUjxLd9d7f1cpBOPigsivN/r03VbVTrvZJhvoEcJQb+vuBN7yRHCXAtcP8f0GldJmEy+vLSMnJpCs6AAK6o18ur2Wa2clMzHx0IIWWrXyhJXhq2o189zKEt7bXEW4QcsfzslhQVbkqPi8kYF+/PNnE7hhthGT1UFquMHtGUkKYWJiCDVtZr7ZXc/DXxd4lZzuOS2DyAB59ywzMPbXMu+J2eZAAL9ZMoaVhU2s3tfEPadl0Nhp5bpXNwEQqFPx7s0zjlpitz9mpITyn0tzeeL7fbgk+OM5ObyzsZK8BN9nWG58EEH9LMp7YnM4aey04q9RjZjKfCciQ2qgJUnaDkwZynsMJd12Fw+cnsXu2g42lrWSlxDMNTOTaDZZefWnciIDtOTGB/d7vux0SRTWGylp6iJIpyYnJoDwUf6gd7okXv2pjDc3VAJQ19HNL97cyke/mMXkpBBq2y1sKG1hd62RSYkhTE0OGXG506H+Gmamhfu0J4bqSQzVE6RTY/BTUd5s5tzcWGak9u+ClJE5mKzoAHRqZa+I7SunJxEdpEOtVHDljCSunJHEX7/cw0tryr19jBYHX+2sG3QDHaBTc8HEeE7NisQlub//ywsa2VDWwuXTEnh3UxWSBOEGDX8+byxBh9k9lzWbeGp5MZ/vqCUxVM8fzxnL7PTwEVOx7kRidB2MHmdC/TV8sKXaG1hkd7rIig7gqeUlLBwTyZ7aDt7ZWMGjF+cRotdQ0miitsNCVKAf6ZEG1hQ3c+sbW3C63Iebp2ZH8M8LJ4w4g3UkNHV288HmaiIMGs7Ni0OnUVLdamFfg5G0CH9++8kufix0R+O/RBmXT0vgD2fnoBtFZ/A5sYev5GXstlNYZ6TZZCMpTE9GVADqoyjPKXPikRUdwFs3TeepH/dR3NjFxVPiuXBifK/vh8slkV/r62LuWbRmsOlZQeviyfFc+vx6jJYA7l6YgSTBqVkRfe6qe2K1O3n020K+2uV2mZc0dXHDq5v47I7ZXh0FmcFj9Dw1h4EGY3evqN9zcmOpbbdwWk4Un22vwV+j4rJpCZQ2mqg3dnP/BztwSSAE/O+Kiby/uYo7F6TjdEmYbU7e21RFfp1xVBtonUbJ/adn0WGxUdliJszfAEhEBfpR2mTyGuf9vLupiqtnJA36rmA4MVrs/Of7Il5ZWw6AQsBTV0zirD6idGVOTiYlhfDMlZOx2J29DON+FArBrfPSSIswsK2qnT0eY31uXm9x7bYuG5WtZvzUCpLD/AftGCk3IZgPfzGLTeWtCGBqcijjDhMd7nRJVLSYsTklVAqBw7PxcLgkihtNsoEeAmQDfQgOFhYJ9degUgieW+UuuNButvPYsiKeunwiv/lkF57vK5IEzZ02EkP9efIH97lPmL+G+0/PpMM8urVaWrvsPP1jMQ2dB3I3716Ywd++3Ms/L5rg01+SwDZAYYWjxe50UdNuQQHEh+iHPCivsKHTa5wBXBL83ye7DnncIXPycag4lB3V7by8poydNR3MSgvj3NxYuu1OKlu62FXdwfj4IPY1dHLPe9vZU2tEIeDWeWncckrqoJz5CiEYHxc04JStipYuXvupnI+31ZAQoue3S8bwzIoSGj3PgcBDBM3KHD2yT+4QpEX4c+HEOO/vJquD5QWNPv22V7UTrOs9aSTg9XUVXqPd0mXj7Q2VpPUTpTla2F3T0cs4A7y9oZJfnpGFw+kiKbS3gZqcFEJy2MAkTk3dDjaWtfDx1mp+Kmmmw2w/7DUNHRb+9U0hi/69kkX/WcV/l++jxTS0wiKtJt9FVrvZjrH78OOVOTlo6rSyqqiJL3bUkF/b4T3mArexu+aljaza10y72c7SXfV8u6eeylYzf19awBUvrKe0ycSzK0u8O2uXBM+sKGHHMOQpWx1O1uxrxuCn4vpZyRi0Kv6xtIArZyQBMCM1lByPZoLM4CLvoA+BwU/Ng4uzWTQ2ivxaI9NTQtlbZ2RfY+86rDHBfj5KYX2pT+1rNOGnHt1rIofTN0LVYneys7qdnNggXrx2Kq+vK+enkhZOGxPFpVMT+nTxHYzd4eLNDRXe1CaAW+amcs9pGb1yyG2eus3780G/L2jkhdX7S0hK/Of7fYT4a5ibEUFy+NAonyWG6Xu5+MB97hgd6EdjZzetXTbC/bWjKv1MZvBoNHbz4Ec7vcc9KoXgpWunMs9TwrW0qcurAb+frZXtzMlwBy52Wh3UtFl8josAiho6j3uhiz01Hfzvx2JqPfnUZ0+I4bScSML9Nbx4zWTGxQXLGgFDxOi2FseBqEA/Fo+L4f7Ts5iTEcHtp6aj6RHsERmoZW5GBI9clEuk54GcEeHPmBjfFeWYmADCDaP7oZ0dE+izyLhgYhxf7Kzj/vd3AHD7qem8ev00Hjwz+7AVd/ZT1tLFo98W9mp7flUpJZ7FkMXm4Ie9DVz14kaufmkjy/c20GW189EWX5WvVUVNPL2ieNCPE/JrO3hq+T6+3VPHvy/JJdzgXniMiQngd0vG8GNhIx9sruKC//3Ez579iU3lrYN6f5nRwZ7ajl7G1eGS+P1nu2j1eHZ0Gl+3t0apoOfa14XElD5SOZPDei86G43dbK1oY19DZ5+L52Ol2+7gmZUlXuMM8OXOOsbEBBId5MdpOdFEB8nGeaiQd9BHyJSkED6+bRZ764xoVUrGxQWSGmEgIwo+v2O290zmn18XcO2sZN5YV47Lk8Lwl3PHDWg3OdJwuiTsThd+aiVjYgJ54eopvL6ugup2M/MyI2jstFLtUd3aVN7K37/ai1IpuH9RFufnxRGkd59PuVwSJU0mqlrNhAdoSY80eHfHnd2OXjvS/XRY3MUCNpa1cuNrm73tG8pa+eDWmUyIC2JrZVuva+JC9Hy5o5YrpieSN0h/7711Ri59bj2dVvd4gvVqnrlyMmql4LPtNdzw6ibsTokQvZp/X5LLN3vqufWNLXxxxxz5XPoko62Po5mqNgsmm5NQ3N6W08ZE8v3eA8dlV0xP5BuPmEiYv4aEED13L8pgW3U7TZ5nyrm5sb10uHdVt/PzN7dS025Bo1Twf2dlc8nUhEFVLWy32NlQ5rvQtNicslv7OCAb6CNECLcKT18Rj9FBOqKDdPywt4G1JS20me3cuSADpyRhtjrw1458IY+D2VXdzqs/lVNQ38klU+I5c2w0qREG5mdFsK60hXc3VdHueSAJAU0mG10e3d8/fr6HmCA/Th8bDcCGshY2lbfhcLloMdmIDtRyw5xU/LUqEkJ0xAXrqGl3G/q0CH/mZUWQ4DnTfmdTlc/YXllbxh0LMvh8Zy2tntKYMUF+hOjVtHTZ0CgVtJqsmO1OIgO0RyWTuJ9N5a1e4wzuM+f//biP6SlhvLG+0tveZrbz7Z4GFMKdtlLTbiYuRIfN4Tym+8uMHlLD/X2kY88cG02Ux8MWotfw9/PHcfHkdqraLKRFGlAIQUuXlXNyYzhzXLTX8/TpbbMpazGhU6tIjzR4c5Q7LDZ+++ku73yxOV386Yt8JsQH91sd62gI0WmYmRrGt3saerVnRrmPdGSGFtlADwHBnh1jfp2R/Dp3kIe/Rsm1s5KHcVRHTnFjJ1e8sMFrmP74eT61Hd386oxsxsYG0mVzeFf9QsDt89P5toekIMDXu+s5fWw0+xo6eXF1GT94guySwvRcNjWBooZOJia6xUyeu3oyf/p8D5OSQugw2/hsWy07qzr4wzk5BPj5flUDdWpyYgN595bprChswmxz0mV18r8fi7l+dhK17RZueWMLdR3dXDAxjjtOTT/qc+nuHqIT+/FTqyio7/Rpr2ozo1UpmJkWhk6t5LmVJXy1q47pKaFcPDmBzOjRHSgoc2hyYgN56vKJ/PHzPTSbbJw2JpJfnpHVK6I7KkjHGUHuxWeXzUFJo4mrpieRFKYnOuiAxyUuRNenB6bFZGNntW8edVWreVANtFat5OIpCeyt66Sy1YwQcH5eHKuKmpiVFuZNGa1qNVPU0IlCCLKiA4gNlr1Gg8FJa6A7u+34qZRDUgAiMzKAa2cm89q6cm/bn88bS2LowKKZRwqF9Z29do0Ar64t56oZSeQlhhAb7MekxBBau2zEButYtqfeuyABOCUjnPlZEazZ10Rjp9VrnAEqWszsqunoleYxLi6Il66dwl+/2suHW6oBd/T7xc+u48Vrp/DJ1hqvG1ylEFw8OR6AzKhA9GoVq/c1s7m1lYcuHE9yqJ5Ln1/vjaLf/34PXTDuqHayU5NDfQLDzhgbiU6t4qtddb36TksO5c31FVw+NYFnVpR4dZB3Vnfwze563r91JjHyA+yERaNSsmRCLJOTQ7BYnUQH6fo8dwaobOlibUkLDcZu1uxrpq7DwgvXTiWnjxiWngTr1aRF+Ptofkf1OA+22J2oFQLVMQrodFkdzE4P4zxDLEqFYFVRM06Xy/uZCuuNXPPyRhqMbld8cpiel66dSlrkwOJPZPrnpDPQte0WPt9eywdbqsmKNnDr3DRyB1hfdaAE6NTcuyiDM8dF0WSykhTqT3Z0AGKUVVxQKXwntlqpQOn5HJGBOiIDDxgaSZJ4eW05JquDs8ZHgwR3v7udCIOWhWN8I093Vndw56m9z4jbLXY+Oai8o9XhwmJ18v6tM/l+bwMCOC0nqlf5y/hQPZdPT+Ty6YkAfLy1moOPtD/ZVsM9p2UQH3LkC6UJ8cG8ffN0nl9VSpPJynWzUpifFYFLkrhvUSZPryjG5YLzJ8Z5SlU6CAvQ9ipSAO6zyH2NJtlAnwREBx7637iooZPb3tpCsafc5Lm5sUQGanl8WRFPXpGHn7r/x3Oov5aHLhjPTa9t9i6ifzEvlZyYQJo6u1m2p4G3N1aSFKbnpjmpx7SrzksI5r8/FFPc5A7YVCkEr90wzVsw6MMt1V7jDG41tO/3NsgGehA4qQy03eni+VWlvPpTOQAlTSZWFjbx4S9m9Rl1fSwE6/vWex5NjIkNJD7Yj+r2AxGc9y3K6Nd9NT4+mE9um+XdRd/97nYAWs22Pg3S7PRwH5ezRqUg0E/lE2ijVAomJYUM+EHTl55wdKAfuqNUYlIqBNNSwpiYEIJTkvDr8T53nJrOguxItla28ca6CppMVv59Sa67kpnAZ6EgaxbL2BxOnltZ4jXOAJ/vqOW+RZm8tKaUdoud6EMYaIDpqWF8eeccKlrNBOvV3qDLZ1ZU8M9v3BkRe2qNLC9o5ONfzDpqNb+kMH9euX4qe2o76LI6yYwOYKzneel0uthS0e5zzc7qjqO6l0xvTioDXd7cxVsbKnq1ddncObzBejUxQfKupieJoXpevWEaKwubKGnq4tTsCKYmhx7ymoyoADKiAnhn44HAKadLorTJxOJx0Xzt2VFmRRm4YXaKj0Z3TJCO3y3J4f4PdnjbxscFHdbldzBjYwOZmhzCpnJ3hLdCwJ/PHUvYMaa5qVUKDjb9Ck/5vpQIf2alhaPXKIkN1mF1OLlyemKvILJxcYFkRMk7i5OdDoudVUXNPu0tXTZOzY4kRDew7IOkcH+Seixy6zssPLuytFefbruLgvrOY5LbTQjVk9DHEZ1SqeCCibE+mRSLcqKO+l4yBzjhDbTF5qS+wwJCsL2yDT+VEruz97lqfUc35/x3DX89fxynjYmSix70ID0yoN8atYfi4PP2z7bXcsPsZP587lhauqzUtnf3u5tdPD6a2GA/9tZ1EhmgJS8x+IhdwtFBOp68fCJ7ajrosDhIjzQMeVqIv8YdabsfrUrJHQsymJwUwsqiJvISQpifGSGXrpQhUKdmRlooX+zoHb8QHajl8mkJR625rVIo8FMr6LD4tg8VC8dEsbe+k3c3VqJUCG6YncLsdLkC3GBwQhvo0iYT/1i61530nxRKVKAfv5ifxr96CGIkhuoxdjtoNtm4/a2tfH7HnMOKxg8USZLYVdPBysIm7E4X87MiyU0IPqFcnIX1nawpbqbFZGVORjiTEoPxU6sYHxfIfYsyefKHfThcEr+Yl8rU5FBsThcqJawobMRP0/dDQ69RMTMt/JiPCGKCdMPuFYkK9OP8ifGcPzF+WMcxEIQQLwNnA42SJI3ztD0CnAPYgBLgekmS2odtkCcIWpWS2+ens62y3ashcMHEOM4YF01q+NF7WMIDtPzqjOxeHqhwg4ZxcUO3OI0N1vHHc3K4cXYKQrh32/ImZ3AQkjS0hQyOhClTpkibN28+fMcBYLU7eXVdOSqFoMVkQ69Rsb2qDYfTxZIJseyoasdfq0LpKX6xXyv3v5dP5Jzc2MO8+8DYXtXOpc+tw+pwK/woFYJ3bp7OtJTRtbps7OymtKkLlUKQFmkgxCP+UdzYySXPrffmIAM8e9Vkzhznznu2O12UN3fRZXVQ1WbhuZUlVLaaWTwuhgsnxTI9dXSf0Q8XQogtkiQNep11IcRcwAS83sNAnw4slyTJIYT4J4AkSQ8e7r0Gcy6fyOyvmKdTK0mL8MdwmKITpm47la1mlAoFyeF6n4I+7j4ONle0snxvI/GhOuZnRsqpfSOYQ83nE3YHXdtuYV1JCyt6SO5dOjWBPbUdPPJtIb8/ewyPLSvyqb8aOgiVYvbz1c5ar3EG91nsaz9VMDU5dNREdBc3mrj9rS0UNrgjOOekh/GPCyeQEKpnW2V7L+MM8MiyAmamhhKk16BWKsiICmBtcTP3v78Dm0eK8L3NVXR220kO9yfqMJGuMscPSZJWCSGSD2pb1uPX9cBFx3VQJyAWm4OyZjNWh5PkMH9mpA5swV7R3MUfPt/DyqImFAKunZXMbfPTiDjoyMTgp2J+VuRx1+yWGXxOWD9Eg9HayzgDfLC5igXZkTR2WmntsvOrM7N6uZvPz4tlTMzgrTSNFl/Jv3aLzSeqd6QiSRIfbqnyGmeANcUtrCl2B7f0Jd7R1e3EflB5SXcN2d46wd/sqfe69kYSLSYr60tb+Km4mQZj9+EvOLm4Afi6vxeFELcIITYLITY3NfkWepCBps5u/r50L0v+u5oLnv6J617ZRPFBxXf6QpIkPtxazcoi99/VJcEra8u9QZAyJyYn7A7a7vIVjt9vGMfEBHL62CgiDFq+uGM2Zc1dhOg1jIkJIMR/8IpZnJsby3ubq3u1XTsz+YjOoLusDpo6rQT4qY45AvlIsTpcrN7nG2m6paKNy6clkhsf7CPeccvcVG8VJ7PNQVlTF7o+KngF6zUj7iy+oqWLe97dzraqdgDSIww8e/WkowqSO9EQQvwWcABv9ddHkqTngefB7eIeinF0We24XG6tgdHIloo23uwR1b+jup031pfzh7PHHnI+dFkPqPb1ZENZC2eNjxmSscoMPyesgdarlUQEaL1C8wDZ0QF02108ctEEb/BQTmzQMaUfHIrJyaG8ct1UnllZjM3h4ta5acw6gsCnwvpO/vZlPquLm0kI1fHQ+eOZnR6O4jgZNj+1ktNzothTayQzysDC7ChsTheTE4MBt/LXWzdN5+kVxdR1dHPtrGTOyHGfPzd0WHhkWSEfbqnhiUtzGRsb6K1tC3DzKak+lXmGm5VFTV7jDFDcZOKTbTU8cEZ2r352p4vSJhNtZjtxwbo+009OJIQQ1+IOHlsoDVPQisXmYHVxM09+v49uh4vb5qexcEwkQQNMRxop7OojP3h5QSN3nJrudVVLkuTV2I4L1iGEQKdRMS0llKzoADIiDThcEkJA2gCrxcmMTk5IA13c0MnuWiN/PW8c722qZGd1B7PSw/jZpHgmxAcP6jnzofBTKzk1O5KZaWFI4E0rMlrslDV3IUkSKRH+fT5kjBY7v/l4J1sr2wGoarVww2ub+OLOOWRHH78qMovHRaMQUNlm4aU1ZWhVCkL0ajaVtTIhPojpqWHkJQRjd7ow+KmpaOmivLwLs83JykL37vuXH+7kiUsn0tDZTbPJRkq4nokJISOustfmPspDri1u4e7TnGiU7n+7bruDdzdW8bev9uJwSQTr1Tx/9eRRF/g3UIQQZwIPAvMkSTIfrv9QsaWijVte3+L9/b73d/DUFRM5e8LgBHQeL7L7yOcfFxvEK2vLuHRqIv5aFe9srOTpH0sAuP3UNM6fGIefSskV0xL5+9K9/Of7fYD7efLitYMeKygzgjjhzqAL6oxc8eIG/vj5Hn7+5haCdGp+u2QM5c1mPtxSfdyMc0/81Ep0aiV17RZ+2NvAJ9uqeXN9Bec//RO3vbmVypYun2vqOrq9xnk/dqdEebNv36Gg3WzjvY2V/PLDnThcEh9srsbmdNFpdfDosiI2lLWyucJ9/qVVK/HXqvguv4ElT67homfXcftbW7nplBQiArTYnRK3vb2VjaUtXDsziQsmxo9IGcC5mRE+bWeOjfYaZ4DdNUb+9EW+163fbrZz/wc7enlqDkdnt53adgtWh+8Z/nAihHgHWAdkCSGqhRA3Ak8BAcB3QojtQohnh2NsS3f5undf/6kCm3Nk/Q0Px9TkUM70VHcDiA/RkRsfzNMrSnlzXQWri5p4bFkRFrsTi93Jo8uK+HRbDec/vZbdtR38VNLivdZid/Kvbwvo7CPWRebE4ITaQUuSxDsbK701mQE+3V5LdJCOipYu7luUOWxj29fQyU2vbaKi1e26GhsbyKMXT6C8xUx+nZHEg9y9/lolgToVRktvUZXg43T2trKoiQc/3sWinCiW5Tf4vF7U0EmD0cLsdLfLvrzFzF3vbMPiCRzrtDp48od9XDMzmWdWuncDcSF6wg3a4+aiP1LmpEdw0eR4b2GN08ZEctYE9/mew+libUkLO3q4wPdT1WqhpctKRMDhYwS2VrTx16/yya81MjczgsumJhAXrOtzZ3W8kSTp8j6aXzruA+mBw+liT20H2j7iGMIMGpRidO0xooP8+OfPJnD2hBj2NZpo7bLx2HduXYaChk4KG3yro60vbSXCoKWqj6DK/QVtRuuZvMyhOWEMdHGjiT01HWyp9I1qrOuw8PhleUxNHrwybEeCJEm8v7nKa5zBrZFb2tTFG+sqUCgESoVgYXaU13jFh+j587ljufe9A4ID5+fFHpcHuc3h5I11bknU+o5u4oJ17K3r/eCIDNTSZjqQYlXfYfEa5/102Zwole7PkxZh4NKpCSPWOIP74fnX88Zx45xknC53VZ79eal764zc8Oom7j3Nd5EXH6IjrB/PjMPpllksbTYRYdBy8+tbMHmKG3yX30Bdh4Ws6ABuOSWVrON4dDFa2FrZxuUvbOCBM7LQa5SYPbXGVR7FqpEWaDgQgvRqFArBEz/s69WuUytIDjew6qDAzNhgHWuLm/tU3jtjbDRhhpF1VCQzeJwQBrqmzcxLa0rZUtHGopwodtf0rpOaEu6Pv0Z1WBGAocLmcLGutMWnvaSpi6ggP4obTdzx9ja+umtOr4jhs8bFkBLmT1mLmQiDhjGxgcfl3FYhBJGe3eCumg7OyxvD+tJWr2GJC9ahUytZMPmAOlZEgBatStEr71urUjA3I5zZaWGkRRi8tWNHMjqNkjExvkGDRY0mnC6J7/LruemUFF5ZW47TJRGoU/Hnc8f65KLuZ2VREze/vhmXBHcuSPf+Dfezu8bIpVMS2F7VLhvoPnhnYyVOl8SzK0u4/dR02sw21AoFZ4yLYkJc8HAP76jJjQ8iLyGY7R6PjEap4IY5qQTp1Hy4pdqrLxDmryE5TM/7my38sLeRe07L4IVVpXTZnMxMC+WuBRl9ipXInBgc0kALIZTATUA88I0kSWt7vPY7SZL+NsTjOyzbq9p55sdiSpu7OCUjnMmJIZwx1u2WVQrBhZPiKWk0kRU1fKkyWrWSs8bF+CwcMqMMrCh010i2OlzUtFl6GWitWkleYgh5icd3569SKrhhTgrf7W3A7pR4cvk+bp2bSnSQHyarA61KQUq4P5N7VJZKCTfw8M/G86sPd2J3SmiUCv510QSmJLmLa7SZbVhszn7r4o50ArTuqbKjuoNuu4s7Tk1HpRREBvixsrCRhWN8iwM0dXbzu093e9P7VH3s9rQqBbHBOkoaTRTWG4/ZSI+GOXskWGzuBV+72c4j3xZi0KpYMi6avITh8YYNFnEhep69ajL5dR2YrA4yIwPI8pSk/egXs9hbZ8TudFHVaubJ5e6d9vaqNh48M5MLJ8ZhsbuIC/HDoJVd2ycyh9tBPwfogY3Ak0KIlZIk3ed57UJgWCf7voZOrnxhPV0et9e+RhNpkQYkSeLOBem4JPhhbwN76zq5fnbKcA6VsyfEsq2yne/2NiAELBkfQ11Ht3fHqRB484dHApMSQ/joF7PYWNaKEJAZGUBSuJ7E0L5To5QKwTkTYhkbG0SDsZvoQD9SIwxUtZl5d2MVn26vISs6gLsXZjDxOC84BoNxsUGMjQlkT52RwoZOiho7efCMbP76ZT6XTu1bZ9tsdVLXcUDsZE+tkTPGRvHtngNn+tfMTObpH4u5dnYyV764gfdvnUnqsaXOjOg5e6RcMT2Rb/YcCBAzWR2ckze6Irf7IzrIj+ggX89LSrg/KZ4KVaVNJmKCdHRaHUyID2JCXBAqWef6pOFwBnqaJEkTAIQQTwFPCyE+Bi4HhuXwp6nTSl27hQA/FQX1nV7jvJ9Hvy3k92fn8H+f7KLb7jZ+9y3KHHYt2sQwPY9fmkd5axdKIajv6Oam191axULA75bkkD6CchoVCkGQTs3SXXXsqTWiUggunBzPJZPjyYoOQNOHW02lVJAZFUCmx1thtTt5bFkRn++oBdyR6ZvKWvnsjtlHLf5hdTixOVzeYvHHi9gQHU9dOZGtFe2UNneh1yj5eFs1dqeLc3JjkSSJ6jYLdqeLuBAdWpWSyEA/Fo2J4ru9boO8vKCR/16ex9jYIKwOF3qNklVFTeys6UAlFOg1KmrbLcdqoEfcnD0WpqaE8Or1U3lpdRlCATfNSWXKYUqenkikRhiO9fsgM4o5nIH2HnhKkuQAbhFC/AFYDhzXb01Xt4M9dUYe+GAHFa1m/NQK/nzuWJ9+TpdEuEHDRz+fRbPJSphBS1qEv0/d4eOFJEmUt3TR2GklMsCPnJhAhHAXnfjyrjnUtFmICvQjI9Jw1CXmBhv3mM1sr2zjlIwI/DVKTsmM5PV15by9oZLzcmO5a2EGyeGHFhqpabfwxc7aXm1dNifFDaajMtBbK9p4dmUJZc1dXD4tkbPGRxN9HKpVNRgtfL27gXc2VDIpMZjF42PYUtHGObmxzMuMIClUzytry3h0WRHddifn58Vx76JMEkL1/HpxNk7JxfKCJrKjA1ArFTz5wz6cksR+yY+LJ8ejVgmmJofw7MoSWkw25mSGE3Z0qnYjZs4eCofThcnqwE+txO8Q33ud2q0rPTstHCGQd48yJxWHs1qbhRBnSpL0zf4GSZL+IoSoBZ4Z2qEdoLTJxNJddSzdVYfDJfHAGVlYHU667U7uW5TBkz8Ue/NS7z89i7mZI0MkXpIkluU3cO972zHbnOjUSv59aS5n5ESjVirIjg70io44XRKNnd0YtCr0w7SY2M+KwiZuf3srZpsTlULw70tzuefd7d6z1I+31eBwuTg9J5roID/GxwX1ubhQKxXo1UofL4ffUZxDF9QbueLF9V6vyF++zKfVbOO+0zKHNDLc5ZJ4a30lTy4vBkBCIjFMT1SglnCDlgiDlu1V7fzly73eaz7eVkNciI77FmUSHezHvadlcuvcNOJDdBTWd/Loxbm8sLqU2nYLp+VEMTcjgl9+sJMOTz7rmuIWfr04m5/PSzuaIY+IOXsotpS38tHWak/cSASnZIQxIf7Qxx5q1YltmPvywMjIHNISSJJ0VT/tLwIvDsmIDsLucPHt7jrGxASgVAgiDFq0agVtXXYaTVbWFDXyzFWTWF/aypz0sF6BS8NNWbNb23l/+pHF7uTe97az9K5Termtypu7ePWncr7cWcuY6EDuOz1z2M5pq9vM3Pv+dm86i8Mlsa/B5FPg46td9cSH6LnjnW08e9UkzhznqwccH6LjgTOy+NMX+d62CfFBjDmKVLG9dZ1e47yfl1aXccW0RGKDh24XXW/s5oXVZQAE6lRcMDGOf31b6N39LsiO6PPzfLKthgsmxvHkD/v4dLvbizA/M4IHF2exdVcdN85JobPbQaCfEpVCYOzuLTbx1PJizsuNJeYIP9tImLOHoqi+k5te30yb2U6IXk1ssI4gnZowvYa4fuIbTnSMFjsfbqnmsWWFWOxOzsuL5d5FWSSe4BKyModnQFs1T2ToEiC55zWSJP17aIZ1gJYuK0KhoLbdyrqSFmanh/PC6lKaTTb81Ap+eXoWZpuT35+dc0Tva3e4MNkcBPqphyyXsrHT6pMb3G130WDs9hpos83BQ0v3esVAVhc3s7Wyjc/vnDMsOrstJhvt5t7Goi+3YnSgHy2eVJC/fJHP1ORQn2IeQgh+NsmtGrajqp34ED2Tk0OIOop0K00fOyi9RtlnZPSRUtNmwdhtJzrIz1vrej8qhUCvUWKxOzlnQiyvrC2npxr12uIW5vfhsZkYH8ym8lavcQZYUdTE9NQwbp6TSlmziX2NXbyxvpJQfw2/WzKGl9eUezWYhfd/jo7hnLOHYk9dB21mO6fnRJEeaeDzHbXsqOpAr1FypkE77N6j4WBbZTt/+fLAIvaTbbXEh+i5b1HmqClLKzM0DNRv9AVwHRCGW/Zv/39DjkalwOZw4q9Vcn5eHDqNkptPSeXOBenYHC6eX1WKWnFk7q+9dUYe/Hgn5z61hoeW7qW06fDl3o6GyAAtfgcpIGlVCiJ75MzWtFl8lLq6bE5KBlCCbigID9D4yKE2dFiY0sMzIQRcMzOJr3bWAdBssvVZehLcVYdOyYjgjgUZnD8xjoSQo9sVjIsNJC64t2F/8MzsY8qttjtdfL27jiX/Xc3iJ1Zz+fPr2VPbu5hBZKAfvzrTXSwjWK+h2dRb0tPqcJEYqmdsj120QavijoXp3rKcPfl2Tz0uCXbVGHngQ7fW+vd7G/nH0gKunpHk7XfXwgxvQZejZNjm7KFQCPeCJzsmgK9313PhpDgWj4+mqKGT7ZVtFNQZqRmBZUiHkq19iCt9sq2GNrMs4XmyM9Dlavz+yNDjjb9GRV5CML/5eLd3d3FubixBOhWXTU3k7Y2VBOkHvuqubbdw/asbqe9wP2hfWlPGzuoOXrx2CkGDLJeXHObPYxfnct/7O7A6XGhVCh69ONebQgHuBYhOrfTZaeuHKV84LljPE5flcftbWzF2u3OeJyWFctup6RTUGWnqtNJqtvP+5iqv6MZl0xKOald8JCSF+fP6DdNZW9JMdZuZUzIimHiMubD7Gjq5/a2tXvd9QX0nD3ywk7dvnt5LEGbJ+Biig7QUNXSyZHwMX3gWJuBOLwsP0PLidVMoqOvEaneSHhVAeqSBqcmhfLGjrtc956SHs6msldc8Sm37cbgkTFY75+bGcHpONLPSj7n4xrDN2UMxIS6I07IjKWk0sWRCDM+sKMHulDBoVaRGGPj70gJq2y387fxxnD7WHatxopMY5rtozY4OwH+UagbIDB4DtWxfCyFOlyRp2ZCOpg8k4LWfKrzGGeDzHbXcf3omTpdEcrj+iNKTSppMXuO8n03lrVS2dDE+PniQRu1GoRAsHhdDdnQgDZ3dRAb4kRLu3yuoKTFUzwNnZPYKMpqeEjqsqlKnZETw5Z1zqDN2E+6vJTncH6VCEB+ix+mSWFfSzJc76wjUqbh0SgLXzEw+LtG1aZGGQS2yUdFi9jlbz68zUm/s7mWgDX4q5mVGMi8zkvLmLvRaJZ9uqyU+RMcfzhnLmJhAlArhs+OdnxnJ1ORaNpW7d0jZ0QbOHBvNMyuL0fbhsg81aPnlQaUtj4Fhm7OHIiXCwB0L0tlc0dZLxMVkdfCvbwq4eHI8z6ws5c53tvHFnXMYO0SlYEcS05JDGRcX6BUy8tcouWNB+ojJ6pAZPgZqoNcDnwghFIAd9+mYJEnSkFuRdrONjWW+ZQA7ux2E6NX8+5I8oo7AFejXR3SkQgxdlKhCIQ5pWIQQXDw5gcyoQPbUdhAfomNiYsiACi8MJYlh/j4FPMC9Y5yTEcG7twRhsTmJCPAblXrIQJ8axmH+GgIPkWOdHO7P384bz10LMtBrVIQcojpaYpie566eTHFjFy5JIjXCH4vNycqiJu44NaNXfWx/jZIZg1uyctjm7OHIjA5kb32nz+Ko2WRD6VnouSR3kOXJYKATQvW8eM1UCuqMdNudZEQFjMhqbzLHn4Ea6MeAmcCu412wPVivZmZamM85bbhBQ0akgcwj/CKnR/pzamYEPxY1edtumJ3Sy+18vAnQqZmTEc6cjPBhG8OREqTTcBxSkIeU7OgArpuVzKs/lQPuxcfDPxt/2KhwtUpB3ADP0kP9tcQGO6luM1PcYKKp08r5E+P4clctv1mczZ5aI0E6FefmxpETO6i2c9jm7EBIjzCgEPQy0uEGDaZuR4/fR46y3lDTn6qYzMnNQA30PmD3cEx0P7WKexdlsrfO6C23dumUeIJ0am58bTMf/mIWk44gJSnEX8vfLxzP5vJWChtM5MYHMSkxRM47PAkJ1Gm47/RMlkyIocVkJSnMn4xB3rnsrG7nulc2cdv8NB75thCrw8WlUxOYnRbOV7vqmJQYwqy0MCYmBg/qfRnGOTsQMqIM3Lcokyd+2IfdKRGgVfHrxWMwWuyMiQkgNyH4qNLxZGROJAZqoOuAFUKIrwHvAe7xStkYExPI01dO4sfCJgJ1Klbva+bBj3YB0HEUkY6xwTrOzYsb7GHKjEIC/dRMHSLpyK5uB3//ai+RAVq2VLR5ddff21RFkE7NHaemsbKwibc3VPLD/XNJGNw84GGds4dDo1KiVAoeuySXsuYuLDYnDy3dS2uXjf9cmktcsN+gB23KyIw2Bmqgyzz/aeghJTgQPPmYm4EaSZLOPrLhHSA2WMdn22soaerytuk1ShJCdawqamL1vibiQ3TMTg8/ap1nGZnBot5oob3LTrfdSaBOTZvZ1uv1DoudTeVtNHfZ8NMoUB1hquAAOOo5e7yYnxnJ17vrePKH4l7t//uxhBtnJzMtZfQc+cjIDAUDMtCSJP35GO5xN7AXOCZ/VZhBy1OXT+SPn+ezsbyVtAh//vWzCWyvbOeXH+709osJ8uPdm2eQNIxnyjInL11WB9/srufvS/ditNg5Py+WuZkRWGxOZqSG8fn2Wkqb3YvMiYnBfL+3gT+fN/aIFcMOxzHO2ePCmJhAfirxzRXv7LYfF411GZn9dFhs+KmUIy5y/nD1oB+XJOkeIcQXuDOeeiFJ0rmHuT4et5rR34H7DtV3IIyJDeLl66bQbLIRqFPjcLn4+Ztbe/Wp6+hmT61RNtAyw8LO6nbu/2CH9/cPt9agUSlZta+J+o5u7liQzpbyVs4YF4Pd4eTl66YyeRBlXY91zh5vJieGoFQInD2ixS6YGEdmlBzFDG6N7rqOblQKcUyiPDJ909Bh4Yuddby9oZJLpyYQE+SHU4KMSIM3fXI4OdwO+g3P/z96lO//OPArBlHByOCnxuBJg6ltt9Blc/j0sTn7VrWSkRlqtle1+7QtL2jklIxwPthSzX+XF/PJbbOYMMg59z041jl7XBkfH8wbN0zj8R+KaDRauXxaIovGRg04Sv5EptHYzdsbK3l+VSlalYIHzsji7AmxBMpn84OCJEm8s6mKx7/fx9Uzkvh8R6039VGlELx6/VTmZEQM6xgPVyxji+f/VwohIjw/Nx3qmv0IIc4GGiVJ2iKEmH+IfrcAtwAkJiYObNQeogP9uOmUVJ78YZ+3zU+tGFaRD5mTm2jPLsdPrWB+ViQqhaDDYqex0x2n5XRJPufRg8mxzNnhQKkQzEoPZ2JiCDaHkyD9iDwuHxaW5Tfw+PfuZ5vZ5uT/PtmNv0ZFRpSBjKiAk0JlbSipN3bzkqcQTniAlj21RhQCsqMD6bTa+etXe3nvlqBeokXHm0P+Cws3fxJCNAMFQJEQoslTX/ZwzAbOFUKUA+8CC4QQbx7cSZKk5yVJmiJJ0pSIiCNbrSgUgiunJ/CHs3PIiDRwek4Ub980XU7PkDnumLrtVDR3kZsQxPm5Mdy1IIPy5i721nWyMPtAMQ21UhATOHTnq8c4Z4cNnUYpG+cedNudvLOx0qf9h4JG7nh7K9/vbejjKpkjQa1QYPBTIYRbl39aSigPnJFFXIiO6SlhXDwpvt8aA8eLw7m478FtaKdKklQGIIRIBZ4RQtwrSdJ/+rtQkqTfAL/xXDMf+GV/pfCOhahAHTfMSeGSKfFoVAo0cj6zzHEmv7aDP3y+h83lbWRGGXjwzGx++cEOb7GDP32Rz32LMtle1c4fzs4hNWJI4yPu4SjnrMzIQaUQpEUYeqnNgVvMpdVs5/8+3sWE+CDiguWjgKMlPEDLrxdnc/e72wnyU3FKRjj//KbQ+7pOrWRGWtiwBiwezkdyDXD5/okOIElSKXCV57URg8FPLRtnGS8Nxm4+2lrN7W9t5cXVpZQ1dx3+oqOgxWTl9re3stmjt13UYOLud7dz+bTexzWri5p48MwsTN32odYtHzVzVqZ/VEoFN8xJ6VU0JzJAS5BOTbvZTpvZjtHiG38jc2Qs8nhd44N1fLqtttdrFruTTeWt1HVYsDqGZyd9uB20WpIknzwISZKahBADjlSQJGkFsOLIhiYjc3RYHU7+92Mxr3sqRn21q44Pt1Tx2g3TB63qVofZRlGjiRaTlQXZUby5vsIrRGKyOnyiPwP1at7ZWMW8zCEPOhmUOSsz/OQlBPPJbbPYUdXhMRIunl5RAsCYmABiZGnQY0avUTErPZwOsw3XsiKf15tNVs57ai2z0sK4fUE6GcdZY+NwS/lDRbMMXaTLCKfb7mRdSTMPLd3LcytL2FtnPPxFMseFNrON/FojZpuzVy3ugnoTRQ2dg3KPsiYTn26vZW1xM6uKmvlqZy2/PCOrV5+e91YrBbPSwthT28G8rCE30PKcPYHIig7kwklxjIkJ5L1NVVgdLnJiAnni0jwUYnQWqRmJBOk13HFqWq82rUqB3hOUp1Yp+M+yQjosx3cKHW4HnSuE6Mv6COCkXb6t3tfMza9v9v7+vxXFfHDrLLKiZQWz4WR3TTs/FjTR7XDRZbXz4JnZPPHDPto9Z8FHq0ptc7jYWd3OmuJmdGqlu673siJMVgdpEf5cMjWBn4pbmJQYwtbKNq6flcyCrEh0ahVdVgfBejWbylp588bp5CUED94H7ht5zp5gqJQKTh8bzbi4IExWBxabk2dWlrCzuoNzcmP52aR4EkLls+hjZVFOFP+7YiJvbqgkMkDLxIRgtCoFTpfEqqIm5mZEUNrUxcTE4xfMeLg0K/lQ9yA6LXb+vaywV5vR4mBzRatsoIeR6tYufiho5JW15RgtdhblRNNisnHZ1ASeXVlKQojuqAthrC1p5oZXN3kNfKBOxa3zUnlsWRElTV20mGw0m7r55RlZqBUKcmIDCdZrGOMpldhtd3LptAQ0yqGfTscyZ4UQLwP70yPHedouBv4EjAGmSZK0uf93kBlKYoN1VLR0cdnz62ntcu/kHv9+H/saTDx6cS46jfy4PhYCdRpOzY5kfWkLWdGBNHVaeXF1KV029/nzB1uqsdicPHZJ4HFTHJMT6Y4QpyRh6kMcxWKTxVGGk4L6Tv7znXu37JLg2z311Bu7SQ7T84t5abx03dQByWl2WGx8l1/P498X8fmOGiqbTTz5w75eu2+jxYHLJWHQute3hfWdnDMhltlp4cxKD/fJm/RTK4+LcR4EXgXOPKhtN3AhsOq4j0bGh+JGk9c472fp7joK6uVjtsFAr3GXfl1X0oxeo/Qa5/18tbuOmnbLcRuPbKA9OF0Sm8pbuf/97fzizS2sKmqi2+5riIP1Gm6d2/usQqUQTEkaPLlGmSOjxWSl2+7ixjkphBsOGMcfCxoZFxvEg4uzyYwamHfjq511lLeY2VHVwd++3Etho6nPaFmzzYlG5Z4+U5JDOC0naqijs4ccSZJWAa0Hte2VJKmwn0tkjjPKPs6d1QoFRsuRV/WT6ZvUSD1nT4glMsC3HrlerTyuAjEDrWZ1wrO9sp3Lnl/v1QT+enc9L1wzhUU5UT59zxofjVal4JW15UQGaPn5/DTGD510o8wh2FXdzj3vbaekqYsgnZpb56by/uYqylvMJIXpiQsdeA5jg7GbylYzn2yrocHoVv7KrzWyeFw0T/14oOKSEDA2NpDnVtlYlBPFxZPjSY2QtaOPRRVQZmAkhusZExPA3roDAY+XTImnydQ9jKM6sVi7r4W73t3O5dMSyIkJJL9HEPCvzswiIVRPWXMXO6raMdscjI0NYlxc0JDodssG2sOy/Ppegv0AL6wuxWS1MSstnKge6k+h/lounpLAkgkxKBUCrZx/PSy0dtm4/4Md3hKkHRY7jy4r5J7TMvnfj8X86oxsQvS+q2CAgjojBfWdqJSCsbGBpIQbcLkk/NRKr3EGd4rWRZPjuf3UdL7ZXU+gn4pzcmMJ89fw3i0zGBcXhL9WnkbgVgUEngeYMmXKUYbkyRyK5FB/bp2bRlFDJw3GbhLD/NlT00FCiFwcaLD4aGs1AO9srOK6WcmclhOJxeZibmY4ExOCKW0yceWLG6jrcC+KlArBGzdOY1ba4JdHlZ8sh0AA72+qobatm+kpYawoakSpULAgO5LxcUHoNfKfbzhpMHZT1GDq1eaSICpAy2e3z+43aG9bZRtXvLABi0fGLypQy5s3Tic90kDSQdGwRQ0mypq6yIgyMD8rnDB/DbnxQYyLD5IXZjLHHYVCMCU5hD01HWwub2NtcTO/XZJD7tBnB5w0ZEYFsrLILSXw6k/lqBSC209N4xRP4YyvdtV5jTO4j0f/vayI3BuCB32xLlsYD6ePjebFNWW9dtGnZkfyyLeFlDabqGqz8O6mKgCeXlHMe7fMZJJ87jysBOvUhPlraDkoaCYt0kB2P3rsDqeLl9aUeY0zQIPRysqiJjKiAhgbG8iinEi+y2/0vu6SJM6eEONWq1MqRv1Zs8zoJj5Ez4OLs7l2djJalYKIADl7bjC5YGIcH2yp8qZnGvxUnDku2vt6i8k3F7re2I3V4ZQN9FCRlxDMe7fM4O2NlXRY7ExPCePzHTU4XRJh/hraewRh2J0Sn2yrlg30MBMTrOPhn03gF29uweFZWN0yN5XsQ6S72V1Sn7KflS1mADKjA7n91HTmpEdQ0dJFXIieaSkhRA5hgYuRghDiHWA+EC6EqAb+iDto7L9ABPCVEGK7JElnDN8oZcCdGx0vl+QcNOxOF3tqOihq6CTAT82bN06nvLkLIWBMTGCvGJMpyb7P/atnJBHq3/dx2rEgG2gPSoVgSnIoUYF+/O7TXfzj670AXD4tgXNyY3lxdWmv/sZuWQd3JLAgO5Iv75xDZauZMIOGrKgAb73wvtCplVw2NYHff7anV/upPSpO5SWEkBJuwNhtJ0SnPuT7nUhIknR5Py99clwHIiNzHKhsMbOutIXSJhPj44K4691t7HegjokO4PlrpyC5JKrbLdgcLlIj/NGolOTGB/P81ZP55zcFtJntXD87mfPzYodkjLKBPoiEUD0PnJHFRZPiCdJreHpFMTe8uonpyWE8ctEEfvvJbmxOFxdNjh/uocrgXlhlxwT269Lui9PHRtNqtvHcylJ0aiUPnJHlkyYXpFMTpDs5DLOMzMlGo7Gb29/eyq6aDs7Pi+O7/CJ6xgjvre9kY2krf/5yD0aLW1v/14uzuXJ6InqNitPHRjMtJRSb00XkEB4xyAa6Bx0WGx9tqeHf3xXxyEUTuP+DHTR1uiN6V+5roqyli3tOyyAj0sDUPtwcMqODqEA/7lqQwSVTElAKQeQgFdCQkZEZHRTWd7KrpgOAMIOGBqNvmlpVm5lOj6fU6ZL4+1d7mZIUwsRE97O/pyBRQb2RlYVNNHVaWZAdycTEYHSDEEQsR7v0YHN5G3/5Mh+Bu+jCfuO8n8pWMxPig1g0Nho/tby2Gc0IIYgJ0snGWUbmJMTmdHl/XlXUxBk9gsAAFAI0SoWPfv/+WJWeFDV0culz6/nH1wW8uKaMK17c4I0CP1ZkA92DNfvcf1QJ+kyhUQgIlN2eMjIyMqOa9EgDof7uHfC+RhMheg2XTU3AX6MkNcKf566azNriJp/rGk3dPkGmWypa6ThIye2x7woHRd1N3gb2ICXCnexvsjpwuVycmxvL5zsOFPG+fnaKXBBDRkZGZpSTFObPGzdO4+U1ZWyv6iDAT8X1s5O5e2EGfmolIf4aAnVq8uu20Ga2o1YKbpyTwufb6wjVa0kJPyAM0213+by/xebE4fJtP1JkA92DOenhJIXpqWgx8/A3hfzxnBxmpIbR2mUlNdzA5KQQWZxCRkZG5gRgbGwQD/9sAt02JwF9eEbTIwxcNSMJCbf39Kud9ZQ0mXql3AJMSgxBpRDeVE+AW+emDkralWyge5AaYeCtm6aTX2vE7nSRFR1AeqS8Y5aRkZEZLXRa7JS1dKEQgpRw/0OKh6iVCtS6vk96wwK0+KkVPPJtkbdNCMiND+rVb3xcEG/fPIPnVpZQ19HN9bOTWTAm8uC3OypkA30Q8SH6PgUA7A4XJquDQJ16SETRZWRkZGSOjYqWLn7/2W5WeYK0zp4Qw28WjyEu5OiEhn42KQGHU+K1dRWE+Wv49eJsJhxkoBUKQVKojutnJ9No7CbYX4uQwOZ0HnOZWdlAHwazzUF+rZG3NlSwqbyNeZkRXD0j6YjybmVkZGRkhp6lu+q9xhngy511zEkP57JpR1ddzepwMicjnMXjo4kO8CPwoFrvAB1mO3/6Mp+vd9UTbtDwi/lpfLC5iobObq6ensS8rIijdnfLBvoQNBm7+aGgkf8uL/YW6X5rQyU7qtp59qrJxIfKUnsyMjIyIwGH08V3+fU+7av3NR+xgXa5JH4oaOC+93bQaXUQpFPzxGV5zM/ydV0XN5n4epf7vtfNSuFf3xRidbgDxLZWtPPnc8dy7azkI/9AyGlWh2RLZRu1HRavcd7P7loj+XVGzDZZ7lNGRkZmJKBSKpiT4VvycXpK6BG/V1lzF3e8vY1Oq/sZ32Gxc9c726ho8dXxt3oK76gUAovd6TXO+3l6RTHNB2lqDBTZQB+CXTVGlML3vFkI2FNrZE3x4CSjDxetXVZ2VbdT2mTyqYUtIyMjM9o4Py+OMTEHAnunJYf2ues9HO7qVL0NrbHb4VUca+2ysbfOSG27hZRwf2KD/bzR3gejVipQHKWllV3chyAnJoDPttcyJz28lzE+Py+O1fuaWVnUxJz08FFXF9rmcFLcaOJ/Pxbz1a56tCoFD5yRxcTEYGraLMSF6MmODhj00mkyMjIyQ0lqhIHXb5hOaZMJhUKQFnFAkORIiDBofVKn/NQKwg1adlW3c/8HOyhqMBHmr+FfF03gxWum8NyqUvy1KoJ06l7CJfcvypTPoIeCKUkhLN1dT5y/hntOy6DR2M34uGB+Kmlma2UbObGBPlJwI53C+k6eXVnMmn0t5CYE8ZvF2Ty6rJC/fbWXX56exaPLCgH4/dljuGZmMmq59rGMjMwoIiJAS0TAseUgp0T489AF4/m/T3bhcEmolYJHLsolSKfi5tc3U9LkdnW3dNm49Y0tfHXXHB65aAKd3Q7mZoTz/d5GatstnDkumilJR+5i349soA9BVJCOf1wwnpImE43GbmwOF3/+co9XOeamOSmjapfZ1NnNbW9t8X65vt/bSGFDJ5dMSeCtDZV0O5zevg9/XcDcjAgyouQ8cBkZmZMLtVLBBZPimJAQRKPRSnSQH6nh/hQ2dHqfn/txuCQqWsxkRQcSZlASZtCSExvUzzsfGaPHugwTgTo142KD+O3GSrKiA5ibEUGn1cEp6eG9BNdHOlaHkz01Rp8vV1WrhTCD2wWk6bFbtjsluea1jIzMqMXhdNFmtmHQqtFpjjwfWa1UkB0dSHaPOhqBfmofFza4d+37Gjr5sbCR0iYTp+dEMzkplCD9sdVukP2XA8ApSRQ1dPLXL/dS027B4XTxn++LKKjrHO6hDYgOi41X15bTarb1+bpSCM7Li2VPbYe3LTrQj/jgo0vul5GRkRlOSptM/PmLPZz1xBpuf3sLO6vbe71ud7iw9fAYHorqNjPf7K7j/U1VtJis/OeS3F7BYLfMTSXAT8XVL2+gpr2bmCAda0taWFHUiMl6bJsceQc9APzUSq6blcI9721nT63R2356TtQwjmrg7K4xUtbcxaaKVs6eEMOXO+u8r52fF0tahIGF2ZHc/d52AMbGBvLQBeOJCpJLMcrIyIwuTN12fvfpbn4qaQFgeUETWyra+fyO2cQE+bGhrJUXVpXSbXdx0ykpzE4P7/eosrrNzC2vbyG/zv3cVwh44ZopfHnXHCpbzIQbtGRFBbCmuJn5mZFsLm/tZSP+ct5YrpmZfNSfRTbQA2R+VgT/+tl4nllZik6t4J7TMpmUFDzcwxoQte0WuqwOyprMjIkJ4P7TM2nqtBJu0BIT5Ee4Qcu4+GA++PlM2s12Qv01vYqRy8jIyIwWqtosXuO8nw6LnZJGE/Ud3Vz90kZv+8byVl64ZgqL+tls7a7p8BpnAJcEf/sqn49/MZucmAPnzA6XREyQH+9uqup1/SPfFDIvM5ykMMNRfRbZQA+QYL2GS6YmcsbYaFySRFWbheUFTcQG6ciKNqAbwalWMUF+fLe3gXtOy+Thrwv4alcdBo0KnUbJs1dNJi8hGIBQf+2gVGCRkZGRGS60KgUapcInRkinUfHlzlqf/q+sLWN+VkSfGStGi6+Lur7DSre9t3t8TEwAJU0mn74mm4Md1R0E6Y5u0zNyrcoIRa9V8db6Cv70Rb637fdnj+GaGcmoVSPzSH9cXBDXzkzms+01/PrMbOqN3SSH6ZmTES5X65KRkTmhSArz566F6Ty67EAVqnkZ4WRGGVhR6Gvy9BoV/ZU/yogyoBDunfN+LpuWQGRg7+O/9MgAZqfbeXZlSa/60AuyI3ljXQVh/lpmp/uqnB0O2UAfIWVNXfztq7292h5aWsDs9HCyo0dmAY1gvTuP+9zcWDq7HSSH64kOkgPAZGRkTjyUCsFVM5IYHx9Efm0nSWF6JiYEE2bQsnhcDK+sLffuroWAG2Yno+pH72FcXBAvXjuFv36ZT32HlcumJXDD7JQ+KxpOTQ7l5eum8tiyIipaupiXGUFkgB/PrCyh9iC56IEiG+gjpNVs66UuA+B0SbR19R0hPVLQaVSMjRuc3DwZGRmZkUywXsO8zEjmZfaW+cxNCOKDn89k2Z56rA4XZ4yNIjchpM/3cLok2s12ZqaG89EvZmO1O4kI0PZrzAEmxAUxMTGIzCgDG8vaKGmqASD2KDNiZAN9hMSH6AjWq2k3H8iDC9SpiOujhrSMjIyMzMhBCEFuQjC5nrib/qho6eKNdRV8vqOW7P9v777D4yqvxI9/X02RZtR77+69KLaxTQnEQMDBxMQsJAGH0JIASYBsYJMNIbspTkjIQsiPDcWU0ItZHEpoBmMINu5Floss2bJk9TYzKlOk9/fHjAbJkm3Z1mhG8vk8jx80V1d3zgjdOfPe+77npEVz+6JxzMwZOJH3FhVhYsn0LG54eiO1NidKwffPLWRyxqldXZUEfZKy4q387duzufPl7VQ2d5AVb+GPy6aTI60nhRBixOtwdfG7t/bwz2JvC8k6u5PNh5pZfdtCCpNPPBt7alYs//eDBVQ0txMdbqIgOZII08kXSgFJ0KdkbkEir/1gPo1tLhIjzSRHy3phIYQYDapa2v3JuUebq4t9NfZBJWiA9DgL6UNQ6EkS9ClKjo6QxCyEEKOM2RCGxWSg46ilVPZOD51uD+FGA+UNbVS3dpIcFU5+cmTAmgpJghZCAKCUWgksBuq01lN82xKAF4E84CBwpda6OVgxCjHUGuxO9tTY6HB1UZgSRX5SJLcvGstv39rj32dKZgwbDzURE2Fk7f56MuMsbD7Uwiel9fz68ql8fWYm5gAss5UELYTo8STwEPB0r213Ax9orVcope72Pb4rCLEJMSRqbZ1UNLUTHW4kOsLInS9vZ31ZEwBR4UaeuWEOc/IT+OlF46m1O4m3mmhqc/H0Z4dIjYng+c+91cKuX5jP/jo7nx1owGRQ7KhspTA5km6t+by8iW/NzWV2bjzhp3j/GQKYoJVS2XhP9DSgG3hEa/1AoJ5PCHF6tNYfK6Xyjtq8BDjP9/VTwEdIghYj1K6qVm58ehPVrZ2EKbj53II+a5odTg/3v7uP3y2dypP/OkiHq4t2dxdd3ZqocCNdXV8ssX3+8wruXDSOHVWt3PHSdv/2WTlxZMVb+eZjG3juhrnMP4UCJT0CWfrKA9yptZ4IzANuUUpNCuDzCSGGXqrWuhrA99+UY+2olLpJKbVJKbWpvr5+2AIUYjAcnW7u/Ucx1a2dgLc62MMflXFWQd8EWlJtx2QM42/XzCY1Npyubk12vIU7Fo3jhY0V/v1cnm6SosNZvb1v+dAtFS0UJEcC3iR+OgI2gvadzD0ntl0pVQJkAruP+4NCiBFJa/0I8AhAUVGRPsHuQgRUh8vDgfo2WtpdZCdYUUqx6WD/6RNHTwa7dFo6iZHhpERH8NLN82l0ONEarlm5geZe9S8WT8ugwfe9o/VsCxug4tjJGJZ70L7LZjOBDQN87ybgJoCcnJzhCCck2Dvd2Ds9JEaaT/kehaerm9J6B1XNHSRHhzM2JbSbdogRqVYpla61rlZKpQN1wQ5IiBNxdLp55OMyHlxTCkC0797yzOw4th5u6bPv2JQo/6ztRRNTWT4/z3/ZOyHSTEKkt8nFY9cWsfLTcnYfsXP5zAy+lJdAnNXEmsI6Pu3VPSsjNgJbpxul4KovnV5OU3qg9D+ElFJRwFrgN1rrVcfbt6ioSG/atCmg8YSCzYea+c2buyk+YuOCiSncvmgcY0+hacWbO47wwxe20eUrPXrXxeO5bkEeESZJ0qOZUmqz1rooQMfOA97oNYv7PqCx1ySxBK31T090nDPlXBahaWN5E8v+9lmfbWNSIvn90mnc/MxmGhwulIJvzclh2exMYqxm3F2arHgL1uMMctxd3bg83X36Rx9saOPlzZX8c1cNRblxnFWYyL8ONHLFrCxm5sSfcHb38c7ngL6TK6VMwKvAsydKzmeKsnoHy1d+jsPpbWP21s4aalo7efK6OcRYTIM+TkVjG3e/utOfnAH+8M5eFo5NYmpm3FCHLc4ASqnn8U4IS1JKVQK/BFYALymlrgcqgGXBi1CIwamxdfbbVlrXRnykmYeunkV5gwNruNF3X7qE/7x0ErPzvKU8tdYcbGijzt5JVUsnYUqREhPO4aZ20mIimJYVR++uvHlJkfzkwnF879wCrGYjhjDF5TOzhuR1BHIWtwIeB0q01vcH6nlGmvKGNn9y7rGlooXK5nYmWQbfzKK53Y39qONoDfV255DEKc48Wuurj/GtC4Y1ECFOU2Z8/ypeE9OjiYkwsvFgM/e9u4+mNu8o+t+KsimubvUn6DV76thbY2flp+U0OLxNkGIijNzy5THc9epOrl+Yz79fNK7PlUqlFNERgx9gDVYgZ3EvAK4BzldKbfP9uySAzzciRIX3/0wUbvRWrjkZabERpMaE99lmMiiypWmHEOIMNyEtml9dNgmzr8JXWkwEK5ZORSl48tNymnzdB7WGFzYe9r8vH25q57dvlXCktdOfnAFsnR6Kj9gYkxLFyk/LOVDfNiyvI5CzuD+BY/bBPmONT4vm0qlpvLnzi1qvP714PLmJkSd1nNSYCP76zVnc9vxWqls7ibWY+MMV0ygYZK1YIYQYraxmI9+am8v8wiRsnR6y4i2kxkRwqKGNkhp7v/2dHm9/6MY2FyZDGLUDXCI/0uKdjFta56DN2dXv+4Egs4mGWZzVzL2XTeaK2VnU2pzkJVqZmhl3StPxi/ISeP2WBdTaOomzmsmWjlpCiDNIZXM7JdU2XB7N+LQoxvSabGs0hDE2te/k2/hIMzOyY9l2uLXP9oIk7wApJTqc5jYXl0xN573dtX32mVeYyBOflJMVbyE3cXjeayVBB0FydATnTzj1Rhv2Tu9avOgIEykxEaTESNMOIcSZpazewXef3MjBxnbAu5Tq2RvnMi0r7pg/E2Mx8V9LpnDj05uotTkxhClu/8pYf7/mjDgLf/q3GTz/eQU3n1PA859X4OnWXDMvF2OY4saz87l4Shqpw/SeKwl6BHF0evhoXx0P+db23Xb+GM4dnzLgfW0hhBjNPilt8CdnALvTw2Pryrn/yukYj9NdalpWHK/fsoDDTR1EW4wUJEViNn4xB2jhmCRyE6w0Opx8fWYm0eFGau2dPLimlFpbJ6mxFpKiI0iKCj/mcwwVeWcPokONbbxfUsdnBxo4b3wK541PJus4k7w2lDVy63Nb/Y9veW4rjy8v4oKJqcMRrhBChIzyASZq7amx4fR0HTdBA6TFWkiL7T/T2+H0sL/WTnObi9zESAqSI9lZ1cqVf1uPx7ek9T9W7aTT3cV1C/KH5oUchyToIGlqc3Lny9v9pefeL6njwsmp3L9sOlHHmK7/wqb+dV1f3HhYErQQ4oyzcGwST/zrYJ9t35idRWT4qS13snW4+MuaUh5dVw5AhCmMx5cXUVbf7k/OPf62tozLpmeQGOBRdCCXWYnjOFDf1q8u7LvFtRxqamfjwSY+3FvLp/sbqGj64lNiclT/+x7J0YG/zCKEEKGmKDeBexZPJCrciMmg+M78PBZPyzjl45VU2/3JGaDT3c3dr+7EZOg/gTcywoDxNOtsD4aMoINkoBKrOQlWNh9qoqsbnll/iAP1beQkWFlxxVTmFyZxZVEWr26p9C8JCDeGsWz20FSsEUKIkSTWauK7Cwu4aHIanm5NRpwF0wkubR9P3QBFng43dzAuNZp4q6lPo4yfXDieWKv5lJ9rsCRBB0lhchTTsmLZUfnFdP8bFuZzqLGN1dur/RXBKpra+d7fN/OP2xYyPTuOV743n/XlDSgU8woS/bMPhRDiTJQ5RMWZcgZYpjo5I4bC5EheuGke6/Y3UG93cu74ZKakx1BvdxJnNZ3Wh4ITkQQdJIlR4Txw1Uze2lnN2n31XDgplYnpMdQ5nP3Kddo6PeyrsZObGMnUrFimZg2+JKgQQghvhyt7p4eEY3QQnJAWzR++MY17VxfT7uoiL8nKiqXTiLWaibWaGZ/mHQwVV7Xyk1d2sPVwC+eNS+Y78/OYnBmY92RJ0EGUnxTJLV8eww/OK0QpRUu7ix2VLZgMCnfXF5fAlYLuAHcdE0KIkaC0zs76sibq7U7OKkxkRnYcEScolbztcDO/fXMPO6ta+fL4ZH68aBzjjipiEm4ysGx2FnPyErB1usmMs/SbBFbZ3M7yJz73lwF9eXMle2vsPHj1DPKShr6KoyToEODtK+KtMragMJGbzyngoQ8P+L9/7bxcbJ3uY/24EEKcEcrqHVz96Ab/VcYHPtjP/357FhdPST/mzxxqbGP5yo20dnjfQ9/aVcOhpnaeuWEu8UfdR1ZKkZd07LLLe2vsfWp0A+yoamVfrUMS9EhypKWDNqeH9NiIYy6bGsiEjFjq7E7+/G9R1NmceLo06/bXs3SWTAYTQpzZth1u6XcL8A/v7GVeQSJxx5i0Vd7Q5k/OPYqP2Khsau+XoI/H0ekZsFugIUzh6uoe9HFOhiyzGmJOdxert1VxyYPrWPTnj7n+qU3sr+1fnP14pmXHoVC8vauaHZUt3HHheKYG6B6HEEIMt9YOF+0uz4l3PEqHq3+TCluHG/dxEmRURP9xqNkQhsV8cuPT/XV2Ptxbz4IxiX22X3tWLslRgZnRLSPo09DocNLS7iYhyuz/JFZSbeOHL2zz77OhvIlfv1nCw9+ehXWQfxBxVjOXz8zk4ilpGMPUCaviCCHESNBgd/L2rmqe+PQgiVHh/OiCscwtSBj0TOgpmbEYw1SfwiHXL8wnOfrYtbHHpkTz9ZkZvLb1iH/b7YvGkneSDS9snR7eKa5h2ewsbl80jqY2F0lRZqZkxDAxXSaJhZQNZY3ctWoHBxvamZAWzYorpjIjO57yXrVhe6zdV0+dzUle0sn9uk808UEIIUaSN3Yc4d5/7AagrKGNa1du4JXvz2dWTvygfn5KZizPXD+XB9fsp6a1k2vPyuWSqce+/wwQazHx80sm8bXpmdS0dpCXGOlN9Cc58MlNtBIdbuTlzZWEKYg0G0mJCefqOTnEWE6tetmJSII+BYca27jhqU3Ynd5LNHtq7Nz89828fusCEiP7XuoYmxLF5TMzcHd1092tB2wr6eh0s7Wiha2Hm8mKt1KUG4+7W9PS7iYjNoL0uP41Y4UQYiRpanPx2CflfbZ1a9h8qGnQCdoQpphXmMj07Dhcnq5BFwtJig7n/AkpJx1zb3mJkTxx3Ze45/VidlfbmJIZwy8WTwpo0wxJ0CdBa43L083hpnZ/cu5Ra3NS1dzJpIwYfnjBGAxKkRlvYfcRGw+8X8qDH5Ryw9n5fGtuDhlxfS+trNpSxT2ri/2PJ6ZHMycvgac+O0RyVDgPf3sWRXkJw/IahRAiEMwGRZzVRGVzR5/tp9KNz2I2YDEP/xXGorwEnrtxLrYODwmRppOaAHwq5ObmIO2tsfHfb+zmG//7GbZON+qogbDZEEZMhBE01NudbDzYhK3DzcpPD+Lq6sbp6eavHx7g3d21lNXZ+xz3D+/s7XOskmo7Cb6ReL3DyR0vbRtw9qAQQowUUREm7lg0rs97Z0KkmaLckTX4iLOayUm0Bjw5g4ygT6i1w83hpnZufGoT1bZOAB75uJzvzM/jiU8P+vf72aUTyE+K5OP9DbxbXMtdF09g1ZbKfsf7aE89VpMBV5fG1dXNx/vqB5zN2NWrMElFUwcNDqc0xhBCjGgLCpN48aZ5rC9rIs5qYl5BImOPKhgyGC5PNyXVNsob2oi3mpmUEX3ciWIjlSTo49hbY+PuV3fy5Qkp/uQM3rV4FpOBp787h9YONzkJFlKiw6m1d9LS7mLx9Az+vv4QM7JjWV/e1OeYmfEWmtrd7Ku189S/DqGBRZPSeKe4xr+P1WxA8cXHzNSYcBKGoTC7EEIEUrjJwJz8RObkJ5545+NYs6eW7z+7hZ5xzFcmprBi6TSSRtkgRi5xH4PD6ebe1cXMzosnaYA1bp8fbCIjzsKFk1I50trJ0oc/48v3rWXjwSZmZcexp8bGnPzEPpPGUqLDyUmw0uBweidHVDSzpaKZnAQrV30pm4zYCM4Zm8T9V07n6fUHAW+y/tOy6aTGjr5Ph0IIcbJqbZ384vVielc/fr+kjt3VtuAFFSAygj6GOpuTWKuZ7Ydb6HB1MSYlitI6h//7183PIzfByo6qFr7/zBb/9uc/P4whTPHdBXn89xvF/P6KqeypsePp1hjCFBGmMF7aeJiLl6cxMyeOrRUtPLqujOwEC2cVJrJ0ViazcuJ55vq5NDlcZCVYT3q9nhBCjCROdxf1DifR4cYTzsxudw5c0au5zcXBhjYsZgOpMaNjQCMj6GOIjjAyLTOWjQebee7zChZNTOWGs/O5aHIqv79iKjedW4DJGEZJdf8qYau3H2HxtAyu+lIOv3t7D5lxFsalRtPY5uK5DRX8aslk3t9Ty2XTM/z3lSubOzAbw3jk4zIONbYzOSOWs8clk58U6a/VLYQQo01pnYPbX9zGefd9xFWPrmd9WSP6OM2BUmMjOH98cp9thjBFu7uLL//pIy59cB1v7qjG6e5fdWykkRH0MSRHRzAh3Tt5QWt4eO0BYixGUqMjuPmcKFJ8ExLirf1n8mXGWclJjOSOC8dz3YJ8IkzeJQFz8xP4/rmF3PN/u3hndy1Ws4Eri7KJsRgZmxLNo+vK2FHZytVz2piQLn2ehRCjm6PTzX++ttM/V6ek2s7ylZ/zxm0Ljzl5zGo28vNLJ2E07OG9kloyYi3cev4YHv7oAFpDg8PFLc9t4bUfzGfmINdXhypJ0Mdg73CTHBXO2WOSWFfaAICtw8O4VFOfhenTs+OYlB7jv/9hCFP8/JIJxPoqy8T3ugedGBXOgXoH7+yuBaDd1cWT/zoIwK+XTPavD0yIlAlhQojRr6qls99EWqenm91HbIxJifJfPbR3uqlsbsdkCCM3MZL8pEgeuGomdfZOurq6+frDn/VriHGg3iEJejRydHr4y5pSHllXxvUL85lTkEBNawcT0mKoszv5wXNb+Os3Z5GbGElWvJVHr53NriobbS4PY1OjmXSc0a/VZCDOaqKlve8fU3OHm+Vn5VJnd/obgwsRKpRSPwJuBBTwqNb6f4IbkRgNrGYDUeFGHEcVfjrU1M7Gg83MyU+guKqVT0obaHd5GJ8Ww4MflNLU5uLb83KZX5iIp1sTH2nql6BPplNVqJJ70APYV2fnkXVlAOyutpEVb6He7uKlTZV0dWsKkqL47ECjf//MeCsXTUlj6awspmbGYhignGeP9DgLv7h0Yp9tZxUksr/WQVqshX+/aLx/9C1EKFBKTcGbnOcA04HFSqmxwY1KjAbZCVb+86j3wwVjEtlbY+eBD/ZR2dTOz17bye/e3kOEycCPX9jG6u1H+KS0ge89s5k1e+pIiDRz3zemcdv5Y7hmXi6xFhMLChOZkjHyOwDKCHoAjY4vZggumZ7Bz1btosM34WBnVSvXLcijtte66JM1Lz+Ru786AYfTg9kQxsHGNlZtqeJr09KP2dNUiCCaCKzXWrcDKKXWAl8H/hDUqMSosGRGBhaTgf31DsyGMMob2nhtaxXZCRZKamxsr2wlOtxIg8PVr+/yXz8qZXJGDH98Zx8byptIjg7nv5ZMZl5+wqhYmioJegA5CZGYDAp3l6bO7vQn5x6vbqnk8WuLTuqYZfUO1uypY1tFCxdMTCE/0crNvZZnFSZHMmkUfOITo9Iu4DdKqUSgA7gE2HT0Tkqpm4CbAHJycoY1QDFyWcxGkmPC+dGL2/ps/+acHNwe72zuY83pNqBYtaWKDb772PV2J7e/uI1/3LqQ1NiR32RIEvQAxqRE8bdrZnPXKzsHnO4fbjRQZ3fS6HCSOIhOJjWtHdz8983s962jfmNnNdeelcuLN83lk9JGsuOtzM1PIDN+5P9BidFHa12ilPo98B7gALYD/erTaq0fAR4BKCoqOvY6GSGOMiM7jgeumsFv3yrB1uHhOwtyWTIjk9rWTiwmAw6nh4RIMxGmMDrdX4yiv3deIXev2tHnWN0ayhvbmJw58gc8kqAHYAhTnD8hldW3xlBr6+Tv6w/R4HD5v3/1nGx+8Xox9yyeyOUzs054vH21Dn9y7vHshgrOKkhk6cxM8pOjhvw1CDGUtNaPA48DKKV+C/QvNC/EKbKajSyZkcn8wkTcXZo0X6GRd4qrueur43lrRw2rtlSyYuk0tlY009jm5NxxKYxJsRIdbqLT3bdwyWgpjSwJ+jjS4yykx1n485UzWFfaQHVLBxPTY1hX2kBTm4uXN1UyOzee7IRI2pxuzAYDJmMYdbZOSuscWEwGYixG3EfdNwHo1prSegdtLg+psRFYzfK/QoQupVSK1rpOKZUDLAXOCnZMYvTp3fCivN7Birf34u7q5uyxycxNSeShD0u5Zl4O+UlR3PXqDhKsZu752iR+/OI2un3XbC6fkcHE9JNvwBGKJCsMwqGmdlyebnYdsfHGzmp/DdiMOAtv76zB1dXNP7ZXU5AcyfL5efzlg30sGJNMa4cbq9nAxIwYMuMiqGr5YmLZhZNSWbu3njaXh1k58RTIKFqEtld996DdwC1a6+ZgByRGtw53F06Pd3Czdl+9f7vJEMb/++gA3Roa2lzsq7Wz+taFHGxsI8FqZmJ6NPGRo6NphiToQZiQFo2tw01Lu8ufnOOsJqZkxFBSY+eFjYcB2FtrZ+2+ev64bDrd3Zo3d1TzdnEN4UbF75ZOY1dVK9sOtzArNx6nu5t3ir0FS7q65XadCG1a67ODHYM4s2TFWynKjWfToS8+C87Jiycq3Ei764uJu4ebO5iSGcuUUXDP+WiSoAdhWlYsbU4Pv79imr9iWFe3pt7h5LWtVX32bXd1sb/Ojq3Dw9u+FpJOj+aOl7Zz/7LpHKh38OrmSpp9hUpm58aRNgqWAwghxFCKsZhYccU0Hlyznw0HGrl90Tg+Ka3n4bUHWDorE3unhxc3HmbZ7BPPAxqpJEEPgtlo4NzxKdS2drB6+xHe2FENwHfm5xFhMvgvw/SwmIx8tKe+33HeLq7hnHHJfFLqLXKSERvBvV+bTHSEFCYRQoijjUmJ4r5vTGNfjZ2rH93grzhWUm3nm3NyePHGuUwf4eU8j0cS9ElIjbXwi8WT+Nq0dMoa2smJt5AYaeZP7+3z75MRG0GH28OY1Ci2Hm7p8/M5CVbe3lHDyuVFRIYbyUmwkjJK2qIJIUQghBsNVDS19ysH+srmSr5/XiERJkOQIgs8SdAnKTUmgoumpAPQ0u4i3GTgnsUT2VNjJzfRitPTzUNrSvnhBWPJirf4G2CMTYki3BjGpopmXF3dnJuXEMyXIYQQI4bR0L8qdbgx7LhllUcDSdCnIc5q5iuTUulwd2FQYOtw89rWI8RaTKzaUsWKr0+l3uHkUFM7dTYnD689QG6ilYnSSlIIIQZtUnpMnwEPwJ0XjiMjbnQXdwpoglZKXQw8ABiAx7TWKwL5fMFi8V1iSYo2cOM5BSyZkYHREEZCpJmWdhebDjaz1lHHLxdP4pxxyWTFW4McsRBCjBzZCVaeum4OH++vp7y+jXPHJ1OUN3rvPfcIWIJWShmAvwKL8FYd2qiUWq213h2o5wwVve8r94yyvzIpNYgRCSHEyFaYEkVhyplVLyKQ7SbnAKVa6zKttQt4AVgSwOcTQgghRo1AJuhM4HCvx5W+bX0opW5SSm1SSm2qr++/NEkIIYQ4EwUyQQ80va5fySyt9SNa6yKtdVFycnIAwxFCCCFGjkAm6Eogu9fjLOBIAJ9PCCGEGDUCmaA3AmOVUvlKKTNwFbA6gM8nhBBCjBoBm8WttfYopW4F3sG7zGql1ro4UM8nhBBCjCZK69DppKSUqgcOBTuOIZIENAQ7iGEgr3P45WqtQ3rCxkmey6H0u+0RajGFWjwQejGFWjwwuJiOeT6HVIIeTZRSm7TWRcGOI9DkdYrTFYq/21CLKdTigdCLKdTigdOPKZD3oIUQQghxiiRBCyGEECFIEnTgPBLsAIaJvE5xukLxdxtqMYVaPBB6MYVaPHCaMck9aCGEECIEyQhaCCGECEGSoIUQQogQJAl6iCml4pRSryil9iilSpRSZwU7pkBQSt2ulCpWSu1SSj2vlIo48U+NDEqplUqpOqXUrl7bEpRS7yml9vv+O/qb0QaBUuonSimtlEoKchz3+c7hHUqp15RScUGM5WKl1F6lVKlS6u5gxeGLJVsp9aHvva1YKfWjYMbTQyllUEptVUq9EexYYOjygCToofcA8E+t9QRgOlAS5HiGnFIqE/ghUKS1noK3UtxVwY1qSD0JXHzUtruBD7TWY4EPfI/FEFJKZePtH18R7FiA94ApWutpwD7gP4IRhFLKAPwV+CowCbhaKTUpGLH4eIA7tdYTgXnALUGOp8ePCK332iHJA5Kgh5BSKgY4B3gcQGvt0lq3BDWowDECFqWUEbAyihqhaK0/BpqO2rwEeMr39VPA5cMZ0xniz8BPGaDr3XDTWr+rtfb4Hq7H2+wnGOYApVrrMq21C3gB799iUGitq7XWW3xf2/Emnn5thIeTUioLuBR4LJhx9BjKPCAJemgVAPXAE77LLY8ppSKDHdRQ01pXAX/EO9KpBlq11u8GN6qAS9VaV4P3TQpICXI8o4pS6jKgSmu9PdixDOC7wNtBeu5M4HCvx5UEOSH2UErlATOBDUEO5X/wfrDrDnIcPYYsD0iCHlpGYBbwsNZ6JtDGKLwU6rv/ugTIBzKASKXUt4MblQh1Sqn3fXMWjv63BPg5cE8IxdOzz8/xXtZ9djhj6x3mANuCfoVBKRUFvAr8WGttC2Ici4E6rfXmYMUwgCHLAwHrZnWGqgQqtdY9nyhfYRQmaOArQLnWuh5AKbUKmA88E9SoAqtWKZWuta5WSqUDdcEOaKTRWn9loO1Kqal4P+xtV0qB93LyFqXUHK11zXDH0yuu5cBi4AIdvIIRlUB2r8dZBPl2klLKhDc5P6u1XhXMWIAFwGVKqUuACCBGKfWM1jqYA4YhywMygh5CvjeTw0qp8b5NFwC7gxhSoFQA85RSVuV9R72A0JqgEQirgeW+r5cDrwcxllFFa71Ta52itc7TWufhfYObFcjkfCJKqYuBu4DLtNbtwYoD2AiMVUrlK6XMeCdjrg5WML7z/XGgRGt9f7Di6KG1/g+tdZbv7+YqYE2Qk/OQ5gEZQQ+924BnfSdTGXBdkOMZclrrDUqpV4AteC//bSU0y+ydEqXU88B5QJJSqhL4JbACeEkpdT3eDyjLghehGAYPAeHAe75R/Xqt9feGOwittUcpdSvwDt7VEiu11sXDHUcvC4BrgJ1KqW2+bT/TWr8VvJBC0pDkASn1KYQQQoQgucQthBBChCBJ0EIIIUQIkgQthBBChCBJ0EIIIUQIkgQthBBChCBJ0GcYpVSXUmqbrxPNdqXUHUqpMN/3ipRSD57k8W71ddkJegciIc4kci6PfrLM6gyjlHJoraN8X6cAzwGfaq1/eYrHmwk0Ax/h7W7VMFSxCiGOTc7l0U8S9Bmm90nte1yAt1pREnAu8BOt9WKl1L14yy+mA+OAO/C2l/sqUAV8TWvt7nWcg8hJLcSwkXN59JNL3Gc4rXUZ3r+DgbozFeJt47YEb53tD7XWU4EO33YhRIiQc3n0kQQtYOCOOQBv+z5Z78RbZvCfvu07gbxhiEsIcXLkXB5FJEGf4XyXxboYuDuTE0Br3Q24e3X06UbquAsRUuRcHn0kQZ/BlFLJwP8CDwWxnZ4Q4jTJuTw6SYI+81h6lmYA7wPvAr861YMppX7o6/iUBexQSj02RHEKIY5PzuVRTmZxCyGEECFIRtBCCCFECJIELYQQQoQgSdBCCCFECJIELYQQQoQgSdBCCCFECJIELYQQQoQgSdBCCCFECPr/Jx7i11FLYlAAAAAASUVORK5CYII=", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", + "name": "stderr", "output_type": "stream", "text": [ - "25 0.0\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\manifold\\_t_sne.py:790: FutureWarning: The default learning rate in TSNE will change from 200.0 to 'auto' in 1.2.\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", + "name": "stderr", "output_type": "stream", "text": [ - "30 0.0\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\manifold\\_t_sne.py:790: FutureWarning: The default learning rate in TSNE will change from 200.0 to 'auto' in 1.2.\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", + "name": "stderr", "output_type": "stream", "text": [ - "35 0.0\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\manifold\\_t_sne.py:790: FutureWarning: The default learning rate in TSNE will change from 200.0 to 'auto' in 1.2.\n" ] }, { "data": { - "image/png": "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", + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXAAAAFwCAYAAAChGSA/AAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAADXbElEQVR4nOxdd3gU1ds9sy29QkKVJk1K6CIISBURBBEUpCtVFAUFe0H5KVa6gCAIiA0LVUERFJSufID03iEJabspW2e+Pw43s7vZVBKSwJzn2SfZ3dmZO7M75773vE1SFEWBBg0aNGgoddAV9wA0aNCgQUPBoBG4Bg0aNJRSaASuQYMGDaUUGoFr0KBBQymFRuAaNGjQUEqhEbiGLCjOwKSSGBRVEseUH5T28WvIHhqBFzNefvll1KlTJ8fH7Nmz87y/n376CXXq1EFiYiIAYPDgwRg9enSePz9nzhx8/fXX+TpGx44d8c477+T5GNlhxYoVmDFjxg3vZ/bs2WjSpEmO29jtdixYsAA9evRATEwMmjdvjsGDB+PXX3/12O7EiRMYOnToDY/JHd7Xr6hgNpvxwgsv4NChQ5mv1alTB4sWLSrS42q4eTAU9wBud4wdOxb9+/fPfP7SSy+hatWqGDt2bOZr5cuXL/D+33rrLeh0eZ+nZ8+ejRdffDHHbdq3b4/vvvsOoaGhBR6XL8yfPx/t27cv1H1mh5deegk7duzAmDFjUKdOHWRkZGDjxo149tln8dZbb2HAgAEAgA0bNuC///67KWMqbBw5cgTr1q3DsGHDMl/77rvvULFixeIblIZChUbgxYwqVaqgSpUqmc/9/f0RGRmJxo0bF8r+a9asWSj7cUdkZCQiIyMLfb83CxcvXsQvv/yC6dOn48EHH8x8vWPHjkhLS8Ps2bMzCfxWQ2H9rjSUDGgSSinHqlWr0LVrV8TExGDkyJFITk72eN9bQlm5ciW6d++Ohg0bol27dnjvvfdgs9kAcHkNAB9++CE6duyY+fk33ngDw4cPR0xMDKZMmeJTArBarXjllVfQpEkTtGnTBtOnT4fT6cx839fSfezYsRg8eDAAkuelS5fw1VdfZY4DAA4ePIihQ4eiUaNGuOeeezBlyhRkZGR47GfRokXo0KEDGjdujEmTJsFqteZ4zcS4ZVnO8t6IESMwYsQIOBwOzJ49G3PmzEF6ejrq1KmDn376KfPzr7/+Otq1a4dGjRphyJAhWaz0S5cu4bnnnsPdd9+Nu+++G+PGjcPly5c9ttm5cyd69eqFhg0bonv37ti0aZPH+3/99RcGDRqEJk2aoGHDhujVqxd+++23zPddLhc+/PBDtG/fHg0aNMCDDz6Ib775BgCwa9cuDBkyBADQt29fvPzyywCyfg9Hjx7FiBEj0LRpU7Ru3RqvvPJKlt+QhpILjcBLMdavX4+XXnoJbdq0waefforKlStj2rRp2W6/Z88evPrqq+jRowcWLVqEMWPG4Ntvv8WcOXMAcHkNkLTFawA12+rVq2Pu3Lno1auXz32vWrUK165dw4wZMzBo0CB8/vnn+OSTT/J8LnPmzEFUVBS6du2aOY6TJ09i0KBBkCQJM2bMwMSJE/HLL79g/PjxmZ9btGgRPvnkE/Tu3RuzZs2Cw+HA0qVLczxW3bp1Ua5cObz11lv44IMPsHv37sxJLCYmBsOHD4fRaMSjjz6Kvn37wt/fH9999x3at2+PtLQ0PP7449i+fTteeOEFTJ8+HYqiYNCgQTh27BgAIDU1FQMGDMCxY8fw1ltv4f3338fp06cxcuRIuFyuzHG8++67GDx4MObOnYuQkBBMmDABCQkJAIADBw5g1KhRqFWrFubOnYvp06cjICAAL7zwQuYE9Nlnn+HHH3/E+PHjsWjRIrRt2xaTJ0/GX3/9hfr16+PNN98EAEydOtVDkhO4dOkSBgwYgNTUVHz44Yd4/fXXsW3bNrzwwgt5/t40FC80CaUUY8GCBWjbti3eeOMNAEDbtm1x5coV/PHHHz63/7//+z8EBARg+PDhMJlMuPvuu2E0GmE0GgGoy+sKFSqgXr16mZ8LCgrCq6++mqmlnzx5Msu+K1SogHnz5sFgMOC+++6DxWLB8uXL8fTTTyM4ODjXc6lXrx5MJhPKli2bOY65c+eibNmyWLBgAUwmEwCgWrVqGDhwIPbs2YNmzZph4cKFePTRR/Hss89mXoNevXrhwoUL2R7LZDJhwYIFmDhxIhYvXozFixfDZDKhefPmePTRRzNllfLly6N8+fLQ6XSZY/ryyy9x/vx5rF27NlOeatOmDbp27Yo5c+Zg9uzZ+PHHH3Ht2jVs2LABd9xxR+b1efrpp3H69OnMcbz66qvo3r07AMpSjzzyCPbt24dOnTrhxIkT6NKlC956663M7StWrIjevXtj//796NChA/799180aNAADz/8MACgZcuW8Pf3R0BAAIKDgzPHV6tWLQ+ZTmDp0qXQ6/X4/PPPM78jPz8/fPjhh0hKSkJERESu35uG4oVmgZcCyLIMp9OZ+XC5XMjIyMCRI0fQrl07j227du2a7X6aNm2K9PR09OzZEzNnzsSBAwfQt2/fTALIDlWqVMnVEdqxY0cYDKo90KFDB1itVo8IiPxi165daN26NXQ6Xea5N27cGMHBwdixYwfOnDmDpKQkj2sgSRLuv//+XPddt25drF27Ft988w3GjBmDevXqYdeuXZgwYUKOFuiePXtQs2ZND9+CyWRCly5dsHv3bgCcKGvWrJlJ3gBw1113YfPmzahVq1bma+6RMpUqVQIAWCwWAECfPn0wa9YspKen47///sPatWvx1VdfAWAEDQA0b94cf//9NwYPHoylS5fiwoULmDBhApo3b57r+YtxtmjRwmOC7dSpE3799VeNvEsJNAIvBfj0009Rv379zEeXLl1gNpuhKEqWG61s2bLZ7qd58+aYO3cuoqKisGDBAjz66KPo3Lkz/vrrrxyPX6ZMmVzH6H1c4eQUhFQQJCcn47vvvvM49/r16yM1NRXx8fFISUkBgHxdA3dIkoSmTZtiwoQJ+O677/DXX3+hW7duWLduHXbu3OnzM2az2ef+y5Yti7S0NABASkpKnq6Zv79/5v9ighS6fHp6OiZOnIgWLVqgf//++OyzzzJlHhHXPWrUKLzyyitISkrCe++9h86dO2PAgAE4f/58ns4/r+PUUHKhSSilAI899phHeJ3JZEJYWBgkScrUTAVyc0B17NgRHTt2hMViwdatWzFv3jxMmDAB27dvz5QpCgJBpgJiXO7RKt5Ow/T09Bz3GRwcjE6dOuHxxx/P8l5ERESmJeodT53bNXj//fexe/fuTKekQJkyZTBlyhSsX78ep06dwj333JPls2FhYR4yiEB8fDzCw8MBACEhIT5JdMuWLahfv36OYxOYMmUKtm3bhgULFqBFixYwmUw4efIk1q5dm7mNXq/HsGHDMGzYMFy+fBm///47Zs+ejXfeeQeff/55rscIDg7Ocu3sdjt27NiBJk2aFHqYqIbCh2aBlwKUK1cODRs2zHzUqVMH/v7+aNy4MX7//XePbbds2ZLtfmbPno3HHnsMAEmme/fuGD58OCwWC1JTUwEgXzHj7ti2bZtHxt+vv/6K4ODgTC09ODgYcXFxme+np6fjyJEjHvvwPnazZs1w+vRpNGjQIPPcK1SogE8++QQnTpxA9erVER0d7RGZAeR8DQCgatWqOHToEP7+++8s7509exYAULt27WzHdPLkSZw6dSrzNbvdjt9//x1NmzYFQGnkxIkTuHTpUuY2p06dwqhRo3D06NEcxyawb98+tG3bFvfee2/mxCpWSuI6P/nkk5g6dSoA6uNDhgxB586dceXKFQAk+JzQtGlT7NmzJ3PlAAA7duzAqFGjshgGGkomNAu8FGPcuHEYMWIEXnnlFTz44IPYuXNnFkJ3R8uWLfHpp5/i9ddfR/fu3ZGSkoL58+ejWbNmmZZyaGgo/v33XzRv3hyNGjXK81jOnDmDl156Cb1798aePXvw1Vdf4fnnn8+UCdq1a4effvoJ9evXR2RkpE8LMTQ0FIcOHcLu3bvRokWLzCSn5557Dn369IHdbsfcuXNx5coV1KtXD5Ik4dlnn8Ubb7yBMmXK4N5778X69etx6NChHMnrkUcewcqVK/H0009jwIABaNWqFfz9/XHo0CF8/vnn6NSpE1q0aJE5poyMDPz++++IiYnBI488gqVLl2LkyJEYP348QkJCsGTJEly7dg1jxowBQP16yZIlGD16NMaNGwe9Xo+ZM2ciJiYG99xzD9asWZPr9WzYsCE2b96MlStXokKFCti5c2dm+J8Ik2zWrBnmzZuHqKgoNGzYEKdOncKGDRsyM0dDQkIAcEILDAzEnXfe6XGMoUOHYuXKlRg1ahSGDx+O9PR0fPzxx7j//vtRvXr1XMeooQRA0VCi0LNnT+Wll17K8/YbN25UevTooTRo0EB5/PHHleXLlyu1a9dWEhISFEVRlEGDBimjRo3K3P7nn39WevXqpTRq1Ei5++67lYkTJyrXrl3LfH/ZsmVK06ZNlRYtWigOhyPL5xVFUX788UePY3To0EGZNm2aMn78eCUmJkZp166d8sUXX3h8JjY2VnnqqaeUmJgYpXXr1sqcOXOUqVOnKoMGDcrcZsOGDUrLli2VmJgY5cqVK4qiKMo///yjDBo0SImJiVFatGihjB49Wjl+/LjHvlesWKF07txZadiwoTJ69Gjl008/VRo3bpzjdUtPT1dmz56t9OzZU2ncuLHSsGFDpUePHsqCBQsUu92euV1CQoLyyCOPKPXr11cWLFigKIqiXLlyRRk/frzSrFkzpXHjxsqwYcOU/fv3e+z//PnzytixY5XGjRtnuc7e109RFCUlJUWpXbu28uOPP2Yed9y4cUrz5s2V5s2bK/369VP+/PNP5f7771feeOMNRVEUxel0KrNmzVI6duyo1K9fX2nfvr0yffp0xeFwKIqiKC6XS5k0aZLSoEEDZfTo0YqiKErt2rWVzz//PPO4hw8fVoYNG6bExMQo9957rzJlyhQlNTU1x2unoeRAUhSt0o0GDRo0lEZoGrgGDRo0lFJoBK5BgwYNpRQagWvQoEFDKYVG4Bo0aNBQSqERuAYNGjSUUmgEXsqxa9cu1KlTB6+++qrP991LtuYV+e3akpcOOC+//DJ69OiRr3HcCAYPHpxjl6MFCxYUeN8XL15EnTp1sGHDhkIccd73Lb5zUcL2Zl9bDSUHWiLPLYIff/wRPXv29Jn+nV/cKl1bmjZtipdeesnnexUqVLjJoyk6jB07NteyBBpuTWgEfosgJCQEb775JtauXQs/P78b2tet0rUlNDT0ljmXnOCrVKyG2wOahHKLYMKECbhw4YJHI4bssG7dOjz00ENo0KABOnfujC+//NLjfW8JZefOnejbty9iYmLQvXt3/PXXX6hXr16WYlC//PILunbtioYNG+KRRx7B3r17sxz7888/R+vWrdG0aVO88MILWWpubNy4EX369EHjxo1x3333YcaMGR6dfTp27IiPP/4Yjz32GGJiYvJUtCkn/PTTT2jZsiW2bt2Khx56CA0bNkTfvn1x6tQpbNy4EV27dkWTJk0wevToLGO9cOECBg8ejIYNG6Jbt24ehaYA1nuZMmUKWrdujZiYGAwePBiHDx/22Gb//v14/PHH0ahRIzz00ENZ3geYCv/www8jJiYG/fv3x8WLFz3ed5dQhASzefNmDB8+HI0aNULbtm0xb948j89cvHgRTz31FJo2bYo2bdpg0aJFGDZsWGbnHg2lAxqB3yKIiYnBwIEDsXjx4hwLJq1cuRIvvPACWrRogfnz5+Phhx/G1KlTsyXCY8eOYeTIkShbtixmz56N3r17Y/z48R6dZQAgIyMD06dPx7PPPouZM2ciIyMD48aN8yDf06dPY8WKFXjzzTfx5ptvYufOnRg9enRmlcLvvvsOzzzzDGJiYjBnzhwMGjQIixcvzkIqX3zxBTp16oSZM2dmtn7zBUVRPOqouz/ckZaWhsmTJ2PUqFGYPn06rl69mvn/888/j7feegvbt2/HzJkzPT43Y8YM1KtXD3PnzkWjRo0wceJEbN68OfPYTz31FH7++WeMHz8eM2fOhMlkwuDBgzMrFV68eBHDhg2Dn58fZs2ahT59+mQ513379uGpp55C9erVMWfOHLRq1QqTJ0/O9pwFXnnlFTRq1Ajz589Hhw4dMGPGjMwiX1arFcOGDcOZM2cwdepUvPjii1i2bBn+/fffXPeroWRBk1BuIUyYMAG///47XnvtNaxYsSJLQSdZljFt2jQ89NBDme222rRpA0mSMHfuXAwYMACBgYEen1mwYAHKly+POXPmZHbb0el0+OCDDzy2UxQFH330UaZk4XQ6MW7cOJw8eRJ169bN3O6zzz7LLJQUERGBUaNGYefOnWjZsiVmzJiB7t27Z3ahadOmDUJCQvDWW29hxIgRmfu58847Pfp8ZoecyrceOHAgU2pyOByYOHFiZiee/fv3Y8GCBVi+fHlmUatt27Zh//79Hvt48MEH8corrwBgJ6BTp05h4cKF6NixI/7++2/s3LkTX3zxBVq3bp25Tffu3TFv3jxMnToVX375JUwmE+bNm4eAgADcd999UBQF77//fuYxPv/8c1SrVg3Tpk2DJElo164dLBZLllWTN7p165bZpahly5b49ddfsXXrVtx3331Ys2YNLl++jPXr16Nq1aoAgBo1aqBPnz65XlMNJQuaBX4LISgoCJMnT8bBgwexbNmyLO+fOXMGcXFxaN++vYc12q5dO6SlpeHAgQNZPrN79260b9/eo9vOAw88kGU7vV6PmJiYzOfeHWYAtvZyr3LXrl07GI1G/Pvvvzh9+jQSExOz7Fu0HPvnn38yX8trpbxmzZrhhx9+8Pnwrn3esGHDzP9Fk4MGDRpkvhYeHp6lOYV396MOHTrgwIEDcDqd2LVrFwICAtCiRQsPq79NmzaZzSL27t2LFi1aICAgIHMf3t2E9u7di7Zt20KSpGy38QV37V+n0yE6OjrT0blr1y7UqlUrk7zFuVauXDnX/WooWdAs8FsM7du3R7du3TBr1ix06dLF4z3R6OCFF17w2TYsPj4+y2tJSUkeTRkA3x1v/Pz8PGpne3eY8fU5SZIyiVE0hPDuEBMSEgKTyZRZr9zXNtkhJCTEg5hzQlBQUJbX3InVF7zHERkZCafTiYyMDCQnJyMjI8NjEhAQPUjNZrPH6gQAoqKiPJ6bzeYCdRxy7/YD8PsQdeuSk5OzfKd53a+GkgWNwG9BvP7669i+fTveeustj4gUUR/6zTff9LCWBXxZYOXKlcvStcX7eV7h3bVHluXMCUJ0s/F2FJrNZtjt9sz3SxLMZrPH82vXrsFkMiEoKAghISEoU6YMPvvss2w/Hx4enuV8k5KSct0mt45DuSE6OtqnszQxMVGrA17KoEkotyDKli2LSZMm4e+//8auXbsyX69RowbCw8MRGxvr0eEnOTkZM2fO9LByBZo3b44tW7Z4WNKbNm0q0LiOHj2Ka9eueezH6XTi7rvvRvXq1REREZElgeWXX34BgMxuNyUJ7r1EFUXBxo0b0aJFC+h0OjRr1gyJiYkIDAz0uNZr167NbOjQsmVL7Nq1y2Mi2Lp1q8cxWrZsiT/++MPD8Zpbx6Hc0Lx5c5w4cQIXLlzIfO348eMezzWUDmgW+C2Kvn37Ys2aNZmd0gHAYDBg3LhxmU6yVq1a4eLFi/jkk09QrVo1nxb4qFGj0KtXL4wbNw79+vXD2bNnM6Mx8tt+TafTYcyYMRg3bhzi4+Px0UcfoV27dpnk/Mwzz2DKlCkICwtDp06dcOzYMcyePRsPPPBAZouz/MBsNmPfvn0+3wsJCcnSoSa/+PHHHxEVFYUGDRrg+++/x8mTJzOdwx06dEDDhg0xatQoPPPMM6hQoQJ+/fVXfP3113j77bcBsCPOd999h5EjR2LMmDG4evVqljDQMWPGoE+fPpndg44dO5bZnb6g6NmzJ+bPn48xY8bg2WefhcvlwowZMyBJkofWrqHkQyPwWxSSJGHKlCno2bOnx+uDBg2Cv78/lixZgsWLFyM8PBwPPPAAJkyY4PPmvfPOOzF//nx89NFHGDt2LKpVq4ZXXnkFr732WpaIldzQokULNGnSBC+++CJcLhcefPBBj7A5MbbFixfj+++/R3R0NJ544gmMHTu2QNdg79696Nevn8/3WrVqhSVLlhRovwKvv/46vvvuO8yePRs1atTAggUL0KxZMwB06i5atAgff/wxPvroI6SmpqJq1aqYOnUqHnnkEQDU0JcvX453330X48ePR/ny5fH222/j6aefzjxGrVq1sHjxYnz44YcYN24cqlevjjfffBOTJk0q8LiNRiMWLVqEt99+Gy+++CJCQkIwatQoLFmyxKcvQEPJhdaRR0OO2L59O4KCgjz6Y/79998YPnw4Vq9encUJp6Hk49ixY7h48SI6deqU+VpqaipatWqFSZMmYciQIcU4Og35gWaBa8gR+/btw6JFi/DSSy+hevXquHTpEmbNmoUWLVpo5F1KYbFYMHbsWIwZMwatW7dGampqpvUtwjY1lA5oFriGHOF0OjFnzhysW7cOsbGxCAsLQ5cuXfDCCy8gODi4uIenoYBYs2YNFi9ejLNnz8JoNKJ58+aYOHHiDfsFNNxcaASuQYMGDaUUWhihBg0aNJRSaASuQYMGDaUUGoFr0KBBQylFiYlCsVqtOHjwIKKiorJU0dOgQYOG2xEulwvx8fFo0KBBlvo2QAki8IMHD2LgwIHFPQwNGjRoKHH46quv0Lx58yyvlxgCF1XYvvrqK5QvX76YR6NBgwYNxY+rV69i4MCBWapUCpQYAheySfny5bW6xBo0aNDghuxkZc2JqUGDBg2lFBqBa9CgQUMphUbgGjRo0FBKoRG4Bg0aNJRSaASuQYMGDaUUGoFr0KBBQylFiQkj1FB6cOkScOAAEBQE3HMPYDIV94g0aLg9oRG4hjzDbgdeeQVYswYQ7TD9/YFPPgE6dy7esWnQcDtCk1A05Bn/+x+wciUQEqI+XC5g7FjgyJHiHp0GDbcfNALXkCeYzcB33wFhYar1DdACdzqBRYv4PC4OmDcPmDAB+PRT4MqV4hmvBg23AzQJRUOecPYsids9o1eWgeRkICkJ+PprIDoa+OILSi2SBCgKMHs2H126FNfINWi4daERuIY8ITIScDhIypJEq/vUKb4my/z7+utAQABQsya3AQCrFXj2WWDbNu5DgwYNhQdNQtGQJ1SuDDRuDKSk8PmVK7S0dTqSdUgI/7dagWvX1M/5+5Pc168vlmFr0HBLQyNwDXnGtGlAmTKUTJKSaI3LMhARARgM/F+nAxISPD/ncgGxscUzZg0abmVoBK4hz6hSBdi4EZg0iVJJRARQrRpQqRKfC2vc6fT8nNEI1KpVLEPWoOGWhkbgGvKF4GCgQQMStt1OyUSWKaEYDJRLAgLU7c1mIDxcc2Jq0FAU0AhcQ54hy7S+hw3j/6mp1MKPHydxV6pEEg8OplZuNgPlygFffUUtXIMGDYULLQpFQ56xYQOwahVjwcPDScpxcbTEz5yhxLJ0Kf9euEBCv+cez7hxDRo0FB40AteQZyxbRgtbEHJ0NJ2aaWlAejqwejVDCAEStwYNGooWGoHfhnA6WYzKZgPq1AH++Qf46Sfg9Gk6Jtu1A/r0Abx7S8fG0iHpDr0eCA0lqWdk3Lxz0KBBg0bgtx02bwZefJH6tKIAV6/Sqk5Pp64NAOvWATNnAjNmAA8+qH62aVNKKN56ttPJfVWterPOQoMGDYDmxLytcOAAMGYMyTo0lBaz1cp0eFlmWViTiYRsNgPPP+8Zvz18OC1ud0tblrntgAHcpwYNGm4ebpjAU1NT0aNHD1y8eBEAsH37djz00EO4//77MX369BseoIbCw9y5TKoJDKTFnJDAuG1J4usCej2jSNLSgIkTgQULgGPHgHr1uA+DAbBY+DCbgUceYZlZDRo03FzckISyf/9+vP766zh79iwAwGq14tVXX8WXX36JChUqYPTo0diyZQvuu+++whirhhvEP/+wCYOAkEwERJ0TgJElV66w9vdffwEffgj06sW/e/YAu3bRkm/YEKhY8eadgwYNGlTckAW+YsUKvPXWW4iOjgYAHDhwAFWrVsUdd9wBg8GAhx56CBs2bCiUgWq4cYSFqVmSkkS5RBC2O0TRKr2eBahCQ0nWc+cCzZvT4dmyJdC1q0beGjQUJ26IwN999100b94883lcXByioqIyn0dHRyNWK4JRYjB4MDVvReHz6Gj+LyxvUQLW5VJLx/r7AydOUG6RZeDoUeDVV7kvm614z0eDhtsdherEVAQzuEHyZeJpKBY8/jgt5+RkatcGA61r4bx0OPiQJMDPj3VOrlwhqRsMJHRZZhLPnj3ADz9wv2YzsHw5y8ZOmQIcPlyMJ6lBw22EQg0jLFeuHK651RKNi4vLlFc0FD/8/JgpuWED8P33lEU6d6YUcvQo26KFhAAff8x4b72eFrto4iCIXKfjvr7+mhNCv350egpn6LJlwJAhQKNG/GyrVlotcA0aigKFSuCNGjXCmTNncO7cOVSuXBnr1q1Dnz59CvMQGm4QJhPQsycf7qhRg4/586mTX7zIpB4BUTpWzMd6PaNQnnpKLVgltrt8mZZ4+fJqzPhzz7F3prYg06Ch8FCoBO7n54f3338f48aNg81mw3333YcHHnigMA+hoYjw99+M83Y6GWbo58c6Jy6XanWHhQFly3L7jAzgrruAP/7wjP9OSAASE/m/zUbCdzrZub5aNaB795t+aho03LIoFALfvHlz5v+tWrXCmjVrCmO3Gm4SZBl44QVa1SEhfO3OOymxnDvH1ytU4HuKQsvbzw/o0AHYulW1qhUFiI9Xa6U4HPxrMNDynz1bI3ANGgoTWir9bYzkZJaEjY3l/4K8BQIDGSYYEcGkntRUWuRVqlAnL1uW1rXoxCPLfG4w8K97XfDAQODkyZt5dho03PrQCPw2xMWLwBtvMEFHr1et6uDgrBq1wcB+mF99RQIODKRWLra77z7WV4mIIInrdGoYYpky6n7sds/nGjRouHFotVBuI8gysG8fZYytW6ldi046CQksbOUNu51SSUAAsy7vvNOT5KdNA9q0URs4iDT9KlUomwB8npbGRhAaNGgoPGgW+G2Cr76i1X31KknZz08tBRsYSDkkPp7RJAEBJN2UFDouH388+/2GhjJs8Phx4NAhkvb8+QxLTEriNjod0LYtnaQaNGgoPGgEfhtg4kSWhwUobygKo0jOnQOqV6d0UrEi37Na+dfpBJo0AT74QI08yQ6yzGzNb7+lJd+kCQtcHTxI6757d1rpWmceDRoKFxqB3+LYvZsWsU5HMrXZ1CJWTictctFFJzwcWLKEhB0SkrWhgy/IMjB+PPDzz9y/0chaKWvXAp9/TuLWoEFD0UAj8Fscy5aRtEUnHZEOD9AST0/nX6uVlniLFiTivGLLFuCXXyi1CAvb35/7nTAB2LEjf/vToEFD3qEtam9xnDvnKV3o9XwuilgJrdtuB6ZOzT/Zfv89/3rLI4GBdGru3Xtj4y8KuFyMwPnhB5bY9VHCR4OGUgHNNrrF0aABySojg+Qsysg6HCSy0FCgfXvgmWeAxo3zv/+UFLVWijckiZZ4ScLhw3SmJiSoVRirVwcWLwYqVSru0WnQkD9oFvgtjqFDWUhKJNfIsmpxlikD/PcfteqCkDfA6BJRY9wdLhcf9esXeOiFjtRUYOBApvqHhlL2CQkBTp1i8S3vBhcaNJR0aAR+i6NuXWDWLFqX7pmWd90F7Nx54w0ZHnuMRJicrE4MTict8379ALfy8MWOdetI4u7XQZLovL1wgddDg4bSBE1CuUVx7RqwciVjs++4g/+fOsWMywYNgJiYwqkMGBkJrFjBWiqHD9PSVxTgySeBl1668f0XBNu2MWxy/35a2gMHAqNGcXzuvT/d4XLx+rRufXPHqkHDjUAj8FsQe/YATzzByBJJojTw2WfAe++RzAobNWsCq1fTYZqSwqqDxdWhftUqxr3rdOz/abVyBfLHH0CXLtlPWnp97vHuGjSUNGgEfovBbgdGj6ZFKWp0i9dffRW45x7WNikKVK1aNPv1hbQ0xprv2EEJp3dvoF494K23mEnq58ft9Ho6bQ8eZA10EQsv3gfoaA0IYMkADRpKEzQCv8WwdSt1Xm8L2GQi6a1cCYwbVzjHOniQlndyMrvudOvmWYGwqHDhArX3+Hg+l2Xgm28YTWO1Zq2qKEkk8j/+AD76CJg0iaQtrHE/P2DBArX5hAYNpQUagd9iiI/PXucFgEuXbvwYisKY8S++UCsPrlzJpg3ff1/0neqff16t2yIgy8D69RyLN4EDJGuHg1Z406bAjz8CZ8/Sau/dW5VP0tOBNWuAjRs5GT38MC3z7EIlCwKXi74Jp5PO5Jsx6Wm4NaER+C2E5GTg2DGGydlsLPHqLhVIEh2YN4otWxg3HRLiSWyxscy+/O67Gz9Gdjh/nhUVw8I8Xxd9Oq9d43mLzFMBl0ttJlG5Mlu8eSMuDnj0UU5yor75hg0sB7BggVpd8Ubw++/AK68wyUmsDJ5/nk5frd2chvxCCyO8RXDwICWE5ctJ3rGxLDAlekyLet/evTALgqVLVfJxR1gY8O+/lDiKCteuqQlJ3vD3p/WfmsrEJYDEnZjIjkK9e+e877fe4tjDwylBhYfznLZuZQPnG8Xevewhmp7OyS84mNfwvfcYyaNBQ36hEfgtAJcLGDmSpBUeDtSqpdblvnSJpBceTnLPT3SIy0U5oV8/4P77gcmTGWly8aJva1SSaPmKSaMoUK2amiTkDasVePBBEmJoKFckaWnAQw9RMvElrQhYLJRNhCxjt/M8Dx9mk+a33rpx+WnWLH4n7pKJycTn06driUQa8g9NQrkFsHs3U8MFORuNJPH0dFqf998PzJ2bvzonLhcwZgyX/EYjH19+SUuxaVPg9Oms2q0oQ1ulSuGdmzciI4FevUjIERGqJW610podPpy69qOPkoD/+YfvXb3KpKLsZAqLRe0oZLMxJtzlUlcZV65wIli9mnH1AjYbpZeICFrUOeGff3xv4+/P7+/aNTaB1qAhr9AI/BaAiMbwRmAgCVWvzx95Kwrw5pskbFEvJCICKFeOZHj0KF9zD8cTRbF69y761mnvvEPC/f13Eq6w/GfOJHkDrJA4aZJqret0QLNm1LJ9rUKioni97HaSvculXjNZpvWenExLedo0OkRnzGD5XdG8uVs3rlIiInyPOySE4/b+LkR5g8DAG782Gm4vaBLKLYAaNUg4167RMj57Vk1td7nYCi0/WLCAy31ZVqsXJiaqVrfZTMebLPP/5GSSd8eOwJQpRXCCXggIYI3z334DPvwQmDOHyUvduvH9o0c5PoOBZB0Rwb979gAvvuh7n0YjVxypqZ4FuoSsERVFPXzdOl7XV14B5s1TjxEUxPf69+ck4AsDB6rle92RnMxIl9wseA0avKFZ4LcAIiPZvkzIAJLE/+PiGHHRt2/e92Wx0LIUTkohOej1tLhTUqjbNm9O6WbrVurMMTFA7dpFcnrZokYNPryxZAknLu8InLAwYNMmyiEVKmT93KhRvGZTpqjELUmsIxMczNecTkbCrF5NvVyU0dXr+fz0aR5DTCbueOIJ6uwHD3LCkCSSfdmytNw1aMgvNAK/BfDOO7QCZZkSh5A9bDZKGvnRVf/v//j50FBKM4KgJImP5GRao3Xq0Or0RVTFjUOHPMlbQHQlOn/eN4HrdJSO9uxhxEhwMM9RXAOzGWjXjpE2Ynt3iLIFf/7p+7oEBbHt3OrV1PBtNm7Xrx8nYQ0a8guNwEs5hBYcGUntOSODxCB0761b87c/YXGXKUPZxN2RpyjUe4cOzRqHXZJQtSpw5EhWTVlRaEHnNqFNnqw2cpYkfs5iodU8cSIlquycoYqSc0ZnQABlln79eH39/DTpREPBoWngpRxpaWr0hCSRtITmazLRYs4PmjUjYSsK5Qk/P9URqChsVlxcVQbziiFD+Ne7TnlyMqWe6tVz/nyzZgy5rFWLn0lOZl3zr79mIlSbNmpmpztkmd/DQw/lvP+ff2bMfsuWrMM+bBhw5kxez06DBhUagZdgyDJljJy62kRFMbrBZsv6XloaQ/7yg8BAOujS0igZGAzq5FC3LvDuu4WbVl4UuPtuZlqmpdHKTUriuVSoQOdsXvexbh3lkr17WSqgSRO+Fx7OwmDiGjkc/D85mdmezZplv99Vq4Bnn+X3GhbGifavvzgxXr16gyeu4baDRuAlEIrC4kytWrE+daNGzODzdYPr9SxOlZ7uaXFarfz7zDP5P/6gQYwouXaNTkurlc62I0fY9Pi117Jan8UJWWYGpfv1GTeOtVGeeYZyyMcfU2rKb9s0kY3pjaFDWU6gaVNOblWqsD7M9Ome8orDoUaduFycAAMCOFFKEifHiAhOBF98ke9T13CbQ9PASyAWLgQ++IA3elgYCeq339igYP36rIQydCgJYO5clSwCAoD33yfh5hfHj9MRV60aE1pEkwaAFu1XX1HnfeONGznLwsG6dSRF0eOyTh3gf/+jNFGzJsMJiwr33EOCjo8H7ryTlrdwbK5bRzI/dYrfRb9+TEAymz0zQhWF329AAOuuvPJK0Y03X7BaGUy/fj2thJ49gc6dC6cgjIZCg0bgJQxpaQzjCw5WCzIJKy02lp3Uhw/3/IwkcVk+bBh7XBqNJLCC3mtr19JaTE3lX+8Ub4uFGvFzz938xg179zJh559/OLb4eDolQ0NJhseP0+KeMYNWuaKwb2fduoU/jhEj+H2JRKEaNWhF//orQxFNJjqDnU7Wj9m8WfUlKAq/z8REz1Z07k7jooQsMxJm4UKWCqheHRg7Fnig+jFIs2dB/nI5XFYHMgyhcAUGI3jD7zA2a8TsLi3jqMRAI/AShoMHeXN5V9MD+Nr69VkJXCA0FLj33hsfg3B8JiWRUEQIIUCyMZt5D585Q3nnZmHTJibbKAonuLNnKe24XCRPnY7X4ORJWrwiI9JgoDb98cf5y0jNDomJXPU4nao1rSgsHjZ0KFP4g4LUCdRoZJTQ5ctqXfa4OP4ViVIOByWr117jyqmo8dprrBrp58fHiRPA5yN2oqVzGILTYoG0DMjQwd+RhAybDccs1VF92/8h6LPPWHJSQ4mApoGXMLjLFd5QlJyt6n//5TK9dm1anT/+WLACSa1akViEzu1O3uJ5QgJv+oMHsx9vYcLlAl5+mecfHq5mmRqNDJ1MSuJ2CQn0B9hstH7LlCHJrlkDfP554Yzlp594zKAg9TXRHPnwYR7f+3sSenfVqnzfYlGjfZxOkmilSvzOzp8vnHFmh8OHWbc9PJznYDAAIUEyXkuZhMQEQE7LgAsGKDo9XJIBAUo6wpQUXEwKguOL5UU7OA35gkbgJQwxMbRuhRNSQNzoffr4/tynn9Lh+csvtEx37WLq9rBh+Sfxzp1Z9wRQ46Ddydvl4vhef53REx06kMiLEocO0fIXBbR0OjVhSSQYAZRUBFkKiP6YCxcWzmRz6JDv18VEl5ODNzoa6NGDPgRF4diioqihG418bceOGx9jTvj1V1X2EahqPYYyzlhkKP6QoAC66ycjAQokhMmJcChGZFxJKtrBacgXNAIvYTAa6ZQTaeuCLJOSSO49emT9TFwcE0wAWn6ieiDA6oF//pm/MZhMzBgMCvK0vsUNL8skoOBgWreXLwMDBnAcYtvVq1naNSaG/q9ffrkx8nQ6PaM79HqOT0g8Yt+CPL0LSvn5qY0u8gtFoW9h+nS2ZBOOR1/w9+e1966HIj7Tsydrlpcty9jyu+4CypdXpR1fddYBrizmzGGMeZ8+jFLynuTzCl/XwKTYIEMHl6SHE0ZIinqCCiToFBkBSjriIu8q2EE1FAk0Ai+BePBBRnrcfTdvtpAQRlN89ZXvFPE5c0hw3vquXk+Cmz07/2OoXBkYP55kU7kypYjgYLXyn8hmlCTqzmlpJBWAqf3PP8+6IDoduwSNG0cNuqCoV4/n506MFSvy+E6n56rFYKA8YDbTESvLvI7h4b6vX05wuYAXXuBKY9YsRvqsWkW92ptAU1Opdb/1Fq9HWpqavZqURGmre3fggQd8S2Wixnm7dp6vnz/PlHsR1XLoEFc//frlnCOQHdq2zXr8c/51IEt6GCUnrumjoYOcuYEOMjJ0QTDAgbiBmv5dkqAReAnF3XeTsA8f5pL6mWeyd/7nlMUnSQVvsPDiiyxa5edHkg4IICGHhWUtGWs0Ajt3Uhf/8ktuExx8XV8N4ecXLKCDryDw9+cqw73bjslE+SEqilZseDhrvxiNdGSeP0856cgRrg5GjMh/27JvviFhh4SomnqZMhzP1askZpGt6edHnf2JJzipVqlCy9npZMu0b7/l55o1o0yVlKRGsYikoKeeyprqP3ky9xMRwd9AcDDP9b//ChY73qoVo5SSktTcgVRXABYHjUN0QCqcpkDE68pBBxkG2QEFQJoUhMWV30KTSZ3zf0ANRQYtCuUWQIsWJAehCbtDURivXBAEB9PZtXUrk2CuXWOssq9aIg4HZYGNG7Pqq4C6Gti8WU11zy+GDqVs8sknatz3/fcDb7+tJujMmcNIHXfrUlFoLec3KxVQu9W7n48kMavTamVUzNWrlEN69VJj9Lt140NcC/fvRVFIoL/8wlWKy8VJ6KOP6Ldwh9nM6+8d+y/KJnz9NfD00/k7J52OFRunTmVYqoh6Cp04GhERRoTNmo24swGItVfAVcMdWBE2Cv/V7I05XwRpDZhLGCRFuRkxBLnj4sWL6NSpEzZt2oTKlSsX93BKFRITuTwXqe/uzjSjkVZoVNSNH0eWGaaYlORZgEnUBV+yhJX8Zs5Uu7y749o1Lv1HjLjxcSQkcEXgPg67nZOZ0JstFv4vSg20acMx5ge1avHz3hMSQKt7z57sGzhkh3feARYt4vcmMjVlmYS8YQMtZIGrVyl5+MoGdTr5uQMH8nd8d1itPI/ISLfIGbsdyoWL+O9MME6nRqNiRa7EfF0DDUWL3HhR+0pKCXKaZiMj6awMD+dN7XDwERTEGh6FQd4Ab+A5c2hNi+V/cjLJ+7HHSO733qtGU3iP32hkAafCGEdUVNYqfnFxlFdMJlrNUVFcLQQE8Frs35//Y1Wtqko27rDbefz8JjJduQIsW8brZrerVSNFOOTjj3tKXtHR/F59OSxTUym13Qj8/Sk/eYQ9mkyQ7qyBmM7RePhhHkMj75IJ7WspwbBaac02bcpMuS5dmCXpTo52O5fYNhvliXnzmJU5dy6b8N5/f+GOqVkzpvWPHk3HYufOrAny7ru0/Js35zbu+qpw4rVpw2p+7oiNZVz1jz8ymuVGIGqi+4oQcTjyXnNbUTg52WyUSESykIAskzyffDL/WZO7d3MsNpun09k9HPLHH9XXdTo6hDMyPB246encviC1bjTcOtA08BIKp5Mx3Lt303osU4YOwPHj+fepp0jY4uYGSCwPPsg2Y/mNtsgPKlXKvjWZTkdCf+89ElF6Oolq2DB+xj0s8eOPqTG7Y8gQZgnmZPElJ1M/vnSJ9U66dqX8EBrKmPTNmz1lDaGBDx3Ka/T335QdwsL4WXdNf+tWasPHjnEMHTrQMbpqldq70mBgON/Ysb79DjlBZF1mB0mic9Id/fvzOk6bxolDUWiVT51KLf2mITGRRV6uXmVtgi5dsna21nBToRF4CcWWLaz34d55PSiIxDxjBrXep55SozwAEszatdzuvfeKbegIDGRBqddeo+UdGZm1ycEPP3C1EBamWrEuF6MqypcnL6xdy3Pv1YuPwEBel6eeogUryzz/KVMY+VK/Pv8/fJiWvU6nWuPt2gGdOtGxePq0Kl9MmcKwv4ED6agdM4b7jIggUW7ezInhm29YZ8XlYlnZzZspLSQmchJ59lmSujuZezswnU6uMkQ8upBPREamJPG8q1b1vFaSxPIJAwYwosZo5LneVFnj119pPVit1MxsNg7244+BwYM1jaWYoDkxSwBOnGDkRHo6synbtGHs8Zo1vh1kZjNrkPz7b1ZZQISk7dqVf+fazUT79tSsvUMjU1NJclFRqpbudJIkP/uMBKzTeRp+FgtJdts2arkJCbRO16/ndsOGkZiHDmWoo/t1cTh43b//nrHqCQlZx5SYyH28+Sav76BBvL5+fpwIBBm/+CKt8lWrGDN+5gzHNWQIjz9pEnkwPZ3nLu480R8zMJDO399+y73pxE3F5cusL+xycdkjsqdE5a3hw5lsoJF4oSM3XtQs8GKEopBovvhCrVK3aBFXp5Ur57w0P3nS9+pVFEc6e7Z4CNxqBfbto+XbuLHv2HVZJrn5ilRJSSHBhYd7yi3Hj1Mucjj4njtCQvi5rVtpHffvz/NXFMpLM2eyeuCuXVk/azRyPHPmUBnw5ZQMCuJk8OabXAHs2cNxXLzomaH6xhucTBYuJLmXKcPt5s5lF54LF/idRERworl0icd2OLhtRARXBCWKvAE6Kex2zm5i2QPwx+Z0csbq3p36nYabCo3AixEbN1IvDgnx7Dt56JBKCt4aq3AM1q7NBsTeJC6KPBVHk9zvv2eInNB4dTpapcOGeW6n05G87XZPrV5RaO26h0IC/D8khNp1dv0jXS4aiitXUiJxn7xkmeF5Ol1WAgc4hoMHWUfl6lU+L1tWPZaiqN/Pzz+rXX7E2IRUYrGwkmC1airHmUwcy759lJHE8aOjSdrJyZx8+vSh5FSlSk5XuJhw6hRP0mbz3clZURhaoxH4TYe25ilGLFzI+8E9kkGSqAsfPswY5KQklRAzMkgSI0cyltpXre6UFEZ6eOuoRY0//mC1QIDEJ7Iwp0xhXRRvPPGEmmouICJIvLM8AdVSzm6Vrtdz0vrtt6wx0zodVwJJSb7DMRMSqC3bbJxUUlNpwcfG8n2Lhfq5olD6EIWz3PVtWVblK+/IFMFx3uGIej3PtWxZGrAlkrwBdsnwrivsDn9/XkQNNx0agRcjzp/33cFcr+dj6lRarw4Hrb6wMDoHJ04koTz2GPVw0fMxOZnWXqdODO+rW5ea8erVRV/y9ZNPSNjuFrXJxOfTp2c9/qhRdCyazTy3xEQSZWSkZ8cagdRUhif6+2et/2E2kwTvuktt8Cxgt5M4/f05Fu8mz6IUbXQ0Jz1BtpJEa/zQIRL5smW8rqdPZz0X73K73s2UAXWl5P1ZMWk1b571MyUGjzzCGVCE4QiIer6SVPB0Xw03BE1CKUbUrk2nmnftaKGH16hB3fX116ktBwSoZCFJjDTp3ZvheiLOet8+hpv5+3P7s2epHR8+XLjtulJTSVTC2j182LfmHhDAiSojw1MPN5koH+3cSXlDURiVlpLCYAe7Xb0uNhuP9dJLtOxHjOB2DocqUSxZwvBGg4GvyzI1amH1uly83pUrU38XjkerlZ8X51GjBglbnJ/RyJWQ0cgknDNnPEvsuldCdJe93KEo1NErVuT3JCJvhLXfvz/fK7GIjuaX9cgjPAFRr9dgYN1ho5FLKg03HRqBFyNGjWKhKvdKgopCcurXT3WoCQnAG5LEUDaRjXf4MDXo8HCVTIKCSOaLFzN64o47bmzMx46x9sju3RxrzZrUbkNCVEJ1h8vF13w1otDpGHXTurXn68nJ1JItFj7382OP0I4d+XznTob8xcbSam7fXt3/kCEMT4yLI4nr9ar0kpTEcq6vvabGgaekcPUgEBhIJ+L581wVCIejuJYmkyqXCPlKlIAVdWcuX+Z3GhDAa5KaSofuvHk8r59/Vs/ruedKSTJOmzb88kePpifXz48/rIgIxrXWqFHcI7wtoYURFjMWLmQRI0EIBgNrYcyfn//Wg7Nn0/r2pSEnJrKqXUELSQG0Pnv2pFUbGkriSksjWXXowJZn7nHr4rj9+lEO8gVFoXyq03k6XjMy1ISWRo1yT0zavZt9J0+eBI4eZYSHXq+OpWJFTmwWC8MNRfLOvn3Ao4+q5yNw6BC/j6pVPSNTkpO5qgkL89TwZZn7PHyYzukZM7hdSAjDF599Vv0+09I4cURGUnuPjeWkUadOzudYYpCQwAsUHMyZSQsfLDJoYYQlHCNHMkll82Yu5+vW5c39559M1slPHRNRGMkXRDw1QHLctImSgLcFmxM+/ZTk4060wcG0MI8f59iPH1edeE4n9z9pku/9bd9Oa/7kST4vV44ySosWtLbzWufjs884CQI8j8REtcVZaCjHKMak1zPiRFjzjRpRW9+9W125CF3aaMyqx4v9hYZyUrFaeW0rVGCoYXAwpZvkZBK2w8FrkpKiEnhQEK/9oEHcVpLUsMu5cwuvdk2RoUyZrEXLNRQLNAIvAYiOpg66bBmdlu4tzIYNY3RHXoycNm24TPcOPczIIIH88ANjobduVYteCQ35yy+pEeeE33/37WAMCqLFu2kTY6RXriQh9egBPPywZ+9Igd27aZkKeejsWcZJ79lDa1nUD3evzOcL588zGVBEvQCULux2nnOFCp5RIYriubKRJK6CXn2VWrzQ0OvWJQl7B12YzYyWGz+e4c9mM6sF9ujB85w8md+jIHlZpuLw6KNM4gkK4iT4+OPcv7D8FYWx6k8+yQSu/NYt13B7QiPwEoI//qB+HRSktkNzudggIDo6byVYW7Sg1bpjB4nWaKQWfOUKSe3ECZIwwJA1kUiTlMRs6L/+ytkS9+6I4w5RtrVvXz5yw3vvkaSCgzkuu53jFaF4QUE85z//zNki/flnfsa9MFREBIlVUfhXrBjS03k874iPkBDKT/HxnIjKlSPp9+zJ6yc6EVksHNcbb3CfDRpwcqxVi5NCXBzrc7uXB9DpOJ7YWBLz44+zjktysme4o1gxHD3KDNuSFpVisVAaio1l/868rto0FC008SoHpKZyOX4zvASzZvGmF+QNqH0f5871rIaXHSRJ7QjjcFCqjI0lUdesqfaV1Ou5dBf7DA0liW/ZkvP+e/XiNfGG2czel3lNHkpLowXudHKMIiIEUBNiRIu0n37KeV8pKVm/n5AQkqPLRdIWPUVlmSGN3q3nBKKiKGNUqMBJc80aXkuTidetd2+GZG7bRqv7jTcY596vH4l582aek6/fiyRxhQKomaq+tpFlSi4lCdu2cSX00kt0wj7zDHDffczv0VC8KBICHzJkCLp3745evXqhV69e2F+QQsyFhPR01RrLK06epLOvcWNatZ060fooShw54ttp6edHQvOOX84OAQEkln37uMyvVIkPnU61noUcYzarn3M4KGHkhNGjSWwJCdyX00liNBgoHeQFR47wel67Rmv30qWs2r17qOTRoznvr1kz34RcuTLH2rAhLeq+fUnI7tJtYiIJuHFjOhCHDfOsGR4dzWv5zz+8np98wtjw99/ndY6I4OQYEkJ5ZORIToxHjwLnznnGg4uGDQDHkx30et/ZosWF+Hiel6JwUoyK4oR/7RqvV14MCw1Fh0KXUBRFwenTp/Hnn3/CkJ2pcxNw6hSTXrZu5XMR7pab7+XCBaY1p6byBytJlCDGjOEyu6iyhcuWJRl6p8YLq9mXjpwTTKasxCgsSUBNuRcwGnOPRRZW6aefUv+121mO9dlnqRnnhvR0Ou4sFl5bs5mTidOpptW7XGo8uaIwLT0ntG9POejsWbV+iizTMm/Zkha8Lz05OZn6/MWLlEgCA5mqv3Ur5aRatehT8D7+55/zr/tK6dIlrioANZzQbKbl7+5X6NOHfx9+mLVXRMckAdGMon37nM/5ZkJ8z96TSlgYV3c7dvA6aSgeFLoFfvr0aUiShJEjR6Jnz55Yvnx5YR8iV1y4wJwD0UswPJwW0fDh6jI2OyxYQPKOiFBTpUUZ13ff9b30LQwMHcob2LuXo9lM6cJXxmZuiInxtE7FhCTL/Cv2mZpKEuvQIfd9RkczcmT/fobMzZ2bN/IGGKVhNtOCK1/eUzYRRZ0MBo7z9Gl+j3PmsJ7KpUu+92k0stTrPfeQtNPSOEF06MAiYdk5A7/8kuQtWonpdPzsxYusp/7mm5QN7ryTpVsffJDyyYkTnpOscJYaDGqNF/f3YmM5MXfsSNkF4ITz5puc0EQGqpB45s7Nf/hoUUJECPmCy1XwJtUaCgeFbiKbzWa0atUKkydPhtVqxZAhQ1C9enXce++9hX0oAEDSqUQcW7AFstWOSj2boWqnmvjsMzUtWyA4mDfoO+/wZsruxv7tN983UEAAl5MXLxZNzYqhQ2kBbtvmWeFOrBwKgvbtqedeusRJzGDg2M+c4f82G2/C4GBmMmYXa22380Y2GDiegob9Hj2qWv1+ftxXfDzJy2YjkYaEcHwAk46Cghg9s2kTrd/q1bNag9HRwFdfkfCvXqV8UqFCzmP56SdPIhbp/AYDiVSQa0ICJ5vTp5nRGhxMy1pcK++0/jJluE18PCdGo5EO2759Pa/boEFqM+qzZzlJ9O/PsecHsqym9995Z+GHZNeokb38qNeX8AzS2wCFTuBNmjRBkyZNAACBgYHo27cvtmzZUiQE/vfIpai09D2UU2RIUIC5wNa6XbHRMB3BwVld5IGBzJK7ciX7H56vfo4C7pU0CxsitfyvvyhTCHni/vsL3l3HZKJ1OnYsrWWRvt2kCcmjbFlqxA884FuiURRGVXz0EUlLlklm77+fNXsyL6hY0XPiNJlUjT4piaF2omVchQrqtZYk5o107MhJ+Z57qF17J//dcUfOmaaiKJjRqEpTAvHx6opLUVRtX1RIjIri+cfF8XVRClts73JxZWEw8BEUxM8NGUJi9oU6ddhMoqDYuJGWvHC0R0TQF9GtW8H36Y3evZmUZLV6rgJF/ZmcbuuMDGrlZcqUrFXFrYRCl1D++ecf7NixI/O5oihFooXvn7sNdyyZApveHxmmMKSbwpFmDEO1o+vR59SHOSa05NTH8JFHslpVAK2pmjVzt+xuBHo9reZp0ygdPPRQ3snbamWI4E8/MeNZoFIl6pirV5O0ZZnk9NtvtP5EyJ4v/PCD2sQgOJjWcXw8IzMOHcr/+fXoQfK02TjeCxfo1Dx8mM9HjeLfypVVkjx9Wq1dIjTzXbv4PV25krfjHj7MFU6dOnwMHUrnp/ieFYXkLuQlAVHyQ/gSRJRQy5Zc4QlnrmjY7O/PMYl+oCIUsSiwfTsn5pQUfi+hofyNjhun+n3sdoZhrlnD61gQREdT1pFl+g2uXeMxw8K4avN1L1mtlNmaNqXDukkT1uHxFcGk4cZQ6MxqsVgwa9YsfPvtt3A4HFi5ciXefvvtwj4MLB/OQ6gkQda5eYEkCemGUDyc/hUWpzwPv2jPaT81lU4l9x6I3hg2jIkoly6RtERYm8lEp2hOCRb//cfmAdu3c3n+2GMkpaJurPDHH6ypIbICFYUW8qef8uaWJIa4bdtGa0gssx0O3mhVqzIszB2yzAQZUcUPUP0BSUmcYObNy984o6IYxjdmjNrAWFQoVRSGp7mnpp8+rdZDEducP89JyWJh6rwoYZsdDh+mfOHuiNu2Ta1aePas6nh0OvmaqJEixuGekg9wkv3gA0aepKayLvuKFZRv3CNoBg4kefnCgQMsl/DPPxzX4MEMR8xrbPVHH6ldfAQCAngOH37I8xk3jt+V6KN5771ckflqWpETOnTgb3rDBn5vtWuz2qUvv4yiAE8/zd+kWJE4ncB339F/sGKFlnlfmCiSWigzZszAr7/+ClmWMWDAAAwdOjTXz+S3FsrhoBbQyza4dFl/8f6OVIyruR6HM6ojNJQ/GLOZVtLy5bknSSQkMD37hx9o+bVty0iLevWy/8zOnbTshLUqOpdXrUrrN783TV5x+jQdbHq9Z8nS5GTKL/PmkaibN+fr3gQh2rN9/73n61eukNR9jVtYq/v25X+8ouDT2bN8Luoh6fUkm9BQLr1FxxshdbhbwIpCLbxcORJFTsfq0oWREuLco6JI0BcvcvUhSTyeqJRauTK/v9On+btxuXicqCi19O3o0ZyEqlQhoXXowHGmpHASNRpJrJUqkfi8F6AbNzJJyekkeYumy/feSxnNPTLFF2SZendkZFaDQlHoOA0I4IRitXpGG1WsyDjzopI0Dh6k7OJdW0Y45JcuLZj8druiWGqhjB8/HuPHjy+KXWfCElIBUYnHsxC4JLuggwtzvo7EFz/RmrZaeZONH8/sudxQpgxTq199NW9jURQuEUU2nUBkJKNfli/ncrco8OWXJDv3TjWiKcTvv5NgdDq1AJU3AgMpY3gjIECtCeJtMQm9tyA4cYKTi6+WcX5+XDG494x0L9sqOvXIMs8nN3npvfeYnCSaZlittOAjIzkGWWakjjjPs2d5XFEp1WbjeYaFUZay2TjhfPstJ7yJE0noycm8XtHRniV/k5Np7buvbrZvJ8E5HDxOQgK15OhoTjQbN+YeqipJnIy8M1AB1QErHt5RTZcuMenIV5ONwoBI0PL+biWJr+/YUfgELsucrPz9i6cTVXGi9C5mho+AXnFAchctFQVBzhScq/sAqjcOwzvvUNY4dowRDHkh74Lg3DneGL605IAA1usuKuzfn32pVoNBbawL+E66sNuzpqorivq5c+fUSBFRfU/U8sgLvMMubbbsG7sIWaNWLZKteyKMCPUT47PZ6PTMDmfO0JoV5C0yUPV6no8IpRTHFRE25crRefzqq7SSo6NJ7HY7/69dmyQRFMQ67e+9RxK/coVW+9mz6jkLYhE4dYqyit2u9i7V6Tge4UT1Xgn5giTRB+CeiCUg9OnUVM9yt0LPBxjOWVQNdNy/J18oqEM+O/zwA8M977uPZSQGDMg59PFWQ6kl8BZv98CZ5o8hwGlBiC0BwbZEBDrNiAuvjUar37mpYxEWhy9SkiQ1+qEoUKmS7/0LTbdsWVrZDz2UNe1cEOGTT6qvOZ3Uoh97jJNSUhKt1jNnSECHD1O+yKl+v81GjbxZM27bvj3D/ObMISmeOcObzNuplZHBYx49ymgSk0mVE0STBtHson59asbZYfNmbh8S4jlxeUeNeMNoZLTLq69SflqzhtewQQM6sMV3nJFBC1tIOyIOPDWVZC5WDe4hp4sWkbzdW7GJieXaNT4XCUG5YcIEjicxkWPJyOD/0dG0cMXk58sSlmVen6JAx46q9OQOEcF1//0c5969/F3dCL7+mun9IukuLIwrgL59VR/LrY5SS+A6gw73bXsP9m9+xKlOo3DqngFIePtTNDq3FuHVi9hr6IXq1UkGVmvW99LTGaZXVBg0iH+9bxizmZasyAR8/XU+T0kh8SQmcptOnTyt6aVL1bKoFgsJTSzTdToSotOZvYYqy0y9njaNZFW2LDXZUaMY0ZKWRis3LY1ELvpUilIBsqx2bvfzU+UC93N89FGuarJrcAyoDt3y5dVsT29JxjulXcTfu2dfJiVxae5tVcbHq1ate3KU0PKTkigTtWypfmbHDnXS8C4dIHpmdu6c/Tm5IzqaIZcTJvAcy5enI/uXX6jP+7KCxXkbjUVnVFSsSCem2czfj2hokZJCo2DhQl6TgQP523v00dxLOPiCw0FnbWCg6vsREqbZzAiZ2wGluhqhpJNQu28MaveNKdZx6PVMtnnhBd7IAQFqZ52wsKLtNnX33cBTT9FaFHq1JJEA58xRLbCICN7wGzdSG/fzo1XeqpXnzf755xy/2ewZ9y6W43fcQQtz+3bfKdTbt5Oo3Bs7OBwkUNF5KDqa1+zKFTWTr1kzWpRr13q2lIuLU7t41arFm7Z799yvS6tWJCo/Pzr8YmNVySE4mONzn/TE91W9OsMtBSpX5ri9fQE2G/8GBHAVdO6cOm5F4cS1dKnnZ8LDec5RUTwvIe2IlUVkZM6ykDciIxlpMm6c5+tt2vCxZYunVASoUTZFWe3wuefo8J8/n7JSrVqcwNesYUKWqNaoKIzgeewxRvTkx69y6hQnPF/ljQMDGSabVx9WaUapJvCShIcfpqX4wQdq2nfbtkzUKMrYcUnixNGtG2PAr12jhfPQQ1ktVJOJ5JcTAcbGktySkkgqYinubmmK+GxB4HY748IlSS3v6k4agoABWmPh4XQUC2fip59ylfLGG7RsxfJXtFysWJHb/e9/vsd+5gwJID2d596qFcP3WrbkhBIaymggh4Mkfu+9tFxHjiRpC3KuWpXp9+6kW7Ei9dU//lBrrYixORxqkkrdurQ47Xae//jxLAGQksLfwdChXC29+CInMKF9u1xqpMvatYUTcipJJLA6dVSZQujtwcEszZBb7fcbPX6NGjznkBBe74sXec3FxC7i+lNSOPnVrMnr9NJLeWvkYTJ5rqbcIcsFKz1RGqEReCHiwQdJpImJtPxyWuIXNurVyznMMa+oWpWON7PZM5pAkLKoVyJqfnz/PYnVauX7Qhd2jwbILlBVLOeDgznxrFrF/YhoE1Frw+Ggpetdq0VRGKv+2Weq9Ws0Uq9eupQrkGHDuOpwOnlT9+3L1wMCGPq5ZQuJtHp1Er4v6eHjj7mKOnhQPf+QEM8YeZ1OLcsbHMzJyGCgpXn8OOOvly5lMbW//uLnqlZVmydXqkRNv0MHWqu5FfHKDSYTdeZJkyir6HQ850GDco+dvxEcPMhrdeIEr09wMB2+jz2m/pbOnePvy73YmtXKgIOBA+l8FnVj3HH5Mq3uKlX4fVWqxBWN+30mQjIfe6zozrEkQeuJqcEDK1aoCSDuUSCA2j2nWjW1s8/YsXxNEFlCAklX+AUAkvOVK6oMIqoiOhy0WPfsoTY6Zw4tM+HQEyQuSbypBw70HM+mTSS70FA1I1CkwT/0EEliyxYeT4QRShKt4NOnSex6PS3SkSNzTvBSFDZaOHCA5N2xI2WrpUtVfd1o5MQVF5c1Rtts5nX7+Wc1CzYhgePIyFCTxtLSeD2//bbwoqZSUniscuXyX9XSG//+y/Pev5+T+JAhlH0MBnYiGjeOKyGxYtPpuIqx2biN1UoDR6zkhP6v03FVIMoobNqkXr/Dhxmme+iQmu/w/PNqVq0IoxX13+vUYXTKjZ5rSUBuvKgR+G0CoTf+/DN/5PfdRyeSd9KILFMOOHvWs4+mCMcDaCl368YkGV/hk8eO8WaqWZPkmZqqFv93r/AYHEwL8ZlnGLp34QLJyz1N3d+fr61bl5XQ+venlemtnbpctKoFoboTqcVCC7B8eX5OUTi+cuUYG51TrW5fOHGC8k1GBhOUZsygFeqtzYpElg0bqMkDJMKPPsraCDolhaupoorVLijWriVxirZ0DgeJuXNnvv7AA5yo3TNXRax62bKcnMxmvm+zea7MRBXKKlW4zZ9/0sK+cIErW6tVTQ6y2bivN9/kqmnuXBaCCwrib2LYsJu7+i1KaE2Nb0MkJtKq1en4Aw8Opra4apVq9fzwA4nkm288dVedjk42YSE7HKpOGxCgxkvbbCRlX4kTNWuq8dAJCbTOw8M5GYjkElGyV0TAiPhy0ZpNEKCikHSFMzUujhEoBw+qJQu8oddzX6KMgDsSEngufn5qdIufH7X/OXNYJCs/qFWLD4EpU3wXPBMRKu6hk99955n4IxAaSqvz6lVONCUBViudgu6ykclEIhchmyJD1/189HpViqtYkZOTu7NXJGjp9ZwEBcQ+vvgiayNtEZ00fTp/57NnF/35l1RoBH4LQVH4o54/3zPOuGNHWolhYZ7JMMeP08k6a5bnflq0oLXlTc4imqRKFVq3ooelr2zAihUpN2Rk0NoXS1+R9WgwUOpYtowTzv79fC4s/Tvu4MSTkUHrrXZtxvg+8QTJRKfj5+x2Roq4j9XlUrvKe4/LYlEjINwRGkqLN78E7o02bRjC5j2xCHKrWVN9LSPDdzEoIT34CkstLuzezWvtvbIQv7Ndu9Tr7cuxKLKhExLU701AUdQop1On1J6kACcHXyGrYmV3+nTe69Hfiii1ceAasuKbbxjRERioWrF+fiQUkUAiINLtN2zImtE3ejQJ1r0qo9NJ8hs9mlaYXs+UcF/ZgBYLHXI6HfVwi0UlNJH1CHA/n37KJJ9y5dTwS6eTkSVxcfz//ff5d8QIjikggIRbqRLP49IlEkRKCsnh2jU6C33VCRHE7U0KOh1XFZs3M2b+nXdYaCq/AuOwYRyfxaJ+Vly7ESM85aYOHXwn7gi5IKfSuDcbwn/gCyI/QKdTuyq5Q/gHnnxSre7o76/q36Ijk93O7zc1lfLev//ye/KVQSySsW6XaJPsoBF4YcLpJAMsXkzR1ldd2iKCLNOS9vf3tIiNRrUUqDeEVpmU5Pn6XXfRqRgUROJJTaW1OGoU9WqBSZNo/Yo0+9RU/l+jhhqbLG5SX3C5qJmGhtKiqlyZBOB0qrVCvv6aYWhjxzJD88oVZnGeOMHxlyvH/Rw5QtnmwgWea0gI5QfR6UYcz2CgZe+9ahCFqEaP5jGXLKG88+yz+ev7WKUKo2IAOt327+dKp127rPHao0bx+xIx9yK0Lj2d1zanssc3G02aqI5HbygKnZmBgVwtiQqELhdJ2Whkco+o7Ojvz8+IaCOBoCDKehUq8LNjxtBBKvRyp5O/MUHy1aszkud2hiahFBZOn+avOC5OjTULCGBmTF4CW/OB9HQm41y9ysiG9u35I4+P9x1HLByD3nA4eEP5ir5o145hdvv3k9jq16fF7o6yZTlPff89JRdJYjx8nz6qE6lmTcZKp6RktXpFKzdh7Z85w5tcFGqKjWX6eZUq6v6F/GG3c3thpQYGqjHZ/v4c+wMPsGHF779zMvD3J0GvWaNGewAkzaQkHjcyUiVOWabTt2VLNeM1N8THk3zPn1cdwA4Ho3tSU7naEBpyjRpcNY0cyess4tGrV8+qfaen0zns50fJ4GaXZI2KYp2RZcv4nZlMau/R8uVZDrdZM15fgOdqtfK9BQsoo330Eb+7WrV4zQUZp6fzuxU1ZgD+TUnh91uzJkMvxSpAp+Ok8PLLOZd3vh2gEXhhQJa5do6L8wyJSE/nunHr1kIrk7Z7N5fiouSqyUTS/uILtdOMt3UZHs6huDfRFXrwiBG+HYEAiaxp05zHExrKXqPDh/t+X6ejrvzUU7xpRbiXxUKd/No1juX8edUqA/i/vz+1e4eD5yBqdAsidzopn0gSJ5MyZdTjimqMO3cyucpiIQmZTGyyMGUKjynqiKSmcp8WC0knMlIl/UWL8k7gH3xAB6QsexZucjoZGrd0KS1vASH7VKnCsZlMHM/w4VwJNG/OKItPP1X9B9HRJMNWrfI2psLCG2/wun7+Oa+Ty0Xj4X//I+G2aMHrvW0bz6lmTVZ7FCQbGKgScHg4H+fPq00xfE1KJ08yPlwUQQNUjX3qVK7OijIpqaRDk1AKA9u30xz2jmcLDOTduGZNoRwmOZnzgdPJG6lsWTV5ZPhwWr5mc9aCVQDfs1r5fmysWkzqzz9pBXrHfOeGy5cpM8yezfkpp2bPnTuzpG6jRjwHh4Mx3evX00KOj1ebKbiPu2xZvm42q4kz7kt44ejT67P2yRSVBy9cUPVyEXXSoQPJdOFCTl5ly6rOU1FyVchKfn6cl/MCp5OOUDEm7/FkZJDA3fHBB2oSkKi5IqzQjz8mWU6bpiY8hYTQEfjEE56dl24G9HpmU+7dy4l1925Obu6ZxiYTr2+fPvy+3S3krl25D/fvMDBQJWR3B6nwV/z0E8/X35/fVUCAqrMnJlKtvJ2hWeAFhCwznG3BAqDRofN4PtmFwIpAWDiQZVVXSHfaunUkB2+yAhjxcfo037dYPAvq3303b7SMDOCTT0gKERGcBC5cYB2XP/5g9EpeluaLF9P6EeGFJhOXxcuXZ7/QaNmSUot3hMInnzA93nsCENXlREq/olAuOntWjc4Q0Syitoo7RJs09y7x7pAkyhkAiUOQtzj/2Fh15VKnTu7XBFAdcWL/3lAUzzKuNht1cl/XLCSEkR1HjpDQxcpJxNAnJfG398kneRtbYcLPr2CZojVr0tBYtEhd3YjrHRbmGcWSnEzr/d9/Pas3AqoVn5FBi/92hmaBFxCTX7Vj/oRjcJ05D3NAOdidenZFv+Jj4+rVC+WYJ05kJbpr15iYItLNK1dWf/Bdu3L5vXy5asGsXk0pQcgJQUEk882bqTPmhj17WAM7MJDEExXFyeLYMTY4yA3iRrTbqS8vXEitOjiYYwwJoWPKvQxr+fJcLYgY9DvvpEUdHc3JR4QzuiM5mfJDpUrZj2XXLh5Xkii/iIlCNB8QNcndHbc5ISCAYxM1Y9whwhobNlRfE6sEX6sX4fCz2XzXew8KolRR2vDyy/xNNmrE69WqFQ2HO++k4WGxUFYSjatzaqfrcnnKZrcjNAs8v1AUXH13EQZOn4UnJRt0kHHRdCecej+EyBZcSwhBZBnAzwS1v9bDDxfKoatX97SQhaNPkIDJpJJ4SgpTxO+/X91+166cY3l//JE1KHbs4P+pqXRm9uqlfkYsWd1jrEVI4l9/MUokt+JdCQnMmBPJPu5WecWKats0i4VENX06K9wlJalRKpJE4n/lFV6TJUs8qzFWqEDpIScEBtLCFla8w6HWcpFlfn3PP8+M07xAkjiJDRvG/YikFnGOYWGMahEwGJhluG5dVivcbGYT6F9+8R1X7XQWXZu+ooQk8Tfp/rsEmBL/zz/8bdx5J3VtQdDx8arDXUAUrBo8+OaOv6RBs8Dzi88/R8CM9yArQLo+BKm6UFSyn4ZJzoBLZ0SIKxn2K4m8g10upvflVGQjH+jZU3VyAWpWo7DWROSHuNm9pXf3TDdviDocI0YwvnvpUpLH5Mm82USFxdOnfXdVEfHdeekU//rr3E9YGK3/yEha3DodVxQi5bpaNerznToxXn34cFrnTZuSnOfPJ9m//jqzTIcP59g//JB1TipWzHkc/furDX8liRNf7dqUTu69lxPe00/nL9LhwQeZTl+mjBoOqSj8CUyblrVI00svcdukJE4YolZIuXI8r8aNVeetgCjY5F0b5uxZTiCNGjHs7403Sk9jA52OUl+3bqpTUmjuIrJKlCQW17RrV9a8uZ2h1ULJD2w2oHlzxF+TcCXR5LG8C3Em4bfI/tjtbIoRbY+hRa9KNKEKeY33118M1bLbST6JiSTO6tU9o0lSUkh88+czKuKDD+iwvHSJlluFClm3r1qVxZ+E5SiaKZQpwy4vX31FQvv116w6vIhq+euvnNO/k5MZrSASP9whYnvffZfv16xZtGFiFgur1onwPKGrRkRwBXIjFQHtdvq2jx7lebRunX0TjLg4riDcQzGHDuV1P3mSFRQtFtV553RSHlq2TJ1MT56k49Bi4bUT5QoiIzmR5zaZlVQoCvu+fvghLfH0dBoqr7zC32KeYuVdLsac6vX8UktR7KFWzKowceQI0Ls30qRgnD7j+eMxyVakGMqif/k/sXp14ZR2zQ7JybSOT5xg0kjZsp46qWhO8P77JJJXX1UbAQunoCQxxlbEaAcFMY3ZOwzR6eQNExnJgkEXLtBydXesCadT+/Z0ULkjPp7Oy+3bqZffey91a1+F+O12EtKePYV8wXJAWhrH9/33PH7XrgznL6RFU6EgNpYk9scfnAT69VNXYwJPPMFoIO88gMREJsN88MHNHXNhw27nJOXnx/j5PHPwmjUU06+HZykVK+LM8HexMu5eZGRQImzT5ubH1ecVGoEXJs6eBbp0gRIaijNnJaSlArrr2Yz+rjSc1d2J7wavy8zEKwpcvUrr8MQJRn4kJFDuEE5Kp5NkGhrKpealSyRul4s/UpNJrWkiSSSq7t3VZBPh4RcQEk3lytRq69altfjee2qnGqORkRrLlnlquYcPM5tROCBFqKLZrGrd7hDOq5dfZvSBqAGeXSSJBsJmo8HgXutGwOnk+0eOFM/YihW//koz3c8PCAiAoiiIP5uGNIuCp6NW4IipEQwGOpaXLvVtVBQ3tGqEhYmqVYEaNSCdOYNqVUNxNfZ6bWOXAqNiR9rD/W+4Mposs9JecjIJ2t0huHkzU8pFP8O0NN6cMTG0rEUjiRYt6BAKDlYTIAQRC2enSPopW5ZlTXv0oHWXlpa1ZgrACUBkPQ4bRgfixo0k4yZNSLzexP/MM6ok4X5+ycm0zEW/SkDtZJOYSKepcEjqdFwuF2VbupygKAzR3LyZ/3foQF26JK3C3aNnvCEiam47KAqXHUZjplaYnCwhNjUYYVIyxthm4J0KX0BRgH37KNu9/37xDrkg0Ag8P5AkinEDBkCXkoSKEQEoH+KCbLVDatIYdyzpC/gI+corDh6kwXDlitrZu1s3/rAcDhKiwUCSPn1azWDbsYMW8qOPcrXYoQN/s+7NgMXN7XSq0o9o9nv4MC3ow4epMbr3fxT1Lx5/3LMQk0ifzg6HD7OQlbdVI7LwAgPVQliCqBs2ZNaddz/Nd9/l+Fq3Lvi1LQgcDka/bNyoVhOcN4/VHWfP9h3ed/kyk09OnaIzrk+fopdjAgN57Y4cyRqZYjZn7WR0W0D0anNz1sRfAyQdkC6FICZ1BwB+p6GhwMqVrC+enZ+ipEIj8PyiUSMGMC9aBGzdCl1QEHSPP072vIHSaPHxrDVhtap1rGWZsoUsU6uz2/l7PHOG/wutWlhgP/zAhJmrV9X+jUFBamic2FZsHxamJq888QQjPSpU4OdFXLXLxToob7+dv/NJScmagCEgEn+mTaNU4udHK75jR47J/TNGI5/Pn3/zCXzRIl4T9wlFUUjoCxdysnXHr7+S8AXZKwoLjC1Y4LtFWGHi1VeZ7m+xqNFIFguv3/PPF+2xSyRE2ye3pYn4XnSKCzadeq+K+yg5WSPw2wPVqt144WgvfP895Qvv5grh4SSR6Gg1hCotzdOBKkprGo2snyHiwkVooXe1QdHeKiyM1kf9+tz2rbdYRrVCBbUtVuPGDOXz1qtzQ82aal1ub13WbqfMU62aGulx/Lha48QbAQHU/G82Fi3iBOidBRgUxGzWsWPV9xIT2chYVDsUyMhgHZidO4u2S0zLlsCyBVasfnEbUi6YcdzUAHc0rYXJkwvXoS7LnMCWLWOETXo6J+B69Rgddd99hXesG0JgIL3qf/yReVP5+QHWDCBQScWasmrxHlF7rjQmBWkEXkKwc6fvrDMRX2000qgQeqY3qYhwshMn6FX/80+Sf3w8P+deQF+nI+nbbCRsQZqDBlHb/v13ThING5JoC6L3RkczUmLlSo5DkHhaGsfqXRwqKip7wrdab37RftGWzddN7edH57EoJgZwUeZwZG0vFxDA1cjGjYxRLzJs3oxW48fjHqsVriAFkqJAH94GyBgNfH+RJ9KmjW/dJ4+QZVZaXLWK5yTq7uh0vB67djEO/amnCumcEhJ4sOPHOdO3aMEfa/XqvutJeOONN9hHMCkJCAhA+VAFiakZuOJXBT9EcZAiYWzkSN/5DSUdGoGXEIjCTb6gKJRQ1qxRCyuJlaGILomPJzmKMpxpabSO3KNPRGy3ovA+6NqVGrv3OPr3L5xzevddHn/dOk5CisL7bs6crM0KIiKYMLRhgyr/ACqpjxhROGPKK/R6rkTM5qzVGq1WTjjuq5IrV7KvG+5wqI2aiwSnTpE1DQZIoaG8qUVY0apVHKyokjV/fpbyxseOMXTTz49Ga1SU78P8/TdLMfj7M7pJ1POWZbX64LRpnKhuuBXcjh380m02Wh9ixixfnl/Io49StM5pQqpalTPrF18AGzYgKNqAf1r2wYR/BsKSGpZpLDzwAPDCCzc43mKCRuAlBP36kaC9LdC0NBLaPfcwkebJJ2lQWCwqMYsYb9EBXPRZFBEnJpNavlPsOzk570t6l4srhIsXWVukVau8JVD4+zMr8cUXVQdb06bZf/Z//6O+f+KEOpkZDIx6Eenswmn70088h7ZtSRhFkVY+Zgy1f5HkA/CapqczQ9B9ZVK3bvZ1O0wmxi4XGZYu5QVzbyR6/jy/uIwMyglGIwf+xBOUFaKjYbMxtX/TJs+on4kTPUveAtzV9Om85iKayd0ZLpo3yDIjdgYMuIHzSU/nAESD1OPH1XKV8fGcKb7+mtvlVi+hfHmGMb3yCiQA7QH8GscVqs1G6ak0l6PVCLyE4O67mUCybJnagkq0nvr0U94sNWqoRafee4+RHmKpbzCQvIUTJjKSRkt4ONOyvWUQSWJSTW44eZL3fGysepNHRzMW3L2/Y06oWDFvmYAREZzEtm4lSQcFcYUgqgHKMieD1avV7jp//snrs2LFjWVO+sLgwbROV6xQC07pdMze9A5rvP9+jl/E4ANqJ/py5YpYGz5wwHP9b7WqDTeFVmY08seRlMQTeuYZvP8+pR13J63TyUCrOnXUMSckMG1/2zbuWhC4aEgsICKWbriX5++/cydhYVy6uGeXibY8ERFMXX3hhZwrlvlAdDS/w1sBGoGXEEgSJbvOnek0jI2l5DdggNvvU1Ggs9twX1sT7vtVh9OnuYoUdT/cLVtJAnSQMTzkB3Q9/BkqKRcQZ6qMH8qMxo/SoyhXQYcHH8x5THY7b9ykJE8LNy6Or2/dWvi6ocHAaJSOHbO+99tvVARCQz1XKYmJvI9//LFwx6LTcaIcMYLygaLQ4vdlTfv7s+rjE09wQnWvr/LFFzlX1bthVKrE+Esxe9ts/CuWYe4H1+uB//5Dejrw7beeZYcBbqrTUWkRBP7CC1wVRUSoxdNE6Vz3SCM/Px6uWbPsh2q30+LfuZPH7tHDR7le0dUKIFl7Wx+i355Ox/POJ4HfStAIvARBkhgqlyVcTlHoDZwxA7hwAYqfH040fhT/y5iInYfCkJHBe9Y7BGpCymQMS1+OtEB/XEsNRoTtKp6++Apa1DqIVium5BoytWkTydG7lVpoKF/ftAm5TgKFiWXL1PvWHWFhNELPn/csQ1tYqFEjbxJI7dqc1HbtokZcpQon4SJP0x44kDGMLhfZVYjzouuve3irywVUroy4uKzcLuAe9XP5Mi3vsDBuLwxi4UsR4azh4eTa1q2ZWOYLcXHMJ7hwQZXI5s+nLOjRHq1GDVXbFqsIAUnyPB9vr/FthhJaAUCDBxYupPv/2jUokZG4kugP/x+/wojf+yFQZ0VGBv1Y7o2Lo8yn0DPtawRUCEf56oGoe5cOFe8MRPk64ejr+BaVMk7methTp1RDyBsOB+WV/ODcORLcsWP57/YOkAB8+azEUt47XLKo4XRSXnCP8NHrSWKPPkp99abU2GjVipqxxcKZVQQ8A57eYmG59uuHyEg1/NQbNptq1F69qlrlBgO5NTBQfU3I1GFh9OMsWJB91NKLL7IaRWgoJb7ISPphFi2iFJaJdu2oc6SkqPqOGKxezx1kZHCmadmyEC5g6YVmgZd0WCz0HgUHA0Yj0tOABLMBekMEqjtPoqvzZ5gr9cGVK7RARa/I+1P/QNkIFwxGMojBIKwtHZB2vUFjLiJ2uXLZL/0NhrxHGiQlMcFl+3Y1hb9uXWrX+bGYmzSh89I7X0pEqhRUA5dlxjRnZHBcuRl1TiebEixaRD+a0UhN9cUXiykRRJJ48Acf5AW6dg2oXBkZC5fDfC4ddlsGDHoZwWF6BE19A7ratREK1sBZvdpTA5dl8ryI+qlYUa15I7ro1KxJkk9KouT3+uv8reRUSyQ2ljKU92pOf72W0BdfuGWMGgys3jVsGJcA/v680CI0KCWF22SXDnsbQSPwko69e1WvJq5b2QoAvQRZ0aGteR3+qNYHQUEMZStfntrl03oHgr7IxsxVlDwVyOjalfXAhbEjkJHB++aBB3IfvqJwiXzggBoeqCiMSunfn07ZvCawDh9OJ6f7eERn9IEDs5JDXrB7NzXe2FhVnhk1itEZ2VnPL71ERSs4mMd0OinvHDoEfPddMVa2a9CAD9C/96ZrNDr6r0GM6f8Qq6uIX4yPoO7OGpg7hGOcPJmrqGPHVKNdr6ffpXt37rJ8eYYWbt7sGd6p0/E7ePbZvDmz4+JUq90bfn6UVTxQvTqjZXbuZGjSlSuM6Y6LYyjT8OGlO3ykkKAReEmHYLzrcMnIbLopy4DZosOpU7R+wsNJRj17AjhwL7CcrW1cigSzmcRnNCiI0BthaNMm10OHhrL2x+jRtLbEUEwmvp6X0L3/+z/WeHG/+SVJTTL67TcSxIYNvEdr1qRV58s5WrcuLd8XXuDCBKD13bs3HcD5xcmTrLstZABJIpHNmsX50jtVHmANmtWrPUMyDQZasfv2cZWRh0tbpLBamVqPoDBsiRyMLWDRGkVhgMfff1OlCAujU3jLFr4mon68Mzc/+ojX6fBhtTOOXk8HetOmeRtTpUpqlIp3GGm2iVo6XTZOIQ0CGoGXdDRvrrr9TSYEB9PitFsVmGQZKw29kJHBFaYkuSVhNGwIdOgA+/rfcfZaMGyKCQbZjiAlFX8GdoDhVAx6N8r98O3a8QZftUotYfvww3kv0HT4sBpy5g2Xi5Ejr7zCJbnTSeKMiGBEhy8Dq1MnWs27djFGPiam4M0KFi7kZXUvXyC6v8+bRyPPe3Wwc6dn82MBUfVv8+biJ/CcWueJTu/t2vE1vT77qB+B8HCuOPbs4UoqKFBB5w4uRFfMO31ERrJ7zsqVnpKN3c7/R47M3zlqIDQCL2E4fpxWnE7HkLXq1QPpon/7bcBuR1hoEGIvOhAsW3BIF4NNxgegA8nQz49Zjq1aAZAkyLPm4PN6M9FZXopwKRl2vT9WlhmDpeHjkfqyhMZN8tZvOTo6a2JHXhEamn3ijstFy7tsWc/M6ORkyp9//eX7syZT4RSH2r7dt2ZtMjGi4ty5rCFuIvtQRGJ4j6+4Jdl9+yiNnD6tJl+WK6fq+no9J778QqcDWjZMR8s/ZgGzvgJes9Dp8OyzXALlod7CO+9w1bVjh2fi0P/+l3dLXoMnNAIvIXC56Idas0YlB52OYVdvvz0EuvLlgWnToD96FCZjGL6QnsKnylhYZTJGmTK8UXfuBD7+mJUJL1wwITl5Eu64YwIqBpuRpg+FSzKQ8DNYQOvFF4v2vDp2pFVrtXpas6Lr+/Va+x4IDaUfbts21VIsCog8EW+5RrgIfGWq6vUMERSJPYGBXAH4+ZHc8+IXKCrs3s3fi8iIdLkofYnkoipVeG45WdvZwuFgAZv/+z+a9mXKUI+eOJGOxmeeyXUXQUH0FRw+zN0EBtJxmZeyJhp8QyPwEoKFC7MWfpJlps/fdRcwYMD1Vt6Kgv53S7DZgDt0JBqTSf3MtWvAzJkkJ39/3ndnLhiQXi7SQ/bQ6+kbKmoEBwOffMIoFOH8FAkgTZtyxeELsszU/ZyQlsaM6hUruO/27bkUr1o1b2MbOJDt3QIDPQ1Is5kVGr3zQ/78kw7M0FC163x6OrX0cuVoiDbKgyxVFNiyhbXHzWY1KgdQZZPUVEpgjRtf95HkF5s2UT+JjFQvVmAgv9DZs0nueWTievWKtuXg7QQtDrwEQJZJ4EFBntqq8PTPn++2sSShRQuSl8FAkhafEZ3NRZis0Bd1OhpL7oEnLlfhVvg7c4aShC/SfeAB9vAcPJhBEo88whXCI49kv/LW6ZjFmB3S0hhrPXUqLeKUFGaw9ujBaJC8oE8fyk3JyXSKpqfzGgYF0XHnDkVhcS6DgeO64w5a3eL61qnDshzF0ann77+ZAepep8QdIiHTaKQUVqDcl+++48U5cYIJAomJvCgiznTXrlx3Ica2bx9L73bvzol9794CjEcDAM0CLxGwWnlvuPeTFPD3Z3y3e8usp55iNEF6uqrhOp28p3Q63l8APyNyNwwGWmHh4aolXBj1IK5coQy6b5/a9/Leexm67u4cvPNOarPuqFSJpOcdpmg2qw2Qs8NXXzF2290h5udHIn/1VUaK5AaTifHHv/zCtHKLhUv6QYOyxrgnJXGSEg0nRHEwgOd85UoeO6QXMsTE4nD4TsqRJLUpRnQ05Yt84+hRxiWazfyS7Xb++JKT8+REOX+eE+KGDfxIRgavXWgoQxh//pkRLUOGFGBstzk0Ai8B8PenrHg90MQDNhtzFwRJXbtGS/euu9j3MiODxKUoJL3Tp9XSsQDJW0R4WCxq7fDPPvPst1kQ2O1qarQIE5RlOh+HDWPkSk4WadmyzNwbM4Y3tpCDIiJYLCsnQvz2W7XqojtCQ0lSV67k7fxMJkbVPPxwztuJcri+kF1K+s2AxUISFJO3t/UtrN4yZfi3ILHyeOklVadz73qdlsYfpL9/thmRly6xx2lKCi1/0Ubv2jU19NXhoCPz/vvViXPvXspjFy5Q9hk4sGjKJJR2aAReAiBams2alTUrTpQuBfijHjKEFrsgYqeTUsLzz6v17t1JTVjfOh313urVGYpXGBmDmzfzBvXVRejwYU4wLVrkvI+2bRmV8NtvTKapXp1x4LlFc4jEPG8IfrnhinheCA1lxcg9e7JKvampjJMuTFy9ylXG1q0k3f79mVjlfc4GA8/V5eI183XeikICt9lIpvnC5cvUpMqXR2a8qnsFq/h4li/MRv+eP5/kHRnJSUaM2eXirmvV4grBYmE5l6FDKanPmqXKPv/8w4q5CxcWfWu60gaNwG8SbDZGmAiHW5cuzHgTcdtjxzLhZcsWNSvOYKCmO2wYXxs5kj9893vF4aA1Krq9BAaq5CYsYoDWzrPPFu457d3rO6FThNkdPJg7gQMkx75983fs9u0ZReNN9FYrHafeDSMKA2++yckyMZHXUzgHy5UrxC40ULNUU1N5fi4XI3I6dWJ8ujuJBwYyXl6UKfD352/NfbUQEMDrMnQo0wPyhdRUHlCn4+waG8sLIOr5VqniM+Pp4kUS79dfq/KY+29FTLKiUqzIqD1yhOQdFOS5qsnIYKDL7t2ls3NOUUEj8JsAq5VLQKET6/X8kS5dSmeeKL62cCHDq/78kz/wTp3o9JMkOqoslqzZj0Yj76XffuO+77iDBZbc77GIiKJpKOC+WvCGqDlUVBg1SpVlRRalzcYb/dVXi0bSuOsuTsKffsqgDKORZQJGjSq8zvOKwtVUerrnykZReMyff84aRfL881zFiN6OIvoI4PP69amTF6g7fbVqZEyh71WooOocyckcjNuPwOlkbZQffuDLwqktatX7+r2I7N7GjRmJJX637ggI4Hf999+8LzQQGoHfBHz1FYnZnfACA/n7f+01Rk8AfK9pU99JDQkJ2Wuwej2X3I8+ymOVL8+HcHwmJTGr0BeOHKETLyODTsN27fLujOvenU5I93r7AO91vb5ob7QaNXjdXn6ZgRE6Ha/plCnU5YvyuJ98Ujj7stlUx7K45mfOMCzRe/ITK7Lly7MS+EMPsf7N7t3cp2i6ERhIMv/5Z98O8jzBZKLp+8EHqkcUUJubemV4zZzJVaYIhxWNRa5cUecCIfP4+3OXycmUUtq0IYHnVEsmJaWA55HNvnbv5v93311A/0AxQyPwm4Cvvrr+YwWbzSoSf6GhodRUExJy74gtCga5R6MIKApTyh99lPXtDx707CDTpQtD+Lw/8847LPomoheWLmU43PLleQvprVKFiUAffqhaUTYbyeiDD/KXoHH5Mqv7/forx/zww5SOciKemBiS06VLPG6VKp59KksqzGY2ili1itc+JISO3BEjuMoS2Z7eMBhUHdkdksTf2MiRlKtF79PQUDqJC0zeAiNHcpb+9FNeaFlmCNFHHzG86DpsNkb1hISoJCyqwjocVF+qVeMkZbXSoLFYaDhMm8bPNGvGlZU3FIWHveuuGzyX6/v67DNGSrnjuecohRVHKGhBoRH4TYAx4QpejP8EHSxrYFAcOBTYAsvLT8LhoBbQ6WjM5EbgDRtyKfzff56FoVJTaWk9/DBvnB9+oONr40aSWffuvpsKrF/PrLiwMPU9RaHz8c03KfHkBSNH8qZbtowRMPXq0dHqnajhcLAGx5o1JLC2bRmuV7Eia0T37q1GKigKSwL89BNJrmzZ7I8vut6UFtjt1LePHiXBGgwkvvffJ8FNmKBmgnrLCFZr9nWdoqJovf73H8NIy5ThtoUiJUkSnTRPPMHljr8/TWYvpouL4/m5rx6MRhofly+TrO1JaXi56TZ0vc8KffMmKNvkDo/vr2dP9i1JSVG7BckyrfRWrQqHwFev5txzvUIzAF7vTz6hQiT8SaUBkqIUpLR+4ePixYvo1KkTNm3ahMql6Y7MDXFxONuwB6TEa8gwhkKGHkGyBRIUvFFxMfaHtsWePXmzHK9do+a6f7/aeCU8nNp5dl1QssMjj9Ba8y545HJxQtmzp3CWlLJMa+eNN3hTShKPGRrKG+jrr7ns/uMPT80X4MrkiSc4odwq+OUXWnrercxcLk7G27bRiv3sM5XgFYXfiU5H4lmyhEt/o5GRKRMm5L0/aVHCYuFkHhSUVYZLSwP6m37Cm/bXIbmuezNlmWExU6d6eKNPnKAlfP48z9nppLQ3Y0bh+FU6dqTk6B2JlZ5Oh/Qff9z4MQoLufGiZoEXNRYvRkXjNRzXRwLXq9il6UPh70rF05dfRdr9z8A4P453YKdO2cbPWa2UGESRoowMBgV89lnBbt6LF31780XAQUJC4RD4O+8wlMxs5qmJyA1hYT77LGN9fR0rNJQriluJwH/7zbcMJqKGdu1ieRGXi5KW6PgeHU2pYcAAtfIkwMn755/pD2jV6uafjztCQph1+/PPnqtEWQZqm//B866XIEUHAEGB6hsrV1Ljee21zP3UqsUV5KFDNFpq1MglBjwxkZ5/q5UzSJYmmyqcTt5Dvla8AQGUdxyO0iHFARqBFz3WroUpIghVg0maBns6wuVEBMjpqIYTkH4+C2zQk90iI2mSuoWMJCdzef3552ojkvLlKT2cO8fl+G+/5V/nrFWLVpz3fCFCvcqVu6GzBsBl8/Llau0TQK3gJ0Ldzp9XU/69odORrG4liEnMF4SjUq9nJM3TT1NqERZt585qFq2ALNOafO45FjIrtmYS1zF5Mh3jos6OqDr4Uvh8BNsUz8HrdJylly/nMsLNJJakzN4UOWPpUobYCJFckhhuM3u2z04hej0nGofD928/OLj4krIKAq0WSlHj+t0aEgzUDb+KO3EKZaREBCkW6BQZUnw8f7ihoapmcN0DabWyz+C339JqFVbB5cvUG8PDSfDffpv/YY0erabauw/VbGaKfWH0it2zR7Ug3QlaFFiyWHhOFSv6LnFqsRS/VVnY6NFD7SXpDhH7765xh4UxwbFBAxqqZnNWghbPr1yhtFbciIykBT5zJvXsAQNok7QK/g+Sr+wxoRFdvpz/g23fzrCjgABerIgI/t20CXj3XZjNwLp1jIoRRdMkiWOyWDy/A/HbHzhQc2JqcEePHjSfXS5I8fGQDHo17EPcfefOsbJUWBjvxF27gFatsGEDHVJC6hDRBZLEBDijkVb5/PmsgnfgAH+o1aszMSanRgft7rZiysg4fLggHMlSKGRZLe7/6quFc+rCkgkO5lLYm3wUhY/XXlNjn0XSR1oax/P884UzlsKA00kH8b59nDy7dct/OYI2bfjYsoXnajLxvJ1OXofsZKvYWN/Si4DI2i0JMJnoPBdt2QBw2XjsWFazV9S99XaA5AXz53uGNgKZ4TeWxd+jw4qXYFGCMyOy2renc/7ZZ7n6/O8/z93FxBR+sltRo0gs8LVr1+LBBx9Ely5d8NVXXxXFIUoPhg+n4CYsDElSCdxoJEu5XOrdpyiMiwOL//hqGgBQdrh4kVbDyZNcNb7+OkOwZs7k8/Xr1e3T04F//wX+2+uA/OFHQLNmeHxxF/yD5lhf8xlMnZSINWs41+S1R2VuuPdejl9EuojTFpaPwcDwxp49ueIND6dlZDYzSm3Jkvw7Z4sKsbF0GI4eTRL43/8Ye/3ll/nbj05Hv8Wrr5KzMjIYWfHZZ3RQZ4emTUn43rVOxCRoMBRSidbffiPz1qjB8KWZMznIG8WTT6qFyt2RksKQpNzCsHzh2LGsxeQBpDsMiIsHAsyxsFp5bcLCWPph8mSuLr/7jr+5rl35mDWLmb2FsfK8mSj0KJTY2Fg8/vjj+Omnn2AymdC/f39MmzYNNXPxtN2yUSgAmbZLF5rTgpFF/zCAzFalCmUUs5m6XqtWeOYZknhYGLVQoSfabGrtC8CzxVfVqtT4bDYuy7duZTieqC0xMXYSuqX/iLA7QhAcfj2NMyWF8bw//1zwljJ2u1pJq3r1TFNx4UJq+C4XrXC7nZsHBNC6fu011VKXZWriej1DA0vSUrZ/f6aGe5cxSE9nW7iinmhSUmi5CylAKA8uF39GL7/MCfyGsGQJJQmjkbKew8GlUPPmDDS/Ec+eLAOTJjGO1OVS66lUrEiNoyBOl759aUZ7hVIdPyZDSrXgXuMepEjhmXWDqlThfbFrV8EM/uJAbrxY6Bb49u3bcc899yA8PByBgYHo2rUrNmzYUNiHKV2oXJkeqTvuoKBZq5ZaOk6YUKIWaoUKmZXd3DPuKldWNWv3sqGiJrWw0hMS1NcdDlp6H33Ee+9O0wXcn74KyVI4zl400rDS6ylcnj1L6yu/UBTe3HffzZCwrl3pbduzBwDjxD/7jGnSlSsznv2ll7hqeOstT4eRTsdEjzvuKFnkfeYMVy/e8obRyK9w6dKiH0NYGHkuJobHdThoA/j7s8nzK6/c4AEsFmZfBQfzodPxRxQRAcf2Xdjf+ilsvWs0/uw1DVf+uZT//et0bBX11VdMle3encfbsKHgHvMnn+RFcLPq09IUGFJTsEl3P9KM4ZlOYatVLfmbW6OQ0oRC18Dj4uIQldlZF4iOjsaBAwcK+zClD489xrS4tDTeIFWrUvt2Onmj2GzMWPnii0xzumNHGj+7d9Mgql6d6orDwRu3QgWS+dWrPIQkqRYuwN/1zz9zt0YjUDf5X26n10F2AnHxQFURnqUoXGP26JG/81qxgnF+gYFqhadLl5jNs2oVUKcOOncmp5dWXLmSfXakn59af72ocdddtB737WPCVWQk46N9tX7LN/bsUcv/uSH1Ugr8Yq+gxuXvcc1QDrqTvyNj/QLsnToXTV/omL9jSBIn+rvvLoQBg06IQYM4KVwn8bREPU7qauN7XT/Mto1EU/kfWKQwfKMbiOXmwbCG+OeYGFYUSEjgo1KlwpdoCp3AfSkyUkkyp4oL0dEUTJ96it+mWD5Wr84fYoMGZGw3CcNg4Kp28WJaeYmJ1JUPHODu9Hq1xjdA7nTXr4XTSzhBnZIJyvWNdd7NbWU5/zVmXS5aVQEBnp7W4GAWYJk7lxpqKUflypw0fTkRrVZWA7xZEK3oCr0JsI9uEA6rA8a4i5Ahwak3IcPILBqj04rQV8fB8vhOhFQMyfK5mwZJYrPvfv2YIZWWhunr7sWFczLmx4+ADjLSpCCUUeIxyTkVnaTfsLTOV6hQ4eZ0no6L4wp4yxZ1hfz441wtFVbz60In8HLlyuGff/7JfB4XF4fowirVVtrRqBHLqf37Lwmudm1qBjnA359ZzGPHqq89/zyN24gINR1YWN7CujCbqdeazap2vi+4DRToYJDtsCkmGMW3L0JQ8tss8fJlNefZG0FBTCu8BVClClWtnTs9E1RE0a7CrgVeLGjenH/dcvitV1NgUhRIkoRUvaofOQz+CLSn4NCMjbjnw0eKbEh2O53y33xDY+O++7iwyxJd5dZkM/WqEy8eaAXZYITZRQenA0ZkwB9NlL1o2vVnAEWbKx8XR7/TBx/QR1KhgtqtaulS2m95LVWRGwpdA2/dujV27NiBxMREZGRk4LfffkO7omwtXtqg09G7f//9uZJ3dpgyhY77lBTGgZcpo0rZDofakuy77xhHLSq4pelD8UnQG9Bb0+FvS4HT6sClE2lwJiQDDz6Yt+Ld7hBhEb784C5X4XSNKCGYOZMJfmYzQzhF/9H33mONmlKPiAiuDi2WzKgTxW6DDi7YJT+k6jwdAHrFAUesj8pahQS7ncXMXnyRfspz5+gQf+CBnNvCjWlzEMGKGU5jAPz81MQog0GCf4gB1fd8X2RjBjjhtG3LaJeLF3nvnTiBzGiY8HAuFs6eLZzjFYkFPmHCBAwZMgQOhwN9+/ZFTEmJBbtFEBTEmfzwYSZvBAVRCz1+nFEcFSoA99zDH+6777J5b1IS78395oE4YKiOp6W5aGg4jBOOaphjehIvT+6DsGykLkXhTRQfT8UnM1G0bFmu5ffu9QzPUBSSQP/+RX4tbhbKlmVSyI4drPYYGso5uCDRb8UB2Snj0KKdSFi8GpI1A/7dO6HRi13hH+6muT33HLW52bOBuDgYjXqk6UJx1a9qpvQm4NSZENK0VpGN96efuOLxLsGckkJSX7fO9+fq17IjuYwOF5IAKGqHJn8/ILqcrvBbNbnh/HmWQRDyiF6vRgmfO8cFtwi+2bu3wPabB7RiVrcBrl1jHZUpU2gFREaq9ZoBtS75E09k/ezJkyx16l5Y6J57uASMjARnjUcfZaqosMitViYmrVhRSB42DTcCl92Fv5s9i6pHf4NOcUG+Xs44MbgKqu5cgTJ1vLx6sgykpcHm0OFElU4IsiUiwxiSmUIb7EiCbPRDlUdaQBccyMpoHTsWag56z578aXn/fETG5KZN2dRHuR72aNeZYE43Zi4Eg4MBKSmJDFuY7ZPc8MkndPtERFBdTEhQL4nLRcIODub4Z8zgojc33PQwQg0lD2XLknQrV2bhq8hIz6xIvZ43hDdSU+l0OXeOASbBwQxn27GD4YGKApoV69czpKtsWUbXvPkmq1Bp5F0isHPi96h+dD3SjaFI9YtEuikc6aZwlEk9i4N938r6AZ0OCAmBX2QQQtd9jaTgyghwWhDgMCPEkYQIJKFyZAZ0e3bxhzN2LBPW3EOgbhBms+/5QITMpqZm88GgIODpp2GypqJssA3looGQQJnkXaYMHZ5FhDNn1PtK+ErczWOHQ/WbFFZvT43AbxOIzvW+kF0Ayrp1tM7DwtRlrCTxx3nggFsqcsWKNOH//JNkPmzYLaV/l3b4fb0Edp1flhCadEM4qh79DeaL5mw/W6V9DTSO/x3Wxd8g/qVPENKuEYLviIChfFnO6mFhfPz1V8GK8mSD1q19J4CKtnHVq+fw4aefZlaTwcCZwGxmFtQPPxRCd4vsUbeuGpIeGMj7xOXiqlVRSN7p6VwJe5dxLig0Ar9NIFKxvW8KkUfkq6nw//1f1sxnQLUsjh4tmrFqIBITGUb6zjssCGXOnmdzRHB6HJy6rHFrik4HWdLBciE5x8/rDDrcNagZ7p7YDuGn/g+SzcZlWWysWkrS379QM5pGjKDRkZqqGh4OB/04Y8b4zKBXIUnUA/fsYW7Dnj0cW441aW8cjz5K/VvcY5Urq12iAgLoM/nmm/w38M4JGoHfJjCZ2PrMbqdVbbdTLkxKonHS0UdORlRU9la7Tpe/lmka8oc//2TM///+RxJ/801+T3v35n9f18rXh58za6UrvWyHS2dE2fp5zIRcu5bi7rVrZNK4OArVKSlkKV/93gqIGjWYNlGhAg+VmkoCHz/eM6Q2RxgMZNGblDdfrhwjZSSJl0Ske7RoQcf3okX5D/TKDVo1wtsI99/PVeS8eSSCChUYV/vYY771xt69mQbv3d4rI4PWUWHpeKUd166x3OuJE3RUPfKI2ri9IEhMJEl5T5JpaZSad+zIX8GxsJfHQvf0juuETUtckmX4O1NxptszqBXqo7OHr0FNmcJBiVAKgEu0ixd5wvfdl/dB5QHNmtGAPnGC516nTslX5tq0Yeb077+TwO+8k68VVZ12jcBvMzRqxCqcecGddzJk64MPeJ+aTGq3knnzclnG3ibYvp0OXZuNzxWFETpz5hS8fMDatdyft+EYFEQZZfPmvEUwCDQc1QrbD72LcvMnw+RiGJ1OkXGmRX+0WZHH+qm//sqZvFw51m4QLYR0Ov4oMjLYvb6QIUk3N9O1MBAYmP+cuIJCI/BbEHY7l5xhYb5L0eYHI0cyC/GbbxhK2LAhC+IXsZxYKpCWBowaRdJ2t5StVmDcOCaiFsRnduFC9tKVw6HWvskPWs/sh9RXuuPYF9vhSrehSu9maN80h4Lx3oiLo0cuKkotLemOhx9Wszk13DRoBH4LITWVOveKFWp7qNGjSTI3QuQxMSWnLndJwu+/01L2rlLo70/fws8/s955flGrVvbfl8FQ8ASQ4PLBaPbK/QX7cJ06aoZK+fIMGc3IUOvb9+mT8+czMqgz/fgjLYxu3ZjoVYRRIbcDNCfmLQKXi3r28uUkEBHC9NFH7AivofARF+fZks4dslywLmEA5ZHAwKyxzqJEQrH4Hjp2JGmnpKgdJIKDVVmlffvsP5uayhCNN95gp+KTJ5n18sADmc1LNBQMGoHfItiyhXHZERGqw9HPj0T+/fe3Vg3kkoIaNbKvKmcwMC64IAgJAZYtI4mbzfQfms3kz2XLiqljusnEWMYqVdS2SRYLA7Jza/awaBHrPoSHk/SDgvhDTUhgNUENBYYmodwi2LQpa/NgQG2gu3Nn4cafamD9magoWuLuMorZzOf3F1CtAOhs3rGD4YRXrjDBtW3bYu6YXq0asHEjQ5guX2aB66ZNc+++8fXXJG3v7USfs7S00tfLrIRAI/BbBDnVF86ur6aGG4PRyFjlJ54gn4nWdmXKsC/HjUbp+PmxwVGJgk6Xf2elxaLWi/feF0B9XCPwAkEj8FsE3bqRTLybDjidfK7FbBcNatQA/viDK5wLFxhb37r1dUvZZqPFKgqJP/QQnYGlASdOMEZSkhjInFmCsgBo0YIhOd6ZXxkZXMJojswCQyPwWwTNmzPu+Lff1AY56el0sk2YgJveRup2gk5H0vbA1assnHT5strt5rPPgFGjYH92IjZtlrBjB1WEHj1KEK+7XAz+X71a1eR0Op6LSOTJL559lnpQRoa6LLHbGW/59ttFl+VyG0Aj8FsEOh2TR778ki3Y4uKYiPPMM7TOSztEMSCTyXOFkX49Q7zEZei98AI9x+7ZOC4XnHM/w6Rv7sEvlraZvD5/Pos5vvxyCWjmvGgRw/3c6w3LMhMB7rqLPSjzi2bNWGf1lVfoIJAk6k9vvJF7+KGGHKER+C0Eg4F6rK+63qUVssxaIPPns6FEWBiLHbZuzRDJf9mnGffcw/6DDRoU52iv49Ildh/2lgz0elxLAO69tgTb6qialstF3rznHqBDh5s7VA8oChtvBwZ6WsU6HS3nefOyJfCkU4m4+PtR+JUJRs2HG0Bn8LKqO3fmyR06RF2vXr381QPQ4BMagWso0Xj9dVYpDQykc9BuJ3GnpjL8WBi4u3ezpsuPP9JQLFbExtLC9DKnHQ7AbPXDHf4XPF4XWelffFHMBG6zMbTPV5shf39OTNcbrCqygv/mb0PSrGWofHorIpzxCNWHQ5YMOOhfFobZM1BvqFflJr1eywgrZGjik4YSi7NnmVUaHq4aayYTZZP0dJX4RI1ym435IcWOKlVoZXrV4nU4AT9YcTogaxNNP78SEKvv58cljijs4g6bjTPmdfL+s/MUhD43DA2O/YA7HKcRqFgQ7bwMWQGCrAnwGzUUF/8+e9NP4XaDRuAaSiy2bVND8wRkmeQtSYxOc0dYGCNCir1JYNmyTKdMTvYYjEmxQ4EOqyKezPIR0YUuL5CdMlx2VyEN1g2ijnZamudFFBd9+HAAwJHl/6L6X8tg1QciCOlwwAAXmMhTwXkBdkMQjLINJ94ovPrgGnxDI3ANJRb5deh5k32x4t13WdA7JYWFUVJSYJDt2PzAR/jX3tCDH0VPhBEjct7l+T9PY0u9MbgQUAuXg2ri7+qDcPyHA3kekiIrOP3LUeyfvRWXdpz3vdFTT1GvNptZsErU/n7wQXpaAcTN/QESFBgUJ3ga/KJk6KCDDD9nGuw6P1TY+zOdn9u2+e4MouGGoWngGkos2rQhsV2XXQHwr2gMGxrquX1KCkPyij2SA+Agv/ySTrv9+/m8fXv004fiz6cYVSfOS6dj44amTbPf3cW/z8LarTeqOVORbgiDAqDyxZ2QB/bDMdc3qNOvcY7Dufj3WVzpPRZRyScQrNPDITvxV7W2iNk0A2FV3NJITSaGO+7bxzRQSYLSvgNSQu+AKdmOwLIG6JIT4JL0UKC7Tt0KBIkDQLCcjDKueEh2hR7nkBAuL5YvL5xW7MUMERFlNBa/waARuIYSiypVGPSwbBmDIPz9KcUGBvImstnUXp+C0J9/vrhH7YX69fm4jiDwfA4fJkcGBrIOVG7djU6Om4EaDgtS/dSkl3RTOPxtKbj89LsIafs9KmZTHTb9WjqSH+iHKFsC0o1hmbNi1TNbcOjekWh17jtIOrdZT5KAJk2AJk2w64218L9vHMLTL0MBcL5WZ7hq1YH+1B+wGvxghwkm2OGCHhIU6OBEWVcsAEAxmMhwFgvT7wcMALZuLeZ6AAWHLNOhPns2w/yDg1ltcty44quNX1IWnBpuMhIT2SbwjTcYpleI3bAKFW++yfwRUfsoIIDhgtu3c1WfkUEif/hh5p6UFgOvXj3y2cMP56013R2Hf0W6UV1yuFw89yRXKKom/IsurdMwaZLvxvD7p/6CYHsi0k3h6vJEp0OqKQIVr/4fTvz0X9YPAdj27Lco//54BFmvIc0YhgxDKKqe+A3lNn0Nmz4QAQ4zYo2VIUMHPZwwwA49KJUokgE6k4EErtdzwKdPA3//nb8LVYLwwQe8X8xmBupIEsNbn3hCzdW62SidU6GGG4LoImO1qq99+CHw+ec+MgqLGTodMHAgH+5SCkBLqKhgs7HC49WrLLh3773Fv1wWkGWVqIXdHBLCEMrQ0Kzlg61//wNJ8d2dWoKMa38dQe2+nuF99lQ7yi58HzZdIJwG1jFRJAlppkgE25Nw6u7+CDm6B2UtZxBnqIhgZzJs+gBEKXEwSDJ0fiZVVBGhQmYzcOZMzqVnSyhiY5kgFxqq1hUymWhY/Psv56VC7iiXJ5SQn6SGmwWLRe0iExamdng5eZLV8378sQREcWSDm0Wge/cCrVoxi/Xttxl80bEjOxIVFy7UewCBDraldzr5miQBITDjgLEZnH5BCA1lZVfvOuKIKgvA95cqS3oYy4Rmef3cxuMwuTIyydsddp0fQo79i2bxv0JetRaWOcug/HcIlfb+DFN0JHQGPbK4IQSJR0fn78RLCHbs4F/vonCin8WGDTd/TIBG4Lcdfv2VlndAAHDuHC0LWaYsabcDTz9NH9btiuRkYOhQyhOhobSwQkOZwzJkSPEFU9Sc/RysxhAE2ZOguGRIioxQORmypMeyyq8B4HcoSVknmurP9QKgg052erxudFrh0plQf2xW01Fn1CM70pegQNYbIOkk1HiwLhqObo2oBuXoqIyMpAXgfaFcLmpFHTsW8AoUL8T8k9P7xQGNwG8zXL1KCy41lQ+9Xm0yLsrOTpvGyLfbEWvW+K5uGhbGulTCErvZqNymGvzXr8TZ2vcjDCmIQBL2mlrhpWorcD6yMQDyptOZtbhftS61cLb3BAQ4UxFkT4KfMw1B9iToFQdS3pmBwLJZC8lUf6AO0kyRMDnTs7xnlO2wPeSjuLzJBLz2GgfgcnF553DQMtDr6WzJi7dv61bWSKldG7j7bmpl6VnHcTPRqhX/emvdisJTe+CBmz8mQCPw2w7VqzP8KSWFz90tB0lSi0IVF1EVN44dy15Ccrm4aikuVGlfA/cdmof/fjyBLtVO4v36X+JipKpdJyeT78qXz/rZ+1Y8jfQlK3AmphfiohrgdNuhMPy2Hs1e7OzzWDqDDva334NBdiDQngKd7ILBZUewPRHxoTXQ5H/ZdAcZMICevaZNOesFBTEe9J9/gC5dcj/JH35gvPl//zHMIyODFsXgwb49tDcJ0dGUHlNSOJeIKKjERDb9btOmeMalOTFvM3TqxJWsd1Nxl4uWRGgofU3F5VUvblSpkv17BgOzyYsbD/XS4c+twNq1qvylKCSZDz7I/nN3DWyKuwbmEGzuhaYvdMSRct8i4c2ZqHhpN2z6IJzqOAbNFoxBcPng7D/YqxfQsydnFH//vMfYZWQAkyer9ZAB/jWZGEv/228M9C8mvPACo5zmzGGZh4gIYPx4YMyY4nNwawR+m8Hfn/klvXoxIMDhUCO9qldXpcuWLYt3nMWFXr2A6dPVGHOB1FRGepSExhg6HWu+PPooK7+mpDAColcvGq03hIwM4OefgfXrAYMBdz30EPDtM5m5/nfl1QkpSZ6ldPOCvXupAXmfhND3Vq4sVgKXJLYl7Ns3a0RUcUEj8NsQdesC//d/zJg+cYIrXVHDKCWFKd2lNFjghlG+PAl8wgR1qazTUQlYtCjn1nU3E6KJRKGGfSYlsaTjmTM8QEYGkwWMRqBiRbJWnz7AO+/k7UJcvsz4OkWhiCyWN4rCoH6dzlOwz2nZJ0m0NkoISgJ5AxqB37YIDGREyscfs+JfcjLvpYkTGW1xO6NbN/YgWL2aFQJr12Y3NO/U/VsOH3wAnDrFH4Ldzh5xAP/PyGCRrhUruFx7993s96MowNSpDJx2R//+bPL5v//xOIrCLNXJk6mZN27M7ZzOrNmasszMLQ0ekBSlZET9Xrx4EZ06dcKmTZtQuXLl4h7ObQWHg/dncHDJsSxua8gyl0gWC4ub3wzh3W4HGjak/mwwAFeu0FFiMKh6wV130UpOS2Ofz+x6WX73HbvvhIWpgdOyzBAol4vSigjzsVi4zfffsxvHnDlcAgnt3OXisrBqVUo7Ja71UtEiN17UblcNMBppXWrkXQKwezd1kccfp3esTRsui4o6AsNq9bR809LUH4TIVgFItno9cPx49vuaO5cE7J71ImqipKTQUhC6dmgoz236dG739NO00ENCuCxMSwN692Z0ym1G3nmBJqFo0FBScP48q/cpiqrXyDLw00+cZadOLbpjBwfT8WE2q1a4qLUgy2pHDUUhmYeF+d6PojDW0rurj8j/F0k+7tZCWBhjvwGS+uOPs4lySgpJ2y9rNqgGQrO5NOQbViuwahUwdiwL+cyfX3KLYZUqfPklXJY0mK+mwfLfGZgPX0B6YgaU0FBaoAkJRXdsnY7d44Ul7p5RqSiqjGOx0BmZXfcJSQKionx39RFZL95LPREL6T2eiIhcyVskL92u0AhcQ76QmEiH3rPPMrFu2TISeYUKNB593bca8obUVRvhvBQLv+RYmOxp8MtIhv7cGZhPxUPR6VmwppCQmMjQ6suX3V7s35+BzRkZfB4UpKbASxKjVAICgJkzc84df/JJNYRHQJJIxr5kELOZceP5QFISi3bVqwfUrEnf6K+/5msXtwQ0CUVDvvDuu5Q/4+JUspYkro6//JIc89dfJaSpQimC4pJh238UJkWGS2dU35AV+Kdegy1JB//sZAtf+1MYVr15M7+Ljh1Z4jsjgyV616yhMex0Mnvz44+BChUkFrceOpSZkzodLfLVq2n933svST43p+rw4Tz4n3/yADYbD9a2LSNbEhOpcSsKA+zLlAGeey7P55aWxljsM2eoNAUGUrUZO5a/z/7987yrUg+NwDXkGenpzP5zOtU2YO5ErSjArl3AunW00ksK9u/nauH4caBGDco+OXW/KQ6cXPkfgmUDAIkXMrNutwSdLMOSDvjXqZPzThQFsFhghwljn/fHli2qvPDZZyRxu51lckWAiPjO+vVjoqO/P8iK7kWn8lvow2QCFiwAFi5kzLjNRo39xAlmG+n1NJcNBsasjh7NJVwesXIlMyHdg2CCg3mYd9+lz/N2kc01CUVDniHKlKamZl8vRJKY8FJS8PXXtNbWrKHF9ssvzFX54oviHpknMq4kI0MXDLMhAjrI0Csu6BQX9IoTMnQ4G1w/52XN77+z1kiTJki/swE6fjcKtf3Po0wZGrihoTz3desoLYsAEUmiQnLlCgm80HDiBNNFQ0OZ4hsVRZb96Sf+v28fC2lPnpwv8gb4XRqNWV/38+MEtX9/oZxBqYBG4BryjMhIyqKCvL35RPimvLvFFxfi41nPOzCQpCX+BgUxoMND/y1mVGhXCwbZDpeiAyBBp7igAEjWl0G8vgJSmvkuOgWArDx6NHDpEpTwcFxJDUVb2+/4+HRvRDrY3kysliwW3/OALLP3cF4hO2XsenMddlR8BP+FtMaWOiNxcOm/6gYLFtD8d9e89XpKJ4sXF2l1wdtJvtMIXEOeYTAwNFnUJhJ1UwShiwa9nXPgmpuJjRvJId7WmtHI19evL55x+UJUBQPC/dIR6YqDoihwSgZIUBDmSoRTZ0Tddwb4/qAss+ecvz8QFAQFEhyyDhZjJIKdyXgk/jP0iZuLucc74ae4NnjZ/jaibBez7EY0+MgLFFnB1javoMLU51Du2iH4OVJR9fQfCB7RH9ue/5Eb7dnju4iV0cgxnz2bt4P5QM+evrPqRf2amJis792q0AhcQ74wciQ71YhSGCLKTK+n5VOhAit/lgTkVFVRltWSuiUCs2cjuKw/rP6RkMCmCaJhWliru1ChWTYdi8+cYcLLdbKUJMBoABQZsEsmDIidjsGxH6OM4ypClWQMVZZixonuqGJVE3FEJcpevfI21KPf/B+q/fsT0gxhsBpD4NSbkG4Kh10fgPKfvg7z5VQudXzF94m4v3w4ZL3RuzdVmcREErnwhaansxz57aJ/AxqBa8gndDqGbx07xoqFAQFcJYeGMtN6xQqgpFRCiImhwedLrzeZGJVRYrByJXThYQipXwW6urXhrFgFctXqMDWqh4iLh9TQPm/odDzB6ycpgRKzLANhriSYFCvMhkjYpADY4A99dCT8XGkYenYyrFZOYhYLA0/q18/bUOMWrqLE4xXP7dSbICkyjn7+t1q/2/vim81Mmd+1C+jeHWjeHBg0KF/6TVAQM+8HDeIhEhJY5nX+fDpjbydoUSgaCoRq1VgO49Il4MgREnizZll7BhYn7rkHqFOH4wsLU7kuORm4806gXbviHqEbHI5MrccYZIIx6PoSRxBgdtkq1apx2RMXl1lfpExZwGoDQq4mI1kXAZcTgARElmFRwYwyoWh9aTfuLJOMsKrhGDYM6NAh70PVpVogS75tPwkyXJZ0mvO//qrGMer1PMfQUBbFmjiRs6ifH8l8507grbeyX75duEDvZGAg0Lo1IiL88c479HHIcsn63d1MaASu4YZQqRIfJRE6HRONXniBVU0NBnLIvfcyQKJE3fRt2jBu2ruGdloaUKtW9oW+JYmxc08+yZkpJASSLKNygAVygAGmyDKoaOLH/a7PCYEBEgKjgNUrbEAB6mT5PdAB2L8u6xuyDElRULlXM17sefOATZu4LDOb2Y2+bl06XMPDVa+36K79v/8x/jQ8XN2nzQa8+CILWbm3g//wQ6Bbt8y54XaFRuAabmlERjJk8NIlPipWLDkSjwfGj6eMYLGoZJ2eTsv71VdzDq1o04YVAKdPZy+8gABg2DDozp1DxJ9/AhH+nttnZDAZJyoq93G5x6RfR6NJ9+PInEqISLuAdEMYFB0bJgc6zThdrwfat6nKDfV64P77+RB4+22K7t7p9EYjx/XXX55JBFOmMPnAnfCtVib+VKmSd93nFoWmgWu4LVCpEjMOSyR5Ayzl+uWXzDRKSaHFGh3NDJy8aD1NmnC5ceIEcOAA0y2FTGGxqFKM1apatdmVn7Tb2Ui4fn1euHbtOEFc34dfmD8qb1+BC9XvQ4DTAn9HKkyyFafaDEXrbR/nPM709OwnI1n2rMVgNlPsFg5PcQ7+/pwEFi7M/brc4tAscA0aihmx+67AlpyBCnc3hvGXX6hnu1zUtvMa1JyaSgIUVQztdurKFSuS0JOSGIMdGcnsyOxCTmSZHS3+/pvPJYklbo8fB06fZp1vAGXrRaPN8cVIOnEN5rOJKBtTETXK5aGfW/v2TObxtuxFTGrz5upr585xwrl6Va2MGBrK6xIQwPO6zaERuAYN2UBRyBG//ELDsV07Ovu8C+cVFCfXHMa1Ua+gfMJhKJIOpwyBuDb4edw7bxAkXR6J+7//SMh79/J5gwZMNX31VaZXCqIUfeE+/ZRLkewwbx5LuxoMqoUuy5wAPv2UFcvcMicjapVFRK2yeT/pTp0YA3jsGEk4IID7t1g4qVSrpm57+DCzrXQ6tXOz2Uy/QPnyPvv+XdpxHhdW/gOdvwl1RrRFWJWChyuWBmgErkGDD8gy8PLLrLvhdJIDv/2W/sRvvrmhMGYAwOVdFyA/1g/lXVakG0MBSYLBaUOVxZOxTZbRZuHQ3Hdy5AjJ2ulUHX+HDrHYi6JQVxZWrssFxMYCTz1Fy9xXLjoAzJjBv+7yigjfSUqiRv3YYwU9babHBgdTJrpyha+FhlLTfukldTtF4YQREOBZNU14opOTOZlchyPdge3tXkbV/WtQTgIACQnvS/hv2Gtos+DW7RGoaeAaNPjAqlXAjz9SdShThspDaChw9Cj9cDeKYy8vhp8rDemmsEySdRr8YNUHImr5NNhT89CB55NPSGZhYWquvCyTrF0uT4lCr+d7cXHA9u2+92e3s42aL9lGp+P7QuooCNLTgUcfZTjgnXdSY69Vixc5Pt6zUfLlyyT4qlU52YhzErOpv7+Hc3TbozNQff9KZBhCkGEMQ4YxFA69P+744h0cmPt3wcdcwqERuAYNPvD55+QNd0NUksiV69bdeL2XiP/7A3Zd1trYTr0fjC4rLv51JvedbNlC8nOH1aom9ngn0SgKCT+7xhAGA/fni8CFFNO4MZ2ljz3Guq3ffpt9kpE31q4lUUdEqLHhAQF8vn49OxIJCKvfZCLJV6yoaviVK5PYr385thQrKv++BOmGEI/kIpfOCFnSwfLB3LyNrxRCk1A0aPCBq1fVlGxJkVHNehSBcirO+tdFuhSa6RMsKBzGAEgZPvL8FQU6RYYh1D/re94Q9WDdYTKRHLMrF6nXswOCL+h0bGf26ackelEfQZb5vEULFsO5cEFNcd2zh4T+7beqAzU7bNxI6SMujvuNjFTDAyWJFQqrVOG25cszIufcOZW4Rf3YxETg4Yczd3vtcBz0ihN2XdbaKzZ9AMrEHcl5XKUYhW6Br1q1Cm3atEGvXr3Qq1cvTBfNSjVoKEWoVYuGZZ30vfjseHt8fOphTD4zBEsPt8CYpPcQXSabIit5hLVXP5hkWxaiDXCmIiG0Oiq1qpL7Trp3p1PPHYIQdTpPuUMUhWnRgiGL2WHiRKBRI+rUoo+lLFPyaNKEVnJEBN8PCeHxjhyh8zMnXLpEb3ByMvdptfK1U6e4f0lSO9UDfD5lCv9PTlYnkcREOi+ffDJz09CqEZAgQ5KzfidG2Q5LcPmcx1aKUegE/t9//+Hll1/G6tWrsXr1akyYMKGwD6FBQ5Hj6aeB8rZzeOf0IEQ6YpGmC0GGLhhpSgCGOT+H/+yPbmj/zd5/DFfKNESwIwlGZzoMLhuC7EmQJT0CZ72ftyiU8eOp6YiqTk4nCb1SpcxIEdlmh2KzQ1EUdsRZtCjn0MSoKBLt+++zrGSXLrTId+xgVqW3lS1JJPJvvsl5rO+8o8om4q9onHzlCi361q09P9OyJbM4772Xsk98PGfWd99lOv51hFQMwbm7uiHIkeI5IcoyjLINziHDc7+WpRRFQuCrVq1Cz549MXHiRKSUqJJvGjTkDe3aATOaLIHRZYUFIXDJElwyEBJuQNgdoWzxcwNCeGDZQDQ8+A3ODngVlqCKsBmDcaZJHxjWr8VdA/PYLuiOO6grP/YYLWy7nVmMmzfj5OQvccZYC2lyAFKUYJyRamB7zOis3eJ9ISyMZSfXrSOZjxhBPUnIKt4wGLKuBNyRnk7yj46mxS4ckqKUZVIS8MEHvsvPNmzIrFGjkWM4dowyzsiRPN/raLTqbcSH1USgw4wgexKCbYnMDG3QEy0/eCT3cy6lKHQNPCoqCqNGjUJMTAymTZuGd955B5988klhH0aDhiJH04ztcNYIQIiL5VmDgoQubgDsEhuA3kBJw8CygWi3dASAEQUfZOXKtJbffz/zpfN/ngaefgZQHLjgXwuQJBidVlSa/TJ2SBJafdI3634OHGC25eXLrEr22GOecdaBgZww4uOzNiZOS8u5CLdwrOp0XB2EhNCidjgoxQQEcAJYvhxo1YpyjcDXXwM//OCZSq8oLJI1ezYL3QAIrx6BhufWYf8nvyPt5z+hBASg3PCHcN+gZnmPqS+FkBQlO29Hzli/fj2mTp3q8VqNGjWwZMmSzOcpKSno3Lkz9uzZk+v+Ll68iE6dOmHTpk2oXGLznTXcVujfH/i//8vqrRQJJevXZ+8QLEb82fIl1Nj7PVJNkR6vG51W2A0BqJu0E3qTmyU9axYfsqx2uwgIoHPSvXnoqlUkzKAgNeTPZqOzYPHi7FP+ZZn1WlJSPK1sRWFjB4eD0o0g+YceAj76iJZ9u3YMbfSeNESp2n37bulqVrnxYoEt8G7duqFbt24er1ksFixZsgTDrgfYK4oCQ2GlrWnQcLMxYACjLLzTvi0WZhO6W4o3ApuNIYHx8Yy8aNky+zoleUDZQ3/Cqs+a1u4w+CPQkYKr/15SnaQHDpC8g4M9U0xTU5n0s327SpAPP0wS/vBDtUGqnx+f51SvRaejc3TSJO5LkH9sLCfCGjXUSVKWgdWrmZH53HNcEfiKbjGZKL2kpeUe/XILo1DZNTAwEJ9//jmaNGmCRo0aYfny5ejSpUthHkKDhpuHBx+kDrxpk+p0s9loDU6bVjjNF/fsoZ6bnq5W6atWjRp7Aev0OvX+8HOkZn3jeoiiKcStZc2KFSRNb0MrOJgEuXs3ZQ2BoUMprxw4wLE2auSZgJMdevcm2X70kdoV22Khs9V9haPT8diLF9OTXLkyww7dLHCXU0bamTgYzQlIDbsT6fpgnKrTHXctfQUVmpfQ2sZFhEJ1Yur1esyYMQOTJ09Gt27dcOjQIUyaNKkwD6FBw82DqGk9ezat4jvvZC3rX39lzZEbRUIC095tNlqRERH8e+YMXy9g1mNK18fg58qaXBPgNONKmfqIauBWBPzq1eyLu0gSSTzLjgJ4PVq0yBt5i30NHswJ69tvmeZarpxHNEkmTCaSvdlMh6XVmnktZFlB2uGzCDJfgQEOhCAFFVyX0PbwZ5Dvbon/Pssmy/QWRaHrG82bN8fKlSsLe7caNBQP9Hpa4g8+WPj7XrmS+rF7EweR7nnmDPDvvyTJfKLpjCE4tmk1olNOwqYPgCLp4OdKg10XgDLz3vXcuFkz4I8/su5EUbgiqF0738fPEX5+zOYEaH37coo6HCTx4GBa+4cOMUzR5YI9KR0BDjMUKHDADwokuMBOQGWUeKQ+Nwa2x/fAL/T2aIyppdJr0FBcOHzYtwwjXitg5/aQiiGo89+PONN3IlIDomA3BOJM837w37gOtXo3oAPw8GGWh+3bl05J95BIEdrXunVWJ62icGKZM4e1yk+fLtAYAVA6crOuM/dvsTAj1GSipDJlCvDbb8Abb+Csf12kIRhOGKFAvXYKdFAgIcSZhMML895fs7RD8zBq0FBcqFo1+5R3SaLEUECEVAzBfd+OBTBWfVFRqK1Pm6ZmWN5xB2t8z56t1khxueiUnDXLc6cZGcCoUaxm6HBwjB99BAwZwk7X+fUJPP44JZVfflF7fhoMLHd7PTwwEzVqADVqIP69v1Au9gCArMeSAECRYb3qQ/a5RaERuAYNxYVHHmGWo93uqSVbLJRVvDMTbxTLl9OaDQqiPKEowMWLzJJcuZKac1ISsx1r1Mj6+Y8+Yts3UYwKINkvXUpnZnZNIrKDXs/ytcOH08J2OID77mM36myicPQP3g/HnF8QoHhr/JwInZIfyrauk79xlGJoEooGDcWFO+4gKdpsJM6kJNb9CAhgynthhuA6nbS8AwPVyUKkwdvtbE92991A166+ydtqpQ4dGpq1TK3RSDmlIJAkJgFNnMiVQOvWOYZQNn6jJ2JDagFQoAetdgkyDHAhDUG4VL4Zava6ffpkaha4Bg3FiV696KhctYoxz/XqAT16eMY22+3Arl20zBs0UCv25QcXL2YfMx0UpLZQyw5JSbS2fU0q/v6epWCLEIFRQai8+yfs6z4BjU79BCMcUABY4Y9zoQ1RbfWMWzrz0hsagWvQUNyoWBEYO9b3e1u3MqElPZ3PXS5ayR9/7Lt2SHYIDFRrj3hr1U6nZyVAX4iMVLvheHfzsVpvakZqRJ1o3HPyK8TuOYernQeinOU0nDojIjIuI+3ertj//mw0Gt/hpo2nOKFJKBo0lFScPk2nod1OqSMkhBb0+vV0GuYH0dGUKryLTikKCfjxx3P+vJ8ft3HvcA9wQnE4GK99k3Gy3+sIT7+CRP8KMPtFIcMYCkVREPLSWFzafu6mj6c4oBG4Bg0lFUuWkBzdLW2djnHia9awRkh+MHUqLfGkJJJ2Whr/b9gQGDgw989PmkQno9nMYyckkNBHjGBt8puI83+eRqULO5FmDPdYUTgMAdDLdpx4++ubOp7igiahaNBQUrF3r9oWyB16PR+nT/vOZMwGR/+xIEW5GxWvbUeIIR3+darC/6kngT59sibT+IK/P1Pc//uPNVL8/ICOHRkOeSNIT+dktXw5J4emTYFx43JMYkr49yzCJL3P0EWnZITx2H83NqZSAo3ANWgoqShfnvWvvSGyJEWLsTxg6yMzUHXtHARAgV0yIUWRkPjvVaRbqqJBXshbQESN5FQ+Nj+w24FBg1hVMDCQOvuOHXzMnJltBmxQtSjo4PKp6RsUOxzlC+DoLYXQJBQNGkoqBg8mQXnXRDGbGaudx2qIJ9ccRpW1n+L/2zvz+CjK+49/ZnZ2s7u5NgkbwiUkKEFrOX6mClINKHIICYjgXQSKhZYiHlQRL8QqHmjBCxGwtAqFIociRz1RBFsBQU455Aoh5E72SnZ3Zp7fH99MZq9ACJBN4Hm/XnmRnZndfeYBPs93vs/38EjxcJuS4DXGwm1KggIRpkcnwuf0XoDB15NPP6Uu9UlJZOFLErmIYmKAJ58kF1IErrjtapTEp8PiD/bpi6oMBgNaT76nMUYfdbiAczhNlRtvJN+000lt0xwOihNPSKAsyXpmPh6ftRwCFDAxuG62X7LAqFRh73s1IYSMAbt3U/XFc0mRPxuWLyfRDr0Xs5lcKzt3RnybIAqwf/QuPKYkWP0ViPWVI9ZXBrPsxrG7Hken4efpCaGJw10oHE4jwZgehVcv7RUEYNo0anDw0Uck4tdfT6VZExPr/b1CaSlYHbaaAAZvUSUJ9vjxVETLYCAXzbXXUop9cjJtem7YQDW8O3SgBg0NaaTgdlNMu99PhbR8vronQxDqtMABoH2fDLiPbsD2F9bA/99tgD0V6Q8PRfZNlIjkKfFgy8R/wLZ2MSy+ShS2vQYtpk2sf8u6ZgAXcA7nAuPzAe++C/z972RA2+2klaNG1aNvgyAAWVn000AMPX4D7P00/ARjAGNo2SOdQgTLyvRMS8ao5smYMRSyOHYsia8sk8Wcmgp88AE1tqgvS5ZQ2r5S0z1elun7jh2jxSA+njZltf6bgkARMqch1m7Fb2eNADAi6LjX4cXOrr9DetF2eEULFNGIdkc3AqM3YVve27hmysXRp4C7UDicCwhjlKMzaxbpVUoKeQb++ldy8TYGXZ7KhduYBKsvoGs7UxHrr8CJtj3QQT1M4p2YqFvDgkB9KHftotZy1dUktsnJ9GdhIRWx0sT4THz3HfDUUyT+8fFQrbFQi0rAdu2iifH5aAyHDtEq53JRJEpAghFjwIHlu/BVn+n4uvvD2PTgEriL3BG/7sfnP0Wroh1wGZPglyxQRQkekw1+wQjL809ArpYbPqFNCC7gHM4F5McfqVtaUpIeEWg2kzYuW0YeiwuNrV08zKuWoCi+IyyyEzGyG1a/E0fSb0aXje9Q389IzSMEgYTU4QjP1ExMJBHfVM/SrW++CYgiVKMJBQXAyd1l8Luq4VUk+JkENaFm8VAUqhE+bRq1dKuBqQxf95sB013DcPl3C5G+ezXazHkaRzJuRv734Wn86r8/giqE+9b9kgVmvxOHVl4cYYbchcLhXEC++ooMzFA3ryiSZn733dl5IRpKx34dkV68Dgc+3gfP8RLYr8tA7541TXK1hsKR8Psjx6IDdGPHjpF1fvgwifxll0X2ae/ZA1ityMsDHJVAuloGJohgEKEqCk74W6PDlQYIqkKumj59gj7np7e/Q8aGBaiW4qEGbMbGeUtw4vYH0ebkqqCvM/i9UIXI9imDAKW6bt96c4Jb4BzOBeR0m5WCcH7aatYX0SCg87Cr8H8P3Yh2PQM6nOfm6nVOAqmupixQsznyBxoM1DPzmmuoNG7fvpSRuWcPADLcV68m17fbnAyvyw+HAzBIgAjN4meAAHiqDXB5RNrhNRjouwOofOsDAAgSbwDwGBPRsngPTnwbHDXj69MfkuoLnwPVDyaISM85Dy3xmgBcwDmcC8hNN5E2hhq4qkrifcMN0RlXEOnpwJQp5JwvLye3SXk5WdhvvEEWeIigwuWiY2vWkODGxZFv/NAh4K678J8FJ3DdddSX4ckngeePj0LpiSowlSbCLcZDgAoDFLgMiVAgwukClda1WMKyOy1l+fCLEfpvCgIUUYLzSHBZgS5/vQOumBaI9ZVDqHEPGeVqWGUn8odNhLXFWSQvNWG4C4XDuYB0704i/vnnlGioaWFVFYV4n2sW+jlRUQH88gv5s0ePprDBxYvJLXL11cA999AAExNpQ7GiglYeUSSR1fpWatUJBQFISIC3sBx7H1uIbrZ+GOL8AK19R3EkpjN2sK7IlHfBJDB4xRgICoMKCSVSGqACRtVLi8izz4ZVPHRf3hUtt/4MP4KFV1BVGFQZqdd2CDpuS09C1YYVOHjvs2h/eAOYIMJjTEDhvY/hxnd/d+HmtJHhAs7hXEAEgdpHzp9PZUSKi6l67JQp9asfdUHw+agzz9Klesx3x46Uuv7ii+HX9+0LbNxI/pC8PGp03L49iX5oaVkAZR4zch0fYrh7IRgDZNGEjKq9kJmI+RiNzLgiWEQvjsV0Qg/n52hXfRBGZkCCRQR69SIf+P79QGZNZx1FQeaQTJh/qITV64bD2AJe0Qwwhlh/OQo69UaHeF9YWn2rrDZotX8+HCccqCpxo91VqTCYGhC73oQRGKtr96JxOXHiBG6++WZ8+eWXaNu27ZnfwOGEwBhFohmNkfsWNAU0AzaqTJkC/PvfZFkbDDRxWqTJF1/Ur0DWoUPAwIHhHXoAnNxTBpu3CMfNl1MkSA1G1Qvml5Hb8geosfGwWMhjkuo5gjdin0A3/1Z9x9dgoCJbU6ZQSYH9+1Fd4oShogwA4Bbj4EUMLCYFca0TIIoCJRg9+SRVSTx2jFxD/fvXr1BXE+VMusgtcM5Fwfr1VC01P59e9+hBT+JXXBHdcYVyQcR7/35KOY+NpXKvp2vOUFRE6es2mz4YQSAxLy8nYa+ruUQgHTtSxMmJE5SAo8EY4tVKeITYIPEGAL8YA4tYjffu/hpvHM3FoUPUvW1G2sfo8vUPQHJAr01VpTjLHTuAgweBpCSYExOhyq1RVeSAtbIUcTEypDQ7CTRjdF3//tQMWhRp8+G556jSYbduZzGhzQcu4Jyo4/NR+Y0NG+j/4uDBVFG0vhEan34KPPQQuWQTE/UkwuHDaY+tbVvA5/LBkVeJxPY2SGYJu97dhPJ3l0KqLIH/mh741fS7YL+64V3go4LHA0yYQO4NLaTFaARefpkmMRJ79pB1G2klMRoprrE+Ai4I1BXo3ntJ+C0WcsV4vRBbp8GTV0V9hgP+DhUZsJpU3NjdiRtn1hxUVaDb+0B8XPBfuCjSX+i33wKdO9eeEyURsa0SgcoCwOfXrWtVpcWJMdpg0DYXXC5y9WzefHYdjJoJXMA5UaW8nBL9Dh/WO359+CGV/5g58/QWq+JT8MO0NTg5+yu8LudDtJhQYm6HL5JG4OfE/0NZuYB5sz3os+VltPv+3zCoMsoNMXBY05DiOIJEgWpHS6t/QPnaBShftAidbj996naTYupUPUtIEz+vF3jkETJtr7oq/D3x8XXHfMvyWZWoRffuwNq11IB540Zyp9x9N6wmE3zjpqDSBa1ZPCAARiNDil2kDVINt5t+kpLCP1+SaEyhNVcUJfy4w0H/gCQJqKykJwPGaEV3OmkXOTe3/vfWTOACzokqzz5b+4Qc9PT88cdUt2n48Mjvy9t0DMjOxjVKPq6r6U7OfAIqHCm4qWI5VqeMxlzb4+j+xmhkKFvgMSbAK8Ui1leBLuXfoAI2FFvJSvMCsPidqPz9n6EO+RqiFG0ndT0oKaHHC5st2HKNiSFBfP99WgFD6d5dF7VAV4uWiTliRPh7TkeHDrQhGoDg9SKp898Qe7wAZYoNsiogLlZFglIJ8TfXBNcSj42lSBafjyzuQGSZngq0+iu1XyDQeAN9214vCbbPR+fKy+m6ykqyAg4dOrv7aiY0g3+pnIsVh4N814ElOAD96fn99+t+r9K7L1oqJ2CAAgYBDAIEAEmsFLIqIrf0fdx66n1c7f8RLlMSVJEEIFZxQIGIeDhhlPXY5iopDomeAhz6eM8FutvzzLFjJGqRHlEsFioLGwmDgWK7ARK5qir6i3A4yEI9H4HpMTHA0qUwZXVFmtWBtjY3bIITYt+bgHnzwv+yx4whV0fgk4GqkhgPHEhjCzzHGCUXBQp4TAxZ5opC8yJJeucivx/Ytu3c76sJwi1wTtSoqNADDkIxmYBTpyK/76e3NuJK+ShkSJDgq3lKF2pcripS/IWoNLXA0MqFMEKGL0AwDMwPBhECVMQobvilmixDQYAqiKgqqDiv93jBsNvJMo3UZd7rBdq0qfu9PXqQ62PhQsqktNupK07fvudvl7VNG2DFCvKN7dlDLo2EBHpyCC2F+6c/0Ubs55/rVQgNBvKtPf00bXB8+aUewiOKFJ2ybBmFHcXGkoBr2VGB1rom6Nu20e8NKYHbhOECzokaqal6BndoOLHHU3dLxPJ1/621uIlAARNgRhWKVQkJohsh0oYqMRYW1RP2PkoIUdC6T2aD7+e8whiJn8dDER+hoXCXXUbukG3bgv3HWnXA++8Pvl5RyE+9Zw/5ufv3pwiN84Es0+cqCvm3NVcIYyTi776rvxYE2uB49VVdaI1G4J13gL17aYwGA0XTaCFEc+eSwG/dSkLduzeFOo4eTQH269fXVjmsdaFo3yWKNFcVFRSQ36kTcPPN4e6as8Xno+/95BP6rkGDqP1bXWUHLhBcwDlRw2ymiqRz5wZHtfn99H8ioBhdEMaO7SCAihIRgeEODDIkpCT44b55AOSVayCoKljNhztNKUiqLoEBKqqMcQBIvGPlChzueht6/yr1At3tWfDTT8DkyeQmEUUStPHjKeIk0EL+29+AO+6gqoA1tb0hisDvfx/sCjl1iizs48fJOpckqvb38svA0KHnNtY1a8hK9tQsiiYTWcf33EOJP3PmkOWtWb7aBkfbtuQ/X7yY/NQ33ECCPG5c5O/JzNQTezRatwaeeYZ+AKBfP5ozRdF96gYDcPQonX/9dTqWlES1zEM/r764XBR9s2ePHv3zzTfkHlq6tFGTEHgiDyeq+P3A44+TIaP9XxAEyscYOTLye+RqGS5LC1jggQgVBqiBwQ6otrWCtVUi2Pr/4Jths5Dx00r4RDNkMQZGpQpWxQUZEmSDCQwiRCbjaJdc9PjyRZhtjWtBhXH8OPl9/X6yKLWuNE4nRZf8+c/B11dVkSX4/fckTEOGhEefDBtGceI2m37M56Oc/nfeAU6epGPZ2WeX2795M/0lmc265emtSYefPRt4+23gyBHIkhmevBJIrgoIYFBirLAYfDDYU3SR1QpnLVoEdO161tMGgOJJH3yQBFSLYNm/n/5s106PsHE46PeNGyNmkp6Rl18mqyNw513LIsvNpdoJVitllZ5jEtGZdJELOKdJcOwYsGULPSHfcEOw1kTif0+txq9fuBMSfDBAhVgj4dWGWJi7XQnhnbeBa6+FKqv4/pF/w/LBPCR6TqI0IR3ChAn4v6kDcGDZT/AWO9CqdyZadmt14W+yPkybRtZhaFid309CtGXL2YnCgQP0eB8hYxKHDpHFrk22KJLlPG1a/Xzhw4fTwhBqcXo85B8rKIAsmuA7cAQG1V/b1s0AGQbI8KdnIiY5Tn+f00lC+/nnDSvTyBi5a2bN0rNLS0spsSctTb/G6aSnlquuAgYMoEXobGr6alE0gW4YxugfscNBLhuxprLiK6/Q/DcQLuCci5ZjX/2C4w9MR9qJrfCZYiH274fODw2E0OO64I2s5kTfvkBBQeSkE5eLemMGxlGfia++ok3CuLjg48XFZHnHxend7VWVfMV//SsJ+Zno2JE2JEPFnjH6nFat4Nq+H0aPozYKCABMajUEqPBKcbBclaFbwTWi61jwb+xZfQRySQVsPTvjV2N6QBAFnPxfHvwuL9r06gCj9TSWc3k5PZF8+CE9JWilARijey4ro3u12/WiXAsWUNzqmVBVirFPSQleZPLzabEQBOBXv6I58XrpZ8WKs/s7C4Cn0nMuWtrf1BHtf/lHtIdxfomLi9ymjDE6fraP5G3a0PtCo1VKSuh14KabVmVwzpz6CXh8PD0VhG4IatEiY8fCNGYc1IDNYgOTa2uBm2UX2L6fIaQkkz9bEFBVVoXKvrejFTPAwPyQF5mw+9EWUAwxSHKfgCqI+MVgQcnov6DXW/dAECNY6klJtKFYXEwNlDXcbhJvg4HuXSuB6/GQ6+W//z3zwi+K5Ds/flyPo1cUWjQ0q1ub55gY+uwFC2i/4gLA48A5nGjj8QD/+hc1Fi4qIpEJFXGnkyy/s23f06kTWYSVlfoxVSWXjCiSJRmI2UwVB+vzYH7nnTSuUCorKdLkd7+DR4iDAAYDkyExPyTmr4nbr9mENoh0v4WF8Ja5YCgrQrVggceUCGdMC/gFEzq7tuLySkrGqjbGQ1QVtJv3DDZPWnL68eXkkIhWVdHr8nL9/rUmygAtik4nRbnUh4ceoj0ErQGGr6ZxBGPkOgpcKC0WcjNdILiAczjRpLKSIkGeeooaaJaUkKD//DOdq6oi4TGZKPTubH3DgkAble3a0eeVlNCfgkBiExr25vWSv7g+3zNhAoX6aU0g3G76vVUr2pk2GLAzczhKDakol+yQYYQCCT4hpEWbwQCUlEA5WQiXmAC/pLuPbP5iMAgwQqZ64QBkKQY+0YKk91+H4jtNU+XkZIoMAcil43aTyBoMtBAG3qMgkP+6PgwcSJE3Ph/dt9dLi0LLluGbNz4fzccFgrtQOJxoMns2bSYG1iCJi6PEF4OB/vPfeCMwahRtjkWispJixsvKyPebn0+RHMOHk/+3dWvaGNywgULfkpJow+3vfw92ragqLR4PPVS/sSckACtX0gIxdy4tDi1bUjp+jXXb+qVJqB7xLQSmIgFlUGAAGCDDCNFkgKSFPzKGYnNbKGpwW7dY5oICESIYJNUHv4EWHL9khsVfiYItJ9C212kiZ3r1ojlZv572DzZupDkN9NurKrmCzsZPPWoU3efWrTT+N9+kyomBi4Kq0k9oTP55hG9icjjRQlWByy8n0RRFEkRts1GWybLbs6fuiBCfjxow/OtfesSFJOkZUmYz8M9/UmnHSO8dP54ETcvolGVyJxiNtKDcfz9FaBiNerZVqGX+ww90nc9HPmFFoaeGX/+axmW1Yt+H2+B6+Gn8qvQbSMwPWTSBpbVCbFoCvU+WAZ8P36Tchg7bV8Jt0iNw2nn2wwAZIhjyYi6vFXBq5lAJ647NsNc3dr+sjJJ4XC49KkdRaAEcMuTc/NT5+SToRUX0WtsHuPtuYPr0Bjc/PZMuchcKhxMNFIViuvPySFhKSynh5JdfdB9tVRWJW108+ywJtMFArgtJ0suqapuh48ZF/gwt8mLpUmqXlp2tLxRGI43phReA3/6WmhZnZlLLtfnzdf88Y8Bjj9GfSUn0mRYL/b57N9UdB3Dlfdcgq3ANfC/Pgti6FSxdrqCSsIJAPmqfD+jdG+0eGAgDFEg1rhIAqBSTYIQML0y6eAOw+h0oaPHr+os3QIvS0qUUPeN0kkvF5SLhfeml+n9OJNq0oWYYM2ZQ2OB991Ft9XMQ7/rAXSgcTjRYtIge6y0WEjAtU9HjoczJxETagKwr5buoiFwCNpueianVENGiIux2ssw3byY3TCiCQNb5lVcCWVn0nTE1/mlJou84cYI2OrUQw6lTaVPujTdoscnPD48DFwQa99Kl1E0HgCAKsD0yBsqh7ahashyiywkwBtVkhqFtK8QcPIiMb8fDE+uFvfIUHGIiqgQrZNEEj2qFIhiR7DsFtxAHifngNcTCPi+k/ZvW1MHjobmLFLHTqROwbh1dV1FBYh66kdtQrFZaDM62ouM5wAWcw4kG8+eTi6NlSwpJ0x65DQayfmNiKKvvxRdrLVTccIMu9Hv36o0ZtAJQgbhcJOCqSp93OrZsISs9sLNOVRVZqapK4XharLbHA7z3HrU7a9GCvj+ShSmKYZ3sfR4Z29cVo71bQgwsVBXWJyDul19Q7XbD3LoFrImJ8FUkIPZUGQovvx4tLG7EnfwJvjIXrJ4y2Fgp8tKzEfvBXLS5PsD3/eOPwKOP0oKiFbwaN46eLkJdUIJAQn4RwAWcw4kGhYVksVmt9PhdUKC7JhSFoiRmz9Z7RC5aRH7lf/6T3COxsXqon9UaHCYI6L0uAfKznw6/P/yY00nj0Nw5gVX8vF5ynWzcqLtAQp8UqqvJ3xzAjmdXoFXBjygz6VEuab7jgKxCKS4Ha90CAgCTLR6mGAlXV2wCKgUgJQkWe42VLMu4wnkQqNgDoEbAjxwhl4WiBJcfmD2bxh1afiAQl4sSbVavJqEfMoSigppJH03uA+dwokFGhh6fnJxMboz0dBLztDQSpfh4erxPTiY3xY4d5GMFyPWhJaHYbLrrRBNtm41cBF26UBz46ejeXd/EDERbUEItWK1AVFkZWb1ut25ta1mY8fFh0RfC0qXwC8EboVbFBRUSJMUHr0P3fcNspkXNbA7+fknSqxdqLFhAi4om3gBdEx9PSUnaPIdSWkqt5557Dti1i+b3mWeA226rf0hhlOECzuFEgwkT9PomgJ4FCZBoWSzBwiUIJNjLl5NYGQxkYWq1PbRYY7+f3quqJPKhDRQikZwMjB1LouWtEVHNwg+tr60di40lq3/kSKqd4veTT/zQIXKt/POfFL4YgOR1QRWC63GrgkgVyARAVQIC4vx+uoeYkJhxgO7v8GH99aZNkUsPGI20CB05Evm+X32V3FdJSfRUEx9P+wAHDlBYYDOACziHEw0GDSL/rNtNQlhRQQJ6++0kmJGES5JIkDTr8PrrqQLfXXfRZtxtt1GlvL//nco7LltW/x6XkydTCUhJos+XZbL+RVEvVauqupvCbKbkIFWlFHRFoQUmJYXiwSdMCOvI4crqA5Ma7Bd3GJIhMuqqFJMQcM9ud91NiLVkI42EhMiRNlr5gdA6MAAdX7ky8gZsfDyw5AxZnk0E7gPncKKBIFDCzD33UOd1WQZ69qRyrvv2kRUYuKkIkK/ZYiH3SGUlxYibzRSqdq6dZrQ64vffT66FuDiK1OjfnwRdUciitdvpu+6/n/zEy5ZRVEdSErxOL7ynyiH4vDAUlAN/eAjWT3QhzJwxChXXL4XFV4kqI8VhO8V4JKIEzGKFwVcNyCK5heLjqVJgzWcHJRt5vfTEoDFkCNUgdzhoPhITaYwOB7mq1qyhiBiPh6Jxxo8nV5XPF1nctTDKSN2OmhjcAudwoklqKmVM3nWXXot7wgQS9ECrUlVpw23UKOC11ygme8wYShTp2ZM2FAH8/K/t+Paq8dgV3xP/SxuC/z62Aqqs1n88kkSRMbGxQLduVNEvM5PG1qYNCeS995LvG6C2bDExcOaVAwcPIcZZApPPBYPHCePqFdj90qf6rXZvA9PyJShO6Qyr3wGz3wUGA7YMfxnm116kz09Opk3ErCzgs88olPHQIbLmS0vJXTR4MNVhASiRaOZM8nMXF1PY49695D83mUi0X32VzlVXk9Wdm0uLZHq63ogiEJeLNoybuHgDPBOTw2l6MEbtv958U3ddCAIJT9u2dE5rWgCQCKkqdt40CQnzXwcYg89ghUH1QWJ+HL3yVtzw42yIUgPtNZ+PUsY9HtoUTQ1Inrn2WvjKnGCHj4BBBAvsP6rKyDe1R8v8HbC2CI7qKNhyAlWlHrS+vgPMCQERLEePkoA7HHrvzMJCWszuu48s/y5daD7cburvqSi0sFRUUPy7308L0J//TO6kQAseoM9OT6fzkyaRFa5F0Xi9tBjMn0+hm1GGl5PlcJobgkD+8Tvv1N0r111Hft+sLHIvBG4sWq1QS0rRbu7TKJZaQTaSL1k2mOBVVbTftw67592FLn/s1bDxmEzkby8upvH4/fQEkJEBdOkCZcnHEBkDCyztyhhUQYSgqtjz9gb85tlbgz6y1W/qMNJef51EWKszLgi0GVpeThZ4YLee//xHj8IRBLLeNZ9/RQW5d8zmcEs6Pp42Qbt1I/fTSy+RZa9lhr7ySpMQ7/rABZzDaapo7hWNAwfI2oywuef1AhbVDVkK2fwURQhgKJu/AmiogAMUtqd1utGeCHJygAceAFu0AkDAgzxjEKGgQrJDVFX4ToUkEnm9eu1sgBaEr7+mXpnz59N3FBaSH7tlSxLlxETgu+/oaUCSyHUzfTq5TAoKKPLFbtfFWpZJlCP5uLVmx14vWfVaZyFBoAXiXBseNyJcwDmc5oLWQCHS5posQxEiu0hUQYTocTX8ez/7jPzugZZ/QHPi4/3GIuOTWRCZXtrVYUhGqSEVVrhgu7Ym6/F//6M49p9+InHu25eyJR97jPzc+fl6UpFWOCs/n14nJemLx9SpVGeEMRqPJvgeD/nqtdDHa67RO9kH4vXSBqy252A20xNFM4QLOIcTTbRmAPWx+lq1osf+HTuC604zBmOMiHLEQVBVsJDEG4ExGPr2bvgY33mHBDHQbSOKZN0uXIjL/rMRhz7/AkneQvglC6oNsZAhIdZfiSJbJ1zzuyyynkeP1l0djFGJ22XL6HO0jFMtVFKW9ZT4U6foz6wsEnStBgxA1re2qJWW0sKQmEg/zz9PYZmVlXr1wepq8nE//3zDGho3MXgUCocTDXbupBDCzp3pZ+RIip44E6+8QuJUXk6beA4HUFEB6ZabsPc39yNWroCo1dRWVcT6yuGwtES3p3MbPtZDhyLHZJtMgNcLq8EL68dLURh/BRQmQpKra6sFdtiwEKJBoGQfg0EXUlEki97hoEXM4aBjgYuEJuKyTKL+xBO0ECgKHc/L06/VnkiKiykE8B//oHonS5dSne/KSvoOi4Xqy9x9d8PnownBLXAOp7HZuZNC4UpLSYwkiTbktm6lMLfMzLrfm5FBLo2lS4EvvyRBHDEC6NcPvWTgu9tS0Parf0BUvBCZjOOX/RZXLJuB2NTYho83LY2aAYf2i9Ss5oQEdLilJdSSr7B/6Q64Dp1CUvcOuG7wldSzsrCQoku0qJLA92vx2tpniyK5PLRMTL+fXs+eTSn/WnsyrdiW0aiX0ZVlcrUkJtJrgDrPr1xJ4YhVVRTFc64x802Icxbw2bNnQxRFTJw4EQDgcDgwefJk5OXlITk5GbNmzYLdbj/ngXI4Fw1jx9Kjv1ZNUFEoaqK6mmKatTZgdZGSQp3m//SnoMOSBPRe9zg8JRNRtD0f8Zcl4YbMFudnvFOnBtclqekgjzvuqLXORUnElfdGaB5RVzy10ahneSYl0Zxo1rkkkWslOZlENyeH3vPb39K8OZ163ZfA99jt5AvftInCDTUCQx8vIhrsQnE6nZg6dSref//9oOOzZs1CVlYW1q1bhxEjRuCFF14450FyOBcNa9eSpa1V+mNMF5/qaso8PMfUDGsLKzrccgVSzod4AyTSubkkmqWl5KJwOinZ5cknz/x+u516Z7pCNlINBrKujUZ6kjCbaV60olzagjFjhr4IdOxITxxVVZFT/C0WvS76JUCDBfzLL79Ehw4dMHr06KDjGzZsQE7Najl48GB8++238EcqV8nhXGq89hplT2rCo7VNC+xwEykzMNqIIrUbW7YM+MMfqEnD3LlUhjU03T8SgkAV/wB60tB6b/78M90/Y/R7oI88LY0SelaupBj4QF54gTJBNf+4KFK44WWX6U2Lb7rpfM9Ck6TBLpShQ4cCAN4MqdpVVFRU6zKRJAlxcXEoKytDy5YtGz5KDqe5s3cvlTbVqgxqoYCM0Sae2Uy/h3ZLbyoIAkXAdOvWsPdfey357WfOpLT/wkK654wM2gx1Oknc+/alTNOkpLo/SxSp9onbTan+Fgt9VlUVPcXcc8+Za6BfJJxRwNetW4cZWg3iGjIyMrBw4cJ6f4lYV1NWDudSYflysjzj48lC1ELfAPrT7ydhqm9H+OaIVlvlvfeoamKgSGvujy1b6v95zz1HESZz51JESrt29IRwxx3nfehNlTMK+MCBAzFw4MB6f2BqaipKSkqQlpYGWZbhcrlgC4xZ5XAuRUpLSaBNJtqELC0lQdeiJSSJilJdJOFtp+WrryLHYEsSzdHu3dQ+7kyIIpUb0ApbXYKcd9M4Ozsbq1atAgCsXbsWWVlZMF4EAfMczjlx7bW6a0TrumMy6Qky990HrFoVHqp3MRIXp/v9Q9E2Lzn14rwL+KRJk7Bjxw4MGjQIixcvxjPPPHO+v4LDaX7k5Oh1vBmjsLbMTCrUdMcdFDoY2lzgYmXECH0jN5CqKhL37t2jM65myDkv91r8t4bNZsO77757rh/L4VxcxMfTJt6ECZTZKEnkBx8wgOpVX0r07Usukm++0cMI3W7aG3jzzUvjKeQ8wWeKw2ksMjIoDvzAAWo7lp4e1jfyksBgoI3M5cuBDz6gsgC9e1NhqzM1YOYEwQWcw2lMBIFcJ6dLl78UMBqpC9Fdd0V7JM0aHt/H4XA4zRQu4BwOh9NM4QLO4XA4zRQu4BwOh9NM4QLO4XA4zRQu4BwOh9NM4QLO4XA4zZQmEweu1NRGOHXqVJRHwuFwOE0DTQ+VOmrHNBkBLy4uBgDce++9UR4Jh8PhNC2Ki4vRvn37sOMCY+fYv+k8UV1djd27d8Nut8NwibRD4nA4nNOhKAqKi4tx9dVXwxyhSmOTEXAOh8PhnB18E5PD4XCaKVzAORwOp5nCBZzD4XCaKVzAORwOp5nCBZzD4XCaKVzAORwOp5nCBZzD4XCaKU0mE7MxKC0txZgxY2pfO51OlJeXY/v27UHXnTx5EoMGDcJll10GAGjRogUWLFjQqGPVWLVqFWbOnImUlBQAQO/evfHwww8HXeNwODB58mTk5eUhOTkZs2bNgt1ub/Sxbtu2DS+++CJkWYbNZsOLL76INm3aBF3TFOZ29erVmDNnDvx+P0aNGhWW/btv3z489dRTcLlcyMrKwnPPPQcpio1233rrLaxbtw4AkJ2djcceeyzs/PLly5FQ09X+jjvuiFpG88iRI1FaWlo7X9OnT0fXrl1rz2/evBkzZsyA1+vFwIEDw/4tNybLli3Dhx9+WPv6xIkTGDJkCJ555pnaY01pbiPCLlEURWH33Xcf++STT8LOrV+/nj399NNRGFU406dPZ6tXrz7tNc899xybO3cuY4yxlStXskmTJjXCyMLp06cP27dvH2OMsWXLlrHx48eHXRPtuT116hTr06cPKy8vZ263m+Xk5LCDBw8GXTNo0CC2fft2xhhjTzzxBFu0aFEURkps2rSJ3Xnnnczr9TKfz8dGjhzJPvvss6Brxo0bx3788ccojVBHVVXWq1cv5vf7I56vqqpi2dnZ7Pjx48zv97MxY8awDRs2NPIoI3PgwAF2yy23sNLS0qDjTWVu6+KSdaEsX74cFosFOTk5Yed27dqFAwcOYNiwYRg5ciT2798fhRHqY1m1ahVyc3MxefJkVFZWhl2zYcOG2vsYPHgwvv32W/j9/kYdp8/nw6RJk9C5c2cAQGZmJgoKCsKui/bcbt68GT169IDNZoPVakX//v2xfv362vP5+fmorq5Gt27dAADDhg0LOt/Y2O12TJkyBSaTCUajER07dsTJkyeDrtm9ezfmzZuHnJwcTJ8+HV6vNypjPXz4MARBwAMPPIDc3Nwg6xYAdu7cifbt26Ndu3aQJAk5OTlRndtApk2bhocffhjJyclBx5vK3NbFJSngiqJgzpw5ePTRRyOej4mJwdChQ7FixQr8/ve/x4QJE+Dz+Rp5lITdbsfEiRPx8ccfo1WrVpg+fXrYNUVFRbUuE0mSEBcXh7KyskYdp8lkwpAhQwAAqqrirbfeQt++fcOui/bcBs4VAKSmpqKwsLDO83a7Peh8Y3PFFVfULiZHjx7F2rVrkZ2dXXve7XbjyiuvxOOPP46VK1fC4XDgnXfeicpYHQ4HevbsibfffhsLFy7EkiVLsGnTptrzZ5r7aLF582ZUV1dj4MCBQceb0tzWxUXrA1+3bh1mzJgRdCwjIwMLFy7Exo0bkZ6ejszMzIjvnThxYu3v2dnZeO2113D48OFa67Kxx6sxduzYiKIYCVG8cGvz6cbq8/kwZcoUyLKMcePGhb03GnMbCItQ+kcQhHqfjxYHDx7EuHHj8Pjjj6NDhw61x2NjYzFv3rza12PGjMHUqVOj4lvu3r07unfvDgCwWq0YPnw4vvnmG/Tq1QtA053bJUuWYPTo0WHHm9Lc1sVFK+ADBw4MW1E1vvjiC9x66611vveDDz7A4MGDkZSUBID+4V3oTaxI43U6nVi4cCFGjRp12nGkpqaipKQEaWlpkGUZLpcLNputUccKkMXyxz/+ETabDXPmzIHRaAy7JhpzG0jLli2xdevW2tdFRUVITU0NOl9SUlL7uri4OOh8NNi2bRsefPBBTJ06FYMGDQo6d/LkSWzevBnDhw8H0PjzGcjWrVvh9/vRs2fPiGMJndvQuY8GPp8PW7ZswUsvvRR2rinNbV1cki6UHTt2ICsrq87zW7ZswUcffQQA+OGHH6CqKjIyMhpreLVYrVbMnz8fP/30EwDgww8/xC233BJ2XXZ2NlatWgUAWLt2LbKysiKK54XmL3/5C9q3b4/Zs2fDZDJFvCbac3v99dfj+++/R1lZGaqqqvDZZ5/hxhtvrD3fpk0bxMTEYNu2bQAoCijwfGNTUFCACRMmYObMmWHiDQBmsxmvvvoq8vLywBjDokWLIv4baQycTideeeUVeL1euFwurFy5MmgsXbt2xZEjR3Ds2DEoioJPP/00qnMLAPv370eHDh1gtVrDzjWlua2TKG2eRpUuXbqw6urqoGOLFy9ms2bNYoxRpMKoUaPYoEGD2LBhw2ojK6LBli1b2NChQ9mAAQPY+PHjmcPhYIwxNmvWLLZ48WLGGGPl5eVs3Lhx7NZbb2V33nkny8vLa/Rx7tmzh3Xq1IndeuutLDc3l+Xm5rKxY8cyxpre3H7yySds0KBBrF+/fuy9995jjDE2duxYtnPnTsYYY/v27WO33347GzBgAHvkkUeY1+tt9DFqPP/886xbt261c5qbm8sWL14cNN7169fX3s+UKVOiOt6//e1vbMCAAaxfv35s4cKFjDHGcnNz2alTpxhjjG3evJnl5OSwfv36sRdeeIGpqhq1sTLG2Jo1a9hDDz0UdKypzm0keD1wDofDaaZcki4UDofDuRjgAs7hcDjNFC7gHA6H00zhAs7hcDjNFC7gHA6H00zhAs7hcDjNFC7gHA6H00zhAs7hcDjNlP8Hvzl7NyRnBQQAAAAASUVORK5CYII=", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" - } - ], - "source": [ - "#@title create UMAP embeddings\n", - "feat = ['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr', 'imp']\n", - "\n", - "df_exc = exc_df #pd.DataFrame(data_exc,columns=features)\n", - "df_inh = inh_df #pd.DataFrame(data_inh,columns=features)\n", - "\n", - "neighbours = np.arange(5,40,5)\n", - "# distances = np.arange(0.05,0.5,0.05)\n", - "distances = [0.0]\n", - "for n in neighbours:\n", - " for dist in distances:\n", - " print(n, dist)\n", - " plot_UMAP(df_inh[['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']],\n", - " df_exc[['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']],\n", - " n,\n", - " dist,\n", - " np.repeat(['acsf'],len(df_inh)),np.repeat(['acsf'],len(df_exc)),\n", - " figsize=[8,4],\n", - " random_state=42)\n", - " # 'blue','blue',n,dist,labels_inh,labels_exc,figsize=[8,4],random_state=65)\n" - ] - }, - { - "cell_type": "code", - "execution_count": 30, - "metadata": { - "id": "uTUxx5-VA1pX" - }, - "outputs": [ + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\manifold\\_t_sne.py:790: FutureWarning: The default learning rate in TSNE will change from 200.0 to 'auto' in 1.2.\n" + ] + }, { "data": { - "image/png": "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", + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXAAAAFwCAYAAAChGSA/AAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAADWmElEQVR4nOxddZgVZR89c2vvdtEdEhJLi3RKSKiggJR8kqIoKBgYoKjYpDSImKAICkqDhJSAgILStdSyebfu3prvj8O7c2uTTZjzPPvs7r13Zt6ZO3Pe33t+JcmyLEOFChUqVBQ7aAp7ACpUqFChIndQCVyFChUqiilUAlehQoWKYgqVwFWoUKGimEIlcBUqVKgoplAJXIUHCjMwqSgGRRXFMeUExX38KjKGSuCFjFdffRW1atXK9GfOnDnZ3t9PP/2EWrVqITY2FgAwZMgQjB49Otvbz507F99++22OjtGxY0e888472T5GRli1ahVmzpx5x/uZM2cOGjVqlOlnLBYLFi1ahJ49eyIiIgJNmzbFkCFDsGnTJpfPnTlzBk899dQdj8kZ7tcvv2AymfDSSy/hxIkT6a/VqlULS5cuzdfjqig46Ap7APc6xo4diwEDBqT//8orr6By5coYO3Zs+mtlypTJ9f6nTJkCjSb78/ScOXPw8ssvZ/qZ9u3bY+XKlQgKCsr1uLxhwYIFaN++fZ7uMyO88sor2LdvH8aMGYNatWohNTUVW7ZswfPPP48pU6Zg4MCBAICNGzfi77//LpAx5TX+/fdfrF+/HsOGDUt/beXKlShXrlzhDUpFnkIl8EJGpUqVUKlSpfT/jUYjwsLC0LBhwzzZ/3333Zcn+3FGWFgYwsLC8ny/BYXIyEj89ttvmDFjBh5++OH01zt27Ijk5GTMmTMnncDvNuTVfaWiaECVUIo51q5di65duyIiIgIjR45EfHy8y/vuEsqaNWvQo0cP1K9fH23btsX777+PtLQ0AFxeA8BHH32Ejh07pm//5ptvYvjw4YiIiMC0adO8SgBmsxmvvfYaGjVqhNatW2PGjBmw2Wzp73tbuo8dOxZDhgwBQPK8evUqvvnmm/RxAMA///yDp556Cg0aNMCDDz6IadOmITU11WU/S5cuRYcOHdCwYUNMmjQJZrM502smxu1wODzeGzFiBEaMGAGr1Yo5c+Zg7ty5SElJQa1atfDTTz+lb//GG2+gbdu2aNCgAYYOHephpV+9ehUvvPACHnjgATzwwAMYN24crl275vKZ/fv345FHHkH9+vXRo0cPbNu2zeX93bt3Y/DgwWjUqBHq16+PRx55BJs3b05/326346OPPkL79u1Rr149PPzww/juu+8AAAcOHMDQoUMBAI8//jheffVVAJ7fw3///YcRI0agcePGaNmyJV577TWPe0hF0YVK4MUYGzZswCuvvILWrVvj888/R4UKFfDZZ59l+Pk///wTkydPRs+ePbF06VKMGTMG33//PebOnQuAy2uApC1eA6jZVq1aFfPmzcMjjzzidd9r165FdHQ0Zs6cicGDB2PJkiX49NNPs30uc+fORcmSJdG1a9f0cZw9exaDBw+GJEmYOXMmJk6ciN9++w3jx49P327p0qX49NNP8dhjj2H27NmwWq348ssvMz1W7dq1Ubp0aUyZMgUffvghDh48mD6JRUREYPjw4dDr9XjiiSfw+OOPw2g0YuXKlWjfvj2Sk5Px5JNPYu/evXjppZcwY8YMyLKMwYMH49SpUwCApKQkDBw4EKdOncKUKVPwwQcf4Pz58xg5ciTsdnv6ON577z0MGTIE8+bNQ2BgICZMmICYmBgAwPHjxzFq1CjUqFED8+bNw4wZM+Dr64uXXnopfQJauHAhVq9ejfHjx2Pp0qVo06YNpk6dit27d6Nu3bp46623AADTp093keQErl69ioEDByIpKQkfffQR3njjDfzxxx946aWXsv29qShcqBJKMcaiRYvQpk0bvPnmmwCANm3a4Pr169ixY4fXz//111/w9fXF8OHDYTAY8MADD0Cv10Ov1wNQltdly5ZFnTp10rfz9/fH5MmT07X0s2fPeuy7bNmymD9/PnQ6Hdq1a4fExER8/fXXePbZZxEQEJDludSpUwcGgwElSpRIH8e8efNQokQJLFq0CAaDAQBQpUoVDBo0CH/++SeaNGmCxYsX44knnsDzzz+ffg0eeeQRXLlyJcNjGQwGLFq0CBMnTsSyZcuwbNkyGAwGNG3aFE888US6rFKmTBmUKVMGGo0mfUxfffUVLl++jHXr1qXLU61bt0bXrl0xd+5czJkzB6tXr0Z0dDQ2btyIihUrpl+fZ599FufPn08fx+TJk9GjRw8AlKX69OmDo0ePolOnTjhz5gweeughTJkyJf3z5cqVw2OPPYZjx46hQ4cOOHz4MOrVq4dHH30UANC8eXMYjUb4+voiICAgfXw1atRwkekEvvzyS2i1WixZsiT9O/Lx8cFHH32EuLg4hIaGZvm9qShcqBZ4MYDD4YDNZkv/sdvtSE1Nxb///ou2bdu6fLZr164Z7qdx48ZISUlB7969MWvWLBw/fhyPP/54OgFkhEqVKmXpCO3YsSN0OsUe6NChA8xms0sERE5x4MABtGzZEhqNJv3cGzZsiICAAOzbtw8XLlxAXFycyzWQJAldunTJct+1a9fGunXr8N1332HMmDGoU6cODhw4gAkTJmRqgf7555+47777XHwLBoMBDz30EA4ePAiAE+V9992XTt4AcP/992P79u2oUaNG+mvOkTLly5cHACQmJgIA+vbti9mzZyMlJQV///031q1bh2+++QYAI2gAoGnTptizZw+GDBmCL7/8EleuXMGECRPQtGnTLM9fjLNZs2YuE2ynTp2wadMmlbyLCVQCLwb4/PPPUbdu3fSfhx56CCaTCbIsezxoJUqUyHA/TZs2xbx581CyZEksWrQITzzxBDp37ozdu3dnevzw8PAsx+h+XOHkFISUG8THx2PlypUu5163bl0kJSXh1q1bSEhIAIAcXQNnSJKExo0bY8KECVi5ciV2796N7t27Y/369di/f7/XbUwmk9f9lyhRAsnJyQCAhISEbF0zo9GY/reYIIUun5KSgokTJ6JZs2YYMGAAFi5cmC7ziLjuUaNG4bXXXkNcXBzef/99dO7cGQMHDsTly5ezdf7ZHaeKogtVQikG6Nevn0t4ncFgQHBwMCRJStdMBbJyQHXs2BEdO3ZEYmIidu3ahfnz52PChAnYu3dvukyRGwgyFRDjco5WcXcapqSkZLrPgIAAdOrUCU8++aTHe6GhoemWqHs8dVbX4IMPPsDBgwfTnZIC4eHhmDZtGjZs2IBz587hwQcf9Ng2ODjYRQYRuHXrFkJCQgAAgYGBXkl0586dqFu3bqZjE5g2bRr++OMPLFq0CM2aNYPBYMDZs2exbt269M9otVoMGzYMw4YNw7Vr17B161bMmTMH77zzDpYsWZLlMQICAjyuncViwb59+9CoUaM8DxNVkfdQLfBigNKlS6N+/frpP7Vq1YLRaETDhg2xdetWl8/u3Lkzw/3MmTMH/fr1A0CS6dGjB4YPH47ExEQkJSUBQI5ixp3xxx9/uGT8bdq0CQEBAelaekBAAKKiotLfT0lJwb///uuyD/djN2nSBOfPn0e9evXSz71s2bL49NNPcebMGVStWhWlSpVyicwAMr8GAFC5cmWcOHECe/bs8Xjv4sWLAICaNWtmOKazZ8/i3Llz6a9ZLBZs3boVjRs3BkBp5MyZM7h69Wr6Z86dO4dRo0bhv//+y3RsAkePHkWbNm3QqlWr9IlVrJTEdX766acxffp0ANTHhw4dis6dO+P69esASPCZoXHjxvjzzz/TVw4AsG/fPowaNcrDMFBRNKFa4MUY48aNw4gRI/Daa6/h4Ycfxv79+z0I3RnNmzfH559/jjfeeAM9evRAQkICFixYgCZNmqRbykFBQTh8+DCaNm2KBg0aZHssFy5cwCuvvILHHnsMf/75J7755hu8+OKL6TJB27Zt8dNPP6Fu3boICwvzaiEGBQXhxIkTOHjwIJo1a5ae5PTCCy+gb9++sFgsmDdvHq5fv446depAkiQ8//zzePPNNxEeHo5WrVphw4YNOHHiRKbk1adPH6xZswbPPvssBg4ciBYtWsBoNOLEiRNYsmQJOnXqhGbNmqWPKTU1FVu3bkVERAT69OmDL7/8EiNHjsT48eMRGBiI5cuXIzo6GmPGjAFA/Xr58uUYPXo0xo0bB61Wi1mzZiEiIgIPPvggfvnllyyvZ/369bF9+3asWbMGZcuWxf79+9PD/0SYZJMmTTB//nyULFkS9evXx7lz57Bx48b0zNHAwEAAnND8/PxQvXp1l2M89dRTWLNmDUaNGoXhw4cjJSUFn3zyCbp06YKqVatmOUYVRQCyiiKF3r17y6+88kq2P79lyxa5Z8+ecr169eQnn3xS/vrrr+WaNWvKMTExsizL8uDBg+VRo0alf/7XX3+VH3nkEblBgwbyAw88IE+cOFGOjo5Of3/FihVy48aN5WbNmslWq9Vje1mW5dWrV7sco0OHDvJnn30mjx8/Xo6IiJDbtm0rf/HFFy7b3Lx5U37mmWfkiIgIuWXLlvLcuXPl6dOny4MHD07/zMaNG+XmzZvLERER8vXr12VZluVDhw7JgwcPliMiIuRmzZrJo0ePlk+fPu2y71WrVsmdO3eW69evL48ePVr+/PPP5YYNG2Z63VJSUuQ5c+bIvXv3lhs2bCjXr19f7tmzp7xo0SLZYrGkfy4mJkbu06ePXLduXXnRokWyLMvy9evX5fHjx8tNmjSRGzZsKA8bNkw+duyYy/4vX74sjx07Vm7YsKHHdXa/frIsywkJCXLNmjXl1atXpx933LhxctOmTeWmTZvK/fv3l3///Xe5S5cu8ptvvinLsizbbDZ59uzZcseOHeW6devK7du3l2fMmCFbrVZZlmXZbrfLkyZNkuvVqyePHj1almVZrlmzprxkyZL04548eVIeNmyYHBERIbdq1UqeNm2anJSUlOm1U1F0IMmyWulGhQoVKoojVA1chQoVKoopVAJXoUKFimIKlcBVqFChophCJXAVKlSoKKZQCVyFChUqiilUAi/mOHDgAGrVqoXJkyd7fd+5ZGt2kdOuLdnpgPPqq6+iZ8+eORrHnWDIkCGZdjlatGhRrvcdGRmJWrVqYePGjXk44uzvW3znooRtQV9bFUUHaiLPXYLVq1ejd+/eXtO/c4q7pWtL48aN8corr3h9r2zZsgU8mvzD2LFjsyxLoOLuhErgdwkCAwPx1ltvYd26dfDx8bmjfd0tXVuCgoLumnPJDN5Kxaq4N6BKKHcJJkyYgCtXrrg0YsgI69evR69evVCvXj107twZX331lcv77hLK/v378fjjjyMiIgI9evTA7t27UadOHY9iUL/99hu6du2K+vXro0+fPjhy5IjHsZcsWYKWLVuicePGeOmllzxqbmzZsgV9+/ZFw4YN0a5dO8ycOdOls0/Hjh3xySefoF+/foiIiMhW0abM8NNPP6F58+bYtWsXevXqhfr16+Pxxx/HuXPnsGXLFnTt2hWNGjXC6NGjPcZ65coVDBkyBPXr10f37t1dCk0BrPcybdo0tGzZEhERERgyZAhOnjzp8pljx47hySefRIMGDdCrVy+P9wGmwj/66KOIiIjAgAEDEBkZ6fK+s4QiJJjt27dj+PDhaNCgAdq0aYP58+e7bBMZGYlnnnkGjRs3RuvWrbF06VIMGzYsvXOPiuIBlcDvEkRERGDQoEFYtmxZpgWT1qxZg5deegnNmjXDggUL8Oijj2L69OkZEuGpU6cwcuRIlChRAnPmzMFjjz2G8ePHu3SWAYDU1FTMmDEDzz//PGbNmoXU1FSMGzfOhXzPnz+PVatW4a233sJbb72F/fv3Y/To0elVCleuXInnnnsOERERmDt3LgYPHoxly5Z5kMoXX3yBTp06YdasWemt37xBlmWXOurOP85ITk7G1KlTMWrUKMyYMQM3btxI//vFF1/ElClTsHfvXsyaNctlu5kzZ6JOnTqYN28eGjRogIkTJ2L79u3px37mmWfw66+/Yvz48Zg1axYMBgOGDBmSXqkwMjISw4YNg4+PD2bPno2+fft6nOvRo0fxzDPPoGrVqpg7dy5atGiBqVOnZnjOAq+99hoaNGiABQsWoEOHDpg5c2Z6kS+z2Yxhw4bhwoULmD59Ol5++WWsWLEChw8fznK/KooWVAnlLsKECROwdetWvP7661i1apVHQSeHw4HPPvsMvXr1Sm+31bp1a0iShHnz5mHgwIHw8/Nz2WbRokUoU6YM5s6dm95tR6PR4MMPP3T5nCzL+Pjjj9MlC5vNhnHjxuHs2bOoXbt2+ucWLlyYXigpNDQUo0aNwv79+9G8eXPMnDkTPXr0SO9C07p1awQGBmLKlCkYMWJE+n6qV6/u0uczI2RWvvX48ePpUpPVasXEiRPTO/EcO3YMixYtwtdff51e1OqPP/7AsWPHXPbx8MMP47XXXgPATkDnzp3D4sWL0bFjR+zZswf79+/HF198gZYtW6Z/pkePHpg/fz6mT5+Or776CgaDAfPnz4evry/atWsHWZbxwQcfpB9jyZIlqFKlCj777DNIkoS2bdsiMTHRY9Xkju7du6d3KWrevDk2bdqEXbt2oV27dvjll19w7do1bNiwAZUrVwYAVKtWDX379s3ymqooWlAt8LsI/v7+mDp1Kv755x+sWLHC4/0LFy4gKioK7du3d7FG27Zti+TkZBw/ftxjm4MHD6J9+/Yu3Xa6devm8TmtVouIiIj0/907zABs7eVc5a5t27bQ6/U4fPgwzp8/j9jYWI99i5Zjhw4dSn8tu5XymjRpgh9//NHrj3vt8/r166f/LZoc1KtXL/21kJAQj+YU7t2POnTogOPHj8Nms+HAgQPw9fVFs2bNXKz+1q1bpzeLOHLkCJo1awZfX9/0fbh3Ezpy5AjatGkDSZIy/Iw3OGv/Go0GpUqVSnd0HjhwADVq1Egnb3GuFSpUyHK/KooWVAv8LkP79u3RvXt3zJ49Gw899JDLe6LRwUsvveS1bditW7c8XouLi3NpygB473jj4+PjUjvbvcOMt+0kSUonRtEQwr1DTGBgIAwGQ3q9cm+fyQiBgYEuxJwZ/P39PV5zJlZvcB9HWFgYbDYbUlNTER8fj9TUVJdJQED0IDWZTC6rEwAoWbKky/8mkylXHYecu/0A/D5E3br4+HiP7zS7+1VRtKAS+F2IN954A3v37sWUKVNcIlJEfei33nrLxVoW8GaBlS5d2qNri/v/2YV71x6Hw5E+QYhuNu6OQpPJBIvFkv5+UYLJZHL5Pzo6GgaDAf7+/ggMDER4eDgWLlyY4fYhISEe5xsXF5flZ7LqOJQVSpUq5dVZGhsbq9YBL2ZQJZS7ECVKlMCkSZOwZ88eHDhwIP31atWqISQkBDdv3nTp8BMfH49Zs2a5WLkCTZs2xc6dO10s6W3btuVqXP/99x+io6Nd9mOz2fDAAw+gatWqCA0N9Uhg+e233wAgvdtNUYJzL1FZlrFlyxY0a9YMGo0GTZo0QWxsLPz8/Fyu9bp169IbOjRv3hwHDhxwmQh27drlcozmzZtjx44dLo7XrDoOZYWmTZvizJkzuHLlSvprp0+fdvlfRfGAaoHfpXj88cfxyy+/pHdKBwCdTodx48alO8latGiByMhIfPrpp6hSpYpXC3zUqFF45JFHMG7cOPTv3x8XL15Mj8bIafs1jUaDMWPGYNy4cbh16xY+/vhjtG3bNp2cn3vuOUybNg3BwcHo1KkTTp06hTlz5qBbt27pLc5yApPJhKNHj3p9LzAw0KNDTU6xevVqlCxZEvXq1cMPP/yAs2fPpjuHO3TogPr162PUqFF47rnnULZsWWzatAnffvst3n77bQDsiLNy5UqMHDkSY8aMwY0bNzzCQMeMGYO+ffumdw86depUenf63KJ3795YsGABxowZg+effx52ux0zZ86EJEkuWruKog+VwO9SSJKEadOmoXfv3i6vDx48GEajEcuXL8eyZcsQEhKCbt26YcKECV4f3urVq2PBggX4+OOPMXbsWFSpUgWvvfYaXn/9dY+IlazQrFkzNGrUCC+//DLsdjsefvhhl7A5MbZly5bhhx9+QKlSpfC///0PY8eOzdU1OHLkCPr37+/1vRYtWmD58uW52q/AG2+8gZUrV2LOnDmoVq0aFi1ahCZNmgCgU3fp0qX45JNP8PHHHyMpKQmVK1fG9OnT0adPHwDU0L/++mu89957GD9+PMqUKYO3334bzz77bPoxatSogWXLluGjjz7CuHHjULVqVbz11luYNGlSrset1+uxdOlSvP3223j55ZcRGBiIUaNGYfny5V59ASqKLtSOPCoyxd69e+Hv7+/SH3PPnj0YPnw4fv75Zw8nnIqij1OnTiEyMhKdOnVKfy0pKQktWrTApEmTMHTo0EIcnYqcQLXAVWSKo0ePYunSpXjllVdQtWpVXL16FbNnz0azZs1U8i6mSExMxNixYzFmzBi0bNkSSUlJ6da3CNtUUTygWuAqMoXNZsPcuXOxfv163Lx5E8HBwXjooYfw0ksvISAgoLCHpyKX+OWXX7Bs2TJcvHgRer0eTZs2xcSJE+/YL6CiYKESuAoVKlQUU6hhhCpUqFBRTKESuAoVKlQUU6gErkKFChXFFEUmCsVsNuOff/5ByZIlParoqVChQsW9CLvdjlu3bqFevXoe9W2AIkTg//zzDwYNGlTYw1ChQoWKIodvvvkGTZs29Xi9yBC4qML2zTffoEyZMoU8GhUqVKgofNy4cQODBg3yqFIpUGQIXMgmZcqUUesSq1ChQoUTMpKVVSemChUqVBRTqASuQoUKFcUUKoGrUKFCRTGFSuAqVKhQUUyhErgKFSpUFFOoBK5ChQoVxRRFJoxQhQoVxRuJicCBA4DDATRtCnhpfK8ij6ESuAoVKu4YX3wBfPghIIpTyzLwzDPA+PGA2mYz/6ASuAoVKu4ImzcD774LBAQAej3JOzkZ+Phj/v3ii4U9wrsXqgauQoWKO8KsWSRuvR6wWICzZ4GLF4GYGGDyZKBfP+DWrcIe5d0JlcBVqFBxR/j3X8DPj9r3+fOA2QxoNIBWC9hswJYtQMeOwI0bhT3Suw8qgatQoeKOEB4OWK2AyUTC1ukonZjNJPXkZOC//4DmzYGNGwt7tHcXVAJXoULFHWHIEJJ0SorixExL499aLaUVjQaw24EXXlAt8byESuAqVKi4I4waBTzwAK1wh4O/AZK2Xs+/JQnw8aGFvmZN4Y31boNK4CpUqLgjGI3A118Ds2cD/v6AwUDL28eHxO1w8HdQED9/6VLhjvdugkrgKlSouGPodMCTTwJz5wKhoXzN4aDFLctAhQokdQCoXZvvCblFRe6hErgKFSryDIMHAxs2AOXKUT4pUQKoUQMIDqZObrUCy5cDVasC1aoBffsCK1fyPRU5h0rgKlSoyFPUqwfs2gU0akRJJSkJSEhgqr3dDkRGMkb82jXg55+B4cOpof/xR2GPvPjhjgk8KSkJPXv2RGRkJABg79696NWrF7p06YIZM2bc8QBVqFBR/FC5MrBjB7BkCfD220yz9/dnyGFUFC1xnU5xbCYmAiNH8j0V2ccdEfixY8fw5JNP4uLFiwAAs9mMyZMnY968efjtt9/wzz//YOfOnXkxThUqVBQzaLVA27bA0KHUxSWJxG2xKHq4QGoqEBcHdO/ObcaOBf76q3DGXZxwRwS+atUqTJkyBaVKlQIAHD9+HJUrV0bFihWh0+nQq1cvbFQj91WouOchy/yxWPi/e4Gr5GQgOpqZnHFxrK/Srx+wdm2BD7VY4Y6KWb333nsu/0dFRaFkyZLp/5cqVQo3b968k0OoUKHiLkDTpiRtb5UJHQ7+aDQsiOXjwx+LhbVUHnqI8osKT+SpE1P2EhckqbUkVai45xEUBEyYoBC13U6L3Gbj/wDJXYQgAownt9noEFXhHXlK4KVLl0Z0dHT6/1FRUenyigoVKu5tjBoFfPopULeuQt5+frS2AaBiRZK2M2SZ+rgK78jTeuANGjTAhQsXcOnSJVSoUAHr169H37598/IQKlSoKKaQJOCxx/iTkABs2wacPs1wwvXrGSvuDLGgb9So4MdaXJCnBO7j44MPPvgA48aNQ1paGtq1a4du3brl5SFUqFBxFyA4GOjTh38nJ7MV282bbMOm0dA6N5kYlVK1auGOtSgjTwh8+/bt6X+3aNECv/zyS17sVoUKFXc51q4FPviADR9iYhiJUqoUszgHDgTefLOwR1i0obZUU6FCRaHgxx+BV15hMaywMDow4+KY4LNmDVCnTmGPsOhDTaVXoUJFgcNuZ3amry9/AGrkYWF8b+XKwh1fcYFK4CpUqChwXLpEjdto9HzPzw/YurXgx1QcoRK4ChUqChwGQ8YlZR0OJbRQReZQCVyFChUFjvLlWU42KcnzvdRUptGryBqqE1OFChUFDkkCpk8HBg2i4zIggNp3cjJw3318XUCWgVOngKtX2ShZkoDSpRkfrrnHTVCVwFWoUFEoaNyY9cDnz2e6fEAAszWHDQMCA/mZa9eAZ54B/v6boYZpaZRfSpVi04gBA0j81aqxZoo3Tf1uhkrgKlSoKDTUrAl4axuQkkIpZeBANoCIjmZxK52OJWlv3uTrhw6RzH18WG/lq6/urfDDe3wBokKFiqKECxeAp58G6tdnZ5+DB0ncgrwlibXEU1OVyoY6HTM7k5KAp55SStbeC1AtcBVFDjeOXMOpD9ZAvnQZ2vr3o/7rjyCkamjWG6ootoiNBXbuBF59lRZ2SAjlEqsVuH6dnxFNIEQlQxHBIopdBQayxsrOnZRT7gWoBK6iSOHgW+tR8oOXUEW2AZCAI0DU15/i+tIvcf+gxoU9PBW5xKVLwLFjjPFu2ZK/AdY8ee894JtvSOIJCdS4DQZa1hqNUrlQQBC3sMCdKxharXR23itQCVxFkcHNo9dR8oOXYJUMsOkD01/3sSXDPnIE0nrtg0+QGiBcnJCWBrz0ErBxI8lYkkjMH38MPPwwf3/5JSWQa9f4nsMBXLzI8rIOB/cjy7S8hRXu3BzCuYqhXg9UqFCgp1ioUAlcRZHBfx+uRRXZ5kLeAJCm84ev1YR/Pt+JJq91KaTRqcgurFZGlZw/z9Zof/6pVBkEGAo4fjxQogSwYgWdj1qtQsgaDXXsCxf4v93O3xaLMglIEsm9QgXFAk9MpPTStm1Bnm3hQiVwFUUGjsuRGb6nke0wR0bDZuNS3Gymk8u9hrSKwsX588DgwYwaMZuBGzdIzkYjwwQB/p2aCsyeTctad5uFQkKUrvR2O0naaOSEAPBzoaFAq1acELZv5/bR0STxoCBa8+5NIe5mqASuoshAH3E/5IPeW/A5JC2u+1TBgw/S0pIkPrxPPw1MmqQmdBQF2O2M4Y6OJpnq9YzdBqiB16qlkLWvL8leOCQlCQgPB+LjGUIoXhMt16pUoW6emEjiL1OGUSebN9PJWaUK0LnzvZeCr972KooMIl7vjTSdP3ysTvnVsgw/SzyijRXx+roHkZrKaIOAAJLAwoXAvHmFN2YVCvbto8UdFMT/dToSsUZDuSM+XvmsxULSrVOHRa3E56tXV0heq+V3Xa0av2+Nhu8JJ2VAAJtCPPss0KPHvUfegErgKooQgioEQfrqK6TpA+BrNcHPEg9fWyJiA6vgu67LYXNo0kuPAnyYAwKABQvoLFNRuLhyRdGrAVrgfn5KBInZzN+iC/2wYeyRGRjIdPqkJKbSG42cBOrXVyxvsZ3NRonk5Eluc69DlVBUFCnUfDwC1of34Z/5u5F6+RaC6ldGw2EP4Nk2Gvj7e37eYOCDf+UKa2ioKDyULatEiQhUqECpJC2NBBwby8/068dYbUkCtmxh/e+dO+nTiIgAZs4kWev13I8sc1uDgT01RbRKr17AO+/A671xL0AlcBVFDno/PRq91NHltdBQLsG9dS232ZRlu4rCQ+vWdC7GxSm1TAwGoFIlfnfdurF+yaOPAk2aKFEn4eHA2LH8EfDzY7Eru51Erddzog4IUCJa7HZ27rl5kyn0knf3yV0NlcBVFAsMGgS89RYfbOcHNSEBaNaM9TBUFC50OuCLLxiFkpCgOCANBhJsp07Z39fTT5PwN22i49JmY9GroCDl+7dYaM3v2MHemt26wUViuxegEriKYoEnnmDEwR9/kBQ0GoaXhYWxKa43mM3A0qWMNY6NZRTE888DXdRQ8nzD/fcDu3fzuzp1ihZ39eqsJnj+PNCuHQtYZQflygH/+x//fvddkrgkkbgvXeL3a7PRQh8wAKhcmd/v2LH3TlSSSuAqigUMBpLxli3ADz/Q2dWxI4k9LMzz8zYbH/4DB2i1BwYCZ8+yNOkbbyjEUNRx/TplgrNnOQE99ljRX234+VEmsVqBF1+kRm21knw/+gjo2xd4//2ckWxgoBI6ev489yfiwwGS+LVrdIrKMjBuXJ6fVpGESuAqig10OqB7d/5khd9/ZwZgaKiy5A4I4EP/4YfA448rOm1RxZYtJCJBfrIMzJoFLFpEvbmoY9Ei4NdfmaAjyNrhAFatYhLW4MHZ39fDDwNz51JLt9lcW7FJEjVyIdnMnw8MH65Er9zNuEcWGiruNaxbx9/uji29ng///v0FP6acIDaWcoDIPgwJUSajMWM8W5HdvMnMxIMHXUP5CgsOB7BkCaNDnC1tjYY69fz5OdtfjRrAyJHU1u12VxIXZWZFmKHDAZw5k3fnUpShEriKuxIiFTsjiCJJRRW//Uat173DjK8vtd8tW/i/xcJM1Natqf0OGQK0aMGkmsKE2Uyy9ZZcYzQyGcdbQ+PMMGkSnZs+PoofxGBQQg1FrXC7/d5xZqoEruKuRJcurjWjBYSF1rRp4Ywru7h+PeNJxmZTUtTfeQdYvVrJTg0MZNTG008rxaAKAyIZx1uCldlMB2VOw/4kCZg4kQ2Ry5en5S3izh0Ovq/RsIphjRp3fg7FASqBq7gr0bUrox3i4lwzAU0mLsXDwwt3fFnBuW6IO/R6ppfHxlJPDg52lSn8/WmZf/kliW3HDpZ0HT9esezzGxoNdejkZNdJ1OFgIatRo3K331KlGHUkaoaLbj0OB2UmgwH45JN7JyZcdWKquCvh4wN89x0dlj/9RBIPCwNefpkyQ1aQZeDIEeDwYTrDOnVipmFB4aGHSEgJCa5JSgkJJLF27ViVUav1zH4EeP779zNdfe9epTjUr79ycvj22/xPfhozBvj3X8o9whGr07F+ydChud/vY48xW/Orrzg5RUVxEnvoIa48qlbNu3Mo6lAJXMVdi+BghqtNnYr0IljZCV1LTARGjCCBW60kyLff5vJ99Oh8HzYAarjffMNwx1u3FAIuX57JMno9z08489wtTquVERunT7tG4sgy64h8/DEwbVr+noNeD3z+OXDiBOuDSxLQoQNQu/ad77t6dU4E8fE8n4oVKZtVqXLn+y5OUAlcxV0PsdzOLqZM8QxBtNlIenXr5n0I3+bNDA88fZpNDoYNI3HXrEni27ePMc4VKwLNmyuT0H33kcjOnXOtiy6KRSUkeGauShIt7x9+AN58M/9rZ0sSQwbr1cvb/f70E/DKKzxPo5Gx4Tt3Ms7/pZfy9lhFGaoGrkKFE+LjgfXrSYjOxCdC1RYtytvjLVpE0jl3TnFAfvghdXqHg9Z/69Ys/tSihesKQpJYG9vfn+NOTORvk4kyg9XqnaB1Ok5IohlwQcJuv/PKkQkJwOTJXKWEhvJ3SAiv3/z5904IIaASuAoVLrhxgyTpTVcWll5eIT6emYm+vkq9a6ORpPTHH8CePVnvo2ZNYNs2Wp1NmzLJadky7rdWLTZHcIfZzGMUZCJTVBQlqPvvp4TSpQtXHrnB779zInCfnLRaTnoiB+BegErgKlQ4oVQppQKeO9LS8k5jPXyYJHb5Mi3GM2cYsQEoSSnr12e+D5sN2LqVZH3lCp2Gs2axJ6RGA7zwgmfKud1OUn/22YKrF5KQwBXBTz9xtRAWxvN+5hmGQOYUycmuyUqyzEkpKYmvOzeOuNuhauAqVDghLIwhiBs2cFkuZBRB6iNGZH9fwsEoEk0Ejh8HBg6k5CGs/bQ0xm1XrapkLzoTrzuSkxlNc/y4Eu/+ww+UWxYupHXapQvw2mvU7kUzBVnmOdxJFEhO8f33XNk416wJCOCYpk0Devf2vEaZoX59XjNZZgjh5cuKLGO3MzonJUVNpVeh4p7Ee+/R6WYysb9jbCytu+eeY/heVjh1SnFC1qxJsj5+XHn/k09INCVL8n9ZViSbGzeUuOmuXTM+xqefAn/9Ra0+NJTkGBREeWHZMuVzI0YwvX7WLOCzzyjNTJ5csNX61q9X5A6Hg5NPYiK1eLOZoYY5Qb16wAMPADEx9B2YzTwfWWb45PHjwIQJeX8eRRGqBa5ChRuCg1kBcO9ekl9AAMm0cuWstz1zhtX2UlNpwQPcR//+tEQjIqhtiwJP4eGcJIQlnpzMCaNuXVZb9Aabjftyro0N8G9/f2D5csopzufTrVsuL0YeQIwxIYEp9M7ylL9/zssaSBJXGY8+yv2J7jwBAewApNPRLyBWNHczVAtchQov0GgoR7z4IrMGs0PeAK3c1FRaxaJeR0gISXf6dH5GNPsF2F29TBl+TmSMDhjARJuMQvxSUigZeJMdDAYlzb6o4JFHlLZ3YrUhVhxJSSTbnCIggOnyFSuSpGvV4m+9Xkmp/+efvD2PogiVwFWoyADXrlE/fuwxpoVv25a1tbh1q/cMx6AgWuJpaezjmJDA1yWJUkrt2sz0HDKERJ9ZlqRoKyZ0bWekpha93qD9+imVAp19CgBroixblruQRlEOwc+Pk2J8PBs9XLrElcy9UNBKJXAVBY/4eCAyUjE5iyCOH6dssmAB8N9/TKgZNYoWeWYknlENDmFxSxL12dBQSiUWC52VcXG01F9/PeuxaTSM4EhJcY3GsNm4v6LWzCAwkEQdHq70MDUaGdETHs5ziIzM+X779qUlb7VSC4+MpLaekEBZasYM/n83Q9XAVRQcIiOR+tIbsOzYA6tdA62/L4wjB8N3wjM0K0Fu37qVv++/3zN5pSDgcJAELRYSrfPr69bRgRYdTf21bl1GUQi9u2tX1htx7xJkMvFcfHyo065bx8lh3TqS2oAB1K0rVcreGIcN4/FXrOD/YuKYMAHo0eNOzj5/UKoU5ZKKFV1fF5a5cyZpdtGwIfDUUyTq5GRFC9doeB3//ZeJTtmZFIsrJFnOaVXe/EFkZCQ6deqEbdu2oUKFCoU9HBV5jbg4xD/YFQkXYpCMQJRw3ESwPRYaOIDQUOjHjsJvDSfjxTf8YLPxoRZV9776quDaiEVHs9bIJ58o2X3OiIzkcr9ECYU0/f1JpA0aMNHnscdIVsLJKOpi//ADCT8vceMGi1YJzd5be7migJUrGdLoXJ4A4MqjeXNq/rmBw8FyAiaTUge8RAleb6uV99E//xTf6oRZ8aJqgasoECQsWYWECzFI0oaiovU8jI5k2CUtHLIWmngTLF98DWviRegrfYWAAOVpO3uW4XurVuX/GFetYr9MEQkiiLdqVVp3FgtfF9mSAklJTH3fu5cTzpo1DPPbtIkE07EjMyXvvz/vx1ymDKMxANYQ//RThhKmpdFCHTKEcdOFjb59WZVwxw6l8YLNRgklo6bU2YHDwRVMlSqeJK3TKR18MirNW9yhauAqCgQx326CVTbAT06GjyMFdtwuLqKRIMtAdJIREakHEOE46rJdSAjjnfO7vsXff3OpbTTSqajV0qo1mxk9AdBalGVPB2NAAInijz/4f7VqrMJ35gy12SVL8oe8BWQZmDkTaNwYePVVYONGkviCBVwNfPMN9fLNmzm55GU5gOxCp2Po38KFjBhJSlLawm3alPsa5Todr7e3kgEpKXzvbiVvQCVwFQUEk9UXGjjg60iGBBlwjl8GkGbRQA8r6iQfdNlOWGuCRPMLovmBwaD0obTbSeLJybRok5I4Hm/NIBwOz/A9SSqYpfvGjUzUiY2l7CTOIS2NY580CWjUiCuZl19mhuaYMQVfzEqr5eTx33+UpsqXJ8lOn84yvbltczd+vOIMFrBa+dr48Zlvm5oK/PwzC4itWMFrWJygEriKAkFc537QwgZ3h4skO2CXtICfLxzQwKJxjf2SZT7Y+d1M4dQp1/6NZctSTxZp6qKRQunSnvHXwouUUdKIxUKnbH714Zw/X7FgxYQhJr7YWE4sQpMPDubP5s2sk16QOHWKx7TbudJxLt61Z4+ygskpevXiysNiYdRJYiL/fvVVvpcRTp9m3ZiJE7laeecdoFUrrgiKC1QCV1EgaDS5O/7zawzIMqTbrKyRbZBkGTE+5VCmpAMOSYu9Rtf0w4QELrnzoglAZrjvPtdlvEZDC7FWLUoqS5dS4w4JUYpOASTv+Hhu37ix6z5jY2n91q/PSoEPPkiHbF6HDZw/7z1SR5KUeizuZWhFtmlcXN6OxRscDpYn6NCBTuIbN2iFR0UpzSjs9qyLd3nD/PmcOJ9/nvurW5dy0sGD9EtktAKy21nuICGB1yI8nN+tVst9Xbt2J2dccFAJXEWBIKSUAaU2foXFZd/CDV0FaOBAGoy4YayE0mUl+FpNiOn/LC7ZKyAujnUuEhJoCc+fnzspIiGB5OZNH3WHKO7kXkAqKQlo04btusLDKbX4+iq1txMTmRG4bJnrGFNTmT6/erVSrzolhRborFlZjycuTtGw+/Vj6ry3xB2HgysDjcbzGonVg0bjmdQisiHzW5oCOGktXapY3cK/cPOmUjlQknKug48dq5CtLPO7WreO0S5ZJfHs38+Vibs/w2jkpLdmTc7GUli4i+V9FUUNjVoYUefMSOz8fQROb9mIBgcXo1LyJUhVqwKjR6NJ587YeYNx1LGxrBvSsWPOu8bExrLbzObNJAtJAgYNov6b0b4aNeI2776rVB40GGjdORNu48Z8+PfsIQFUq0br2p08f/uNtTicw/qMRmrT8+fT+sso9vnaNbYLu3WLY3A4WH72u+/44+fH11asoLP08mUSvrC4Raq+iL7Qaj3DIUVCTX43d3Y4OEY/P05gorytIPFbt5S6MJkV73LHlSucFHQ619WFw8EWbl9/zVj5jCBI3xskic7n4gCVwFUUKHx8gC5dJaBrdwDdPd4vWzZnJVvdYbEwKUa0GRM1RpYto8U3Z07G24rqgceOUZd98knqo+6kbzBkXGhKYMMG77KG6Oxz+HDG+5gyhcTmHKooy4yUWbaMzsgPPwQWLyYxVqjA9+PilHZqksRxRkQoZOU8ySQkcOIpXz7z8/AGux3YvZuTmK8vC2VlFN+eksLVlMiQFLXOhbRjt3PcdepkfU2dsXSppzQEKP8vXZo5gVesyLF46ycqy7wPigNUAldxV2H7dlq+zrW8dTr+v2EDJZVq1Vy3cTiolwp9WpKop86YwWzHOXNyng3qXLDKGWYzk07++ouZme5L/aQkxkq7W+eSRLL+5htKM8uW8TOiKFTFikxgiYoC2ren3tylC7X5t9/mdiKqRpLojP3ss5ydE8CxDxnCRsKitsmCBcATT3D1otFwBbR8OfDLL7y2MTH0G4joGJtNkarsdk64r76as5VWamrmvgRvcpMzHniAk1dkpOu1Tk3lOPr0yf5YChOqBq7irsLOnYoF6gxBXIcOeW6zaBGX3BoNH169XkkCWbOGMdU5xSOPKBo0QKK6cIGx4dHRJL0HHvCsxCf0+oys98RENlx2b/smCD4khMT07LPU5iWJFv0PPzDtvFcvJs5s2cL6JDnFO+9wJRAURPklLIzyzMqV1J+jooCePYG5c7mKiI0lKdpsShSOTkc5Sa/npDNgQObFu7yhVy/FgnaGmICzKieg0TDjtnRppe57fDy3Xby44DJ/7xSqBa4i/2Cx8OkNDCywgib+/plbZkaj6/8OB6MWAE9C1Gj4UK9albPlPQB07gw0aUKy9fNj5IXJxP1WqECrz2ymI27DBmVVUKIEiTE52XOsSUkMcxPNC7xBlj0TVySJWZkNG3rfxm5n3RCHg9E+GVnCSUm0qt0bPms0JOPFi4F9+zy77/j6KnHZYmySxNsiNFRJ6MkJWrVidM/x44pDVkgzQUGUvrzBZuMYb93i6mTHDspB58/z2j/0EO+h4gKVwFXkPW7coEdw+3b+X7IkGzQOGJDvmS09eypJOc5zhtXK/9u2df18XFzGPRSFfu7t/bQ0WpchIa4yiMNBbfj4cUoYDRrQ+jWZSORlyigORaOR89uKFUpMtkbD5JM33lA0YtE+TJIYdVG9Ov+2Wl1j0sVnevbM/vXatInHEpOL0Ug5Y8AAz8/Gxirx5e7w8aEccfaspzUdGKisLITDMiiI1y0lJXchopLElVHfvqwUKSSZWrUohSUkcCJynoyOHaNUJr5PWab2vnhxzifoogKVwFXkHUTIwWuvkeE0GrKWJJEloqPzvdZpgwbUL3/8UclKFHrplClK1UAAWLuWckJMDNILaBkMCvELQmzWTNnGYmGhq2++USaFxx/nKaemMtrlwgWevlZLi7N/f1rb3iw7g8G13RrA/a1cSctcWNoGAx2XTZrw/5de4v96PS+xxUIybNnSc5LKCAcO0CFqMCiTSloaSwoEBHhOBCVLKr063ZOZUlN57Q8d8jxP0XXIZqNsI+qgmEyUdXJbgCswkJFGUVGUpoxGymFPPKGETo4ezazT+Hhq9xaLMsHIMgtdjRjBbMziWPBKJXAVeYf58+nJslrJCrKstI+pWpXk/tRTORc8cwBJYmp2q1aMRLh2jdLBmDEkN4HVqxlWaDQqzj+Hg0MVlexEGN68eXRmvv02l+ZbtpA8fH1JRN9+y6w+vZ4WaEiIcopWKy1sWVbmMmdYrZ6RIB9/TFKvXdvV2TdvHkm1cmXWJg8OptZ89SrJdexYNp7wZiE7HCTsa9fo8GzalE5MSXJdQfj48Fiffkod2Xm8vr6coJYtc60qaLNxm2ee4S1w7JjrV6zTceWRnEy5RDh4R4zgd3CnKFWK17Z7d56fiD4Sk218vHJ858geSeJ39e+/HHPDhtz+0CF+l61a5eutmidQCVxF3iAlhQxjtbrmc4uwg4QEmmaHDzNEIh+h0bBGd+/e3t8X7c18fUngZcvSgkxKIhGJCAa9no5Ag4HW+j//0Lp2Ji9RN+XgQW7ncFBKkGWeboUKigRiMrlGPIhmDIMGUcrx8+MEsmIFiUOnc7V04+IoD4maJjt38jM6HR2igwe7lgMQOHsWePpppWGy0OHPn/du/fr6MrY8MdGTwF5+mRPGli2KTKXRMOO0Y0da7oMGkSxFV/jUVI7xxx953IQEykB5SY6//MJqjM4EbTDwei9fzrF5s7DFa2fOcNXzww/KCkyj4cJx8OC8G2deQyVwFXmD06cVwdYdGg3ZwN/f+/sFjIsXSaaCQDQaEkpiImPFk5Jo5ToTdWgorTSt1nuIn4h3dq53Eh9PsqpYkQ6zxESloqEgv+bNqWvHxir/OxzeK+gZjdTX9+3j5RaWpsPB5KebN0lCzkSVlqZMEM7SwZUrdOQFBnqSvhibuxMVICnOn8+6JgcPctv27ZWojQceoIzx1lscD8AVztSp2Zd2coMtW7z7yUUil4iP9wZJ4mT422+KRg/Qgp86laVqW7fOp4HfIfKFwIcOHYqYmBjobt+F77zzDho0aJAfh1JRVODryyckONizj5UQcnU6rt0LGULdcU7ikCQSnMlE0nO3TEW9joxIIDlZCV90JlBhkbdqRUlkxw6uAFq25LLeOdXebqdjLiaGzjj3uU40KLh40TXOXaPhBPPXX8CRI4pODpDYnMlbnEtwMF+/dYtWsTNMJuDhhzOPy65WjQQnSZ7XqkMHEuKlS0qt7vwOQhK3X0bo1InX1l2/T07mJPb771w9OI/TYOCq6vPP7yECl2UZ58+fx++//55O4CruAdSoQUa6eVMJLxBPg8NBc+7NN5V1dSGiYkVa2FeueKaY2+3p3d08ICQO94WG3c7XBaG6Z/c5HHS2BQfz0kgSIydMJrb+Eo+JVktLNiaGcoezNi4iUsqWZRKNJHFBIy6xiEo5dsyVwE+d8qzv4nw+/v4kcoOB+0hL4xgmT874+q1dS3+AiCwJCOD/zk5PjSbj6ox3gv/+o3a/YweP8fDDbCP32GO8xu7XPi2N5/bII7x+U6dyhSVCMX196bYZP97zXgB4jf79N+/PI6+Q5wx7/vx5SJKEkSNHIiYmBv369cPgoiwiqcgbaDT0GD31lBJbFxdHk7FUKQqRDz1U2KMEoDg6hwyhzOHvT5JNTqaVfO2aUlNEwGajXPDUU3Ra6nQ8xbQ0hSSsVqVDjHucttDKAc5lDgeJJC3N9TiiS72QWwwGEo/dztc3b+aYRdJJuXLKfrVaz8zOMmUytn59fKjxWq3ATz/xGD16MIQwo8iQrVsZAePrqxCe2UwCDArKX5nkxAlGmKSlKSuKn38mma9ZQyt51y4lSSg5mdfo44/52sCBrAi5ejUn74gIRizp9a4hm86wWDxXKEUJeU7gJpMJLVq0wNSpU2E2mzF06FBUrVoVrVq1yutDqShqeOABepMWLqRQGxFBAbZfP+/etUJEs2Z86D//nIkc/v4sMDViBOea2bMVHdhs5sP9/PP8admSOvCFC7TkR47k3HX4MD8vpBahvXqz6gWpxsV5ht0ZDLRmGzTg2EJDab3//LNC7mL7q1cV2cbhYAKRM7p3p4VpNrtq2iJlvHdvju3RR/kVZVXF75NPSHjO+zIaOQl8+mn+Evh773k2mg4Lo/9g7lxq799/T0dvTAxlq2ef5W0pUK0aHa7u6NaNfgT3+jNmM++Loop8b2q8fPlyXLt2DZMzW5NBbWqsomjh999JCBcuUAoYOdI1eObMGZIrQMsvKoo6q3MmpCBvgFmD7lXz/vmH5Olcm0U0j5g7l6QC0Ppv1kxZBURFKbW0RVEoYeE/+CBrtzh3t9+0iXlUIm5dVFpcuJCTwccf0yKVJEZrvPmmZ/d4gORZqxZJ01sBqNhYFhG7U707Pp7Wc+nSyrVMSeE1FI5bZ9hsHNvJk7k/Zmws4/UvXnR1MnfpwutZWL73Am9qfOjQIVitVrRo0QIANXFVC1dR1JGYSOtt1SoS3UMP0dp0rxficDBpZ/VqhZy1WtbmWLCARClalfn4ULO22TyPJxyP4tj+/koyTrNmnAwEYmIot4joFxH3fOGCovlWr87XTpwgEW3bprgbunZlUuzq1STYmjWZwbhnD8MCfXwoh4hU+f37uX3Jkq5jFmGN3poE22y0xO8kGebKFUo6f/zB6+Pvz+v51FOeTmdniNVHbhAXx/DGnTs5Ubdrx6QjX1+uTpo3L7AqELlCnjNrYmIiZs+eje+//x5WqxVr1qzB22+/ndeHUaEiz2AykdDOnVOaDixbxpjg1atJjgIrVvCBd7YEHQ7KG/ffT/38xx8ZR12nDq3oKVMo14jYbmFlV63KcLtFi+goCw1lMszw4a6REkJrdtZoRXicKL4lZJiQEBLQhg08J4Fy5VyTYK1WSiu+vpxwxGQgy5ww+vQhiTtHomg0lFp++MGzjnhiItWy3BJ4bCzHGxOjXNu0NGDaNE5qY8dSUjpxgtfRmcxNJkpFOcX581T34uN5PcWE3LUr5aAiEPGaJfJ8bunQoQPatWuHRx99FH379kXfvn3RqFGjvD6MimKAEydoQbVvzwflt9/yry/knWDJEpJ3WBitVtGnMTGRBOuMhQsVkhcQ1uLChbRqDxxgCJ1Yhk+fTivSbKaVazIxUmTVKkZRrF3LaJH9+6nZusdfiyzDhATlNbNZIVx3MpVl7isznD1LYnQ4qKMDSuq/VstsxOnTPbebNIlOvbg4yhzJyfy7UiVGg+QWK1eSvENDlWvr40OJae5cHmfiRB7r77/5899/DNE0Gnmf5RSTJpG8Q0I4SYaEcPLYuJF6eHFAvmvg2YWqgd9d2LiRDj+7XalGZ7Mx3Ovjj4tW3YkHHyRBeEtoMZnonAwO5v9VqzIxxT1UzWwmEZYtq5SudTioJf/4I0nWZKJlHhbmKc3Y7ZQOLl6kdNGhgyuRR0czAuPKFe4/KUlpCValiut4YmOp2b/2WsbnfPo0HaVxcRyXkERENIYkkUx37WKEqDMSEjj5iPohjz7Ksd1JZuVjj5GQvdWLSU7mimjWLPodkpKUCczHh6uWjz7K2fEiI2lYuFdWBDhx16/P762wUeAauAoVZjOtG4NBISEROvfzz1wq33aRFAmkpmbcFBjg+YhlfenStFxFtMnlyyQYUQ/kxg2SvDjvS5coVcyYQYKrV0/Zv91O8oyNZar79evcj1ZLIlu8WCmkVaIErcJff2UooUZD56S/vysBCZnl0UczP+f77uNEERnpWrwrLY3fk1bLSaNzZzo1nbskBQdzghg5MkeXOVOI+8MbRJu0Q4cYFumsecsyVzCTJnlq9pkhIUHpjuQOvZ7nXhxQhOV5FcUV+/fTIecuBYgIiKLWMLZlS+81qc1mOgydiWHkSEV6uHRJSQoR6e92O7VVoacGB5N0U1O5XN+wgY7CDz6gjNK0KaMtRURKWBi3SUtj+FpsrHJsPz9auosXU65ZuJCEHxfHMcXF0XocM4Z6fEa4do1aftOmrpEsFotrOzbRS/ODDygL3Qlu3QKOHuUk5Q2PP66MwxmpqZz4Tp1ybdQharCIOjP79uVsPJUrKz1E3ZGaWiQShrMFlcBV5Dky6wKv0bhquUUB48bR6kpKUgjEbObPyy+7Wuf/+x8jTqKjSZbOIWdCP3Y4lJrT4v958xiPLKIq3nyT1rpeTxJJSlJC2ABa1mYzyT4jdO3KLjj9+9PR2qULa2G/9FLG2yxZwkiLd96h5RoQwGOKJCTRLcfh4HtiEl62LHfX1mSirt+yJXuMtmnDXpW3brl+rlcvNpaOiyOBWq28hlYrJxAhS3lDbuS4gAAmcplMymQL8N7V6fJ2dZGfUCUUFXmOBg2UZBZv0kReJ3vExDAbLyWFVm2dOjl7qOvUYfLH5MlKPHRAAKNH3KUInY5abLVqShRHYCD1b+c+jCkp1L3NZu7v88/5OYdDIQnRhBgg0aekUI4RST+yTF04M9SsCbz/vvf3HA5OAF98Qcu3VCmm2oeFKVEugrxFxxwx4ej1Siq/0Zi7Lu2iZOyhQ0qRKIeDOvbAgVyNCO3dYODk8/XXrLUeH08/wHPP8X7SaJgt6h5KKMi3RQuleoO3Ilze8PLLnCxWrlRS6wMCKHfVqpXz8y0MqASuIkskJdHpZTQy1TyruNjy5Vl7wj10Lj6eJPLII3k3thUrSKTOqdAiUzInZVeaN2ea+KVLJLJq1bxXBBSoX1/pBwlQG794UUmlF5Z1aqpSN1yvVyQRcQ0TE5VMSlnm/85Zm2XK8DoeO0YdvHdv1ySdjCDL1IXXrlUaW+zezQlCNDUQne6qVeNqICVFae7gHCZpNruGUmYXf/3FH+eqjiL+/eJFJks5Z476+ira+okTJNInnuD4e/Vi5/vjx3l99Hpe27Q0RugMH04ZCqCV//rrWXeW1+kYpvjCC4xq8fcHGjfO/HsvaihGQ1VR0HA4aG0uWqRIBWXKMEbWuUuNN0yfTpL47jtFa2zWjMkxGRWL8obERFqQa9aQXB5/nCGJwcHU2t95hw+es0W5ezeLK334Yc7OV9QWiY/POtyxdWtOEAkJJBGrlVZmQgLHIDoBPfMMrW/nFG0B0bJNxCCLSUhIULLMCSoxUYkMmT2byS5Dh2Y+vsOH6TB2LjmbksL93LrFc9RoONmK8LlmzRgJc/06nZs+PlxFaLV0suYUx48rnevdYbPRMndP/QdYUXHQIGWicThoJYeHU/ZYs4arrgoVKButWMExhobymu3ZQ0f5L79kr6BWiRK5L1FvtXL1d/w4j9+jB5+RgoJK4CoyxLx5TCMODFQK/kRFkTx+/dU1BdwdBgMliAkTKEuEhua8C/r581waR0cr1uCBAyT0tWs5sQCuSS+iVOratZRE3Gt3ZwSLhVXuvvqKf+v1LOo0aZL3+iAGAx/Wjz5ydbxpNLw+Tz5Ja85qpe4smjkEBLh2U9doGAZ47hxJLTpasdJLlOB2ISGcJBISaEG/9hplhcwqNP/8s6uEFRnp6gQUvyMjea4izV6QPMAJKDKSIX7Nm2fvOjrDzy/jZBhRvtcbpkzhGJyLdIWH87potVyNiLKw3bsrzlax39BQpT7Kp5/mfNzZxbVrlIKuXuV3p9HQaJgyhRNQQUB1YqrwitRUyhCCvAFFG7ZYSErZQVAQl745JW+LhZEAotWZqMiXlERr56OPKOt4I1eRpZhRxIM7ZJla66JFPO9btzh5vP8+a4N4K8d64gStwvvuo3zi70/CCgjguFq14vatWlHrvnSJkRQ2G4nZblesdmF9G42MeClXjjLJrVskbNHz8eZN7isqilLKjRsZn1NyskLeov+k8ypFlhXL/Pp1Rq388w8lsqpVeU7ly1OGOHqUx8wpOnVSemgCSiJTfLzSXejRRxlvLaJBYmJY08RbadeAAFrVIkomLo7XxduKLjCQtdDzE889xwkuOJgTTGgo78epU3l/FARUAr8LcPkytbyePWn9bd585xmPFy6QWNyb1wK8Sf/44872nxVE1h3gGoEgam//8AMJJi3Nc1tB+NmNCz55krVCbDbFGSmOeegQrWn36/ntt0qZc7OZxC8Iav9+6rCrV5NIKlTgb4uFGZBaLfXz0FCSvs3Gv+vU4TmVKKGEycXEkLhFlIuIdImNZQnXjNC+vXLNROMFkWkprpHFwr8NBhK33c7jBATQV1GiBGUUk4ka89tvk8yzm/oXHk4yS0hgqYDTp7nSOHeOln5iIp20r7zC5sNiks7MAe0cMZJZqruYoPILZ85QN3df4en1vLZffZV/x3aGSuDFHIcOsd7G8uW0GvfvZ92IF1+8MxIPCFAsQ3fYbNmXJnIDq5WZfoDrQ+hcNjU5mZOVeOgFhLO0UyfPFPOMsH8/J4KoKJKCsOAFie/cyevsjEuX+LDevEkiEuQoCPKvv1z3Ua0aLVs/P1rXy5dzsjh3jpEz7hq5j49rKzBnUpNlTgiHDnHy9oauXTlxiFZtwup2llXE//fd532itlhIujExwJ9/0mJ+4glGb5w86RqjnhH69FEc2T4+in8AoOUfEMBVyO+/0/AoWZIx2snJnvtKSuJ5CQQHM4beZPL+2V69sh5fbnH9unKfuMNgyF3UTm6gEngxhsPBdHWHQ7HmgoL4s349H4rcolIlWmXuD4cIOxs48I6GnimioxXS8jaBOByUGR5+mGFqSUkkk9hYjjez0Dpv0OkUa9T9gRRjcK+NUbcutxG6rPt2wnp2RmAgU+1LlSIRCdIUMoozfHz4fbqTt/g/PFyZQLzBx4eT4EMPKQ0nxGrFYFB+NBpORqK+i/P1jozkd63VUlIJDubkOHMmx9+8OSM4vBGowObNXJ3UqMGyAs6riNRUWuJidbBqFf8WGrhznH1cHK/f2LGu+586VQnJtNtpXMTG8vo880zG47pTVKiQsYEjyu4WBFQCL8Y4fpwkkVGzAGHF5hSRkXToBQbyAbt1S1nyxsczTK9PnzsaeqYIDnZ1gDk/JCIO+I03+Pu110iuzz/PBJEFCzh5ZdRRxhs6dPAkL+dj+foqBC8waJCiITsTrHNZVee4cAGr1VPa6d/fexefEiVIsg6HkqoPkAiF49Fb3W6BkiWZrXngANPuRdig2J/DoRDzli1Aw4YkQhETLhKbxGRx4QLJWjg7AwKYSPT00xnLKocOKeMWqwmRJJWWxntNxJ+LBK+2bWnt16jB8SQkMPlo9WrPEMoGDfh6x45KiGS/fnTi5tTvkhNUq8aVk0jYEhDnMmRI/h3bGWoUSjGGiCH2htzWc9i4kVaV8KrrdHxYy5ShBDBgAKMvvC258wp+fox8WLGCD697m7KOHfmQCtSsmXXMrzfIMmO/v/zStSWaiLgRKxujUQl3E3U5UlMZcTBwoOKAE2RfuTI1UvfvRkhaTz7p+nqHDrRoN27kw6/Xk+AMBqbNi14oom63RkNie+ghEvDx4yTXkiVpFbtrw2FhfD04mJNCUhLHnJamhBRGRTFRZskSJZFGWN5iG7OZ94PDoVjmoaGMCtm6lduIQlBNmyorBQFR0ExMjJLE63juHMfesaPy2ZYtOREnJ/M4mSXn1KnD61TQmD2bMt758yRusbL46KOCs8BVAi/GuP9+RQP21sG8TZuc7S82lmF/IplDwGzmg7loEW9SsUTNz4SHN98kUZ44oXSKNxj4kP/0053vX5ZZKva770iIgYGcOEQMtq8viU+jIUG0a0et/MUXXcMaW7akg87Xlz9C9ggL43cSF6ck9QCMT3b/XjQahmtu2EDnV0wMCffpp2np+frSqStK0QYE0Pp7+WVGcYgmx6JD/OLFrkWzxDFCQpSCVXFxikZvtfI7njqVoXevvMIIl/btleqAKSnKBCqctwD3ER8PDB7MayiaPdSvz/T73r25T6uVk4RYAQgSF/KVxcKViDu8VSf0hrQ01i//7z9OOt2752wVlhuUKsUSyfv28T4NCeFEn9/HdYZK4MUYJUrQEv3uO1pXorCP6PDy5JPUSH//nTd4s2aZFznasEEp03nzJgkzJIQPa2wsZYN//1X6K44dy0gIjYYP55dfch96PYlqwIDclxgNC+PyfMsWWndGI51SLVrkTXTBX3/xugUFKfsTDY1jY2kRivN49VVauKI3ojgnm42vlyvHa56ayh+tlp8dM4Zdfvbv54Q3cCATgLyNX6PhyqZmTUpC8fEMOwwNpRwgthEE2Lcvr/3Jk/yOhLUfG0sy3bnT09E8eDDJ9NYtV91elnkvbd7M69K4Mc+pb1+GSjrX6BaSUYkS/F8U6SpThuMQ+zt2jJPOkiWMpX//fd434h4FlAxdX1+Sbk6qCTrj3Dle29hYZWXw7ruKVp+f0GgYKlpYLX9VAi/mmDKFN+z33ysZfZUrM4Pyhx/4WzhbNBpasHPmeO8xfPSoa5NcWaa1Wbq00odRWJKyTGLbvp2Zhn360Krz9eV7H3zAMf30k/Jg5xQ+PgyN7Nkzt1cnY6xZ471WS7lytHDff58ThrhO777r2VBXpyNJms0kqsOHSfpduihL6MwKSzlDlpk6Pm+eIrV8840S0liihGLZWSwkRdHB3lmqCQwkof7yi6cO++yzvCeuXXN93WgkASckcAJu3Jivv/UWoy1++43jsNl4zhUrKmUKRHy4s9Upkql27uSxRo2idj1gAN/383NN0nE4sm9pu8NmU6o2Ok9YZjP9Ijt25K8WXthQCbyYw2BgOvmECdRdg4Npxe3YQUIICHAt1r91KzW6N9/kaydOMKb54kVaYKKOh4As8yEW8bkGg0IYDgedY0OGkDScH2LRs3HhQi7JixoSEjKPI9brXSe5ffu811bR67m6KVWK8kpusWcPyTswUBmXzcbvJTDQ1ToVen1qKo/rDlmm5bx+Pb+jHj1oTQcGktQmTVIm4uBgrihEdx1Rz0WjYQjhkSOclMWYUlO52vD1JUmmpHBycfeJCP9JZCQJtGtXyj5Xr3o63WNjWaExJzhyhI1Bdu6kkSGSaESIotHIsf7wQ+669RQXqFEodwlCQ1mutFYtEuy8ecpDJCDSl7/9ljf3F19QQ/3+exJIfLySKOMcVSAiFtzD5YT1un+/97jwwEASSVFEq1beIyeE8zIiwvX1kBDvGZminvaddKMBGBcOuE4qIqIhJcXz2Eaj9/HY7Zxw9+3jiurvv7l66NmTRNe6Na3dihW5UvPxoVRz8SKJdMMG5hVcusSiUhYLJw+RlVm5Mu8dHx/q/926ebeeRbipqAsiSUw2czgUx7QI+StdWpGnAKXxx6OP8nsaM4bSjsDevbTmDx0iaQsd/tw512ui0RRcPHZhQSXwuxTnznlPMxdRBEeOUCbQ6WgRiQL+QoYxm5WoDEEq3pyWzk4pdwjyKYro1Yu6dGQknYYpKUpzhK5dPYsgieJK4jzFb5OJDjtRejUnSEyk0/Kpp7iScSdkZ6vW/T1Rtzs5Wck8Beh8tFoZbx4YyO82KIgJPx9+yLorjz1GwhPNjIU1LjIwz59n0bD4eE9rOSSEhN63L30er77K190bIyQk0OfiHPbXsiWNhQce4L7T0kjEa9cqmrrDwdXkiy/SIWkycdXYrx99IsL5rNHQaBArQp1OqSUj4HDQCXzzJs/1boQqodylKF+eadvuS1uhh8+ZQytLWNHOySyAEhft709rS9QkcdaMBYmJJBF3iSExMeeRMAWFXbuUxrwxMSQBHx8mBnlr5tunD3XzDRtIBrLMCapkSe+fzwrXrpEkRWRGYqJSD1x0ixHd5k0m1+7wgqyfe44+DjFJitjwsmVJ7iYTyUvEoy9ZwuYV06dT1hCORbEyq1RJIcarV3lPeFtZaDRKI+RmzSjJfPKJcm/p9bTwZ8703LZxY2r77nW9BfbvpxPXuZytjw/vr1dfZUTQxYvKis/fn9fGYuHn4+N5/kLqWbCAq1FJ4qTz2mve66wUV6gW+F2KkSMVC1pAlmkZVa1Kiw8gCYnEDEHIIjNOr+dDoNfTyhT7E2VP7XY+4I8+qjT2FccRxZQKU3+MjKTV+fjjJK59+zi2M2c4Lh8fSk7338908vBwXh9vMcfr19NH4Nz/Ujh758/P+djeeINSh9HIn/Lleb1MJqUGjEiZL1OGxxXZpklJtKJ//JF+BxEB4ufHbfz8SGSXLvF7ESurpCSS2PXr1I5FxIaI9b94UTkvrdZ1xeEMWXathDh6NEP4Jk2iw3LuXF6r0qUzPv+M8hfWrvXuXPbx4XU/fNhz2ypVlJWlzcZzv3GD+zAYeI/6+tL6HzLEM+u1OEO1wO9S1KjBzLp9+0jAGg1/163LJXJgoLKsdM90FL/Fg1SvHq2mjh0ZRmiz8cEIDuaDvGABl7nvvaekP5cpw/8bNizoMycOHmRmpogf/+svWs/DhikRFcISE2nlRiNriV+54prhePw4Q/aSk12duDYb9/XbbySxOnWyN7aYGEaJOPfh9PWlfBEVpVQZFM0p5sxhLZJ9+0hGvXoxiSQtzbPey3//cfyAUhALUGK3Y2NpuR8/rtwDIpzPbKbFXq4cP1u5MsneOUwxKYljdc/ErVQpb1LXRV0ZAauV5ylWkn5+TGO/cUPR3g0GTsTXrjGBqFs3lpEVXYAAnmNoKJ32u3czxv1ugErgdxlSUhgutn07rbnkZD6c9eoxWqVqVVqkJUqQSNwL7ksSH4xSpXjTC407JITL282b6WCSZTrGunXjw96nD5M2zp3jdlWr5m81uMxgs/EayLISrubvT8vryy9Jzs6ShIBw+l6+7ErgS5YoMoXztdJqeY2NRlq02SXwjz+mpa/XK+GaKSkkqqpVSVpvv01Hav36/Ey3bvwR2L7duxRQrhwnaOfKg2IyLl2ax9y+nceRJMaECzlDJB6Jbj2rVpHs//5bWW0EBTGyKDuFwk6epGVutzM9vlGjrFvdtWvH2H+7nSsokW0s+nWWLs1rM3w4r71oU5eQwHNftIjjTkry7OspSdzvjh0KgV++zJVK6dI0enLTX7MwoRL4XYY33uADEBOjOCAdDtZ6fust3uBimVytmrLMFpa3Vsv2WeLhj4tTuu8YDJnHZet0RaOX4MGDysPtDHEtEhMpD7hHT4iIEveEkpMnvZcOEKTmrZt6RkhIYGy8e3SQcMLFxLDl3ODBme/HOSHGGaIdWlKSIhWITkMhIYoEJCaPChVIlM5ZllotJ61y5Vhn5J9/6E8JD+eKILMM3PPnaQl//TXJW1ybzz+nP2TBAu+Tp0CvXlxxHDumpKcL6PUMg9y2jc7fDz9kpI1Wy1DJl17iymT5cqWGiohJr1BBmSx9fCizjB/P6Cudjteqdm2OMzst64oKVAK/ixATo9SPEBYLoLTuOn+e2nfFinzIAgJodaSmcklqMlGLFduJHonDhhXaKeUKmVXH02rp5IqPVxJTBBISqIe711WpUEGpbeLufHM4SAjt2mVvbCdO8PsoWZJyhXtoZmqqZ8U9b+jVi5que9p2QgJrpJw/z4gMUdVQHENY+SkpnMCEdh4fz/umRAlmqIoKgKGhXAXUr5/5eK5eJbkeP8793LpF4qxYUZlsfv+dBDtxYsb78fdn2GPv3orlDXBcZcrwnDZsoJa/Zo0SaqnVsnbOypW8tnFxygowPp7XoUQJft/dutFZ/ddfirNUlikP9u/PFYq3CK5sISmJJ2oyUa+MiMhXs151Yt5FuHSJv1NSPJNUNBpaGT/9RMtFkpTKcwBv5KpVFWeXaK67dGnmrdOKIurU4bl6q4fucHAF8eKLShlaYa2VKkULzB3DhtH6E7U+xH5FW68ePfisZgciU1U0THDuNgSwBorIhMwMzz1HizgmRikSFRdHonrrLfofREioGGtsLCejqVNJfOK7NxhI1AEB3GefPizD0Lw5Iz+8VVV0hggHPHqUEovQsRMT6RgVk15AACUsdyfi6dMk32+/pWFx6xYljdq16VyuU4eTriD0gweVbUX4K0DrXiTzlCqlfFeSRN9CXBxLEEsSLXxnjVyS+H90NIuK5QobNzJGcsIEZsqJBq7uJQvzEKoFfhehVCnPeFwBUUjfYqEk8vPP1DL37CExDRjAehKJiZQMAgJIJJllKxYGRAx7bCwfbm+TS6VKLCq0ebPykDp3fH/8cVquXbvyOsTE8Jp07eo9AqVdO2quS5Yo+xFlY994I/vp8gANspAQ7qNsWVqLwplstWZ/X+XKcexz5zI+2mYjOT3/PFdVdeqQFD/7TEl4GTSIskF4OCevjz92jRzSakl0wcGKXrxqFcfqbWIT2LyZKzjhbxBWMaDUh/HzU9qgJSeT6K1WWuO//eYa1dO2rRJG6S5dWSw8nz596GQdPJiTZ3w8pSAhf5Uqxe8nKkqRCLt1I0E//7wisXmrGHn4MKN8cgQR2qTXKzGOssydvfgiK3vlAyRZzq56l7+IjIxEp06dsG3bNlSoUKGwh1Ns0b8/IxzEAwkoTqzwcIb8ZfYwFmX88w+z8kTstM3GzMLZsz3jlVNSmMIvimvZ7VzOz52beUGvzHDyJMkyPp6E//DDmZc5zQh79nBCsFopGYj62+3asZJgXld5zCjmOjGR0S0AV2/vv++ZUetwKMk0Vap43/+UKdSkhZwjen+K76hcOd57Ilb7yBH+njGDerezJWy3K/JWcLDr9Y2NJUmXLs2J2GxWnMFBQZRxAgOVkEyBlBRG5gjHfFIS7yE/PxoAzkZKbCxDTidMyMEFBmhxf/utZ2sl4XTZvj3z4u0ZICteVC3wuwwzZlBnPXXK1aoJDORNPm5cYY8wdxDVEM1mhaxlmQk5zz7r2YPQz4/kcO0aHXBhYbTU7kSOrFMn+5EmmaF1a06yixax2UJoKOOTH3ssf0r0ZnTOgYFKDe7nnvP+GRGKePw4CTwtjfeWTkeJQ6Px1OFLlnQtiiacx0lJSvVKm41GaUCAK9lqtZSBwsOVCo8+PvzeIyP5eunSSsXMpCQeo1QpvnfjBu97MdnIMu8BHx/XiKSYGO47KoorIYBj0mrpRM4xTpzIOLRJq/UMbcojqAR+l6FcOS4xp0/nAyKWrw0bMoywdu3CHiFjlb/4gjpk2bIsit++febkuno1H1ZnA0eS+P++fdRRvTV1KFcuf6rRORy0yFNSeE1zWgulVi3GKhcWRPd3f3/eG97auglIEu+hFSsou4iErrAw3me9enFlI5zCYWEk3JgYpSaKyURfgYgVT0jgZ4KDlfrkaWmUeoKC+F2vW0fN/O+/qYtHRXGbGzdIyCkpSgRJfDyJPS2Nf1+/TstcTIjOpQ40Gspsly5RUgkKUho/T5yYS59P5cq8oZ1Cm8xmID5ehj7Fjn9OlkSLZplH4OQGKoHfhTAaGSs7dSpvZJ3Oe9W6O4Go+fznn3yYOnTInoGxeTOtPbud4zx3jokVQ4dyFZoRiR865F2PF00JMiLw/MCff3KJLZogyzKbL0ycmHnse1oao4A2b+a59+6dcX3w/ILVyolclB8WsfLPPKPE/DuPx2xWem++/Tb5SdRHMZmYwLRyJeVfkTpvMJCIy5XjOTZowPBDZ+MhMFDpGnXjhiLziPT/unX5fU6bxo5Ey5fz+tlsJG7njFFA8f1UqsTjd+hAf2Lz5pQVhXQSF8fP+vvTaX/jBldVQk/PjgPZKwYPTndGyDodbt4AbkUDgbYE/GO4H2M/rony3/K6Z5ahmlOoBH4XQ8T/5jVSU6lF791LQtBo+KCNHk0nXEYknJpKf47IegT4oDsclEB69WKyhzeUKZOxgxbwlB69wWajRbdkCR9ckT3Yr1/2SfT8eU42Is1dxIEvWECSyKikbGwsiUREZcgy08bbteO2+dmizhkffMCsWtEABKA1PH06nbibNikp6KI5xaxZJH2j0dWCFOGHs2dzRfXAA/weL10iKT71VMb+BoOBPoRZs5RWZIASi3/rFo9/8CBLBoSGKm3eREs3UXdFJJ8J+PjQYTloED9TuTL9J6LHpyQpWbAPPsjV3R2jSRN++Z99BnOyA2nREoI1GiQbgnEqpDleSZyMf07WxRvP98LilXdYutIJKoGryDE++IBWc2ioQtZ2O2uC1K+fcReUP/7gg+eeYCNCHNesyZjAn3iCxOMeuy0iGpo3z3zMskz9dcMGpV3arVu07k6fZuhddrBkiffGDoGBdECOGeO9bvi773K14awXyzKzAr/9Nuf1sHMDk4kJNkFBrqsZf39apmFhnExWrKBu3KABna0lS/JauTfmEGS4eTOjVTp3pt8hu6hQQalx4txXVPQh3bGDvgIxRl9fnoNzf9TUVKXeCcD7SKNRMi1v3SKB797tKqmIuikJCRk7eXOMsWOBbt2wdsDPiE2LgZ/Bjk5xP6B3zBcAgIcgIWntJ4ja/hVKdayXBwdU48CLPkTIQseOZIdDhwp1OCkpfFiDgjzTynU6hiZmBGEBeYNWm3m4bL16XKaLok6JiUqyhrB+M8OxYyRvQHFsJiSQFFasoI8pO9i/33uSh2iEfP6853spKUywco/wkCQS1RdfZO/Yd4pz5zxrxAsYjXSodu7M67F1KzX6OnWU83WOq7fZuD+hI0+ezHZ3OelXGh1Naa9KFU4SJUsyC7hCBR5LFO8SqxPn6y7uPREbnpCg9AwdNYq+lfffZz3xn3/mZ9PS+CO0/goV+N2cPJn9MWeJatWwNGgC1pQYhY7xq2HW+CFRF4pEXSiSdCEwIgX+LwzPfDmZA6gEXgSRksLY2ENDZyPtyWGQd+2i4Lp1KzMsVqwotLFFR7tmeTrDaGR96YwQEZFx7XCAOmlmGDeOy93+/UkWIq26adOsx71lCy9hZCSvr8XCczl/njrvrl1Z7wOgFert2RMVGr3VJxGZod40fIPBtYZ1fiI4WCn56g6rNeP6JgEBlHoSEpTXrlxR4tdLl+Z18fFh4k92CbFBA16TgABKZGXKKCSt0TCevXlzpSqiSFQyGhVrukIFXsNbt5gXMGcOfRFCKgsIUDpJGY1KFuz99/N8szIccoP77wfax66GVrbDpnHSnGQgWQqET2oc9cc8gErgRQxbtzLG+ONnL6LEd3NwNioA56KDYdMblbzn994ruKfeDaLwvjcSM5szryNRrRrQqRMfRGEFyTIfoPDw7PW+jIigXrt8OS1y0fElK4hmzCLtWliissxoieyWGB00SNFfnWEyMbKkcmXPbcLD+bU511sXSEnJfVx6TlG1Ki1c91IDYvJx76HpjKlTKbGIrFVRddLXV7knDAbuJ7v2xcMPc1JxHo8oeVy9Op+DgQMVrV1kVQqEhdGCf6zcAazQ/Q/rbzXHw4sehfzLOsz/3AE/P37X/v6Kc1bUXhcOW5uNE0VeYsQIoLztMuxw1WXsdp6vTpI54+QBVAIvQjh/njHNkgR0cWyATmOHpNMhNQW4Ipb4ej3vuq1bC2WMfn6sQyG0SAG7ncMaNSrz7WfMYLxzUhLlEJOJpLxqlWf3lwxhsVAHca7HmgWuX1fikp0hnJDZnQh692amYEICx56SQlLz82NTA2/Q63ldkpNdJwqLhSRSULH5ksRIEaF5JyXxPBISgO7dXasduqNiRUpQ48eTsH18aP1Wr+7qADYYGCaaHfj7U/8vU4akmpjIa1qnDi1ojYZSyNdf87eYaBwO6uTlywNdYr/Fe5cGoZl5N5cE//0Hefx4PH3udRh9eIOGhblG2JjN/Ds+nt9nXkdoNWgARAyoA9wer93G3/4BQPlytx+ajLKicgjViVmE8PXXSp1q//hESOCXrdUBSclAmgXwMYB3XyH2Knv9dU42+/fzoQNIYKNG0arKDH5+1FYnT6Z+Gh7u3Wr1Crud7VUWL1ZywLt3ZyqgezaJG3Q6HltEVgjt1OHg9c5OFAtAMl68mGUvvv+e5Ne+PS3zzCaBMWNodH39tUJ4Gg2H3rZt9o6dF6hVi07HlSvp2AsJoSTVoUPWkTglSnCy6dGDjmp3PwjASSkncdQ1a7L206FDDB+sXFkpoSvQoAElrhEjeN1LlOBE4W9PwMhr7yAFfihd1gAYABgMkHwd6H71R+xI7IdzQY1gMJAvL19WmliYEmRMaPg7non5Guh5k97z//0vzwr/NHmvD+w7ZyElKRkWvT/8fAFf4+3lRY0adxCv6AqVwIsQTpxQHDYn/ZriEUmf7iKXAFjSAB+9TDYqrE4JIBE2bsyoEgGtluMXiUNZITw8i5rSJhPjao8coYnUpw/Nsm+/VRo92u30Dp48yd/ObeTd0Lo1x2e1KnXQtVru2s8vZ2VwdbqMy+qmplKXF2GK7duTbARZjxnD8hg6HXX8wmjvVaoUiTi3ln+1auS7I0dcI1NESGlOI2o0GoYgZvWZWbMo85w4wdVDg+TdgMOBgDADQkMB+22rOiFBAwPsaHxtHc4ENIJGQ2u/dm1+L088LuMd6S0Erf9OKbry779sYb94cd70AQwPh3bFFwgcORJIMQFmO2DRUMdaujTPKhSqBF6EUKmSEmRyJLAdrvpUQ0XzGSRpgyFDA53WAcTF02uXUbxdPiIpicvoDRvIl2XKKEkJssyY3WnTctcj0gWnT1P8FN4lWWaoSVIS1/LCVNRqaTpfvEizslevDHc5ZAit37Q0as6iPozJxLhu9wiR3ODwYQYMJSeTzESVv6++UpKMSpf2vkqxWHgaRiNP8U6fb4eDVqvIYO3QgXVwcpoxmhFmzeJXFBmpNAXRalncy7ndWl4iMJDns2cPG2hEnDaj3C4ZhttF3M6fAyxWQAKglSXo0pJx+rRyj0oSg7k+7vcn9E99x4sh7iU/P65qn3+eN3JeBOY3a8bQnp076bOqVo0zVR5mbqkEXoQweDCTOxjrrMWbVb/Bc5GvoFHCTmh8dDBa7Vy/vvde1k/4tWvUKE6dIttevUozc9SorMM9vOD4cVpWSUnctcVC+aRyZcXTHxTEMLLXX8++nn3mDJfyAKWE+6rLzK4xmVzNu9hYLj9LlvQ08WWZYSaZEHi5cjTeJ0zgUlpkIY4YAbz8cs6uhTeYTFyBW62uk0FcHCcIEYfsDllmyYNZszi5OBwk+w8/ZOhkbmC305ci3CQaDY8/bx6TYu60VpzdzvOdO5fRKMePc6J6+GHXlPX8gFbLiJh27QCcbwx04Ux8/bqGVRBvM5oEDS6Wb4eAZE6ITZrw9mjTBtC+9iMvvDuR+vnxpj54kPGHeQEfH6BLl7zZlxeoBF6E0KABG8N+/DEfkjgpHC+HLkGNyjex+N2bkJqVU1z+GSEhgXFUv/9OCzY+nmZdhQrUPPbsYc56Dta5FgvJKTWV5HTtmhL3fOkS5wWdTgktjI7OmsDtdnYIX7NGiWjR6YBnWv2NCVeuQHLXFkTISGysJ4E7HNmqwF+/Pnn+zBk+pzVq5J1Fun49DTj3ZJegIA551y5G4Lhj6VKuWPz9aWGKpssDBjCUNDfdYdas4YLEOdEKoAb/6qtcieQW69czpV44scPCmKWZjxzlApekm2rVgO7d4Vj/K5Lig6DV6iDJDgTa43HVpxr+DO6MEr50l4i6M0lJQOqpWATatPAB4NUMyqwjSBGDGoVSxDBqFNOZx43jQ/zBB8CPu0ujfPeIrMlbmJTbtyst5XU6moWRkbe9o/604GNjsz2m339XamkDlAaER18kUQAKEbu3JPOGL7+kNRgYqOjhgYHAX5uikJCo8Vxh+PsrIQTu56zVMpwgG5AkWrhNmuQdeQNMavHWQALg5feWKJSWxjR0f38lRV2sZFJTc19C+ssvXZsvCwQH0/EcFZW7/e7YwSiUlBSluqXJxATEfftyt8/swGpl5cbmzSkht2tHB7LDAeDTT2Ee8D/4yGnwcyTB35GI/UFdMLnaStg0Buj1Sgji7NlUNWYcaImb1x347z+3QCbh1c7t0qcQoFrgRRDVquWiHjHAdMOjR2kGRkW5FgW3WsnCwcEUaX//3bO1eAa4ds017rtkSVreIoxQJFqYTJx03HtNukNI2n5+rqtYjQa4FVgNiZftCCkne6Z6iiwak0kJrDab2UOsRYtsnUt+oXLljFUtvd57TZrz53kK3lYrvr78inKD6Gjvco2IfRfdh7zh1i3eJuXLe/qEP/qI2zsvdvz8+JV8+ikn5LyGLNOY2byZxwoPV0ognD0LvPGGAcb33sTTW16En+kG0vxCccMSBksSx+pwcGW7eDFlqoAAYG+ZPhiS+Dl8LQm4eCEI1WtI8NXbeWF69MiXsq/5BdUCv5vwzz9KmTb3zBRZVswNhyPTPllXr5I8jh7lRytVcs0iDAwkiYuYXIuFnNq8OZ1YWcFm4/zirRlCdFA1/Kl9EI64eNdA89RUrtcXLmQIjMPB4OB33sGtqZ/j9FlNYUZWomdPEp7IThRISiJpiNoczvD1zTgz0m7PeiLMCI0aeY8yFVEi3nTqy5cZCtmiBSMzmzShxi1WFWlpjO/2NqbAQDpw86M1zF9/MapH1EcRpW2Dg7nSiIzkOY2a4I+TadXx57kwnD/P1y9c4Hn16sUmJv7+nNiSdCF4repKRPrWhL/DBNO1JH5RfftSvyxGUC3wuwmBgQrTBgR4yiRCR9ZovEaxpKRQg9+8Wan6VqYMkz9KlGD4nYj9LVOGD5TZzCJsbdvyoXexQmWZZlJyMgXn20+/Tsf9paV5WnlpacDs+2bjsVqj+fQC3KmvL5/C1q3ZEw1cGbz6KrB3KvcpSXQYTpxYcNX9BMTcMmYMl+x2u5Imvny59wjHypW52rpwwVXOkWVehyefzN6xjxyhxPDvv3R1tGvH79BsViZJu50c5a3YVnw8L2lMjNLk12JhO7bkZHY2Ej4O0bXeGTYbv5786N27ebMS5eIM4YTevZvXacAARkClpbkGKQUHc+Vgsbhe40jjfRh/33qUTjqHUrpYfLGzWtYSZRGESuB3Ezp04FOWlkYyF61MNBqlq2xcHGOpvORvv/QS9XfnPpI3b7Kp7+LFTF0XTXT1eu5u5UoStweOH3cN+ZAkYORIYPx4SBoNRozgg6XXKw+cw0HCePblUEijV3JFceoUB9SmjQsLJiezQuGNGwrpWK0cZ0ICfQcFjTZt6Cf+9VdqzceP8/qNGEGSGTnSVS6RJF6DgQP5tQiLPC2NYf6356lMsWoVo35kmWR9/TqP3aoVFTWRaCXLPI43ae6HH/i9OicziQp/y5axTHBICFcZP//smTNlMjGCKj/gzaqXZa50kpM5+ckyo/UcDtYRT0lRct1MJl5bvZ7X12VilyRc0N4HWwUAxY+7AagEXqA4f55e/Lg4OlM6d87jDh1BQYw/mziRd2+ZMmS4lBQ+deIpfv11j00vX2bYmXun7sBAjvfwYVo7O3dS/y5ThvOA1+CPy5d5HKtVMdmtVlrQkgRMmIDhw9lpZfNmpTu6Xs/l+4gRtw9evz5Oautj925Ac4EyhKhbsW4dZRhn0tHrOf7VqznZiFZZBYmQEMYdb9qkZHmmptKBtnUrydLZAm7QgIS/ZAkjVfz9Sfb9+mXdbzMhgQFFohM7wG3sdtZKWr2aBG428zgZOZe3bfO+YhGrmr//5uT0yit0VjrLX2Yzrf4XXsjxpcoWOnbkJCKiTywW3n8iHX7xYt6T3bopyVmiUYiQjBwOpRVcrVrKucoy95NZDZiiDpXACwhz59KJIpaD33xDx9b332e/Dke20Ls3We7LL5my1qULm/xVrswDZZD6d+qUUuTJHTodLZyxYznpZInly8la7uwaEMAnbtQo6P39MXcuyUE469q3p/9o1y4+XD/8QHKxWhVr9fHHGUSzY0fGS/akJM4fVaqw7kq3bnnfyiojiPBIYfEBvK6iRsiaNdSanVGlCuuF5xQ7d5Kc3M9NyF8bN5J0s4KfX8bFvIRlD/D2+e03hiGuW8d75ZFHeK3dwyfzCs2aUZffs4eT28WLJGOAikdYGPO+/v1XqSt+8ybvGefa3yVK0Ll76RIndoeD5/zgg5wsiytUAi8A7N9PHTkgwLUM65UrDMv6/vs8PuD99+dYQwgOztgJZbPlsODPnj3ezUe9nk/f2bNAgwaQJBayiojgsWfOZFMISaLVHx9Ph5uQJh0OSjZ163IecicdUaNaHOLqVa4avvyS2ZDZSfG/U5w8yWW7+zwpMrZXr/Yk8NxCSAUZQcgnWaFfP2XSdJ4UU1N5zzq7S8LCmKz4/POu4xANh7MRjp8jaDSc8+fMYSKS2cwJq2RJHi86moQt7gVR7tjZypYkheyvX2fZ2YAA1oB5+OGCm9zzA2oUSgFg+XL+dq+hHRLC1PnsNhPIK8gyrcEDBxQ/Z5MmHI97gT8R1JIjKyUoKPOi2V5CGb7+mqsUPz8+XElJfHivXVOISKMhQSxcSMtaFKQSuH5dWVpHR3PbtDRe49zGVOcU3hxuAsI5mFdo2JDH8jbxarXZT7jt3Jm+4bg46soWCydPq1UJHfSGlBSqcY0bU+po3JhJPpkEOOUKPj5UBV98kdbz/feTkBMSqBCKMrGi6qDdzrHbbLwXKlQgoRsMSjr+d9+xtEBxJm9AJfACwaVL3qMQhFWW28SK3ODkSYZN9+rFZMwHH+RDKAr9abVKqdG4OJLn//6XcdOE5GRKQ82bswzo4MHAmQcG8ulxDwOMiqJJXb26yz4cDlpYvr5KpIOI4pAk1+tjNNLae/BBWk+iE0tamlKkClAiKaOiOEmJSTS/cf/9/E69EXVaWtbVGnOC2rUZ/eNcX93h4P8VKmRT7gKv+aJFjOKoXJnfQ5cujOv2lj0qjvP00yRCo5Fzto8PVzvPPJM/IYWlSrkSblSUa4lgUbBKPGslSzJpS8g7SUlc7WWXtEVug3toaFGCSuAFgPvv926ViILyBZU3EBVFB9nly3zgAgJ403/3HZ1hTZow1XzsWC6be/emVj95sner0mzm/mbNIpH7+NCq772oFyKrtaEZFx3NWeP0aQ7gwgU2oBSeS/AhiY1VVBeNRtFxNRrXB8hspu9Aq6Xk8skndEwZjXzgDAaOQ3Sr12pJplev5uulTYfRSGsxOZnjFkWzYmO55B8wIG+PN3cu/QLJyUpLsTZtKDXlxLo0GCjtbNzI73DePJJdRvjzTzq2Q0MVC12n4/979tC3kdfo0kVR4USopXBSigxWnY4+BdHoWJR8EJ3ss1v3Zts2GjqNGrEEw8iRNMSKGlQCLwA8/bRCJAKiE03nzkq1tPzGd9/xIXeu4ywSHH/6ifxarhzDCb/7js0XmjfPWBJYu5Z+UpFkIeJuNT569I1dDPsrr5G5JInmUK1aXOd+842L105kZAorUpJIdiKzWcQdOxwkxdGj+b9GQynl55/ZV1KUbXWGkBjysABclhg6lMFAQUFK44fOnenAzKJseY7h50eZ4+BBfod793K1kddNCtyxd6/iXHaGCDg6eDDn+7RaSbQZWe8hIbwnhcQDKAu9ihWVicTHhwu9UqWURhGiYXN2alT9+itLWly9ymMGBbE6xWOPUbIpSlAJvABQrx59ihYLH+jYWD7UzZrxQS8o7N2bcYq1VktPfnYgyywp+847nBDcnYm+vkB8kh43j0cpDQjLlSPDCpb/7juu9cGXe/d27blYqpRS8ttopDySmMhkOW8xx3Y7JxL3nptCIy/I7GhJolX8xx+0Zo8fp0SRn5X6QkIoYeWUuK1WOnrXruVCKbvSh1jleINGkzPr/+ZNxqfXqUMHdefODMP0hm7duEocPZo+gIAABl2JCpCyzPvk6afpmN28mfvatSt7kpLDQSnJaKQFL1ZyoaG8PwvKl5JdqFEoBYQ+fagnbt9O0qtfn7G5+ZG9lhHCwjJuhi3L2WsucPYsHVY3bijWT3Q0NVfnOGNJAnyP7fMu/ovGlGfOpFfynzyZqfsXLypJREFBlHW6d+du2renxukNtWopDSKcE1AlieedV5EfOYFGk0XTikLGkSMkQlFZ0OGgI3LBgqxXCg89xExN4asQEP937Ji9McTF0bK9eVMpz33tGmW8Dz5gspY7KlWiFPLcc/Tj/PWXIrNJEg2mCRP4d047l128yPunku8tdLn5PZok7USSNghbQvtjl7EzNmzQYvLknO0zP5EvBL5u3TrMnz8fVqsVw4YNw6DCeHqKIIKDebMWFgYMoPUitGWB5GQ+sFk1+bFYSKI3b9KSF4kVDgdDIv38aLVYLHyIAyuFAjez18I9LIyxxb/9Rutep2OMcefO2UuLF9rz228zPV3ILzYbSTS7aen3Cm7dotTj/DXIMnXtZ56hhp4ZatTg9l9+ye/HaCSJ2mzcPrs1x7/7jsaA84Th78/v/733GCmS0ffv58ca7zt2MEEu+Xbt70aNMjZUsoJWC1SxnMacyH7wsyfCJhmggQ2NE3ehrV9HLCwxD0XJ7s3zkdy8eRMzZszATz/9BIPBgAEDBqB58+a477778vpQKnKINm34QKxdq0TAiHoks2dnrROvWeNK3iLxR8gWN25QKTGbaVHrKg4G9v/hOWMkJvIJdzOnfX0pkfTtm7vzGzqU+/j0U1pRssy6IG+/XSzLXOQrfvjBM9dKkijFHDnCMNOMVjsCb75Ji33hQk7gdeqw1kpOaoP/+qv3lAEfH65U//03c2eqXs/VwNGjzGaVJJK6zUaD5ZVXMum2dOsWLZr4eC6JW7VCpUoaTE16CT62ZCTqnS6OLKN50jb41VgP4NHsn2A+I88JfO/evXjwwQcRcjt2p2vXrti4cSOee+65vD6UihxCo6HDq3t3+hFv3aKTcujQ7DUO2L3bNWxLkvigiZjb5GRac2+9dTtuXO7MAhq//soP63Q0z/38GLqSx/qRiFd//HGem69v3tb8vptw9KhnUSqApHn9Osvq+Pnx+yxVipLI0KGuZXElKePeoNmFkMu8IbvO52++oewTHMx78coVGhGffKKENb76qls8+/ffs0mp7XbLeJ0OCAqCFBSE5qb9MNn9YIcWaboAyAAcdgnQ69Al6mvc1QQeFRWFkk5iaKlSpXD8+PG8PsxdDYeDNSe2buVD8tBDJNq8iKTQaKjFZxTfmxnKleND5ZyxJ0mKw6p5c6Z3p49T0jBsoFcvrpVjYxkGMGhQvhYq0WgKLrKnuKJCBU+ZITaWkReyzMk4JoZ/nz9P38e335L36tTJu3E8+ijw/vueuV2pqZx8vdRcc4EsM5TS11cZq8NBPhat35Yt47lOnXp7o7//VorIiDZIV68yTtBggFaSEaRNQYD9Im46yiDBUALhJYBSgXrokmIzG06BI88JXPYynUoF6akr5jCbWczpwAElq++rr5gpt3Bh4WaODRpEx5LZ7KpLimzNyZO9TDIaDYXs7GaVqCgQ9OvH+4r9V0l616/zPdH4SBSzEj6N1FQ6D9evz9txfPstiTcoiMdJSiKnfvyx91WCxcIIn+hoRvbcvEkN/fJlSoLOoaOijOy33zL9PywMwIoVrkVkUlMpo+j16Q+dVquBVgdUcNxAhZohvBhxqbRSihDyPIywdOnSiI6OTv8/KioKpfI7KPUuwty5DPcLCqLzLSyMf//+O0PRChOVK7Nhg1BCLBY+MHY7yb1793w8eFoaRfinnmIc4Xffee9aoCJbqF2bskJyMiNBoqKUCBJR4tfZ7oqP53146lTeln4IDGTG5//+d9tyjr6BSf7z8HvjCXj44jyPwOvDh1ncaswYGgxPPUW57MwZxe/hcFBKSUvj+eh0PJ+TJ2/v5PRp1+iohARlWanRKPGrAklJvFAGAzB8eN6dfB4gzwm8ZcuW2LdvH2JjY5GamorNmzejbdu2eX2YuxKyTONAdHkXEKW8v/ii8MYm8PLLzFLr3p3RHm3bAr/8wua8+YbkZJpqEydSW/rzTy6Be/TIUW9PFa4YPpzuieHDWSohLIyp54Cne0Lwm1bLryMvERpKv8nfs7bjoF97jDR9ivKH1wGffgpHu/ZwbNkGgBb3U08pPTmDg8m1otmUWPyLsTtr6LLsJNPcd59S0hBwLagjy9TfSpRQQplSUniwpUu5bRFCnksopUuXxoQJEzB06FBYrVY8/vjjiMjMjawiHRYLAzS8xQ4bDNQk3QM6CgMtWpC0HQ4WoZo2jRZR6dKUf55+OuMCSLnC/PnMhgkLc2WWS5eYCVWQ2VB3gKQkrtCDgws2/j8z1KxJS1YklgFKMyfn+yw4WJFSqlbNh4EkJDCwW6cDAgKQmETj25FihrbHOCwfvQ8hlYNhNruWrnVvmypyE4QxLVrBhoUx7wIAZ4FfflE6k4ii91YrT97Hhz6akiU5a8ybR09tYT94XpAvAY29evVCr1698mPXdzUMBpJgYqJnWc7UVEaKFKV76I036NTy9aXBkpJCjfyff/IuyOTGkWvwn/oJ9CkmyJejYPULhm/FktD76Wl+rV3LtPw86qEmy+xks24dz6dNmztvvPHff8xaPXCA/1evzgJi7drlyZDzBEFBbB48YwY5LD5eIUOjkREpSUmsCOgS9mexsPjJrVtk9qZNc3eTbtrEfYWEICGBMo0kARq9Eb62eMSv3IQlmn5eSwKL8rFhYRy3mGhEeQZRqjh9WBERNPmnTVNmVbGBSJcVzbN79+ZPEUXRiUhXAUliBtrUqUrWOcCb0Gzme0UF586xnZdzBx+jkePesIG1JOrVu7NjXN13GaZOjyE8NQZ2aCHJEnySYmD7LwFyreow+N12Orl7VQHIDhnXDl2D3epAheblodFlTSoOB4n1hx94zTUa6rNVqnCiyk1W5fnzzCZMTVUs78uXKVssWeK92XFh4dlneY6zZ3O8osBYiRK8HuPHu92DR4/yRBITlaVhlSosxuIcb5gd3LwJ2GyQwUxMSaPcVzrYUNEYBUsSjWT3LFE/P3JtcDAPazJxtWqxUJ759Velk1M6hgxhwPrGjWT9KlU4iWzZohR5GTYsex0xChEqgRcxDB7MdN6vvnLV9EaMYAH6ooLduxWSc4ZYtu7YcecEfmb0x6hmiYNZ6w+DwwyHpIEMDTQOG8yXb8JQOZxLXedGkwD+XrgXllffQngyy8cdN5aF+bWpePC1zPO7f/uNk5LosSlw/jyJfcGCnJ/D558rma4CAQF8bdo0WuFFRU6RJGas9u9Pw9THhypVcjJLFbhYv/HxDAx37hYs4vieeopkmBNLvEYNwGBAmvn2feUUfWKVDLjqUx1hBpK7UD4ExPUU5YeDg6l3JybSVeJB3gKlS3OsAo88wvOKjWX7oYLoAHKHUAm8iEGj4epuxAiuTCWJIYQ5Cpt2OFgOLiqKy9p69fKcJbIqepRZp5jswGFzoMq/G5CsD4Ys61HWchGS7IAsaeCAFj4p8ZBT/SGNH+9ybqe/P4KAccPggAYpOhJLQNothLw1Gof8lqPpCxmXo1uyRIlYcEZwMB23cXGumYvZwbZt3mvM+PmRHG/dyv/KgTmFCMQAFKemB375hRqTuyAdHMwTO3Qovc5NttCxIxAeDs31aMhQUif97Qkw6cJxMLATDGbKT6ITkfjaQ0NZQXPJEpK2eP355135OVsICcm//nD5AJXAiyjKlcu6C44sM3wqPp7O8bAwMFZq5Egyg/hQnTrsS5WHTNGqlRI/7Ex4skzr6E6lAbvFDq1shyxpkKwJRJS+AkpYr0Ej2wHI0ECGPOllSG5599GTP0U5WUaaQbHKLTp/aGyJsE6bDvn59RnOZdeve6+9Jao1xsbmnMBFyGVm7xdLnDjhfRYXnTQuXMgZgRsMwDffQD/sfwi+cR2ylZ7IGEM5vF1lGWwaA8xmavA9e7J0bmQkHZOPPELOHTOGZQCsVr5+L2ThFtfb557HqVO0MC5cILnY7cDAnia8tX0QNCnJrsvaEycYaLt+fZ5Z4jVr0rezZg2tSaORkVnJycwcvdPAI72fHpGlm6DMreNI1QfBpAtFojYEvo5kGGzJuFy2OR4cO8Z1I1lGxSv7kKQL8difWRuACgknkBiViqDS3hs33n8/E0TcHZYiYzE3zacfeYThn+66rcnEa5TX9cELDBUrZrwM02pdS1NmF9Wq4cZ3OzB3wAFc3huJq1IFHJObo2SKBo4kGjWDBnESffFFz80NBnZqupdQhGIaVGQX0dHUKc+f5/Lc358/cV+tR8zFRFfTQyxrT59mFkQe4qMPZbz+Ygr8jA7ExNCafPFFJiPlxTwR9O7LkGQZPtYkGO3JCLDFQW9LgUVjRPD01zw3kCRYtT6Q4KnfSLIMB7Qw+HpJ7buNMWPISc4Ws8NBsn3ySa+tPLPE6NFc+MTGcr82G6UYvd4ptbs44rHHvC8vkpJ4U7Zunf6S3c4o0MOHM8+9io0FHuurwY9XW+BwtSfwd0ALmC0aXLnCollr1uR8BZRd2O1MMVi/nlFDxQUqgRdDrFpFrS84mARz8yb5uWLcMZhMMkwmtw1EbvHZs3kzgNsB4NrWLTD8s3o4kFIfp4dOw5HdyXjuuTyL6EPd/z2AlHc+QQn5FiqmnUUZ6xWEy9EoWd6A+xt5KWEH4FqzR+Fnc78AgL8tHudrdIExKON4wAcfZOXCtDSGJcfHk7y7d2fWYm5QqhTl4qFDFQdv9+4ko2KdHlG+PCujmc2ckeLj+WMwUIy+vYzZto15A088Qeu5WTOqed6Md1FgLSyM9/Z997FIYI0adOzn12rl+HHON089pUg0/fsXjxwxVUIphti7V9Gfz50j4VSUIlFSvgV/ORmXLwSgcnU9Ap2DM0Tb7rzARx/xKTQagbAwSFYr9CuWAcePMAYvr4RdhwN1ts+FXCkENkN5QJLg46uDlJTEcJ1duzw8hHUWjcf1B3YgMDUKZq0fAAk+jmQk60NRY0nWLDxoEB/gXbvITU2aMOP0TlCqFK3tnFjcDgfJ79tvueJq1owEU7nynY0lT/Hoo4z7XrOGJQDr1qWudttMPnqUlQB1OuVrslqZK+Dn59lkY/16Tx+EJDHPIDGRRkpeFtICSNKDB/MZclYdDx3i6mnVqqITJeQNKoEXQ4SGcikeGwtYzA68Lb+FJ+zfQwcbwhCDUFsc4s6XRkD9kiwklpLCJyYvMkeiophSLCoPAUoWxd9/M37woYfu/DgAI2kuXYIUEgK9JDE4+fotnnx8PJ8ut9oUYfeXhubIOvz94hcI2fUzNA47rjfvh9qfjEDphtkL5QkOZgHFwoLDwZIFznXbT55kLPry5TnzDeY7KlRgBpAXzJ3Lc3GOxtPrScgzZ7Jet3OxqqyiDvMjiW3NGu/BNCEh7PTz7795P2nkJVQJpRiif3/eZLGxwHB5Mfrbv4UJQYhGCVyV2Pwx3HodtivXubyVJJYy9BZikVMcOKAUxXCGkGk2brzzYwicO6cUFbpxg//HxCht7N98k44AN4TUKIk2615G/YQ/UDdxP9ptfTPb5F0UIHpUBgVxMvHz46Qty3Rc32mIZkHhzz89QvQBcOGWkEDpzxl9+riWKBFISeH5ZxjSeAf46y/vFrZIxT99Ou+PmZdQCbwYolUrdq2xWx0YYVuAJNkfjttfZbIhDFeMNWHShELW6hggu2NHjstgnjrFXIyjR930yqzMIG/1P3OLkiWV6knR0Ty2TqekPdtsrJ+R3U68xQSinZn7pfb353x89GiBDylXCAry3tpM1IhyJ/f+/RncEhtLqUU4kK1WJj3lhwVerpxnU24B0U+1KEMl8GIISQKmTwdGDTAhWEqETesDnQ4w+jCDLQ0+uGmoAL2/D3OfcxD/HRXFyaFnT+CFFxiL/tBDToZuixb87f5kigpCDz+cNycJUPLx86Op5txFQvxdpgwD4c+cybtjFgHExmbsRpAkeDqpiyiefJLWs/v8mpDAGjPucdpBQYzvHjaM5J2QwD6tX3+dd6qcOx5/XLEFnCG6S4nbvajiniRwi4Vp08OG0Ts+bx4dVsUJGg3w2rv+gI8BPhor9DrWjxDWTbnwNEilc5a443Dwmhw9yocpIIA38eXL1CtTUkCT5Pnn6VVKTubTmZZG0/CBB/hk5hV8fFgEXVRVstv5t8NB7dVgINM51Z+/I5w+Ta/h6tWUagoJrVrR6nSHw8FLUJQ1WWcMG8ZIm/h45XaJi2O9lXfe8b5NWNjt0rJ/K/V28rOHQs2awGuvcWyxsZwc4+K4yFu4MO8iqvIL95wTMzaWWtvevcpD8uOPwKRJLMJUnEqXlyqvh+GZAbAuXo4bllBAkqDXAWXKyQiRU4GnvWQ7ZIJDh2jMhoS4tkwLCuJ127iR1w7PPsvSiHPmcIMSJZj9OXp03kooACeFZ55hNoxGQ9IODeVvUbn/TuubpqWxUtOWLUoRaUli7ODTT+fJaeQE/fvTT2wycQIVyY0JCTQ4ilrqfUbw86Pjdd06BieZzUC3bjQGipI0MXw4J81Vq9hZrUEDWubF4TrfcwQ+ZQprjLjrXikpXKadO0fjrrggZNpLwKVjCD92HLLVDo0WkDRaoENnBh/nAKdOKe3R3OFwMF62Tx/wA6LMprO0kV8YM4bB1FqtUmdXlmnade165/01P/yQgn9oqHIuViubNd53X4HP6qVKcSEwfjzjn0Wm7ZNP8v4tTjAaOek88URhjyRz1K5Ny7+44Z4icJNJKRXqDRYLi9uvWFGw47oj+PsDq1ZBs2sXA4d1OmaKNGuWY69PSEjmBrRXi6QggmSrVWMpwOef55coOiu3a8fGiXeClBS2ZwsKcj0XvZ7/z59/ZwRuNrO05IoVNKEbNWLYXdOmmW5Wpw7nlNOnuVl6rRsVBY6oKCp3ZcoUrXr8wD1G4HFxzPTNDJs3F8xY8hRaLdChA3/uAB07UnY2m12L9lutPESh1rVv355x4X/8QRKvWzdv4sqiopQ25u7w87szB6nFwlXQoUNcOej1HP/evex4kYXDV5JYxlVF4eDoUUaq/vsvv4uyZWngdetW2CNTUMTmk/xF6dJZf6YoZ13lN/z9Wcxf1OtITOTvlBRmEeaVtORwANu306AeOZLaY7b6ExuNQKdOrMPhjbwtFjo0vXkAM0JYmOIgdUdamtKhJTfYuJEFQEJDSeA6HZc5Pj5kgszKFKooVJw6Rcnq1CnO4w4HI7HGjAG2bi3s0Sm4pyxwo5EhcplJJD16FNx4iiI6duQq5Pvvmf1XpQqdTrVr583+rVb6Onft4v8aDcPUP/+c8lauHEfJyUzvX7WKs4+vL52Pzz6bdRhBUBBNqvXrXTVw4SC9ky7kq1crXQacYTRydjx6tIilVaoQmDuX87fVyigs4epxOFir/9y5vPfX5wb3FIEDlFJ//pm6ojsCAop5hbg8QqVKTOXOD3z/PfD7765cCbC285QplJxzBBH7ePgwydjfn5bt7NlsLDBjRtb7eOcdPpGnTytNFHU6hoP07JnDATkhLS1j0VSSvGe5qCgS2LGDt1ZUlOscrNEwUmXOHDqZCxv3lIQC0Di7fJk+PklSUmarVCGxFKcIlOKCG0euYUebN/GvX2NEDGuEcdcnIywl0uUzwcFcmuY4SeWPP2jJhoYqOrbBQKli3TqvqfYeCAnhrL5gAXsljhnDXPb33rszr1WXLt7lHEHc9evnft8q8hU+PlTjBEc4Q5K4ii8KJQ3uOQIHaKgdPMgEv82b+fyfP8/KcyryFtcPXkFc616otv8baGQbJIcdj5i/x6eneqJE0sX0z2luN7FNTMzhAXbuJCG6P2UaDS3pffuytx+djvr622+zkW3dunfuEHn8cTpeYmOVpz0tjSc5dqz3XmsqigT69vW+gHI4+LUlJFC5K2zckwQuULIk0LkzDaF72XmZnzg1+jP4W+OQbAiDXWOAQ2tAnBQGfzkR/S99mP45i4XScHYbudw6eQt/L96PmHNxyLQSSmH2LAsKog7euTNJ22Ti6uCttzKs4KeiaGD0aN6PIvFXlhU7oWRJvlcUeh7fcxq4ioKD7JBR5cR6pOiUJrU6HWC3AAkIRlvzFsyX7bA6tEhKYjF993Zm7kiNTcWBLm+gyvFf4CfpkGZPRpocDUnrC58QpyfKbqf51K4dzq3/F5Ff74Bss6PsE21Q84kGkDQFNGOXLctyAImJjGEtVapoeL9UZAqR7j9tmlIhMSyMScfJyfSRF4WvUSVwFfkG2SFD67DBoVXIUqMFDHrAYpGggR3JJjss0OJ//6OqkBUOdngF1U6sR7I+BLJGgzSNL/zTTPA9dw626lWgC/Rj3XCrFY6xz2JXr09R5egaVJOpO9t/no3db7XDg4fnwRCQxWyRlwgMVCWTYoZnn2U7WWeVLjWV7d289eQsDKgEriLfoNFpcLlCS5S/ehApBsUK1+qAMDkRl4KbYsbnBjRqlD3p5Pqfkahy8rd08uZBNLhqrI6S5kjok4AQvRmoXh149lns+yUG1f6a6/p5WUaV89uxd+BctP+liDyF9zCEm2LVKjoNH3yQFTCLQh0Sg4GLp4MHWSfJbme5jdati4b1DagEriIjWCw0NwID7ygSo8SHkyAP6Q9fayJSdSwAbbQxHbbUp6+gTpcsdnDxIgPEL12C5YoEGbJCxrehgQyHpEFimg9CnhnBVl+VKiHgyTZI0/q6fl6SkKoLQLnNy2G3vACtoYg8ifcgZJnugO++UyI39+0jaX73Hf3IhQ2NhpNKUe12f087MVV4QWwsozDq1+dasWVLVlbKZdOEWv0bInXx17gVUgP+1gT4WxMQG1wVyfNWoM7QzOuB4KefGIq3YAGwaRPK7P0J5WyR8LUr9RAMDjMqm08hzBGN8JTLTFHv1An45huEplyFVevZ/NiuMcDHnozkqCIQRnAPY88epQxNaChthdBQlnK4C/t05AtUC1yFgtRUJq+cO8enSqej8+3NN9ku/IUXcrXbOk81A55aj9gzMZAdMirVCM/aiXjtGsu5Go3pnk1DUBDSYmJRznIR5411IEsalLVcgka2wQEtHYah/oy9njoVycZwGCzJsOhcwwV0dgssGl/cOHINMiQEV1C16cLAt9/yt/sCLzCQyTInTgD16hX8uIoTVAtchYJffwUuXKC7XYTf+fryiZo3z3v6ag4QViMc4bVKZC8CZO1aio5OYSmSJMFRsQok2YGwtOsItMTA4GAnjrSAUPiG3yZqvR5wOOBXtyoMDjMkp4wLjd2CMmkXEWK5Cd0TjyKmWjNsbfEGUmKLWUePuwBRUd4rHYiWq/HxBT6kYgeVwFUo2LDBu94tyPzw4bw/5tWrTHcfMwb45BOmvwO0wL3Ar6Q/NOXKIKZWS6QElIZV7w97hSoIrFkOknMwv1aLSnUDce7BQfC1JyIgLQZBadGolHYWMoBonwow6wJg0RpR89C3ONBifN6fm4pM0by593peDgejPmrUKPgxFTeoBK5CgU4HyDKsZitSbiYiNSYZsrMQmdeu923bqFfPncvyhPPn082/bh01eG+TiSxD5+eDOssmodYfy+BXqQT8SgW4kjcA2O2Q6tdHh93ToNm8CZeGvIlz9R9BrLYkrvrVhHz7XBwaHZL0oah6fhvObsi4dGzchXjsHvElfm/+Cnb2/xw3//I+wajIPgYOZMq6c0ajw0HLu3fv7FUPvdehEriKdFgfehgpV6LhOHEK2shL0Fy8APPR/5B6I57k3axZ3h3MZGI9WZ2OnivhyfLxYX+7Bx7ga87FUUQXnqpV+f799ytNF50nmpQUSi/9+gEAKrWvhjZfPA34+8MueXH7SBIkyLjx2xGvQz3x1RHcur8NKn45DVWP/IgqP32GlOYdcGjqury7HvcgKlRgTZGgICXPyWRi/bB33y3s0RUPqE5MFenYM+sQHrBYIcEBh6SFDAlahxW4ehnR//sIJTLIHbbbgbNnqV3ed182ow63buX6OTjY9XUfHxLwzp3AN9+wnOutW3xPllnXdskS5SALFjAt7tQpmm9aLR2f8+cD5cq57FoKCoSUQeK9Q5KgDfD1eN1sskAzagS0sg0phpD013W2NIS/PxHRfZqgREQ5j+1UZA9Nm7K/xZEjJPHatT2+NhWZQCVwFQCA+EsJqHJgFSJ9qiPYHodgeww0sh1pWj+kwA//7opDey/brV/P+k+i01lYGFOQu3RhddbFi4EDB1jwb/Bg9mLQ68Gu7xk1XnA4SNq1awO7d3MHUVF0qO7cyZZxssyDPPccJZfDh3nA8HC2WjN6hg9WHN0Dji1LITkcLrHhWocFMjSoM8azddrxubtQ0p6EVH2Qy+s2nQ98LCn478O1aP1NNlJIVWSIvF7c3UtQCVwFAODannPwkTSwa/SI1ZRCrF5JhdPbUuF/8qDHNnvWxeGT5+Jh9SuHwEAfACTysWNZT/yzz8jRfn7k31dfBX77jQa0rkaNjAuf6HSURwBa2i1asGD4I4+wRZBISV+9muUkf/qJplwWfSar9q6P3a2fRKU938Iu6WDT+MBgZyugq6PfQbXKIR7bpF2JgiRn0EQVgONyZIbvqVCR31A1cBUAAP8QA3wdSTDYUz0yKHSyFZbAcOWFmzeBESNQdcAD+OJ6N6y+2BhP3pwBjWyHnx8599VXKakIWTsggH/v3s1OY2jThmtlZ/1alhmqGB5OZ6YzZswgeYeF0YTX6/l3UhIwfXr2TlKS0HrbO4h7ew5ulamPNEMAIqu3Q+qSb9Fq7kCvmwTUrwpZ0nrNKpEhQR9Rx/U1mRGQXbqw61u7dsDXX7t2bHM4Mm6srUJFTqBa4Pc6HA7g889Rad58mOU4lEi7CavGBzf1FWDW+gMOBzSyHcbhg/n5lBTgiSfguHoVsdZgaPUa6GQr+kfNQZAtFgvLT0vP/6la1fVQIr535UqgZ08tmW3ECNemC+XKsdXJ4cN0UAYEkBXXr6e3yx3BwWyfYrFkXcoQgKSR0HDyw8BkLw2FU1M5u+zbR83n0UcRMbI5jr5eCeFJF5GiD06vO+xjTUKazh/1XuvlsosPP6RsZDBw6LduMV388GFgwgRGSm7YwDC5pk25UlHlAxW5hUrgRRzXrpFXKlXKur1jrrBgATBzJqSAAGiqVYHjzDnoHWZUSDuPKG0Z2CU9LtbriTav3C5asm4dcO0apJBQ+FxJRZDVBA1kpEp+6Br7PVaVGocEa6kMHZlarVPThgoVyGZHjwJXrtATuny5Yr5LEjXuZ54h43nbqSSR4O+0Pcq1a8ATTyhd6mUZWLYM2qefRpkNX+BG9/8hLPkyNLIdDkmHVH0g9MuXILCC4oS9epXyUFCQEnHp50c5fu1aYNMmpokHB/NUjh0DBg3iKbdseWfDV3FvQiXwIooTJ8hj//5LMvD1ZQnLIUPysPlEWhozLP39Ab0ePno9rHVrwXwtDjpTDBwhYYh7/TO0GdcOGt1t8ty2DdBoIF27iqqOOMh2GZCAYEiwSXpEJP2BM/bHEBBAmcC9n4LFAnTo4PSCJAGNGnEsL75IthMat9VKIT0khJLLnj382xmJiUDDhl6dlrh5k6avwUAd3d8/42sxfjw/77x/ux1YtgzlW7dG2Vub8c+yg0j65yJ8K5dCvTGtofd3tfh37iTvu4fLazRUfxISgGrVlNeDgqgATZ1KclebiqjIKVQCL4K4fJmd4IW1Jknkt7ffJkE89VQeHejSJZKkU51qvVEHfbWSQFoQKgX7o9KEDq7b+PvTUxkXB61eizRZYsdusLBUm5s/4GCjx9CxIxWSgACuHGSZmwUGAk8+6WUss2bxRJ2JWK/nzDVrlhLOInYCkP0AznTOsNsZCvPtt4rVrtWSKW/HhrvgyhXgr788QxpFN9svv4SmbVtEjHoQQMZl6Wy2jAswpabSB+AOf38qSDdvAmXKZLhrFSq8QnViFkEsW0apOViRXOHjw+X4jBne049zBX9/pQu7O2w2T0IDGAdoMgGSBI1GgtEH0OsAze3Y8XZ+h7BuZQqmTGGejs2mJGjUq8fKsF5rPR89SrZ3h9FI87V8ecaF16lDx6cwZ7/8kkk9zpg3D/jqK+5PNFLQ6YDJkxl07I5bt/i+NxPYYGAETDbQogXnC3c1R5a568wWACpU5AaqBV4EsWMHDU93+PhQMbh4kREOd4zy5Vl0+eRJV7KWZZr8A71EZrRuzcElJTFdXZKglxyAQQLKl4ePFgDiAY0fXngBGDWK4w0K4uEyREgIT85dfxDhGn5+LG+7di0JXZZp0rqTrsXCgtIBAa77MhhoBs+b5yk4V6rE4zgcnjq72Zztkng1agA9etBNEBDAQ1qtPK0aNbw3bE5O5jykpo2ryA1UC7wIws/Pu09O+Oq8yb3ZheyQcetEFGLPxvKFjz7iAePiaPYnJtLCbd6cTj13aDQk8bAwzigaDS3cqlUVvSRcCTn09WVId6bkDdCbl5zsuRowmYCuXV06yMrBITi39yZOLP8TCZfiXT8fFZVxRIqfH/DPP56vlyjB/G33lPy0NE4Cw4dnMXgFn37KlYckcXdWKxNFf/6ZlyU2Vln0mEz8PqdOVfVvFbmDaoEXQfTvTwnX19f1wU5MpLVWsWLu9vvXzN+Bt99BWNJlAMDp8HoImfU2am/aRMlh+3aS8IABQK9eGYflPfssibB0acVLKcucBEaMILHnFE8/zaXH0aOK9my3s8b3m2+mf+z0j8eRPPIFhCRfhREaxELGkVYD0frXydD76bmSEIHW7ta81Zpxnva773L8u3crETA6HZ2odep438YLdDr6Q597TpHrRfTQ2rUk+F9/pbTUrBnDCLPIP1KhIkNIslw0+l5ERkaiU6dO2LZtGypUqFDYwylUpKTQ0ff337S2tVq+5uNDv1zDhjnf57E5uxDy4tOwafRI09Ka9bUlsubJ6jW4r2ft7O9MloE5c/gjlgUaDdC5MwOhc9uGzWJhWOHq1fz74YfZHu12/PeNI9eQ2LILdA4r27NJEjQOO/xsCTjX+il02DGF+3nuOaZ8hoW5jjkujjPj4MEZj+HUKeD4cQrW7drli3AtohSLSl9FFUUXWfGiSuBFFCkpbDf1/fdUFtq1A0aOdA1Dyy5kh4zDpboh1HQJaXpXR6G/JQ4Xa3ZBuxPzc77jGzcYO2c2s7bJjz8C169Tqhg4kKZobgnw5EnuLyqKpuqjj+L3AQtQdesiJBtcwzk0Djt87MkIPXUAIVVD2R33iScYXSJJZExJAjp2pAbuHtuoQkURhUrgKmC6koC4ao2RrA/xEFs1Dhv0jjRUT/s39wf45BNW/zMa+WOzUe9p0IDtxt0zkE6dAmbOJPnr9Sz+/Nxziidv7lyGDgoZRJaBoCAcuxQM/6SbHi3SAMDHlozUectRb3hzvpCaSmt+2zaO6ZFHqN3fQYNmFSoKGlnxomqK3APQGZ10ajcClyDDJmWdgp4hoqOB2bNJmHFxtL7Dwhgh8vff1LW73M7itNuBXbvYfcdmo9QiywwY37yZ4RtXr5K8/f1dLWWTCZVTriHO4aV/pSwz3b+k03u+vkCfPvxRoeIuhUrgxQR2O1UFm41RHTmJRPEr6Y/Iii1Q/sp+l5rWAOBrM+Fsi6dQK7cDe/11SinC8ZecTFKvUoXvb9hAbXz5clrWp09T3w4JUTx84eGMxV6wgOGJDoenzBEYCKN/CozxqUiVg1wmIqMtCQl+ZdGg5/2Zj/XGDWrjsbHs+NOhQ7bqp6hQUVShEngxwLZtwGuvMXdFBEdMmgQMHZr98LPyC96CtXdfBFhikaoLhCTLMNqSYDKWRr15uaxnffIkrWYxKAGHg+mkZcpQsvjkE5Kzjw8jQXQ6nkxqKgOkNRpGv/z8M2uAZ9Dp1qdEAKICayEk8h84JC3skg56RxosWl/4LpyVebPklSsZzSLSJfV6Sjbff5+NGEcVKoomVEGwiOPIESoOSUk0WAMCyHfvvMNgjeyiSpea8Nv6Cy407gtAgk1rwLl2T6P0gV9Qqp631MhsYPVqEqEoKCWg0XDJkJbGGiaiwpOPj2uInsWitB6XJJJrw4beGz3IMiSbDfW3zMCtKZ/jasUHERNSHec7jkDA7o2o1b9hxuP87z+St9FIeSc8nOO5fp0XNys3UGwsU+2vXMnhBVKhIn+hWuBFHLNmkV+cu5kZDOTHTz+lxJtdv1z51lVR/sDH6f9nP7rZEw6bAzGbjsD/ejw0dg30sgWSXgeNVqOEFlatSi1bo1EsdIOBxC1ivU0mfiYmhmGDTz4JfPEFw3DESYsQwHbtoLmvGpq+UQ14o1v2BysKcrvLJcHBJPf//lMaSDgjNRWYMoUB3FotJ5bGjXnhMwnGN0Wa8O+8HbBGJyC8bV3UHtg489WBChW5hGqBF3EcOuS9RIivL6XmmJiCH5PD5sCuFi8De/dCb00BHA44ZEC2WOGwWEnMwcHA55/T6na2cMuW5W+RjpiYSAKNiQG2bGFmy6efKnUDTCbKLS1bcjbLDc6dy1CWgVZLS9wbJkxgKKO/P3+Cg7kk6t/ftZW6Ew6+/RtuVW+O0p9MRMUv3obv0wNwsEIfxJ2Lzd3YVajIBKoFXsQR4mdBqxs/4hHT1/C3m/CP/wNYU3IULhhqQ5a910zJbxybswtVj65FrKEMAixJ0MAOGwzQwAG7XQPfMmUgtWzJMMLUVFrfaWkk5cBAOjivXqUupNGQGMuV4/sHDlBW2bcP2L+ff99/P1Ar125Wbn/QsyUcZJkTibew1fPn2XjZud6KJNH5GhXFdEq3yoYXt5xByfcmwCoZkKb3Tz9GmVvH8U+XCWhz7svcn4MKFV6gWuBFGVYrlsjD8eyNN1A27QL87QloH78Wn559BPfd/AMdOni3zj2QkkJn3f/+B4wezciQjBoKZwOmxd9DBmDX6nHVpyqsEskbAPSwwVS1IRNmAM4w77xDIjeZqHNrtYpVW78+s5OMRoUgz56lpdu+PTMxncnbYqHz9OzZrLVrgYEDecy0NNfXExI4yXirDPb334pe7w1//OHx0oVpX0Ej22DTOZUSkCQk60NQ8fIfuLrvcvbGq0JFNpHnFvjatWvxySefIPx2QaP27dtjwoQJeX2YewMbN6JWzF6c8w2FOU2CBCBN4wMfWzLeTJiIsLf+QJZzsHNWoua2Pr1tGwtwfPllruqW6EyxsGt461g0Rlz2qQEf2QytbIPksMHUZzzqO7c/69uX0smcOSTGsDBaxYcOeYYLSpJC0s5VA2WZdQQ+/piZnw4H9/nRRyy85Q2yzOOtW8f2bPv383ztdkoq1aopE41AVBSwdCl188hITjwlSnhG2bg3lgBgPHcCNo2XsERJgl2jR8LhSyjfolImV1aFipwhzwn877//xquvvoqePXvm9a7vPaxcCY1ei2r3SYiPV6qoBpfyRzV9LLRx/wAVIzLfx7vvsnGDe12QgwfpLBwzJsfDsjR+ELoNh5Fuz0oS0iRfSA4HfJGEsh281FVp2dKVkJcsoZXtDTqdZy3yH39kc0k/P6VP5s2b7G7x00+eBadkmZ///nulHZuPD1cETz/N9PxWrVw9wFev0uKPieHnZJmEHhcH3HcfSd/hoDX/6KMew04rWwW6qKNIg1v5AFmGVrYhqIZaM1ZF3iLPJZS///4ba9euRe/evTFx4kQkJCTk9SHuHSQlAVottBogPAy4rzpQ4z6gVElAq5NoHWaGlBQmrriToSSRCL/6KlfDuv+dgUjT+sHXmpguY0gOO/xt8bjY+DGUCExjTPeGDZRNvOHhh0nU7lKOxcLXO3dWXnM4GEvu66usGCSJRG6zeVrRAJsTf/stNffwcGrZRiNXJFu30mp3D9/56COSd1gYj1WxIj+TlkZyT0jg+QwcyHBHt5q/ZScNgSxpoHHYXF73sybgZlgdVO2aF0XcVahQkOcEXrJkSYwbNw4///wzypYti3feeSevD3HvoGNH7+13bLcJwlvomzNSUjIue6fXM745FyjTsAwcK75BnG85+NoSYbQmwdeehPMN+6JNcyszHCdOBF54gUT53XeeOylXDnjjDY4xNpZRHXFxnJQ++MC1/9iNG/yMt/RTf3+vejSWLuVEIGLSz59nNEpcHAm8SRNKKgIOh+dkFxwMVK9OQk9JYcud2bM5cTRsSAmmUyeGGcoyavVviMsDX4XRngx/SxwC0mLha02Aybc0Kq37PFfXWoWKzJBrCWXDhg2YPn26y2vVqlXD8uXL0/8fMWIEOjtbUipyBhETHR+v9FezWEh2zzyTXmY1Q4SGcjuz2ZP8kpOVurSyzESVbdv4f4cOJLhM0jxr94uAo+92nPn5JFJvJKBCx5po/8syZlyGhCjWrcXCJJoqVUiAzhg6lA2Nv/6a5Fq7Nrs2u0ecGI2uZWudYbe79PRMx/XrStz35cs8X6Fj2+0k5KefJpmXK8fXhNTiDGGJx8ZS9nnySV6rgABa9teuAS+9xN9jx6Lt8uGIHNkZ52augyM6Bv5tmqDBxIfgE5SLGukqVGSBXBN49+7d0b17d5fXEhMTsXz5cgwbNgwAIMsydGrpztyjZElqv6+8Ahw7Rktap2N88thspL9rtazyN20atxPfRVoayfD550mwzz3HolPCsl+0iPVr583LtFaIRiuhVp+6/MdsplPUvRa4aGU2f74ngQOMQvnww8zPIyyMfS8PHnR1Hsoyidibjn///cDvv/NvZ/IWkStBQZRDvv8eePFFrkgaNKDz1H1iTEyk0/f331kr3Dm00N+fss6sWewqFByMCq0qo0Kr5zI/JxUq8gB5KqH4+flhyZIlOHbsGADg66+/xkMPPZSXh7j3UL06SXzXLuCXXxi58dxz2U+/fOopdtBJTSURJSaSvD/4gOVVFy+mFRoURItSpJlv3w4sXJj9cd64wQnAW8KMnx9w4gQ1ZNFJPqd4911avUJmSU7myqR2beC2weCC0aP52znhRsR9h4Yqk+HtexUAJ0pZVlq7Of89aRJjv71UdEyfHJwlGRUqCgB5SuBarRYzZ87E1KlT0b17d5w4cQKTJk3Ky0PcuyhblvHKOW2IKUm0MA8epBW8eDEngccf5/vLltGKdCYl0UJ92bLsx1qHhnJi8NbMMz6ekTCNG9PKHTiQ2Zc5QbVqdIqOHMkiVFWrUpr58UfvwfDNmpH0xZhsNv4OCuK1TElhFMvevbw+x45xhbBoEVCqFK1zk4kFuZYu5QrA27k5o2iU1ldxD0Ft6HAvw26nhR8e7l3vjo5m+dfsllx95hlg0ybXkEWTCbhwgaRYpozSzdfXl5EquWkxlBPEx3OlERPD8zQaqWdfvUpCrnQ7Lluj4YQwdCjHKLr5VKigXJsNG4Bx4xR/hIDNxglh/37Xc1eh4g6RFS+qmZj3MrRaOvDMZs/3zGZaut4kkYwwbRot44QEEmdMDJNhAgNp9UoSiTIkhNLE558rUkV+ISSEVnqZMkrrN1FVsFw5rhxCQynzvPuuUtu8UiU6L52JulMnoG5dTgBWq6LBJyZSplLJW0UBQyXwex1jxlBTdpYHHA4S0+jR2S84DjBj8bffWIyqVy/gscdIalWren7W15fRJ3Xq0Arv1897vZK8QO3adEC+9RYjXAIDWYe8ZEnlM3o9LelNmzw2t6XZ8ddnO7Dr6eU4WLUfzP2GIC0uGSknzyP+UhxOVu6G+F5D8mfsKlRkAjVE5F7H4MHAmTOM1RbWsEYDDBjg3TmYFXx82OOyd286HH/+2fMzNhtlFbudlrmvL3D0KKM4Fi5k/HteIziY8oiofujNl+BwKPXJb+PagSuI6joYISnXUcJhgV3SI8GRBIcswaoJhV3Swm/3Jtyo+weiV36L+3rfSZFeFSpyBpXA73VoNJQ+RowAdu/ma61bKy3R7gShoXRa/v23a4JMbCzDF0uVUiI4goIoq7z1FotY5Vfz4YgIWtveokkMBo73NmSHjGsPj0CJ5KvpregMtlSE2GIgQ4NL+pqw3659YrSaYBr6DBzRO6DRqQtbFQWDYn2nxcYC06czRLd+fYZG/3sHzdWLGsxm1mGaOZPN3TPKSs8TVK5Ma3zw4Lwhb4GpU0mYcXG0vK1W9r/U610lDIA6dFQUcPFi3h3fHa1asa5JfLyivYuGEZUrs4PQbfz33V8okXgeKXpl8gmyxUKGBEBGgCUu/XWzLhDBKddx+gensEQVKvIZxZbA4+IosS5axLwUrZaNzfv0ybhGUnHCf//REH7xRRL45MmMctuzp7BH5glrihV/PPst/izZ/f/tnXd4VGXaxu9zpiWTyWQSSAhEQhNEmor0IgYQ6SBlaZ+AK0VQBFZUPiyoiICyApJdCx+KIqzIIjYEZKVsBJWuIEVEIAES0pOZTD/n/f54cnImk0kIITAZfH/XNTKZcuY9k3i/z3kqjps6Yk+bGTiz+Tg92aYNsHkz8OCD9ItijIKjiYllA6RK+9arpetdD6JIPWC6dKFga1ER/dupE7BuXam2AwXH00isfSx1LXMDEAAI0DOf4K8ggEGEPS0IEzY4f1pC1oWyZg0lExR3rQVACQeFhdRiY8uWwPE3yS1h3+Mfg6XshTe6FrwTJuGrY42QfkVE+/bkhg12FqPHQ/U3hYWlPQ8OBzBlCnk6fM87mHidXvzYZjIaXEiBW9RDEnRocHIr2KjtOLzwbbR9uhcFDn0bTi1dGrgBld1OQc8bnVpYuzaJeGoqpRMmJKjphD5ENiueHuTjbnEKRhgZFSN5BB8/enHHwdhOTW7s2jkcH0LWAt+0qfScSIXISEpdzsgo+9z5HWeQHZaAjv83CV1O/x/u/3Exek+7Hc+9nYC2Kcvxwbtu9Olz45IhKktKCrmH/Cu6w8PJdfzll8FZVyCOvL4DiRdSYNNZ4NZGQNLoYddb4BV0CJ//DLxOb9k3TZhAIpqbq45Ws9no5F588cb5v/1JTKTLmgDiDQB3PtwOBcZ6CPeoviurnlIFBQA2XXHDLcYQ4clHaoNuqH9fgIwbDucGEbIC7vGU//+5KAYeOKPp9wCiGV3iikCxL1NAHMvApJwlWFL4GJjM8MKEVOzqvRA/1RmElKaP4NDiHZC9MrJ+zcSJDw/g4vfnb9RpAaC+SJIU+DnGqO/TDcXtBvbsAb7++qr+aOfajZAFsczljkcbDoPXht8+PVr2TbGx1MFv0CAS7txcsrpXrwb8+usEE1ErInrz+ygy1EK4pwAmVw7CvDZka+KRobkNWtmFcE8hjJ4CpCV0QpudVZzZyeFUkZB1ofTpQy5L/9oJZYCKvxvk6Io9aCFdghcahMGN4i7WYMX/DZesuMeWgsH6tZiQvhjGMy54NQZo8k5B8/we/PZyFMI8VhhEHSTmwY+xbZC4eQXqdSx/OnlVSUgoO6jGl9tvr8YP88/G2LULmDWLIqhKB8AHHgDefDPgAE6NswgyArSrBQAI8NqcFLTcsYMCF61akXO/Xj1qAPX3v1Nw0zetLzubqhoZI9+0f7DzJtIgqTFcl/fg56U7YD90Epp6cbhjZl9k7D2LvB2HINayIGFoB3Trdx0zOzmcKhKyAj55MrkS8vPJ1SAI5EL1eCjg52+d5+88DAYBGihVf74WowA93NAwL6anvwAHwmHVRpfEs+rYz6GulIaL2oZw6SLgKh5Um9N7FCzn/gNj7QC+nOuge3fycefklHaj2O1qmvV1Ics07OCdd6hSMj6evtAuXaiwR6tVW7TKMhW3PP88ia0f3vt7Q/vJQXU6TzGC7IUAhib4Hej6V7Vdq8FA2R7r1qlphL6dAlesKO0fZ4wKip566tqKiir7PfzyC/0RNWtGm0oADGYDOrwyEMBA/JycguyOAxHpKYRJECAyGed+G4fEpOegDQvZ/504IUrIulASE4GNG6nHUEEB3erUAZKTgUDT3MLvagoBDKxEwH1h8EIPreSGEXYUCaaSDUAnORGBIkgQESnl04OCALvegkhnFn5esrXaz02rpc6sSlA2K4vOTxSpJfV1V2zPn0++5rw82ilsNmDhQip08XpLW9rK1PivviLL2I82r4yATV8LEe68kuwRjexGhNeKzA4DELH8NbKuo6PJkjabqYhnxoyy69q0ieZmGo30OrOZGlW9/TblUVYnv/xCLXP/8hfatO67j9rr2u3lvuXc9t8Q+bdJ0HuL4NBFwqk1wamJQJPvP8L3Q5dW7/o4nEoQ0iZDs2ZkSBYWUoZa7drlG2kdXhqA7FejEcWUKTTkPFH+zdbEwQA3bIiARqMexyA5il8tQMNKB+QEMLh2/whg+A05t5QUmrFw7hwVLPbpU8kp9BVx7hxVXfoOXQgLoyKWEyfIGvdHo6HbuXP0JftgaRSNov/8G+dHz0XC5f2QBS0kUYdzQ2eje5NLwEapbDOsqCjK9fzjj9IZJ8nJZKH7+o80Gnps5Upg1KjrPPliMjMp3cjtpisNJXXx66/p3+TkgG+78PwqNGJeOHXqAAlZ1MCujUT9XR+i6MoTiKhzvb8gDqfyhKwF7ovZTMZdRVfYgigg/e3P4UI4ZAgQwSBAhgCGfERBFIGUsAcgCzrotWoEURbIjyKAwS76/88pg/mO/qpmDAYaHfn445Tfft3iDVBf8UCTbcTiQGSgGaaMkWVeTu5iQudEdL2wHqZffoBm2xYkZh5Ej41PQPz9TOBOhoJAIn3xovqYJFHANICfHeHhlO4XaLycP7//TlWlnTvT9Jz9+8s2y/rkE7K0FfFWzt9ioVmaly4FPLTlzAG4xbLrk0XacNJ/vHD19XE41cgtIeCVpc3ULvD+kYqUTs/gfHhz2PS14I2JR1irpnDOnItuJ95DWscRMHkLIMok4g5NBATIYBBKUsgAFD8vov4TQ4NzMlWlou5/yg7hX0hTWEgNoa6Sn137zlg06NlEHR92++2BRVfZEBIS1McUV02g9CGPh9YmijTO7McfAw+G+Mc/KEj64YfU8/zf/yY3ydy5pc95//7AXRZFkTaW06cDnp8johY0LMD6GING9iCiXlTZ5zicG8ifSsABILpRNJJ+WIRG9pMwu7Khz7oE47H9aPrGFNRN1KHLjpdxtuNYGKQiGLw0rPdcWAtka+og3GuDzmuH0Z2PMK8Vf/SeHHrNi7p2JZHyF2nGSCRHjCDBzs1V28JaLBRcvFbGjydR9Bfx/HzqOdLEp+hFENRmU75iq+SId+5Mt9GjKY+8XTsaMCzLyMwEPpp/Fq6ZT8PrYZC1erL89Xo6z5UrgR9+UI8ZGxt4owDo9VGBhVicMJ7caH4bYLinEBmxrVG3Pe9jz7m5/OkEvAx+rgS9SY+k71+F5eSPcL7zEeTPv8IdRUfg+XI7zt07AnnmhrjQpCcKV6zB/VueCdKir4OmTSmNJT+fAgcACWxeHg0z/uc/KWA4Zgz1v37pJXLEV6U6skUL6rHtctGGkJ1Nm0PDhmQt+zN9Ok2xLyig9eTl0eubNKERb0VF5PaIjCT/0vLlOPfCaiQlAfKKFRAlD9yyBk4X4FHCFVotifWyZWpy/ahRgUv2bTby8d9zT8DTaffSQJxv1gdGTwGM7gKEeWwwuvPh1JlRb33ZDB0O50bDJ/L8GZEkKpp57z215HPiRBLQyk7fuRp2O/VA+ewzuq+MhOvQgdwamnJyxyWJ/PRbi7N7+vYFNmyguZ1+8QbZ6cKZPzQYkXgA76QPRvvC7yCJOoBRaDrMAIiylzYog4FcOuPGUbbJ3/8OfPQRWdM6HYl8eDg91rZtuacle2UceXMXij74FNqiAni63Y82C/6C6CZ8mAOn+rmaLoZ0Fgqnimg01FRl8mSqfAoLq97ydauVrNzTp0kcBYH6G5w6RRtFeeKtrC0piW4KzzxDMzoBOIrrgoqKADADdG4rErWX8Vv43ehQ+B+1MIkBzO0BlMwhs5mOvWoVzb9ctw7o3ZsCmpmZNENz7FjaaCpA1Iq495lewDO9ru874nCqAS7gf2YEIXBDGWXgrzKh/lp5913q6xsTo2Z5RERQg5rXXiPf9dW4fJlyRA8epPcxhiJdFM6lh4NBgCgCkleGTpJx6lIkPkuYguFZbyOM2SExLTVJkL0AigdUKOPhoqOBQ4eAvXsp97tLF/o8xshS96tMzTp+BWfe3QnZ6Ub8oPahF/Pg3NJwAeeoOJ3UYH3DBjVbpX9/4OWXyw3sBWT9egqI+ud1RkWRa8TpDDwRR+HAAQpUulx0y8gAkyQYcAWNoUeWvh6KRAssQgFSxC64aI+B6IrBKw3ex4sX/opwuQhicYooRJF87obizBhBIDfNjh0k4G43+eM//JB873FxVNgzYQL2jH0HDT5bhgQmQ2Ay5DVa/LdxD3T4KRlhlgrWz+HcJLiAc1RmzKCApdlMwT9Jon4FZ89S86mKXB++2GyBk9ZFkQKHFQm410uJ74zR5ynDH2QGkUnQwYN67lTkyg5k6+thcfhCyHZy5e9uNAyHzEnoeflj3O/4Bl30B6BJrB943UomzpQp5HM3meiKo6gIWLAA6et3ouHuH+DQmkryvMEYGv6xEz88tARJu+YHXH7hxUJY0/JRu2UdNZ2Sw7lB8CyUWxFZvvZJ7ydP0uDf6Gi1ElKjoZ9Pn1bHrVWGtm0D52k7HNRvpCJr/sABsoQVl4soAqIIWR8GCVpIghZuUY88bSxmNN0OTaNEhIeToW61Apcd0djWdAZit3wITYxP0JMxEmebjY7Zty991t69dI5K8DYsDLBYYNm1GYCkijdALRS0ZiTu+wT27NIl9zmns7Gn5TTkNroXrh4PIDXuXuwe/GbgdrocTjXBBfxW4vvvgaFDKe2uRQvghReoI1ZlOHiQLG5/t4cgUIbGtYwCmjWLBNPhUB9zu0llr9aUSqkElWV6fXFwVRQBGRo4xAhcMNyBSLkQhZpoaLWU1j17NjVM/Ogj2mua31fsCikspI3gxAm6kjh7lsz1P/6gJl2FhXRfaSJf3KdYI3ugFcr29JVFLQQmI/d0VsljznwnUjuNRMPftsOhjYRTFwlZ0KDx1n/g+14vVv5743CuES7gtwpbt1KGhxI8NBgo02LYsMoN0zQYKs5ECRTsLI9OnajwJyyMzGKbjQR9/nzaYCrizjvVfG1BKLmSEARAo2GwwwiReeEUjWAQSuqMZs0CBgygNPKS0/jb32jGZ04OHVOnoyuAunWB554DPviAxNzhUGd1njkDOJ2QRS20clnrWZQlCAAsTdS2AkcXbUWMLQ1F+hiw4g+XRB2KtFFouH8jMg5frvx3x+FcA1zAbwUkiboLGgxqfw+tloT84kUKSl6NpCRSPq+faCl9U7KzgXvvJet+4EDylVdE//7ATz9RUdD69eSuGD/+6uto0ADo2ZMKjaKi1Ik9kgSNXgN9nRhESFZsiRiJggLy1mzcWE6bFkGgNTRoQLM577yTzPXwcBLt9HRyExW7aUr84mlpgDkKHugg+Bb7MIZwbwEutBkEU7zq43du3Vk8HKQ0TBTBBAGpn98CQ1o5NRIu4KGMLJNr4vRpsrIDNYIyGKiY5mrExgJz5pC1XFhIx7VaSUj1esqXdrtJVH//nYJ/a9dSDvXx42TJ+qPVUsl827Zlg5ZOJx0nM7Ps+5YtoyHIRqM6XkkUIcTHIzbMioTODTBg6wzs3Uv7Q7lFopJEuefFOeSlsNtpszKZ6HW+cQObDQadBIvBgdtcZxHtzIDJlYNwbyEyYu9C28/93CJh4RBZOSOUAGjCq6k4isPxg2ehhCJ5eeTw3biRhDA+Xi0zvx6mTKGmVW+/TeLauDFw993Axx9ToE/xXZtMZMHOmEFWvl5PIjhwILBgQcVtE5XeJO+9pw55aN8eWLJEnU0ZEUFruHgROHqU+pgcPkzW8kMPQRw5Ek0qk58uirSpeb1lm1d5vXS8xES6usjJoY2i2OIXzGaY6kbAfSUHos2NE60fgn7sX9D+sa4QtaXtnphHhkA+srlMDrlGckMWNGj+1y5XXyuHUwW4gIcaRUXAyJEUeDObSezy88l/C5Tt5+100uzJynLffXRTmDqVRMk38MgYuRkcDrLcFSv2iy/Iov744/IDlYsX01SKyEgSV8aoO+CIERRU9C2Xv+02ugWa0FEZBIGOG2j2nk5HG48oUu53XBwFMTMzSdgjIwFRhD6hDvRWK9qbzwCPdwt4Xq0md0bKPx5Ao9Pb4Rb18Ip6hHntABguz1iEJrxHOOcGwV0oocbnn9NghZgYclEIAglofDyJj9LNz+slt0bdutSYqqoEykyxWsmd4jv5Qkk53L8fOHYs8LHy8oA1a8gNo1jEgkDvy8mh9q9X48oVKjbq0YP89m+9RccFaEPZtAmYNo3SUnbvpuhm48b0GpuNbnl5QMuWJNq+3Q+V48TFlQ7omkx0RZKeHnBJolZEt8MrkTZtIfIjG4AJGqTV7wzb2x+jy5sjr35OHE4V4RZ4qJCbS66HN98k/21eHpWHK/7d2FhyT8TEkCWp1VIGyjPPlGkCdU30709C6OseKCpSg5u+/mVBoI3jyBEKGvpz9CitPSuLNgaTibr/6fUk6Dt3Un+W8khNBR56iM7daKQ1LV9OrqTVq+lqIS1N7TT41VfA/ffTxvD111SUxBgwZAgd59Qp8vtfuqQWGdWuXWbqEIDAAV4fNHoNur41GnhrdCW+VA6neuACHgoUFgLDhwMXLpA4iSIJ4blz5MNV/MFGI6Xv3X03CXhFaYHZ2SRciv88O5tKzuPiSr+uf38afvz772pDKCXgFxdH68nJITeOLJMQBxI6r5c6AF65olruOTkkxo0akaAHCjb68tpr9Hpfd0h4OPnK/+d/6ByU5xijPPLt26kH+iOP0Gt8ufde2jTOnCHr/V//os3A/4rD6aQ0F94lk1PD4AIeCmzYQNZnTIxaICOKdP/SJRJWl4ss2TZtKm4JW1hIOdDbtpHgZmSQoNapQ8/360d+aiXvOyyMUj1ef52yWTweEn3GKAH7jz9oPYro2e10pdCrF20IClu3UjGNTkfvVVL3JInOLT6eBgyXh8tF/UsslrLPRURQh8EW1GhKLrBCTk2F4PEAggDxiRkQDAbqNuiPIFCbW4C+361baTMym+k5h4PcRc8/X70dGzmcaoD/RYYCmzerzZiio+m+4ptWfN12O/l7FUs4EIzRvMgtW0igMzLo/U4nWcYmE7kannyy9PssFrJ+jx0jN8jhw1RRmZ5O1ruvxVq/PvmVX/RLtVu/nq4KbrtN9dEr63S5aOPpVUGLVmWqT3nB0eLeKc4r+cDvZyC6nRCYBEH2gtntcM16hqzriqhfn9wtylAJpblVcjJVCXE4NQwu4KGAryCLIhXT1KpFoiXLJOq33UbBve7d6fb112WPc+QIiW90NFnikkSiqtORQNrt9Nzu3eQy8UenUwuF5syhAGlEhPp4o0ZkxUZFAfv20WaiYLXSZ5nNtP6oKHXifGws+eorapZlMlEwsqio7HMOBxARAdnhhPbiedA4B7H4RqOrkZ0N6bXF5W9uCk2bUtaKMntz1y7qmwLQ933sGOWpL10aeGAyh3MT4S6UUGDgQApeKm4NjYZKwpXgpMtF1qLSJCovD5g5k6xc39L1n39WLXd/IVSaPSkCffw4TbApD8UX36BB2RxrZcK93a66PO67j8r8jUbyWys538oVQMuW9PN//0sCeewYEBmJrNZJOHWoCJbUX6DTMDRgeQirJ0KIKA5i2u0lAyrc766BHhJQUhVJ4ioJNKXHff4ywtPTSw9TLg//PHNJAp5+mgKjio//3XepbcCqVRW3x+VwbhDcAg8Fxo4lH3VurlpaXlREwteihSqUSr620UiCstjP4oyIUP24Wm1Z61GxgAWhckVBnToFtoidTlqP4lcHKIAYEUGWv/K5Hg9Z5n/9K33e5s10/9dfAbMZ9vR8WNauxL0n1yLMbYXOng+bTYD1XDZYQQG9Ny4OeP99YNEiXKnf3mcRjPqBA9AwCSIkMJcHHqbFocU7sGfYCnw/5SNkn8xCpVi/nvLcIyPp6kcZdrF3L2XCcDhBgAt4KBATQ+I2aJCax1y/vlqtGCh7IzycBD81VX2sZ08SaY9HtYyVwQ2CQILkdFIQtGvXq6/r8cfpeHaf1qqKK2bYMKrUHDSImlg5nVSO37gxibjNRq+dPp3cMS4XvS48HDCbIUOAmHkFEjTQQEIY7HDpTMgyJCBPMuPXEfOBb78ld0+3boAoIvOhqSgQaxX3JWEl/UlESNDCC5cpGr+2GoWYFx9H4y+XocH78+FqTW1fZe9VXCvvvVd29Jyy0X38cYUphhzOjYILeKgQH08pgidOkHtj+3agT5/iYQcBxEfxj/tmpNSuTcHFoiISTIuFxFwRdLud7i9fXjmXQOvWVFVpsZTuOtiqFU2jX7WK1rlkCfnlL16kLI8vviBxnz2bAoYAuXdcrpJgrTPHDgEymKgBICBSyqfXCWRX53y5j7JcfIKarWf3hlUbDUAGSjWXYjTkOOcyoovS4NSZoWUexErpqCVlostXc3E68l78+sH+8s81I0MNJPui09HmFKj/OYdzg+E+8FBDpyvtcx45knzG/o2srFZKj7NaKf86Px/o3JlS9e68k4T39Gl6n5Lbfddd1JK2adPKr6d7d+oVfuoUWdSZmeSzFwR1nYxR8c6kSTS6bOZMEj2vl1w5CQkk5r4ZJn6bkuIOAQBZ0EDjsJZZSlh0OCIG9IC0+QIEMGhADaYkaOGqXQ+G7HRAq4HFmYEYORsSNCXWeqwzDQWPTUBqoy1IvD9Ad6zERJrT6X+143ZTgPV6+9BwOFWAC3ioM2EC5WdfuKD6uG02Es/mzUlMvV4Sx08+IbHcsIHcL9WFKJbkYCMpiQTbd5NRhDkzk1rKRkaWDhKmpdHVhSCQIOr10EeFQ7qEEhePVbSUvFzDvGA9/VIO3W7g++9RqygNUt04OGQDZJcHYpge4XXMEHJsYAA0kBAt5/iIN3nLRTBoZTfOPv8+Er9/tew5TpsGPPssXZkosQJZpu961qzKj5vjcKoR7kIJdcxm8o8//jgJuCBQzvKLL1LpuDLrUUnvS0ujQQegMWBHV+zBiY8OQnKX3w71mjh5MnCutiLOTmfZ4RDKukaMIPeO3Q6tQQOX0QIt88Ar6GDTWgBZhtGdB5uhNtq8+JD6/iNH6Opi2jTg6FFosjNhcmTDXN8CU4IFGq0IrV4LAQxeWQTz8Y8DZN27RAPcYhjMJ34MfF7Dh1M1pxKDyM2lq5tBg8iPz+EEAW6B3wpYLFRY89RT6mOPPkr/+luGFgvYjz9hX/snUe/nbTBDhACGU9OiIL+5HK2nXmfr06gotSlUIIp98owB9owCyNm5EGUvBK0WjrAE1Fq6lFxCly4hsq4ZF+U74EnLgN7rgMi8uJTQCQ3/tQhRiT4pkxMm0OYQGUmbmM1G/vzz58kdJMvQMycyjInQutylvONCsb/cpo2BhnngNpbTN0YQqBpz/HhKdZQkoEuXa3M3cTjVDBfwmghjNPHmgw+oVL5lS2rydPfdlT9GamrgoJsgwJ5RgMYXNiFfF1ccJAQMXhvEJx/FhaZfo0HPJlVf+8SJwEsvkcD5bh4eDwlsWBgYA2wnU6F3FAICuTA0XjtyX1qMkx9swp179gA2GwSDAfX1ejhyHcg4eBERCVHo2tKvV8sXX5TON1cKndLSyJrPyQEMBghjx0LsOwHZAx5BdGE29HBDKi70ydAkwKMxQO9xQJzwcMXnl5hYtqcKhxMkuAulpsEYBfRGjiTXyOHD1CJV+bmytGhB7go/JKcHOnsBrNroEvEGALfWCI3sxh/Pr76+9T/2GHDPPWr3Pkki6zgiglrJarVwXMqB3lEIWRAhCxoIYPCIBrhggHv6LMgSI7EvttbDY8LRqE9TxPqLN0A54/7o9STiCQnAE09QrrbZjDpTh6JV7BUI5kg4YYBVMOOyNhFM1CDCU4ALTXqh3XxeMs8JHbgFXtNYtw745z/pvkajTnN3u4F584DevSuX8fDoo8A335QEBQEAjEHKyoFdNMGrKZsm6BbDYD5VQSpdZTAYqINhaip1B9RoqArz7bdJVHU6YNg4iJAggFG2IzS4rG8AtxAGszMTv39+HM1GBGhHC5C1vW8ffSf33EP58OWVs+t01HHw5Zep/0tkJITwcBhNEfBm58EpRyETTeA1RiFs4mh0feYBaPQ8GMkJHbiA1xTOnKGOfx98oLoflBtA7oDCQvK/VqaxUps2lH89bx71Cinu3+25qx0Kdh4rM/4LADTMA6cpQC/syuL1ko/48GHKOa9blwT37FnKB58+HRg4ECeju6J+zhFAEOASw2DTRIEJdJ4MAlw5PjnVhw9TP5I6degcliyhz1Hy3Pv0IWvfd6MCyA9uNtP7tm0jF4tPFaq2Tm3EFhYidvXs0hOIOJwQggt4TeC336hysaBAtSZlWS1sUXqLWK2BS9fLY9gw6vC3eze9r3VrRLRqhV/jByMu9xTs+ij1tbIMDZOgf7QSk+PLY+dOKsjxnZ8ZEUHnsGIFtQSwWGDt2AuOradRpC8dMBRlCQJk3Na7Ofn++/VTs1qKJ9MjLo5cI8rQhu3bKZi4f3/pzohGI+W6Hz1K7/NvBat0ctyzhws4J2ThAl4TeOMNspJNJrK4FeuYMQr+KcFIWb62QCZAWSFDhpR6qM76ZXAMGokIdz4kQQuReSEAON9iALo/17fq57FlS0DLHtriP7OffgIefBAtXh2H/G8/RJinEE4tNc8SZQlGbwHOdnkYSfVNQJsuZLkrgylcLrUgyGiktEhRpO/s0CHqvrhtGwUvW7akc7ZYaHOsqI93oEBveShpkCYT7w3OqRFwAQ82skyWa1SUKn6KeCtuAsX6vPtudfjAddCg1+3I/fk/OPbCBmh/SIHXZIH50b+g+5M9ykxcv+ZzqYjiq4u4NvEo3PAv5D0yCzHWC8WBTBlnu01Aty3zgG+3Uwqg71Qh3yuTK1fUyTs6HYm7RkPl+f706KFa26KoTgGSJHK5tGp19fPKyaFWvUonwjp1KNA8YkT5/ck5nJsAF/CagCJOGg31xs7MJIFRnvN6yS2xYUO1fWRM01ro8cl0ANVYhNK/P/U68bfCFZ91hw7kE09Nxe0da4Flf4vzO87AlVGAhKRmaKzkdqek0Hv8rWNlY3M61c+QZXptoEk9AIntk09SO97MTLqiUY6j1VIjrdtuCzzDEyC3zIgRVOkaFUWbQEEBMHcuFfNMnXrdXxuHU1X4dWCwEUXqElhQQD/Hx5Po6PX0nNFIz6ekUO/tmkyvXmTR5uaSUCr9uq1WErr33gPataMe5d27Qxg7Bo3uDEOLCe3VwhyANjFlZBygXo34WuG//ab2Qe/Ro/ScTH+eeIJcKsVTe2AwkGjffjuJ///+b/mZLF98QW6ZmBh1lmd4OLlRVqzgTaw4QYULeE1gzhzqsZGXR+IUG0sZHImJJCDbtgF33BHsVV4dvZ7SICdNImFUBhAvXkz333uPXmMyUYbIgQNk3Sqbl8KQIZQqqVjuLlfp5wWBrPAzZ+h7eu210s/b7dSo67//pRz6nTtpQETDhuQfb9aM1qW0g/3tN2pUFYhvvgnc50TpAnn0aFW/LQ7nuuECXhNo3pyEpnt36hqYn6+ORevdO9iruzYiImho8rFjlEGSkkIW8oYN5IJQApqCQG6h3NyyBUpNm9KINb2eAodKHAAg6zc2lt4bHU19XZTBER4Pifndd5Ol36sXVYZOnkzj0QIUNpVMFgr0HKAGlcuDBzM5QYT7wGsKzZtTpaIyGEAb4r8aUVR92MeO0c+BLFmNhvK8J04s/fizz6oNqk6fVmdn1qql+tezs8k3rTBvHm2EeXkk/Mqsz6wsusK5eJEsbt9OiS4XbTrKiDd/HnqIKjn9/fouFx2nbdtr/mo4nOqCmw81Da029MXbH/9e5b5IUvmVpffdR31VGjakHua1a5cWUY2GYgYA5Y1v3kyf5XDQdygI9K/brXZAvHxZtaidTnK3zJlTdq6nQr9+ql/f5aKrAauVPmP+fD4LkxNUuIBzbjzt2qnC6osipCNGlP/eBx8kV4q/i0MZ/aZMjD9yhKx8j6fsMQSBxLdePRJcZZ6mwQAsWACMGVP+5xsMNA/zySfpvtVKfWbef7/idXM4N4HrNvVWrFgBURQxozgHt7CwEHPmzEFaWhpiYmKwfPlyxMbGXvdCOSGMXg8sXUql9Eo/cGWUW9++FVdCms1AcjK9Nz9fTR3U6YC33iI/OEAbhCAEdtMwprpwxo2jrBSHg9wmlbnaMRop73v27CqdPodzo6iyBW61WjFv3jy8//77pR5fvnw52rVrh61bt2LkyJFYuHDhdS+ScwvQuze5OAYOpJztO++kUW9vvXX1QGDPnuQnnzWLXBozZ1JmSZ8+6ms6dyYx1mhI3H3z6JVsE1EERo+mzJXGjW89VxXnT0eV/4K/++47NGzYEI888kipx3fv3o1169YBAAYOHIhXXnkFHo8HuvJ8jJw/Dy1bUu50Vahblyzn8jAagYULgaefJqtcKdphjJ6TZQqMtm5dtc/ncGogVRbwoUOHAgBWrlxZ6vHMzMwSl4lWq4XJZEJubi7qKKleHM6NYuhQcou8847axCohgZpdDR/Op+dwbjmuKuBbt27FokWLSj3WuHFjrFmzptIfIvJcWc7Nom1bKhjicP4EXFXA+/Xrh379+lX6gHFxccjOzkZ8fDy8Xi9sNhss5fWp4HA4HE6VqXbTuEePHvj8888BAN988w3atWvH/d8cDodzA6j2MPzMmTMxd+5cDBgwAJGRkVi6dGl1fwSHw+FwUA0CPsOvB7PFYsE777xzvYflcDgczlXg0UUOh8MJUbiAczgcTojCBZzD4XBClBpTSywVlz5nZGQEeSUcDodTM1D0UNFHf2qMgGdlZQEAxo0bF+SVcDgcTs0iKysLDQKMVBQYq2jcyM3D6XTi+PHjiI2NhSZQRzkOh8P5kyFJErKystCqVSuEBeg9X2MEnMPhcDjXBg9icjgcTojCBZzD4XBCFC7gHA6HE6JwAedwOJwQhQs4h8PhhChcwDkcDidE4QLO4XA4IcotKeAXL17EuHHjMGTIEDz88MO4dOlSsJeEzMxMTJkyBUOHDsXo0aNx8eLFYC+pFCdOnECrVq2CvQwAwKFDhzB8+HAMGTIEEyZMCOrv76uvvkL//v3xwAMPlAzrDjbJyckYMGAABgwYgNdffz3YyynDkiVLMHfu3GAvAwCwc+dODBs2DH379sWrr74a7OUAAL744ouS39+SJUuu72DsFmTOnDls3bp1jDHGPvroI/bUU08FeUWMTZgwga1fv54xxtj69evZzJkzg7sgH+x2Oxs1ahRr1qxZsJfCGGMsKSmJnTx5kjHG2MaNG9ljjz0WlHVkZGSwpKQklpeXx4qKitigQYPYmTNngrIWhb1797JRo0Yxl8vF3G43Gz9+PPv222+DuiZf9u3bxzp27MieffbZYC+Fpaamsm7durH09HTmdrvZmDFj2O7du4O6Jrvdztq3b89ycnKYx+NhI0aMYHv37q3y8W5JC1yWZdhsNgCAw+EIWIJ6M8nNzcWpU6cwevRoAMDw4cMxa9asoK7Jl8WLF2PixInBXgYAwO12Y+bMmWjevDkA4I477kB6enpQ1rJv3z506tQJFosFRqMRDz74ILZt2xaUtSjExsZi7ty50Ov10Ol0aNKkCS5fvhzUNSnk5+dj2bJleOyxx4K9FADAjh070L9/f8THx0On02HZsmW46667gromSZIgyzIcDge8Xi+8Xi8MBkOVj1djmllVJzNnzsTo0aOxdu1aeDwebNiwIajrSUtLQ7169fDaa6/hp59+Qr169fDCCy8EdU0K3333HZxOJ/r27RvspQAA9Ho9hgwZAoA24uTkZPTu3Tsoa8nMzERsbGzJz3Fxcfjll1+CshaFpk2bltw/f/48vvnmG3zyySdBXJHKiy++iNmzZwdtw/XnwoUL0Ol0ePTRR5GVlYWkpKSgG04mkwkzZ85Ev379EBYWhg4dOqBt27ZVPl5IC/jWrVuxaNGiUo81btwYLpcLr7zyCnr37o3t27fjiSeewJdffglBEIKypgYNGuDEiROYMWMGnnvuOWzcuBFz587F2rVrb/h6KlpX48aNYbPZsGbNmpu2jsqsac2aNXC73Zg7dy68Xi+mTp0alPWxAG2CbsbfUGU4c+YMpk6dimeffRYNGzYM9nKwceNG1K1bF507d8Znn30W7OUAIGv34MGDWLt2LYxGI6ZPn47Nmzdj2LBhQVvTqVOnsGnTJuzatQuRkZGYM2cOVq9ejUmTJlXtgNXn3akZ5OTksA4dOpR6rGPHjiwnJydIK2LswoULrG3btiU/2+121qZNm6CtR+HTTz9lvXr1YoMHD2aDBw9mzZo1Y4MHD2ZWqzWo67LZbOzhhx9mM2bMYC6XK2jr+Oyzz9i8efNKfk5OTmYrV64M2noUDh48yLp06cK+/vrrYC+lhIkTJ7IBAwawwYMHsx49erD27duzhQsXBnVNy5YtYwsWLCj5+eOPP2bz588P3oIYY6tWrWKLFy8u+XnXrl1s8uTJVT7eLSfgsiyz7t27swMHDjDG6I+9Z8+eQV4VY/369SsJoGzZsoWNGTMmyCsqS00JYk6bNo09//zzTJbloK5DCWLm5OQwu93OBg8ezH7++eegruny5cusY8eObN++fUFdR0Vs2rSpRgQxjx49yh588EFWUFDAvF4vmzp1Kvv000+DuqaUlBQ2ePBgVlRUxGRZZi+88AJ76623qny8kHahBEIQBCQnJ2PBggVwOp2IiIjAypUrg70sJCcnY/78+XjjjTdgMpmwePHiYC+pRnLixAl89913uP322zF06FAA5HtetWrVTV9LnTp1MHv2bIwfPx4ejwcjRoxAmzZtbvo6fFm9ejVcLlepv5/Ro0djzJgxQVxVzeSuu+7CpEmTMHbsWHg8HnTt2hXDhw8P6pq6deuGEydOYNiwYdDpdGjdujWmTJlS5ePxfuAcDocTotySaYQcDofzZ4ALOIfD4YQoXMA5HA4nROECzuFwOCEKF3AOh8MJUbiAczgcTojCBZzD4XBCFC7gHA6HE6L8P9WfXVZ7fWdQAAAAAElFTkSuQmCC", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\manifold\\_t_sne.py:790: FutureWarning: The default learning rate in TSNE will change from 200.0 to 'auto' in 1.2.\n" + ] + }, { "data": { - "image/png": "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", + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXAAAAFwCAYAAAChGSA/AAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAADRaklEQVR4nOxdZ3gUZRc9szXZ9EBC6F16B1GkI1U6UpSqCCKKioiUD0FBBStVRIqiYAEFFFBAEQXpTenSkRIghNTNbrJl5vtxMpltCWkQEuc8z0K2zbwzO3Pe+9577r2CJEkSVKhQoUJFoYOmoAegQoUKFSpyB5XAVahQoaKQQiVwFSpUqCikUAlchQoVKgopVAJXoUKFikIKlcBVeKEghUn3oyjqfhxTTlDYx68ic6gEXsCYOHEiqlWrluVj/vz52d7e2rVrUa1aNcTFxQEABg8ejGeffTbb31+wYAG+/vrrHO2jbdu2mD59erb3kRlWr16NOXPm5Hk78+fPR4MGDbL8jM1mw+LFi9G1a1fUrVsXjRs3xuDBg7Flyxa3z509exZDhw7N85hc4Xn+7haSkpIwbtw4nDhxIuO1atWqYdmyZXd1vyruHXQFPYD/OkaPHo0BAwZkPJ8wYQLKly+P0aNHZ7wWFRWV6+1PmzYNGk325+n58+fjtddey/IzrVu3xqpVqxAcHJzrcfnCokWL0Lp163zdZmaYMGEC9uzZg1GjRqFatWqwWq349ddf8eKLL2LatGl48sknAQCbN2/GsWPH7smY8hunTp3Cxo0bMWzYsIzXVq1ahVKlShXcoFTkK1QCL2CUK1cO5cqVy3ju5+eH8PBw1K9fP1+2X6VKlXzZjivCw8MRHh6e79u9V7h69Sp+/vlnzJ49G126dMl4vW3btkhJScH8+fMzCLyoIb+uKxX3B1QXSiHHDz/8gI4dO6Ju3boYMWIEEhIS3N73dKGsW7cOjz32GOrUqYOWLVvinXfeQVpaGgAurwHgvffeQ9u2bTO+//rrr2P48OGoW7cuZsyY4dMFkJqaikmTJqFBgwZo3rw5Zs+eDYfDkfG+r6X76NGjMXjwYAAkz2vXruGrr77KGAcAHD9+HEOHDkW9evXw0EMPYcaMGbBarW7bWbZsGdq0aYP69etj/PjxSE1NzfKcyeMWRdHrvWeeeQbPPPMM7HY75s+fjwULFsBisaBatWpYu3ZtxvenTJmCli1bol69ehgyZIiXlX7t2jW89NJLePDBB/Hggw9izJgxiI6OdvvM3r170aNHD9SpUwePPfYYfvvtN7f3//zzTwwaNAgNGjRAnTp10KNHD/zyyy8Z7zudTrz33nto3bo1ateujS5duuCbb74BAOzbtw9DhgwBADz++OOYOHEiAO/f4Z9//sEzzzyDhg0bolmzZpg0aZLXNaTi/oVK4IUYmzZtwoQJE9C8eXN8/PHHKFOmDD766KNMP3/gwAFMnjwZXbt2xbJlyzBq1Ch8++23WLBgAQAurwGStvwaQJ9txYoVsXDhQvTo0cPntn/44QfExsZizpw5GDRoEJYuXYoPP/ww28eyYMECREREoGPHjhnjOHfuHAYNGgRBEDBnzhy8+uqr+Pnnn/Hyyy9nfG/ZsmX48MMP0atXL8ybNw92ux1ffPFFlvuqXr06SpQogWnTpuHdd9/F/v37MyaxunXrYvjw4dDr9ejbty8ef/xx+Pn5YdWqVWjdujVSUlLwxBNPYPfu3Rg3bhxmz54NSZIwaNAgnD59GgBgNpvx5JNP4vTp05g2bRpmzZqFCxcuYMSIEXA6nRnjePvttzF48GAsXLgQQUFBGDt2LG7fvg0AOHr0KEaOHImqVati4cKFmD17Nvz9/TFu3LiMCejTTz/FmjVr8PLLL2PZsmVo0aIF3njjDfz555+oVasWpk6dCgCYOXOmm0tOxrVr1/Dkk0/CbDbjvffew5QpU7Br1y6MGzcu27+bioKF6kIpxFi8eDFatGiB119/HQDQokULXL9+Hb///rvPz//111/w9/fH8OHDYTAY8OCDD0Kv10Ov1wNQltclS5ZEzZo1M74XEBCAyZMnZ/jSz50757XtkiVL4pNPPoFOp0OrVq2QnJyMlStX4vnnn0dgYOAdj6VmzZowGAwoXrx4xjgWLlyI4sWLY/HixTAYDACAChUqYODAgThw4AAaNWqEJUuWoG/fvnjxxRczzkGPHj1w5cqVTPdlMBiwePFivPrqq/jss8/w2WefwWAwoHHjxujbt2+GWyUqKgpRUVHQaDQZY1qxYgUuX76MDRs2ZLinmjdvjo4dO2LBggWYP38+1qxZg9jYWGzevBlly5bNOD/PP/88Lly4kDGOyZMn47HHHgNAt1Tv3r3x999/o127djh79izat2+PadOmZXy+VKlS6NWrF44cOYI2bdrg0KFDqF27Nnr27AkAaNq0Kfz8/ODv74/AwMCM8VWtWtXNTSfjiy++gFarxdKlSzN+I6PRiPfeew/x8fEICwu74++momChWuCFAKIowuFwZDycTiesVitOnTqFli1bun22Y8eOmW6nYcOGsFgs6N69O+bOnYujR4/i8ccfzyCAzFCuXLk7BkLbtm0LnU6xB9q0aYPU1FQ3BUROsW/fPjRr1gwajSbj2OvXr4/AwEDs2bMHFy9eRHx8vNs5EAQBHTp0uOO2q1evjg0bNuCbb77BqFGjULNmTezbtw9jx47N0gI9cOAAqlSp4hZbMBgMaN++Pfbv3w+AE2WVKlUyyBsAatSogW3btqFq1aoZr7kqZUqXLg0ASE5OBgD06dMH8+bNg8ViwbFjx7BhwwZ89dVXAKigAYDGjRtj586dGDx4ML744gtcuXIFY8eORePGje94/PI4mzRp4jbBtmvXDlu2bFHJu5BAJfBCgI8//hi1atXKeLRv3x5JSUmQJMnrRitevHim22ncuDEWLlyIiIgILF68GH379sWjjz6KP//8M8v9FytW7I5j9NyvHOSUCSk3SEhIwKpVq9yOvVatWjCbzbh16xYSExMBIEfnwBWCIKBhw4YYO3YsVq1ahT///BOdO3fGxo0bsXfvXp/fSUpK8rn94sWLIyUlBQCQmJiYrXPm5+eX8bc8Qcp+eYvFgldffRVNmjTBgAED8Omnn2a4eWRd98iRIzFp0iTEx8fjnXfewaOPPoonn3wSly9fztbxZ3ecKu5fqC6UQoB+/fq5yesMBgNCQkIgCEKGz1TGnQJQbdu2Rdu2bZGcnIwdO3bgk08+wdixY7F79+4MN0VuIJOpDHlcrmoVz6ChxWLJcpuBgYFo164dnnjiCa/3wsLCMixRTz31nc7BrFmzsH///oygpIxixYphxowZ2LRpE86fP4+HHnrI67shISFubhAZt27dQmhoKAAgKCjIJ4lu374dtWrVynJsMmbMmIFdu3Zh8eLFaNKkCQwGA86dO4cNGzZkfEar1WLYsGEYNmwYoqOjsXXrVsyfPx/Tp0/H0qVL77iPwMBAr3Nns9mwZ88eNGjQIN9loiryH6oFXghQokQJ1KlTJ+NRrVo1+Pn5oX79+ti6davbZ7dv357pdubPn49+/foBIMk89thjGD58OJKTk2E2mwEgR5pxV+zatcst42/Lli0IDAzM8KUHBgYiJiYm432LxYJTp065bcNz340aNcKFCxdQu3btjGMvWbIkPvzwQ5w9exYVK1ZEZGSkmzIDyPocAED58uVx4sQJ7Ny50+u9S5cuAQAeeOCBTMd07tw5nD9/PuM1m82GrVu3omHDhgDoGjl79iyuXbuW8Znz589j5MiR+Oeff7Icm4y///4bLVq0wCOPPJIxscorJfk8P/3005g5cyYA+seHDBmCRx99FNevXwdAgs8KDRs2xIEDBzJWDgCwZ88ejBw50sswUHF/QrXACzHGjBmDZ555BpMmTUKXLl2wd+9eL0J3RdOmTfHxxx9jypQpeOyxx5CYmIhFixahUaNGGZZycHAwDh06hMaNG6NevXrZHsvFixcxYcIE9OrVCwcOHMBXX32FV155JcNN0LJlS6xduxa1atVCeHi4TwsxODgYJ06cwP79+9GkSZOMJKeXXnoJffr0gc1mw8KFC3H9+nXUrFkTgiDgxRdfxOuvv45ixYrhkUcewaZNm3DixIksyat3795Yt24dnn/+eTz55JN4+OGH4efnhxMnTmDp0qVo164dmjRpkjEmq9WKrVu3om7duujduze++OILjBgxAi+//DKCgoKwfPlyxMbGYtSoUQDov16+fDmeffZZjBkzBlqtFnPnzkXdunXx0EMPYf369Xc8n3Xq1MG2bduwbt06lCxZEnv37s2Q/8kyyUaNGuGTTz5BREQE6tSpg/Pnz2Pz5s0ZmaNBQUEAOKGZTCZUrlzZbR9Dhw7FunXrMHLkSAwfPhwWiwUffPABOnTogIoVK95xjCruA0gq7it0795dmjBhQrY//+uvv0pdu3aVateuLT3xxBPSypUrpQceeEC6ffu2JEmSNGjQIGnkyJEZn//pp5+kHj16SPXq1ZMefPBB6dVXX5ViY2Mz3v/yyy+lhg0bSk2aNJHsdrvX9yVJktasWeO2jzZt2kgfffSR9PLLL0t169aVWrZsKX3++edu37l586b03HPPSXXr1pWaNWsmLViwQJo5c6Y0aNCgjM9s3rxZatq0qVS3bl3p+vXrkiRJ0sGDB6VBgwZJdevWlZo0aSI9++yz0pkzZ9y2vXr1aunRRx+V6tSpIz377LPSxx9/LNWvXz/L82axWKT58+dL3bt3l+rXry/VqVNH6tq1q7R48WLJZrNlfO727dtS7969pVq1akmLFy+WJEmSrl+/Lr388stSo0aNpPr160vDhg2Tjhw54rb9y5cvS6NHj5bq16/vdZ49z58kSVJiYqL0wAMPSGvWrMnY75gxY6TGjRtLjRs3lvr37y/98ccfUocOHaTXX39dkiRJcjgc0rx586S2bdtKtWrVklq3bi3Nnj1bstvtkiRJktPplMaPHy/Vrl1bevbZZyVJkqQHHnhAWrp0acZ+T548KQ0bNkyqW7eu9Mgjj0gzZsyQzGZzludOxf0DQZLUSjcqVKhQURih+sBVqFChopBCJXAVKlSoKKRQCVyFChUqCilUAlehQoWKQgqVwFWoUKGikEIl8EKOffv2oVq1apg8ebLP911LtmYXOe3akp0OOBMnTkTXrl1zNI68YPDgwVl2OVq8eHGut3316lVUq1YNmzdvzscRZ3/b8m8ul7C91+dWxf0DNZGniGDNmjXo3r27z/TvnKKodG1p2LAhJkyY4PO9kiVL3uPR3D2MHj36jmUJVBRNqAReRBAUFISpU6diw4YNMBqNedpWUenaEhwcXGSOJSv4KhWr4r8B1YVSRDB27FhcuXLFrRFDZti4cSO6deuG2rVr49FHH8WKFSvc3vd0oezduxePP/446tati8ceewx//vknatas6VUM6ueff0bHjh1Rp04d9O7dG4cPH/ba99KlS9GsWTM0bNgQ48aN86q58euvv6JPnz6oX78+WrVqhTlz5rh19mnbti0++OAD9OvXD3Xr1s1W0aassHbtWjRt2hQ7duxAt27dUKdOHTz++OM4f/48fv31V3Ts2BENGjTAs88+6zXWK1euYPDgwahTpw46d+7sVmgKYL2XGTNmoFmzZqhbty4GDx6MkydPun3myJEjeOKJJ1CvXj1069bN632AqfA9e/ZE3bp1MWDAAFy9etXtfVcXiuyC2bZtG4YPH4569eqhRYsW+OSTT9y+c/XqVTz33HNo2LAhmjdvjmXLlmHYsGEZnXtUFA6oBF5EULduXQwcOBCfffZZlgWT1q1bh3HjxqFJkyZYtGgRevbsiZkzZ2ZKhKdPn8aIESNQvHhxzJ8/H7169cLLL7/s1lkGAKxWK2bPno0XX3wRc+fOhdVqxZgxY9zI98KFC1i9ejWmTp2KqVOnYu/evXj22WczqhSuWrUKL7zwAurWrYsFCxZg0KBB+Oyzz7xI5fPPP0e7du0wd+7cjNZvviBJklsdddeHK1JSUvDGG29g5MiRmD17Nm7cuJHx9yuvvIJp06Zh9+7dmDt3rtv35syZg5o1a2LhwoWoV68eXn31VWzbti1j38899xx++uknvPzyy5g7dy4MBgMGDx6cUanw6tWrGDZsGIxGI+bNm4c+ffp4Hevff/+N5557DhUrVsSCBQvw8MMP44033sj0mGVMmjQJ9erVw6JFi9CmTRvMmTMno8hXamoqhg0bhosXL2LmzJl47bXX8OWXX+LQoUN33K6K+wuqC6UIYezYsdi6dSv+97//YfXq1V4FnURRxEcffYRu3bpltNtq3rw5BEHAwoUL8eSTT8JkMrl9Z/HixYiKisKCBQsyuu1oNBq8++67bp+TJAnvv/9+hsvC4XBgzJgxOHfuHKpXr57xuU8//TSjUFJYWBhGjhyJvXv3omnTppgzZw4ee+yxjC40zZs3R1BQEKZNm4ZnnnkmYzuVK1d26/OZGbIq33r06NEMV5Pdbserr76a0YnnyJEjWLx4MVauXJlR1GrXrl04cuSI2za6dOmCSZMmAWAnoPPnz2PJkiVo27Ytdu7cib179+Lzzz9Hs2bNMj7z2GOP4ZNPPsHMmTOxYsUKGAwGfPLJJ/D390erVq0gSRJmzZqVsY+lS5eiQoUK+OijjyAIAlq2bInk5GSvVZMnOnfunNGlqGnTptiyZQt27NiBVq1aYf369YiOjsamTZtQvnx5AEClSpXQp0+fO55TFfcXVAu8CCEgIABvvPEGjh8/ji+//NLr/YsXLyImJgatW7d2s0ZbtmyJlJQUHD161Os7+/fvR+vWrd267XTq1Mnrc1qtFnXr1s147tlhBmBrL9cqdy1btoRer8ehQ4dw4cIFxMXFeW1bbjl28ODBjNeyWymvUaNG+P77730+PGuf16lTJ+NvuclB7dq1M14LDQ31ak7h2f2oTZs2OHr0KBwOB/bt2wd/f380adLEzepv3rx5RrOIw4cPo0mTJvD398/Yhmc3ocOHD6NFixYQBCHTz/iCq+9fo9EgMjIyI9C5b98+VK1aNYO85WMtU6bMHber4v6CaoEXMbRu3RqdO3fGvHnz0L59e7f35EYH48aN89k27NatW16vxcfHuzVlAHx3vDEajW61sz07zPj6niAIGcQoN4Tw7BATFBQEg8GQUa/c12cyQ1BQkBsxZ4WAgACv11yJ1Rc8xxEeHg6HwwGr1YqEhARYrVa3SUCG3IM0KSnJbXUCABEREW7Pk5KSctVxyLXbD8DfQ65bl5CQ4PWbZne7Ku4vqAReBDFlyhTs3r0b06ZNc1OkyPWhp06d6mYty/BlgZUoUcKra4vn8+zCs2uPKIoZE4TczcYzUJiUlASbzZbx/v2EpKQkt+exsbEwGAwICAhAUFAQihUrhk8//TTT74eGhnodb3x8/B0/c6eOQ3dCZGSkz2BpXFycWge8kEF1oRRBFC9eHOPHj8fOnTuxb9++jNcrVaqE0NBQ3Lx5063DT0JCAubOnetm5cpo3Lgxtm/f7mZJ//bbb7ka1z///IPY2Fi37TgcDjz44IOoWLEiwsLCvBJYfv75ZwDI6HZzP8G1l6gkSfj111/RpEkTaDQaNGrUCHFxcTCZTG7nesOGDRkNHZo2bYp9+/a5TQQ7duxw20fTpk3x+++/uwVe79Rx6E5o3Lgxzp49iytXrmS8dubMGbfnKgoHVAu8iOLxxx/H+vXrMzqlA4BOp8OYMWMygmQPP/wwrl69ig8//BAVKlTwaYGPHDkSPXr0wJgxY9C/f39cunQpQ42R0/ZrGo0Go0aNwpgxY3Dr1i28//77aNmyZQY5v/DCC5gxYwZCQkLQrl07nD59GvPnz0enTp0yWpzlBElJSfj77799vhcUFOTVoSanWLNmDSIiIlC7dm189913OHfuXEZwuE2bNqhTpw5GjhyJF154ASVLlsSWLVvw9ddf48033wTAjjirVq3CiBEjMGrUKNy4ccNLBjpq1Cj06dMno3vQ6dOnM7rT5xbdu3fHokWLMGrUKLz44otwOp2YM2cOBEFw87WruP+hEngRhSAImDFjBrp37+72+qBBg+Dn54fly5fjs88+Q2hoKDp16oSxY8f6vHkrV66MRYsW4f3338fo0aNRoUIFTJo0Cf/73/+8FCt3QpMmTdCgQQO89tprcDqd6NKli5tsTh7bZ599hu+++w6RkZF46qmnMHr06Fydg8OHD6N///4+33v44YexfPnyXG1XxpQpU7Bq1SrMnz8flSpVwuLFi9GoUSMADOouW7YMH3zwAd5//32YzWaUL18eM2fORO/evQHQh75y5Uq8/fbbePnllxEVFYU333wTzz//fMY+qlatis8++wzvvfcexowZg4oVK2Lq1KkYP358rset1+uxbNkyvPnmm3jttdcQFBSEkSNHYvny5T5jASruX6gdeVRkid27dyMgIMCtP+bOnTsxfPhw/Pjjj15BOBX3P06fPo2rV6+iXbt2Ga+ZzWY8/PDDGD9+PIYMGVKAo1ORE6gWuIos8ffff2PZsmWYMGECKlasiGvXrmHevHlo0qSJSt6FFMnJyRg9ejRGjRqFZs2awWw2Z1jfsmxTReGAaoGryBIOhwMLFizAxo0bcfPmTYSEhKB9+/YYN24cAgMDC3p4KnKJ9evX47PPPsOlS5eg1+vRuHFjvPrqq3mOC6i4t1AJXIUKFSoKKVQZoQoVKlQUUqgErkKFChWFFCqBq1ChQkUhxX2pQklNTcXx48cRERHhVVFPhQoVKv4rcDqduHXrFmrXru1V3wa4Twn8+PHjGDhwYEEPQ4UKFSruC3z11Vdo3Lix1+v3JYHLFdm++uorREVFFfBoVKhQoaJgcOPGDQwcONCrSqWM+5LAZbdJVFSUWqNYhQoV/3lk5kpWg5gqVKhQUUihErgKFSpUFFKoBK5ChQoVhRQqgatQoUJFIYVK4CpUqFBRSKESuAoVKlQUUtyXMkIVKlQUPqSlAXv3AhYLUK8eUKpUQY+o6EMlcBUqVOQZmzcDr71GEgcApxPo1Qt4+23AYCjYsRVlqASuQoWKPOH4ceDFF0nUQUF8TRSBNWuA4GDg9dcLdnxFGaoPXIUKFXnCp5/S4nattaTRkLy/+gpITi64sRV1qASuQoWKPOHIEcBk8n5dl76+v3Ll3o7nvwSVwFWoUJEnREYCNpv365JEyzws7N6P6b8ClcBVqFCRJwwbBjgc9Hu7IiEBaNQIKFmyIEb134BK4CpUqMgTunQBevQAkpKAuDj+n5BAy/yDDwp6dEUbqgpFhQoVeYJGA3z4IfD448C6dUBiItCqFdC9u6JKUXF3kGsC/+6777By5cqM51evXkWPHj0wderUjNcWLFiANWvWIDg4GADQr18/tdOOChVFEIIANGvGh4p7h1wTeN++fdG3b18AwNmzZ/H888/jhRdecPvM8ePH8dFHH6FBgwZ5G6UKFSpUqPBCvvjA33jjDYwdOxbh4eFurx8/fhxLlixBt27dMH36dKTJaVoqVKgosnDanPij87u4rKuIOCEcJ0yNsX/qxoIeVpFEngl89+7dSE1NRefOnd1eT0lJQY0aNTBhwgSsW7cOSUlJWLhwYV53p0KFivsYokPE8eIt0WzzFEQ5ryIAyXjA+jfqzeiF39u/U9DDK3LIM4F/++23eOqpp7xeDwgIwJIlS1C+fHnodDo8/fTT2L59e153p0JFocG5c8C8ecCkScBPP3nL7Ioido9eiRrJ+yBBgg5O6OCEFiL0cKLZ1jcQfzG+oIdYpJAnArfZbDhw4ADatm3r9V50dDS+//77jOeSJEGnU0UvKoo+JAl44w1W5HvpJWDWLKBrVyAggERelBH8zSJoIEIPERIAQIAEARIAPew4N+ytgh1gEUOeCPz06dOoUKECTD7yaP38/PD+++/jypUrkCQJX331Fdq3b5+X3alQUSiwcSPwzjssq+qK1FSgWzdgz56CGde9gF9qAjSQMshbAf8udeaPez+oIow8EfiVK1cQFRXl9tqIESNw7NgxhIeHY/r06XjuuefQqVMnSJLk09WiQkVRw4wZgN3u+z1JAsaMubfjuZc4H9Y4naoFj3dI6WGGlHs8oqKNPPk0unTpgi5duri9tmTJkoy/O3bsiI4dO+ZlFypUFDqcPp31+8ePs3ZIUayTvaz4RHS4tRICREgQQCKnEyUNRugqlivoIRYpqKn0KlTkM/z9s35foym6Ac2L/jWxw78TRGgzvN8CJKTCiHhtcaT0GVzQQyxSUAlchYp8xp2SjevXd6+dXZTQogUwJWIxTpkaIVYThVT4wQEddBAR6EzE8XnbkKAqUfINKoGrUJHPeP11wCOnLQMGAzB37r0dz73E6NFAnKkMniqxCbFSGJzQIAnB+BflcVlXBeUu7cDph4dCdBTRJcg9hkrgKlTkM0JD2eSgWTNAq+VrggCULw9s3w40aVKgw7ureOAB4OuvgQeNR6CX7DgjVMdlTUWkGkKgMWiRog9FiduncHL5/oIeapGAKsxWoeIuoEwZYNculle9dQuIigJCQgp6VPcGDRoA/ar+BeNZO/z0gOBqJgoCtJIdcTuOAc88VGBjLCpQCVyFiruI8PDM3SlFGZqwYAAaaOFAWGoMgsV4aCDCCn9YNQHQhQQW9BCLBFQXigoVKvIdVV/oBEkQUCb1HELF25AgwAEd/GBFMfEWJEkq6CEWCagErkKFinxHySZlcLlsMxiQlp7CI0ELBwDglhCJsM9nw2lzFuQQiwRUAlehQsVdgZ85Dje0ZZAshMABA5KEEFw1VEaifxT87Um4uPkOGU8q7gjVB65ChYq7A0mETesPs7GY91sQWFdARZ6gWuAqVKi4K7C06waDmOr1ut6RijRdACp0rFYAoypaUAlchQoVdwX1330SCf4lEWiLg0Z0AJIEo90Mg5iKxJdeh85PdQDkFSqBq1Ch4q4gpHwoSu5Zhwv1esPotCDIFof44AqIm7UYD83sWdDDKxJQp0AVKlTcNUTUikTrgx/AaX8PjlQHygYVwRKMBQiVwFWoUHHXodVroNWr5J3fUF0oKlSoUFFIoVrgKu4Zbt8G1q4FTpxgrZC+fVngSYUKFbmDSuAq7gkOHQKGDmVfSEFgQ4PFi4G33yaRq1ChIudQXSgq7jpsNmDECMDpZKnVkBAgLIxNDf73P+Dy5YIeoQoVhRMqgau46/jzTyAlBQgIcH/dYCCpr1tXMONSoaKwQ3WhqLjriI0lUfuCIADXrt3b8ahQ4QWzGdi3jxdq48aFpgawSuAq7joqV2YjX0kiYbtCEIA6ddxfs9mArVuBPXvocunaFaimZl2ruFv48ktg5kyl07QkAc88A7z6Ki/c+xgqgau462jUiCR+9iz93zKJm82AyQR076589uZNoH9/WuWy1b5oETByJO8nzwlAhYo8Yds2YPp0XoiGdJ26w8GLLjISGDasQId3J9zf04uKIgFBAD7/nFZ0cjKQmAgkJZHMV6xwbzU2bhxw5Qpfk7vZBAYCn35KX7oKFfkGsxl47jlecOfOAf/+C1itgE5HQv/4Y8Uqv0+hWuAq7gmiooCNG4G//gIuXQKKF2fTX53LFRgdTTekZ+9IrZaTwPLlQMuW93LUKoosfv2VS734eD4XBPrukpKAChWAoCA2NE1IuK/94SqBq7hnEASgYUM+fCFp93FMvP0FKt06i6vGKthYfCjO+9NBbjTmn9wwLg5Yvx64eJGunW7dKGtU8R/B8eNMSkhOVoIzAK1tQQCuXgWqVqV14Smdus+gEriK+wPffouqk1+HKVmEQ2tEVesxtEr8EYtKvYlfwp9EaipQo0bed7NzJ/3pNhvvV40GeO89YOlS4CG1Sfp/A598QktbXto5HMp7Tidgt1M6NXAgLYf7GKoPXEXBIyYGmDYN2kB/IDwMKZIJSbowpGpMeDb6DQSm3IQgUBiQFyQlAc8+y3s2NJQr49BQEvmIEdSqq8gfpKQA+/cDR45kLiEtMBw8qJC3TueuNJEkPkqXBiZOLLgxZhMqgasoePz6K60ggwGlSwMBgbzp05x6CE4HmiZsxqxZQL16edvN5s1AWhrg7+/+usnE2NUvv+Rt+yrIfQsWUEo9ZAjQrx9jHTt2FPTIXBAayh9ddpkYjVSgaDR8REQAP/9cKPxqKoGrKHgkJGQsY7UaoFJFoEploFRpoHiYiDdeTkCfPnnfzdWr7qtlV9jtlDCqyBs++wyYPZt8GBjIWGByMlc4x4/fpZ3u3g0MHw60agU8/TSfZ4XBg0naWi0vCEni3xoNZ/fJk4Fi3n0870eoBK6i4FGnjpev0d8fKBYOmEIN8G+aR9M7HVWquKteXGEwqJUR8wq7HZg/n8atXq+8bjJxRbVw4V3Y6aef0tTfvp3R6T//5PNFizL/Tr9+QIsW1HkbjSRxm40Xx9SpwPPP34WB3h2oQUwVBY/mzYGKFanFDQ3lslaSaJlXqsSbLR/Qvj0lisnJtAxlJCVxtdyuXb7s5j+L6Gi6olzPrQyTiT7xfN/hBx/Q1JdnZpmQP/yQ8qLSpb2/ZzBwqfDrr8B335H4mzalFV+iRD4P8u4iTwQ+ZMgQ3L59G7r0kzd9+nTUc3FU7t69GzNnzkRaWho6d+6MsWPH5m20KoomNBpg5Urg5Zd5l+t0vAmbNAHmzePy1hUWC3WA69fTj9mtG9Cz5x0lX/7+TBwaNkzx2uh0DGZ++aWSiKcidwhMj13I6h5XOBx0Lecrfv2VZr/TyUlfvk50Or62ZQtdKr6g1wNduvBRiJFrApckCRcuXMAff/yRQeCuSE1NxeTJk7FixQqULFkSzz77LLZv345WrVrlacAqiigiIoCvvmI23NWrtJwqVPD+XFISEzDOnFGsrgMHaFF9990dky5q1gR27WJQ7do1NpZo2TJz14qK7KNYMRqye/e6x/8kiXXgBw/Ox51ZLMDXX/NHlIk7JAQoVYrPnU5+pogj1z7wCxcuQBAEjBgxAt27d8fKlSvd3j969CjKly+PsmXLQqfToVu3bti8eXOeB6yiiKN8eeCRR3yTN0CL/J9/aEElJFCv63DQ/fLhh9nahV5Pd8mQIUDbtip55yfeeYfkHR9PKWFyMn+mBx8Enngin3YiSRTzHz9OU18OQCYkMM3XYuGOf/iBPu0zZ/Jpx/cfck3gSUlJePjhh/Hxxx9j+fLl+Pbbb7Fr166M92NiYhDhsmaKjIzETTXMryKv+PZb3qCXLvGGTUmhfCQmBvjmm/u+dkVRR/ny9Fy88gpr3zRsyHn1yy/zMSfm779ZcyEqis51WVqk1bK+yZkz1ItevcpVXdeuJPMiiFzbHg0aNECDBg0AACaTCY8//ji2b9+ORx55BABdLJ4Q1FJyKvICUaTFnZCgJGLIcDiA69epJvDzc3tZlvequDcoVoxCjnwRc5w/z8zJP/9kEKNfP14Hdjt//woV6EZJSuLnHQ5+7oEHFNeKzQZMmEBf2X1c1yQ3yPVlffDgQezZsyfjuSRJbr7wEiVKIDY2NuN5TEwMIiMjc7s7FSrIwkZj5oXFBYE3PKgq69qV0sEaNYBJk8j9KgoRjhxhgHrtWspbbt2i6mTxYuUzWi1QrhxQvTpnDq2W8RTXwLfBQGLfsuXeH8NdRq4JPDk5Ge+99x7S0tJgNpuxbt06tG/fPuP9evXq4eLFi/j333/hdDqxceNGtFRLyanIK8qW5f+urhJRJKmHhQGJidiwgYqws2d5T/v7A6tWAb16sZStijzg+nXg2DGugu4mJIlWs8NBq9lg4A+Z/hvDYnHPytLplEk8ONh7ew6HUnmwCCHXBN6mTRu0atUKPXv2RJ8+fdCnTx80aNAAPXr0wM2bN2E0GjFr1iyMGTMGXbp0QaVKldCpU6f8HLuK/yIee0yRODidSqGNdH+oo0IVvPEGvShBQUq5i/BwyoZXrSqwkRdu3LgBDBpETX7fvoxKvvba3VN6XLvG1ZSnqFwQKBcNC6O/OymJLpLkZE7kISG+ne1GI1CrFq360aMZKO/VC/jxx0IdNxEkX87qAsbVq1fRrl07/PbbbyhTpkxBD0fF/YTLl4FOnUjccilQPz/eyD174sTwj9C7t+9kEouFLtOff3Z//eRJ4PvvuUJ/8EGgRw/fRtx/FqmpQIcOJNWQEJ53p5OWcLt2wJIl+b/P8+ep0fb1Q6alcRzTp9Odcv48o6fDhwPvvssGDZ4JYRUrAi+9xI4hTieDn3Y7yb9bN+b/34eBkjtxoSqgUlG4UK4cOzu88AIJRBCoROnaFXj7beBCzjY3Zw7vXYuFbtONG/n8228ZB/svQHSIuPlXNHQmAyJq+YhT/fILXSeu4m6tls//+IOqj/w+WeXL09JOTXULSgPgj9WjBzWgbdu6v1evHqOnx48revD69YGPPuLqzWBQtmc00vr+6ScGR9MFGIUJKoGruKuw22nZBgbmo1X74IPseHzoEEm8Zs2MlOlq1bgvX/d9WhoTNmXs2QNMm8bPykhI4Mp89Ggm+hV14dTeST8gcP4sBNriYJNE7C1eB8U/fRtVutdUPrRrl283g3xyjhzJfwLX6YDx44EpU/jcz4/WdFISrecRI3x/r1QpYN06TipyplbVqpxo7HZvi16j4bGtXVsoCfz+WzOoKBIQRdYTatiQpUXLl2fDhDsViss2tFoSefv2bvUudDrgjTdIysnJvOcdDpa7KF2aSZwyxo+n8a7TKQ+NhnPCqVPAiRP5NNa7iTt5QOUArw/smfADSn0wDkZ7Miz6YKToQxAVexxSv364uvOS8sHg4Kz3c/EiV0T9+1P0ff16zo/DFwYMYLd4f38Sd2Ii/dirVt258tgDDwBt2pC8AapYMoNOx4ulEEIlcBVZIi0N2LCBMrxZs+gvzg5mzWJW3oULwO3bXPUeOkSX6cyZd+advKBbN2DZMt67t2+TzAcMoJEl99tMS6OYwrPMiiAoJH779t0bY57x66/0EVesCNStyxMuk5Ak0Qpt25bFwOrXp/zOhcREh4igj2ciTeMHuy69QLogwGIIgcFpwbnxnyr76t5d8Xu7Ii2Nyo5Fi1hs/cgRlhzs0IHJNnmFINC1sXcvj3fXLibk1Kzp/VmHgxfqE0/Qnfbuu4xay6hXL6NQiyPNCcvNZFhumSGK6Q0cWrfO+3gLAGoQU0WmuHGDRlV0tGLIaTSsDzRpUubuhbg4WtsxMUqTbxkOB+NL69ax0P/dhsPhnfMD8Jjq1iXneabSiyLv9fPnFdXifYWVK+n70evpJ7bbeSC1agFr1jCo+NFHdDv4+/N9sxlo1IjZqjodru6+DHvrR2HVe/u1dE4b7Dp/1DLv4wuSBMyYwXRKjYZ+5NRUPpxOoGRJ9wBgcjK12Dt23JvAoMPBVkvbt3N/Oh0nF5OJwYxatQAA4msTkLZgKTRWc0aAUwCQVqYSgk4doO/tPsOduFC1wFVkinHjmI0cEsJ4VXg4XYiffcZ7JTMcPsx7KjXVt4VrtZIL8gOSxAKGCxcCX3zhbnQBijxYxrVrXAE88wzvcUlyd+/KLpfIyPuUvC0WHkBAAAlHEEio4eGQTp5E/DufwP7uR5CCgklg8vthYcBff9EXDEAfYIBG8u1eESQnHFoXKZ4gAK+/ztrbDz5Icu7cmWWATSZvkg4MZODjyJG8H292JH4bN/K4/Pz4o5rNyiQzblzGMe76tyxSU12SwAQBqRp/JNxIxcl1p/M+1gKAGsRU4RPR0STG0FD312Vr9vPP3Ved0dG8d8qV470jr7Z9Wek6Xf50mE9O5mrgyBGqwTQa4K23WJXWVxr3kSPAk0/yvjYaacBareQI2QqXJL43c2bex3dX8NdfPLkefeGsty0QrtyE3/TJkOBAarQezsiSCCwdyg/IkrqffgIefRQl6kVhX3gNRMaf9rLCjaIV0R36ue9XEOj/ci2aPmCAe+cG188KgpLenlOIIlcZixZxxo2MZNDy6ad9Vx5bsYL7unZNmZAEgedIkoALF2ArURaR65cgxlAGokYLvWSHU9DCKehhsiXg9vQFwODPczfeAoRqgavwiVu3vK1XGUYj7xUAOHqULseWLSnPbtqUMlz53vHloPPzy1jV5gnTptGvHhQEFC/OFUJAAL0Hnj0YJYkyYIeDxqjJxDiYLEowmRiri4oCxoxhQ/KcwJHqwF8fbsPv7d/GH33m4dKvZ/N+gL7g44SmJlihuXwRGpGkJAkaCJII/Y0rSIl2ST2VSTwdYR+/DaegQ4AtHlrRBr0jFYG2OMQGVUKD2UPuPJYHH6R7xhOiyBNdrVpujpDKkzfeYCCieHHOsrNm8Qf0dUFdvMjPyu4TORptsdCfZzbj9smbMDitcGoNkAQtbBo/OAVOPqm6ABS/mg+rhQKASuAqfKJsWfdER1ekprK+yIULjBmdOUM3S1AQ35s6lYFEPz/e36Ko3NP+/iTZp57K2/gSErhyDglxn2Tke3fpUvfPnzrFVYKrm1OrZYyvZEkm+EyYAGzaROs7J67b+PNx+Ktsd4RNGoXKvy9FpfVzgC5d8HunWZDEfA4xNWjAA3YhTvvVmIz6MIk69nKUIJDIb96ABCj1Y1waGDzweF3oN2/EhXq9IGoMsBhDcbHHy6hydC2Cy2RD8/nkk5z5ZLkPwB86IYEXQFRUzo/vwgXWdQ8N5cUiCLyQQkOpRz92zPs7qane9XHkaLTZDERGwhQVDEGSIPhwyehEOyx+938DY19QCVyFT4SH8x5MTHQ3emw23hsjRnCFm5pKy1W+d+S42e+/KyQtx7v8/dmxavZs30KCnODmTaUUtCf8/DJqWmUgOdl3MFMQOPGULk13aW7GdbT7/xCZcBoWXRDMxmIwG8KRqgtEpd+W4q+Pfs/5BrNCQADw6qskJosFkCTobWYIkGATjEjWhSNeFwEtnIAEaEUbnMlWWqJ16lBa54LybSqhzcEPUCPlIOol7USr71/MHnkDJOiVK+niMJuVAuA9euTeB/Xnn0qWrSs0Gk5a27Z5f0enU5J2ZMjBjdBQwGpFSLkQXK7UCiaHRzEcSYJBTIWl79DcjbeAofrAVWSKGTOUPrGAQpjvv08Fx/btvruYGY3suSDHsPR63ltmM+NeXbvmfWzBweQKq5VGoByvA+iLr1jR/fMPPKCsKDxJPy0tm203L19mhl9gIGU2BgPizt5G+bNbYdG5LwVEjRaioIF1/hLg1bZZbDQXePppVumaM4cdjCAhWRuKWENpiIIGcbpI2AU9wu0xMEoOCBDZAOGll3z7rPOCevV4IRw7xtn+gQdyZ3nLuJMoztf71apx33FxSplZSeI5CgriBAOg2qoZuNnycQRbb0IStBAkEQIk/Fu2BZp+NCD3Yy5AqASuIlOYTAxWymRsMjFwKfuN/fxIyp5ISqIxZjAoMSeZxFesYM5H/fq5H9f+/eQji4X712o5aVSowHtXFKkycUVYGP3aX3xBt4tWy3vcbOZxDRqUxQ6tVlq9W7ZwFpMDZHPnIj45EgK0kHz4XOyCEUHxV3J/oJlBEJhS2qMHkJqK3b3no8rWRXAK2oz3k3XhcDoFRJd5EM1OfXV35XwaDYk8P9C8OX8cz8aaksSLyGMFAYASwsOHWTtY9tkZDLwQe/fO8JuVqF8S/qc24+j0HyD98itEP38EDumDh8e2hc6vcFKh6kJRcUdUr049eLdu7pnI/fv7TnCLjlaqAMqQJOW+XLgw92O5dYuuGZuNhB0YyG2npLB8rNnMIKSv1quTJwNDh/Kz8mq/VCk2bSlVKoudTplC53hwMBAcDNEUAFu8GfYhTyMyOBUCRGhE72CBQbQiscRdLKiSPpE0/GQkYgPKI9AWB73DCp0jDQG2OKTpA1F62Yz7skhTpqhShZNTQoJS40BOGGrTxvdE0a4df3TZjWOx8P+HHqL80QXBpYPQ/NPBaHHxS7Q69SkaTepQaMkbUC1wFXnA4MGsxnn2LA1SOfAvk7UkKU3DAUVdFhOT+32uWcP7Wq6rVLmyct/abMD//gd07OhbPaPXU7ny4oscc1AQJ6cs653ExgLr1wMhIZAEAckXb8EQfwuACK3kRFKX/rhSvjkqXPoDZn1YxsY0oh0CgNDXRub+YLOJ0AqhqHzsR/w1biVCfvkOWtGOa437ovp7w1Gycek7b+AOsMRaoPfXQR9gyIfRZgOzZtEVs3gxz39oKDB2LC1tXz+WIFA72qcP8NtvtCqaNlUCvkUYKoGryDWCgliG9auvWJ4iNZVWemoqMH++kigjQxYA5CXh7cQJ33kjDget8//9j0UJq1dnKn/dut7bCAujAi5buHAhI0hmvnALxvgbkKCBpNHCKQFhlqsoeWU/rkU2QMmYo9BIDojpUr7LQ19HixEP5f5gc4CQssFovXo0gNG+PyDX7TaZsr3Nv+f8AcdbsxCZeAYSNLhcvT2qfjYZUU3ucoaTVsso+TPPcFY2GEjEiYnMMl27lj94585cjpUowe+VLQsMG3Z3x3afQSVwFXlCUBAwahQfMuLieJ/J/nG5bDdALjx4kHySAy7JQPny3sl5CQnUngP0cgQEUNo4YADLY1SunPP9ZKBYMcDhgOhwQp8QAxFKg01BAGwaEwxOK1JrNoJt3huI2XwYmkB/VHuuHVo8UCwPOwb+/e0cLn6wBsKN69A2boA6U3ogpHxozjZy9ChntAMH+LxxY85yd/BZH5ixGZHTn4dT0MOsD4MgiSh/agviW++Hdt/PiKhdIncHlRMIgtKcIS6O/uzLlxV54eLFlByuW8cMsv8gCpFzTEVhwMGDXO26QiZco1HJ7chtraO+fWmg2WzKazduKDGugAClq1ZaWt787QAoFK9eHY6btyFAUsz/9DoaibpisGn8EHxoGx7oUwfN/9cKzTqFoFj8Od8i+mxix7BlkDp1RqWti1H++E8os3wGblRvjXPrs1lNDKBipl8/BvjCwpR0+v79feup7Xbgp58gDXsKVd4cBD/JCpuOZClptDAbwxGQFo9TrxVAxuInn5C8w8NJ4H5+/DshgY0d/qNQCVxFtmE2A5cu0d/siehoBgm7dmVZCp2OhKrTKU3Ca9dWyjrnNq5WvjxdpKmpjGvdukUy1+n4nqvLMyAg65ot2YIgAHPnQgoKgUZyQiM6oJUc0EBEojYcKdogaCQnRIMfl/yPPkon+5AhrNZ1+HCOd3l+4ymU+3oWUnUmmA1hsBhCYDGEwuC0wjJoBERHNluAvf8+XQ1ytpMg8O/UVODNN92Tb/74A2jSBBg6FPbNvyDAmYRQ522USzsLraQkDaVpTQjd9VOOj+nGwavY3v1D/FlzJP7o+j6u7f43Zxv47jvfvreAACb4XL2a4zEVBagEruKOSEkBJk5kMbuOHfn/lClKwPL995lK/+GHXOnKFjGgyAdlizktjS7NvMgIe/dmotArr/DvsDBOEB7lQSCK3q/lCpUqwbB/J675V0Wa4I8kbTiuGSvhlqEUE2kkG8oWszLJRE5JDQqiz3bIEJ6QHODyh6shSE6IGnfNtlUfhKDUWJxacfDOGxFFCvhdu2gkJwOnT7Ne948/8sf84w8ua/r3p8WenAxdXCw0ECFCC61kR4TNtUKYBFHjI3sqC/z1/lZYmj2KCpsWovS5P1BxyyLYWrfH/qkbs78Rq9VdwO900iI/fZrnt2VLTpyu9VckiUGTHTu8q5wVEag+cBVZQhQZJzp4UNFPOxzA11/TGu/ThxmZct0UnY73jcXC76al8fXYWJK5w8EkPc9uOTlF6dJKwap//6VHQK71LcNioXDBC1YrrbYTJ5h00rVrRrJHZhBCQ2BdvAK3hg+CTrTBIWphEC0wOFNxq3h1NEg9o/RhlBEYyGXCt99SJZFNaK9fhVPwfWtKACyXY7O3IddljtnMEyUIChFeusTGvgEBnKX1ekCjgSBJ0Njt0MIBJ3QIEJO5yhC0MIhWRLfpme1jSbqSiOApL8Ip6JCqVyxonSMNxWe9ituDHkax7MQKHn4Y2LlTqa528SJ/R9lPHhLC2grXrjGyfuYML5DLl3keHA7WKX//fd/ZZ4UUqgWuIkscOEC3aViYct/rdHy+bx/r5hsMynuSRNKW5YNybW2zmUbp0qV0y+Yn3nqLE0J8PPdtTc8cr1yZum8Z0dHA6nfO4Ua1VrA8/yqkJUsY4GvRglLBO6DGoEbQbdqIi436ItUYgoSgsrj89Buos+gFaPQ6b7+QzcaD37s3R8cj1anHUq9eb0jQQESxB7MRldVo6M5JSOBzuUuO3JhBjvbKumlRzJh8BEGApNVBC0f6OCRoxTQEpsUh0b8k6r6fjUJX6Tg571foRBvsOvcZ26EzQivZcfKDnzP5pgfGjuXYZQF/aqoSHS9RQunReewYpYQDBpC8g4KUfn6bNzMb1Reio9mtRFbrFBKoBK4iS+zdq2Qnu0Kup3ThghJTEgQSqK8Szn5+9ChUr579fUsSjcQLF7IuC12zJo2v/v3JSRERTJxcs0bxIHzxBdC6pYjy04fDcj0B52NDcPZ2MdiDwjgDvfpqtmrclm9bGa33zkLdpJ1oHLsFzRcPgaFclPsAU1NZjOXMGRLD1q0sAJOd2tYAak7rC4fGCIPDhUwkCQH2BERHNUSlztms8vfaaxBNgUi+dBu2JCusNg0caQ6Igoak53Ao4n1/f7fxaQ06wGCEXe9Hs18UcP7hgSi1dx3CKodnb/8A7NdvQyM5fL6nkZywX7+V+ZetVk5AkkTVzPLlHHd8vFIvpWxZZeklCJw0v/iCJO9apEejUZowX3DpfH3hAvD443TB9O5Nlc577+UpAH0voRK4iixhNGaeC6HRcEWblsa/Q0Iy733rdJLXfspm/OvPP5m236EDy9Q+8giTITND+fLUfe/dy3t09GiFvP/6i1Z6E+EgonAdqYZgaLUc95Ur6QfpdAKrV2dvcJ6oX58umORkZVazWJSKeOHhFMZ/8EG2NhdRuwTSFn0Ou9YP/vZk+NsTYXIk4XpkfdT4/ZNsD8sSVQmjon7AOlsXCAA0kogEKQRnpSqwSH7uqbKyC0n+AUURWn8jAsuEw7D0E1S1nUCbP2egeM2sXU2eCGxQFQ6N7wQgh8aAwEY+ZvToaOC55yjib9SIabXr1zMo/PvvtMajomgNeBas12j4o/qKksu/x6lTfB4XR///33/z4g0MpBtp0SJeMIUAKoGryBIdOngXegOUolCjRilNEbRa7/tGrlMCkMBjs+G+PXQIGD6cGZtBQSTixERmS6c3lMkRli+nERcluTfb1eq4Ik+zgQN3tcxyAo2GMjejkWoIudSrJLGedXoKPpYty3aTg1pPPYjKMXuRMGsRbr78Lmxfr0HTK9/nyPpdvRrYeqES5lb9GD8VG4IYQ2nE+JWDTTDi6lVA0mqV8pEmE61ZQaBlLoo8+WPGQHg6m7V/Y2N5smfNYrKNxYK6L7REoqkkTLYEJbItSTDZEmE2FkO9cY+6byMujn75LVu4/9BQbnfsWAZeBIGFa/z8vC9KuX9e3br8G+Bx2GzKxCRrTAEqWxIS3GMXej3J/KuvOJb7HGoQU0WWqFSJZLpsGe8NPz8SttNJQh0zhvGkzZtJ0K4rVoNBIXS5642vzEhPfPgh73XXWJPJxG3MmpWD/rOSBPz9Nxps2YwHElORYCqldJnI8PeSb42iyCLnuUWdOvS9tm/PE2IyKZ0jACXKe+IEA3LZgCHQgAav+CjelE18843i2vq85GTUsBxEsCMOVk0AnKmAIyYF+qpVuXw5coQnIiqK//fuTX21Z2RYxuXLtFS3buWx1axJtYcc+NDpgHfegXblSpT4ZSWudRmBYknKBHk7sBxKLpgC47dfkGwfeYTn8OuvSdjhLhOVycSL79136e4oW5a+7DlzlHrhck3w8eOZRv/TTwxoyi4YgBdUmTKskQLQGvBVnVG2RI4fp2vlPoZK4CruiIkTWVZi8WLet1WrUt3x6KO8f+bN4/3/1Vfsl5maqrhXAYUzy5bld+6Efft884acYZmRxZmaysCTTscWP64yM1GkX3vDBnSLcSAlRYCUpEWgM5E1TPThQPq4DE4rZ5vHH8/biYqI4AxlsbjL92RIUu7ST3MJi0U5JdeMlfBylZ/QK3YxmiVuhh0aJPQbhIgJT3OVcOQIpUZGI4tDZVXd68wZnquUFP4oaWn0OxsMvDhk10xSEvDUUyi5cyeiYjbh9OojSDx+BUEPlESD0z9AM20ECV/Owmrdmu4PecnmCqORkfB//uE5fuEF+sWXLuWEWaUKdfjNmlFtk5pKeaGsuhEEurhCQ5WTEhycua9bkvJJg3p3oRK4ijtCEOiH7tQp8/fr1+ejWDEaZrGxSmVPgMbt99/zHr8T5NWxpztGrjCq00rAlysYbHI4eLMFB9MJ3r49P7x6NfDDDxBDQpGSqMGtZABOCYANGsmBACEJGtEGg78eBr0B+ORTtubJKwYMAHbt8u4Qk5JCq7JOnbzvI5to3ZoGrcyHtwylsbjUm5hf7E1otcD+dwDIv4f8A8qQmyf8/juJ7LHH6I8WBLY7s1iUimIJCYpULzZWqQceHAzcvg3s3g2hRQtUH1AfQH3KKr/5mmTqOsv/9puS6eULrs1LAaqHPAu5HztGF8zNm7zYHA5eTEYjl5PR0fx9WrSg/3vrVu/fymKh+6ZBgxyc7YKBSuD/FVy7RmaVo4hdujBQVDrv1epcMWECC0UtW0ZjKSKCUr4BA7JfGK53b1rz4R7u3sRETiKGDWuYSSh3Zgd4040eTb9B48ZcCvj54co1DZISaeDZ7QLipTD4i1a8ivloW/0qnplQDOj1qJu/RhR5j589ywmpXbscFODq0IHL7h07uFO9nmPT6+kbykYKalpSGo59vANp12IR0rAyag5pDI3Ox/ckiYS1dStJqmVLZlOm72PECJYJiYtTmtZotRzOG29kMZkmJLBd2tmzPBmSxELujz3G8+65REpL4/9aLRUirg0dJEmRMMpYvJhE7XouBIEnOS6OA/PUassTRlYyJklSuhVptUp/TEni+bFa+bdM4G3bckm4dav7b6XTsbGqrwbKucH167z/SpW6Q93inEMl8P8CLl/mzXfzJi9Sf38S3U8/MSMvHwsBCQLvi7Z5aELz4os0/q5fV+oWWa0k9EkTRGDAhyQAVwby92ewat484MsvgehopEoGJCUxWAmk1yN36qAXJVwNr4s6szsgyMOlEx3NMrlXrtAI1em4m48/zqbvXacjQf3wA9uNxccz43HkSKaLekIUgT17SIoBATiTWALStDdQzGmBRnJCgoBzLwejVJ+HEVi5JMs91q2ruIg2blR0nosX0/+7dCng54eSJelu//prJa6q0zGnaPDgLI5h+nTOvmFKeVyIIiuD1amjpOXLcM3KcpUhyZat5/V15Yp7YXkZBgMt5XLl6AYJDOSPJldFe+utrCfAixf58PfneZchjzcujlb/rVuKIuiZZ/j7rFjB9zt04MyXE71rZoiPp09++3aeeIeDP8hHH9FtlQ8QJOlOPYzuPa5evYp27drht99+Q5kyZQp6OIUf7dszYCNf/K6NYrt1o8TtPkNcHO+pdevICV27slJopCaWN4EvJ7nTSWvw5EmgVy8k7j2Jy3FBGQQOADrRBg0kPBZ1CE8OM2DaNOU9SeJ+Tp92V6fJQdtt2/LZgEpKYqr9iROA3Q6nQ4Tz2g0kasJwy1gGWjhQJu0CDGIqnBo9jOWjIGi1XM488ADN6JAQdzdEfDwJaNIkvP02V0IhIe5JVZJEVZ6v+QRmM10lst9F7hIN0A1Urhwnyhs3FCvZ4eBJczhI+jJhJyYyaPjbb+7E27Ytv+8ZD7DZOLjt2zkJffst99m4MWedJk2yPp/Hj9M3bzRyPHL3JMBdJhUWBlEQYEuwQrQwuH1t9DuoN/kxGAKz8PElJ/OC/PlnGkK9etEw8uWzF0W+f/y48huJIs9J5crUxPpq6OqBO3GhKiMs6ti/X6kuJT+0WrKS2cwLKYsEk+RkGjW+CljdTYSHU2jwxx/0Rrz2WrpUWb7pfY3Z4VB8HaNHQys5oIVLEokkwiSasaHYUNhg8ErnP3qU8TnPucHfnxZsbmXimeL117nT4GCgeHFYrAIkAMFSAkxiMiJt16CTbHAIBgiiiFT40XL9+msqMny5IYKCgK++QnK8AytWcNNaLY1bPz9yrt1OYveJM2dIrmfO8PHPP9RzShKJ6uZNRrVtNvfuHcWLcyz+/vSDJyXRlbJ8ubfVPHIkg4ye1rrZzAktPJw/+OHDJOKvvrozeQMkRpkUIyOVJqiSpEgjtVo4Q8JhuZYAITERWnsqIhLPodKskfirSl+Yb5h5bFu2UOXy1Vf049+6RbKePp2JBfv2cYz9+/vO3jxwgIaEq59fTpy4dIkXdT4gTy6UBQsWYFN6dkWrVq3w2muveb2/Zs0aBKdH5Pv164eBAwfmZZcqcorPPuP/ng5orZbsLOvzPG6y5GS6O9evV77apw+LWN1DIYU3TCY6pX/9VQmiAQoBPP00n7dvD2nsOJhenw2NQ2IpWAjYHtoD30S8BG0ywwCuuHzZ2zsgQ6tV8j/yBQkJnDzlSoFAen86/g7h9hj4SVY4oQXS33ZabEB4uqTu0iXfJrReD6Sk4PLJZABhPt24/v5KeXA3mM1Ud8iFo2Sr8eZNEmFAAAN7HTvSpzRjhkLu9eoBkyaR7GJiOGPUqeO7wXG/flx1fPutEoTW6/m7Zpbqnh34+zOu89FHvDb8/DgWq5WTT7FiQHAwLJdiYHBYIQo6QAAkSYQJKSgZewRH+05HM2k3v2ez8TxMn846yFevugdmJIkT8JIl3uOWZZm+UphtNk4Cvvp75hC5JvDdu3dj586dWLduHQRBwDPPPINff/0V7WUVAIDjx4/jo48+QoNCEM0tsjh3jqSXlua+ZJM7d9eo4RWsEUW6K/76y72A1bffkuRWrCjgTlVTp3JwsbEkCrl3W82adB+kI2jCaPyg74s97+6AUWPH6ZAHcQGVYE8ih9Su7b5ZmWs8RQkAjz9PjSE8ERNDgnT9TQxGwCpBggZ6yQYJ7rOJxpj+OxmN/M2sVu9gn80GBAYiKMIfNVIOIhgOnDPVRZpGmXXt9kxcsD/8wHFFRNDiBBQ3hHyu5QpinTrRXxwdzbHIJ2/vXvqXb9zgd/V6uj+efto9SWDGDF5k27a568DzemGNTu9ItHAhrXy5lvHHHwN9+0IyGqE3x0ESlIlRggAtnLBqg1Bv10JIlaIgePrQNm+mVNEVgsDzv3KlN4EHBWXuItFofMtMc4FcE3hERAQmTpwIQ3ogqXLlyoj2KNl4/PhxLFmyBFeuXEGTJk0wYcIEGH35i1TcPVSuzGXorVvu2jxZ/zpunNdX9u6lYeEaw3ItYHXkSN7KweYJNhuX1nXqMHPSaiV59O3LZrgey4PBr0SgXKM++OQT4MYZoGIJuv0ff9ybKxo1oo/72jV3N4pcb7xv33w8jqgoxSmdfqMbo8IgJd2CRhJh1ZjgJ1kzCliJgg7+xdPdQ1YrXQoXLtDKlIkiJYVEW7s2yvVqhPkJTqTdBAStBt9EvoR1xUdClAQ4HPRUeOHXX+mjNZs5Nrs9XbeZruTo29c9sUWjoY9bxqlTSkuzoCDF2nznHU46gwa5769SJVrFWq3voGZuoNFwjMuX07VhNHK187//AeHhkCxWaCTRrdqjAAkOQQ+d4IBBSvMmV72ex5+U5L381Ol8Z9c++ihjFHa7e7KQnC3auXP+HG5uv1i1alXUT7+LL126hJ9//hmtXFqBp6SkoEaNGpgwYQLWrVuHpKQkLMxzexQVOcbTT/MiLltWqfkhk4ZsRXlg376sV38Hs1GO+q4gKYkk/fLLdI5fvsyJyc+P2kOTCSkpXNG2b0+l2LRpQMWKXDX07UvPw7x5zPcYOtRd4abRMHYWFkYeu31bqXD43nvcTr4hOBjo0YM7StcRGAINsBePggARVvjDCn/oJDtESYBQsTx/D5uNP8TMmUyRTU5mxPfMGa62LBaWXT17FlGmZKTpApAm6jE4+j00v/otkpLoOvJ0HwEgASckKCVaZR+7IJCou3fP+pgWLuSFExioXDwGA63g2bOV9HYA2L2bg2jUiNZAv34M+OUVcmDYbOYyo3hxTg4XLgBpadCkWmHXGCAg3f+ePkHGa4vD32mGU6OH4KsehKsaxhUpKb7b00VEcKVosfCcyoW5zGb6zvNJvptnGeHZs2fx7LPPYsKECahQoULG6wEBAViyZEnG86effhqTJ0/GWM9+WyryBKeTcSank8onL21v06a8YN5/n/47h4MfeuABWik+IEv3fMG1tsk9x3vvecvbJIlLhqVLYR72Avr140dkw3TlSpblaNqUq/WgIBpEokiee/xxGp6yYVWlCkUQcrnwEiWoTJH75uYrpk2jX/XgwQxrNzBEj8Sn3sCZC8HAlcuomnwYJSyXoEEakJRuEb/zDpUZjRtTDzhhArXM5cpxRkpfaekSYlGtpB/iEIbUBH+8hDno8Wl/tGqj8VbjxcVx6eH6hlwPweHgxHGnQOKePb5rbfv5kVijoznG3bs5e8pBPYAusX796MbxKY/JJtavJ0m6ukDkTkSJiUDLltD8uAXahFhIkgAIGiRqw5GsCUaIIw5SSKi3D03+vsPhblHLpTd91XqXk4eioji5pqZSPTV+fA46at8ZeSLwQ4cO4cUXX8TkyZPx2GOPub0XHR2N3bt34/H09GRJkqDLL2G8CgDAls0Svn7lIB65sQYhYhy+C34EDWf0Qq+hHkvAkSMZQd+0iRZbo0ZA8+aZamo7dKAb02V1D0BZ/bVrdxcPKjM4HEzldC0RCigJIF98gS81L3jxu9FIS/qbb+hN8qwuevMm7/kBA5RN+vvTOO7R4y4fU2AgFSV//UW3kJ8f0LYtQkqVQkuApLpmDRURdjuDXk895e7fiYpiMk9UFMnWYlGsZkGANu4WIh4IA4r7AYlxqFj3NqCJ8B7L8eMcT1oarxF5G7KKo1KlO8/cgYGK79wVkkSik8n97be5fVe3SUgIlzvz5gELFuTsPMoqEJOJx+FLGS3/8B07wu/1qTg9aAbCD2xGquAPh6CHQbLhbL8paJGwni5HSVLSiXU6XncvvkhVSloa3/fz443SrJn7vkSRPvFNm/iblCjBMf79t3dSUx6Ra0a9fv06nn/+ecyePRsP+yjO4+fnh/fffx9NmzZFmTJl8NVXX7kFOFXkDfv2Sjj75FRMN38DjSBCghaPWH5F/Mj52OZYjbbDK7l/oXRpJi1kA3IBq6VLee0ajTQgnE5ew/mcTJY9WCzK8twTej0QH49Vq3yvHux2rmBPn+Z7BgOPQV7pb9/uTuB3BZcu0ULVaumjlYN+ggA0bMiHC/795CeEjx8Onc0KyWiEIdQE3YkTJNcpU5SDTErijyOTulw6Ui6dKveyk2MevjIcLRZl6VauHIn09m1OmkFBPKlyN+qs8MQTirzR9UdITKTRUKwYx/vPP95lYAHua9MmuodOneKM+8QTmVvkJ05wMpAbZjRowFosmUGjAYoXh1C5Eqrv+RxJV5Nw9RvKcao80QSVygQDVx4jIctt8ORJTJ6ADhxgEEino+vHVzrr7t0MerpWOTQYSPyTJ9NCyqc6K7km8GXLliEtLQ2zZs3KeG3AgAHYtm0bXnzxRdSpUwfTp0/Hc889B7vdjoYNG+Kpp57Kl0GrADZP/B3Dkr9Gmi4AxRwxCHQmAAAipGj4v9QL0rC/IGhzL/OfOJGcsmQJuadGDRryecmwzAksFt4HFgtdjOXLBtKfaTZ7X/wWC1CtGiwx3oF/q1VRumk0CqddukSfttOZgzT5dCQm0khctYrDadiQ/Tk9DTEA3MHkybSk5aW5ILAO7yuv+PRV7RiyFE1WvAgt7FRL2NPgSEmGvWw5+H/xBZdA8s6CgkgO8tI+PJzLCrlbjUwwSUmU/8m+omvXKI/bto3Pw8O5jbQ0Em2xYnxdknjA2YngDh7MJJfjx5VSlKmpHOPbb/Mzrq2bPI89MZFuliVLeCy7dtHN17y5UktmwABehGfOcEw2m0KUx45xNSM3YXUl15QUIDAQ9odawBxtRXCkH4LLBKPheI/lZHw8LZYKFXgu9HolqLlgAXXfPn9oF6xb5/v4jEZOwHv35ouEEMgDgU+ZMgVTpkzxev2JJ57I+Ltjx47o2LFjbnehIgvUOrwCgkZAKdslGKS0dL2wAEFyonzKSdhemQjj3PdyvX1B4P1eED/fTz/RrWu3K6vvzp01+OjZ56F/6w2l3T3AD9ntwIsvouUvdKG63reuhpS8spaN1OvXGWvq1Sv7Y0tJod/83DmlXPXRo4ybzZ1LT5UbFi1i3WnXhA6nkwG/qlW9AoMXfzmLyl9PhxZOOAV9OuEDgiQCV65CrFACmq+/VkjEYGDdkqVLSXDFi5Mk5MyrsDClPsnUqXwtLo5B39hYJUvQbOZsZ7HQ0jSZaIGnpZEws+M3M5moNV27lrNbairVGEOGKCuOgAAGJPbvd7fCnU5F/iNrra1Wdjb69lsSKsDg9WOPpRdyT3PPBZALx5csyWNLSckgUdFgxAFjS4RFPAh/ZzKu6iNwo+cotFk+DDqDi6Hzyy887uLFeeHJS09Zrpqd5VpKStYp/6mpdz6X2YTqlC6kiMIN6MU0GCSbmyRKErRwSoBh7bfA1AmKJVVIcOwYa/cbjYqLVBRJ6iHBg/HWczdpocnQaGjhduyI5yorbn7ZPZKczP8jInhvu5a5TUkBBg5UykNnB99/T0GD62kNDibXTJvGCS8j1ON0cqwBAe43tJwa+fHHXgR+6cPvUQMOSB4ZRZKggUZywpbigJ9nl/tx4+iW2LuXBxgaSjdGWBi18Z0701qVLclvvyXBuZKfvz9PktVKCc+RI3x/6FDOcFotT+CVKyQ3Xwk68nYGDuQjM7z+OmfBuDiOSZIU3Xi6f85ulyCevwLBBgAa2OLt8C9XHFqIrP8iy0c9ERzMmXnLFq4GLl+GWL0G9s/4BaXOrodVF4hkQzH4OS2o9t1b+O3cRXTcP0P5vuxyunyZbiQ5W9Rg4I/uqqTJDK1bM6jsCVk2mo8aXJXACysaNkTgjl3wDNdIkgSdFtAYDWxt40MmeD9j6VIlgC9Dbtf23fcCxu8fj5Cnn6YvUqMh+6YTU5UqjDFNnEiSld3AJUqQc4oVY4xNTjT092fSXjYKBGZg3Trfbk9/f04WJ0+6NK1ISvJWRLh+wUcHIOH6ddhhZOaoj2W4ZLPRn+wKPz8W8Dp8mBaiXk8Szqwgkxxc84TBQAJ75hl3n3xqKkl37VqlY89DD1HZlJsSvDVqsIjavHmsk6LVUpnx99+AXo80G3DlbBrKptnghAZawQlzggPX7UClyhpoZUmfr2ClfM7Kl89Irjm1ZDeirr8Ls16Jbtu1fnAIBlT/6xtc+nMkKrQoy++3bEkfvNnsnpYr139xnfQyQ/funJyjo5UVjt3OC6R///wpW5wOtRZKIUW92U8BWi2X1hLYnECUoIUTQlioUk7zHkCuGHr9eualnLOLo0d9x3fkzO7Ll0EmljXsHkkXDRowfvTbb7TaR41S6vmbTLyvq1cnoffsmQNJZPqBZdXrVhA8SrQEBir+aU+kpfm0ILWNG8ApaJGsDYFWcrq0IaMbRRMY4Nu6FQQS+yuvsE1SVtX0ZHeAL0iS93Xz8st0iZhMSof3PXso+8utO6BKFRL4iRP80SdOzKhqGB0NwOFkpqRGgAQBNp2/0pJPp+M1kJjIbcmujrQ0aq1btHCboOJWb2VjZc/JUKOBBODyt7uVF8uXTy9pkA5PP3Z2Cr+ZTHSbtWpF0jabOemNGsUM1HyESuCFFEENqsAwfQq0WgEayQlBckILJ5I1ITifVBxJKRr6Gu8iRBH49FMGA2vWJH88+iiDj7lFVJSyinWFXI8oOx4huYJp1aqUwBcrxtWwzcZHXBzdMxMm3GFDSUnUXNepQ2lO9+4Y8cB2n+NLSyP/1Kzp8qJeTxVFcrI7YYoiScKHKqjO6z2RpgtEEkJg1gZDCxEa0QmdZINNHwjhi+XYv/wkfm/xOn7v/B7ObchFgZbevZUAgyusVpJzrVrKa+fP0x0QFqYEIGUN5o0bnC3zAw8/DFSsCOfteJiTJTh0nFk1ogNOQYcUTTA0mvQ2lWlpLMwTFMSys6dOMSjxzz8Qb9zErYpNkBydnLFpwVctdRdotC4kLStaXIOtgBJ32bcve8cTFcU6RPv20ZVz8CAvxnw2qlQCL8QwvvYyLjTsgwRtGG7rInHFWAVmfShMkhXT7FOw+4iPpIp8gtPJVfpzz/EeSkyk2mPvXooRcputOWyYotpyRUKCkuqeE5QqxTLWTz1Fo0zm1I0b75BZabHQb7xkCWeE8HDg9Gk8tm44+uvXIi5OkUinpPDjkyb58EyMG8cEmKQkziK3b5PQO3f2aUmHVgyD9tuvkOwfiURNOK5rSyFBG45/Ilsi5oedONbnTUTOGIPKe75C5a2LoO/dDdvavQVJ9CBju50/xrZtVKW4olcvWuhxcSRDp5M/oJz27irl+fvvzCt8SRKzofIDGg2wYgVsNeohSEyCn5QKqyYAkqBFtL48YwKQYLSbOVO+9BK1rukNiyVJQpqkh9NqQ8gbY5FYvi7+aDIe5htmlBjUAaJGB3icI0F0AhBQaUhzvuBwcNnmWsVQ1p3K6fR2O/1uu3dnWcUzA+HhnPzvUns21QdeiOGQtHgybTkeK/EFeiUuR7DjNs7718GqyBfxu9gKNxfcWfGUW4wbx25brm5aeSV7+zaTJnNTfrV9e67Mv/9eSSSSJPqxP/wwd2OVBRiyCCNb+OEHWnXh4coBBgVBm5aGN8U3Ye/WFT/8bIDTSYHEuHE+FCgAl9PffMMbfts2kkGHDvT1ZJLuWqVHLYhxf+LUioOwXI5FsQcro07nathRdTgqmC/AbFAq4gmiE5V3LMdf7zdFwwnpeRZ//km3h5z6LYq0WKdPx43jsfhnwucI35+EUIcRYWYzAsKM0DRrRpG/p3/dZMo8LVcU860oEwCgRAkYN67FyzX/QVD8ZVgCiqOzdS3ax6+GNn1Wd4SXAFbMpR/5yy+BsmUhGY0wH7sIgzMFDuihFZwwSWZU/us7/FtnP6p/9xYOVm2Okqe3I1UbAIfGAL1ohVFMw4U2T6N143Sr4O23qXKRJUuyFFOuSihnMc+bB3zyCYMdy5fnXx2XXEBt6FCIceMG3X2+ehvIrQCPHcv//ZrNLLdstbobZ64yvVKlWEc8JwFCGZLE+OsPP9Dybt6cBah8ZWnfNfTvT+vT181pNgMrVsBevwlstqw5Lr9w60QMUuo3g1UXDMnjpPrbkxBdqjEeufQ126B168YT71o7PTER8c26IOb7HfBzmGHT+EOACIOYhpuh1VDj2GoERvkQxJvNDDB61lAQRa4kvv/edy2QnEIUkfTLXqx86xK2nSiBn5NbwKk1wGgEapeKQwXrKZiFILyzvjZq100Phjz6KBAcDOvtFGguXYAILTSCRJciRIjpDgaxRBSMxUNwumQrYO9eRFr+hUGwQRvoD7+GNSGMHk1d9sMP8yKLjaWk0ZMatVoGYI1GpXlG795Kd5+7gDtxoWqBF2LI3OKZ8g5wpXe3FIS7dnGC8FXsStZtuzZDySkEQSn1UWAQxcwPQKJCRG6jeC+QeD4WgkbvRd4AYBeMCIi/yieff84f31UtkZ627vftcvhJxZFiVC4Mm+SPEvH/4NBLX6DVque9dxwYSLfK+PGcsf38aJE6nXQBZUhu8oArVyANHQbzgSt4LFXEY1otpmkD8QyW4a/U+jh8KRxo+Ajefx+oLe/Ozy+jX6c90QI/SNDDBo0kptd+B6s4QoPUNMAPEqpf2gL0ehj48zYnN6ORVtCECdS6y+V9S5SgpX3tmtIlyJW8AaU+yvr11I8WkBWu+sALMQICqDuWg/EyJIn32tChd2e/aWm8jrMi6O7dC7hmeF7Rtatv9YjNRta+A3FdvEg3bY0afLzyCmMFuUXxeqWhkZzQiN4yGINoRVKpdNXJgQPwajUEijp0jjQ4dB7vCQLStP4I3fxt5jvv2ZOWdqdOJK2KFVkq9c038/4jpxeft529hLRUCYFSMgKcCSgu3cIKYQgaVEpEZCRjLY884vK9yEjqqZOSIGg10MIJDRSftJRe7FsDJ7SijeckLY3yxbAw+qTlVUpwMHXjrtHpsDAWjG/QgBd7cLC3ZEmWRvmq/3KPoBJ4Ice0aawUm5DAOFlCAgn9kUfu0Lg2D6hfX2nVBWQYpBkNzMPCGHDPKeQ8h/sCvXvzxMbFKV1jUlL4mDDBJ0nKOH+ehbA2bOA9bzTSHdS9u0/pd7YQWj4E/zboBZM90W1prxVZXjZiUnoji4gInxOPaBcBSOxC4/meRgeD/Q498+RuFjdv0n0xfTqDvNeu5e6AZOzdC1y6BCHuNko5LiNQTECQMwHhjhiUtl9Ep9S1MBiYIe+Ft98GTCb4aW3p5WF9e4ONSOMfdjuDNL7Kxep0nIx89Q7U6Xy3oZKTeu5KqcrsQSXwQo6ICOZlvPUWSbt9e2Zpf/6571yN/EC5cswmlknc1QgLDqZyKifljq9cYfysWjXKg/v3pw+8QBEUxPol/fpxORMfz8DZ3Ll3nBnffVcp3SG3IQ0Ppzs5t4FYAHjwp2n4t1JrmByJCLDFw2RLhMGZhuhR01FjSHqp18GDfcp49A4LrJoALyUGAPg5zIip2jzrnY8aRTlccDCXfsHBSglYV910TnHpEhAXB62N7eNEQccHNDCIqegQ9y0kKZPEz2rVgJ9/hv7xXpA0OkgQ4IQmncbT/xW00InpROva0MQTkqSkyMfFkeiTk2kRtW7NWdg1C1Nu8NCnzz0OzrhD9YEXAZhMvPbuekW9dEgSucy1NpMgUC3344/pzYeziehortDj45WktcOHKfX78sucpbnnO4oVA2bNoqWXlpZ1ofR0OJ1MIvIVWJZX6j5akGYLpuImtDjzGS7+fArRP/8FXbAJ1Z5tjYrlQ5UPdexIU3/DBiUpx+mEEBKCs0NeQvGVcyA5BOqsJQl+jhQ4NQaUnTk68x2fPMlZ2bVOryDweUwM64fktvZueDiQkgKNXgfBKSC9dSkgCJAkARVST0MQgN6dLMDy1Uwoslrps376aTaamDYNmk2bYUkVIN66DX97EjQQIekN0AiSEnTU6/nDeGa4yh3rhw6l3lTWb4eFsY5Lly60iubPVyZHvZ4W0//+l7vjzieoBK4ix/j6a6qoypZVsoSdTt7LR49SHJBdLFpE8nbtFRsSQuNn2jTmiRS4L12rzXYnZ9mV5AtemZq5RMUuNVCxSw3fb2o0NPN79WI2YEIC08P79EHD0DDsMhVD8c/eg589GRqIiAssB+OHM1GrYSh1luvX88d89FFmdFaqRCmTa1A3JUWJBYgiszJzS+CNG6cXYZNgMAgZMUMNREgQIEnApJcsqDJlAKscGo38PZYvp19+9WqgenUIj7ZDwNatQJ2KtJTPn89IVpIMBtiuxiClakMERQVAf3i/0tnDZuPSqGtXpWztO+94j/PFF1m/Zds2TuaNGzMOUsAXp0rgKnIEUaQM1s9PSSqTYztOJzBnTs4I/OeffZdzDQzkPXjrVs4s+pzAtWx2tpGWRut2zRr+3akTfcHpqg+djmq0Awe8rfCkJMo+c2N95whyX0jX/pWgYdv84ydhe68vrvxxHpoAAxq0rAjh5g1a7bdukdg0Gi6lfv2VJBkYSNJMS6PLw9PHnpfARXg4/Wbnz0MLJ4x6CaITECUBlsAIBNepgeF+X5G8XVcAfn6cnCZNYoGamTPZ3ejMGaVrs9UKszEUtuvxSBM1cNw+CguAtKq1UclxCYLs746MZDbnK68wOcg1E9UVpUpReVPgFoUC1QeuIkcwmymT9ZVYFhDApgn3O44f58q4ShWm248cycnijrBY6KeaMIF+nlOn6PDu3Dm9gAcxcaJSvE8O8CYmktzHj797x5VdGAL0qPxYdZRrXQmCRmCd69hYuozkrMPwcPqBZ8xgTQ+tVrFq5R6RGg1n9E2b8lYi9ZVX6OQuUQKa8HDoSkfBUL0yQiOMCJ7yIqsnejaJADhDHjvGwGp4OFcPS5dy5TBxIi5MW45bNyRYRD8Ei/GIcN5EcecNlP3nV+y0NoI0cKDSeSc6mpNWr16coF1hs9F9UqECx+Hvz2JfmzblvfhPHqESuIocwd+fROSrqqbd7rvwXlbo0sV3r1izmQQb4aP7V15w9ChXwrt306ALCaHPulevbChEli9nmdXQUCAoCEliAM7FheHyoVtY33Aali3jvV63LpMv69Wjeyg+nsqd1aupTLvvsH69bx1zcDBVIoJAy1NW44gi/xZFWq+pqZwEZs5UOuTcyVcUEwO8+ipT+mfM4MThdHIccsDwtdc4OZrNnDAsFv4tW/yCoLwO8O9WrZgWO2oUriz4EQZnCoqLMRAggtWCdHBCg6YXv4V19iIeY2goL+ywMO57wgT3LNbnnmPJ4uhoJTvz2DFg0CAWAypAqC4UFTmCXs/A+7ffevcWTkmhNZsTjBrFuiSxsbyPtFq6GgSBUuP8Xq2+/Tbvf9eJJiyMwoM5c+geyhQrV2akXcbeZvVFAUCqJgS1b/2OVuOSsXZtEH78kfLh779XVGn5JlQQRaVyVn6dHIfDd1lGOTPL6SRRy00OrFalPra/P0sOzJqlTALLlzPAt3ixbylUQgJnzOvXOYMGBfFE6XRKx5uHH1Z+pIoVqcN0RfHifD8ggMEYH4j89yBMIn8AycVWFaGFDg7okhMgacrA7SwajbwAd+yge2zXLkUjLksNAaUJxnvvUYnjGsS5h1At8CKMPXt4P1SvToXI3Lm+Za45xWuv0fWQmMiHrD1v0iTbbTcBkAu2blWykk+epBUsW7B5VaDYbJxounenEmz8eJ4TX+U7QkJ4n2aJ5GRIOh2cTqX/gMMBpNk1cIoCYDYjYcs+rKjxDhwzZgL79yPAJOUPeSclMchYsyYfLVpwhnBZwptvmHFh02nEnsphYknr1owae8Js5sUTFETCDAig6qNqVZZdDQxk4RurlZ+RW7EFB5MAFy70vb+vv+YJDA9XdKiBgXz8/DO1sDJ5//MPu/e4uirsdurPT5/mRZeJtZ9qCIYJVjg9aE5IT/MRIMKR5sN/b7eziFnNmrx4btzwzsyVpVdWa/4V9MoFVAIvotiwgZLgv/6ikZSaSgvzySfz3tEpNJSr7g8/pJqrY0feqytX5qzo2syZtLJtNnJCjRpK57G8Ni2x2agyGz+ebpOYGPLdjRvKiju7kCT2MtgS2xD/njDj5EkSt8MBOEXAD6lIFoLxDibhC+dAtDm/BClzFtPtIFfMywtSU+l7X7mSFmJ4OJcMEyYACxfCZrbh9zZvIqZ8Y6BHD5jrPow/qzyFWydisrf9l1/mdl2d9mYzSet//yNRdejAz7hqviWJgU+t1j1iKxPy8uW+fcRyhpMn/PxoYbgGUhYv5vYqV+aFkZbmTthbtgAjRvj06Zl7DkpXJbqPQQMnrPADIEDjWWrW6aRPXc5o9fdXXEaZdeMpwOwzlcCLIGw2NlDx81OSbeT7/vhxVszMK4xGKsc+/ZTNRzp0yLwuyP79wAsvsMbS+PG0tG/cYLJRSIhC+nLs7O+/WVAvL/joIxJ2TAzvxwsXaFgZjRRSeCIxMfP+n/PmcdxL/F6ERivAIFnhdKT3EZbsMMGKf4QaaO7cgUSEIEFTDNdS0y3RP/4gCeUFmzdTXREWxpMkd6cIDATmzcO+h15E5Z1fwKbxQ6o+EFZdMMr9uwPXHumH1IRszNbVqnGpUr++spwqX54/kJy/HhhI7ai8XEpI4P8OBzO7POtcGwzcjq9yBFnJcDw12vv381jli8Ro5IVtMvHCDgigm0NuzuyCB+cOxEW/WtDDAS0c0EBkr1HokKQNQ4p/OLTJLpmtokjLXqtlUFWn428oH5uscZTHKUkcy12uu58VVAIvgjhyRKk75ApB4LW4Zs29G8vChbT6N2+miGHtWibuzJmjxKA8x+h0Mjcktzh3jlJeOY9F7kZ/6xbve1mzLop8xMeTn15+2Xtbt29zggoKAi4Va4R3y34Mp9YPgUhGAFKgF+z4SPsqakvHYQYbccqVRyVBIMF89lnuDwbgjOurJrdeD7vVjqqn1sOsC2XNa7DTTIohDKEp13Dk3Ww2XKhbl7rxw4dJmps3swwkwBO2fj19cLLsr2VLBiEbNPDt57ZamWLua1bv2ZOWtK/vBAdzQpERHMyTmZKiJNzIgURAIde1a702Zww2ouTJ33DGVB8O6OGADolCKOK0EYj3Lw37Dz9Tz52YyO0nJ9OicE37lF07rjWTZYvcZOIyN6dF6vMRKoEXQcj6Zl+QWxreC1y8CMyezes/NJTXe1gYJ5YVK7IWKuQlPrd0qXvzYnl7coC0VCnyVXw879327SklrlTJe1t79vB/mSf2hXTAUzX34cXi32AovkATHMQK/XAEIxE2wZDBswYDA5wwGJDR/SG3yMJitaekcaLw+RkJ1i3bc7YvuSu8K2E9/zw7TR87Rsv73DnKeOrV46xntbofnxzoHD3a9w/Zvz996a51ZpKTSepvvuk+qw8alNG0wQ1OJ61yvd5dieKB0IphqHx1B46/vBTHy3bGpaiHcemJySh7fBMiOjRkZufvv7OZ6p49JHTPc1mxIlOP5aCHnIos+wALEKoKpQiibl0lQ9LTALLbKd27F9iwgfeZr9W17E4NCnJ/X66jn5cx7tnD7SYkeJO4XA5j1SpFyHGnFb0nnIIOseUa4kwqYLUAOoeEGJRAkCYZot4/Q10HgP7r0qW9lxo5Qffu1Dp6uhfsdggaDdIE3409BUmEFJjLMqcWC63Sw4cZaQ4NdU+isVhI6rt2kdC//NJlxwKJd9Ag39sODqbFPGcO/VypqZwMXn01w+oXHSL2v74e2qUrUTUuHn5CGgySgxanXN5Vro8tt4fKBH5h/nho9gBgdia1JsqWVZQs3bsrQVPXsgHh4Xz89hsv2JCQvP2m+QSVwIsgTCYaRu++q8RhnE5an1FRLLR3tyCKdNc6nXQ/ZIXWrVlywmDgmG02ckaLFlSR5RbpMm0kJSmE7VqrfPDg7Mv6mjZVlHSe92tkJF3EO3cKWG1+Di/Gv4kk0YjiERomZjqdnKUmT879wQAkpzp1lAYTen1GOrvwv8lwTpgPndMGh1ZxZQiiCEnQImpkDlPcY2JYaVCW5MizoGdaqcnE944dozrm6aepxpAkknAm0r4MFCtG/ff06cqsnQ5JlLC95RRUPrAKdkGPG/oyCHXEIky8Da1DhC6yOE9+WhqDKSEhvptK3LpFt9D+/Uwo6NcvI3U/U/ToweDr2bOKdZGSQstn5sy7lxacS6gEXkQxYgTdFR99xHtSq2XLrylTct4Fy2JhLC45mcqq2rV93wPbtlG0cPs2vyOvrD2NFdmAevVVBhQXLGCQsXhx4NlnqSXPS7r5wIHMhqxYkbkXsupGFKmKmzYt+9uKjOR4Fi3iZOjnx3s5OZnJeJ99xvO7e+dgmL87j+oHv4FOIwIJ6Sdo6FBW5soLDAYu8WWpT1wc/cQvvwy/Tp0QEx2FkrNfg8FpgU3rD51og05y4ELDx9HqyYbZ309SEmf369eV6HdMDH9Io9GbvBwOyvzq1aM1nF5N7faZ2zjedwH8dm6F0+AH7YC+aPB6NxgCffjKffj2z284iYoHvkOKLiSjgUWcthQSxUgUt0UjODAU+kuXSKxGIy2UHj0oYwoL4zgbNQLef59qGjnzbN06FquaPDlzEjeZmHE1dy7/T0xkav0rrwDt2mX/XN4jqC3VijhEkYaSyUTykZPIoqNpJNWsmbVBsmULr127XZHCNmpEYYXrRHDoEO9fSeL973Qqbd20WqrAgoKU8TRsSOPINREov/JS7Hbq0Xfu5L7l3JewMK7Y5ZpF2YUk0eUyfz7PW0AAJ4mXXvJR4+ryZboVgOxZormBj5N16qvDiHn7U4RdPY6U4JLQPfMUGk3p7C2TywpffEGr2DXL6dYt/qAaDQlSq+XsdfUqT3S5cnQtTJ0KdO2KKzv/RXKHPgiwx8OhMUAjidBIDkSXbISGx76EMSTzOuoy/uj6ASpv/hjJLp2DZATYEiA99BAq/LtDqdebmsoIucNBK8Dfn2M2mdw7VzudHPvKldlLMpCVJne9eE3mUFuq/ceh0ShJYv/+S+I5d46v+/nRuPj0U9+B9LNnWYRNr1fIWpK4In3lFQYLZcyezfdu3FBIW6tViPziRSrTAGrHP/zQOy8iv6DXA8uWsVTFt9/ynm3dmi7Z3KyABYGTU//+ShG+TO/pcuX4uJvwcbJqDGyIGgPzmNa9aZO3nygsjCTucHBZpdFw2SRJdIOEhtISfvllICAA/z61FGXt8UgxhCnbkCSUvn4QB175Gs2XPQ0AuLbnMq6uPwxtoD9qjGiOgEjFpyVZLJm0ZgAgiYg4uQMoHaoETy5fViRHKSkcs6xlN5uVamlyh+xvv80egftS/txnUAm8CMHpZALc6dMk7Q4dFGPKbKY/9/Zt936VZjN9wr/84n3vfvkl71vXMhmCwG1u307JrNy44eBBReHiuh35nomMZNG+F17IWnWVksJVa0RE3vpN6nTUnXfrlvtteEIQfOefFBkYDN5RW52Oy6eLF0ngcrJPRIQit0sPstimzkDZa5chQoOS1gvQSQ5YNSYk6osjTeOHgHUrYZs7CLubj0eFEz+hBARIggbXp2sR+8o7eGhmTwBAWPeWEP9c4b3SkCQIkKhalKWLVqvSNV7uIO9aK+X2bfdyl3o9rQxZpnQn69puV3pl3odQZYRFBNeu0UX37LMsSzF5MgOBmzbx/eee47Ws1/OelOW0iYlMrBkzhol933yjZFWfOOFb4itfz64JMSaTb2mvfA/q9byvfJG3JFGV1rkz3RstWzLWtGBB/tTPVpFNPP640hfPFZJE7fe2bVyK1ahBGZ0ruQYEQDh7BsGO2yjpuAx/yQId7AgW41E27RwMzlT4pSVhV7eZqHRiAyy6YFgMIbDqgyAKWkR9MB6nvjoMAKgzugVuFquJQHt8Rg9QjWhHoD0el6q2h75YsJLd6ipflJs2uM6ynprZ5GRms1WpwoDI+PHM9PLE4cMMej7wAB/PPcdJ7D6DSuBFAJLEQNuVK7y/5Do/Oh1Xtv/8Q1+254pQbjBw8ybjNd9/T1dmmzZUkpQp4zsLXFZluFYK7N/fd9KdXGBOEHjPSBJdOCdPctvJyUz0efRRqtViYzkZJSbSLTN9uvv2Tp/m5NS7N904f/+dDydQBdGpk1JCMTWVP15iolJWtmrVzCPgoginEzDBAhEC26NBAyfY6izKeQ2xpeqi/O5vYNEFu1m+Dq0RgISYt5mxqjVoUePgClyo1wtGZwr87cnQi3acb/U0mu6bz1rAZrOSCZm+f4giL36DgRed0+kepLh5k+4gq5XuH5OJWW09ezIwLGP/fgae//qLS9mQEC5Re/Wiu+Y+gkrghRgnTjBXolYtGkdyoFGGXJVz+XJ3t4kMOaEM4DUql1dNSqIlL9eu9zRiEhNpvFStqrw2apRSB18eh8PBSSQsjPdUmTL0RXfpQgJu0oTigd9/571mMChuzRs3FPFFTHpJjw0b6BJZtYqluH/8kUbjsmX5dUb/4zAYGOB79VUSYFoaf6SvvlJ8UX368ALxRGIiYjUlkIRgaCHBs8GwAAmOClUo5dR4e25tGn+EXT2e8Ty4TDBaH/wQEZcPw7D9V5S6fghttk6BMdjI6HGrVkoXb/lCDw1VAj7h4XSd6PUk+6QkTkxlyihlNOVmpTdvMrNMhmw1hIQoiQJhYdxGZgW6Cggqgd/H8LWalbFrF0lwyxZFrifX/HAlca2WFq1siLhuTyZmQXA3rIKC+B2DgfdKSgoNFDlzsXhxppe7TgghIaz4OXVqRsVVBATQ963X02oeO5ZjDArivZWWxponFov7tuRMaVmCfPAg9/vaa7xXw8K4bfn/WbPuO8OowHH5MnNlNmzgecw2/P1pFezZA+ffx3C09YvY99MtnN94iu+/9JKSRWm18hEXBxQvjqshNWHWheKWpgQ0kKCBExo4IUKDW5oS0FetAK3k3XAZAHSSDSnB3p2LA6MCUfrhcvAPd6mSZjRSv7l6NZdh06czyiyXpU1OJplv2cKg0Lx51HCXLOm77Ku/P60BgMdy6pTvNlFBQYpP8j5BnoKYGzZswCeffAK73Y5hw4Zh4MCBbu+fOnUKU6ZMgdlsRuPGjfHmm29C55mWp8IL+/ezzPChQyS/bt1oFJUsyfdFka472TCwWpV4jNxAvVi6AsvppOvCYuGNbDYrrhTZfViihHuMRn7/1i36xjt1IjnHxgIPPkhfta8WkYGBJOpx45iwdu4c41ydOlEfbrdndB7LgDxmz1iS/HpwMM/Bb79xwvFMwJHbMv70E92U/3XY7ewy9sMP7g2nJ0+mJD27OL3mONKGjURQWiyCAAiShD1R9VH990UI27CBlvqPP/Ii6toVGDIEztc3Q1i6B0l+kUgWi8EgpkKEAIdghElMRqVhLXH+l0dQ7t+dSDGEZuxLEEXoJAd0zzzlNQ7zzRScmPcb7DHxCGnyAGo93ZTSSEFgHZYGDZQPR0eTfIODqVOVL+py5RTp1Z1wJ9XJfaZKyTWb3rx5E7Nnz8batWthMBgwYMAANG3aFFWqVMn4zPjx4/HWW2+hfv36mDx5MlavXo0nn3wyXwZeVLF9OzXMcvauKDL/4M8/SVIREfQDx8Yq6hB/fxolaWn8XkICCTwtjcS9YgVfl4upyQWeZKmfPDHIkH3cFSrwedWqnDBsNqap//47EwMzU8sZjd6p8Lt3exs1cmE9X5DrFmm1DMZ+/33m5UQkScn6lCRKghMTKZ7IaYegwo65c2l5y2V5AZL6jBmURHu0yfSJ+AvxwKBBCHRaYdUr+tFSNw7jdKuRaHrlewgvvEBJkQvqvt4D/65YgKC0W0jRhyJNFwCN6ESAIxHna/VAmwfLQL9uFq41749QyzUATPeHoMGFhn3Qckpnt+0d/vB3BE0egxJSGjSiE87lOhyaXAkV/vgCEbVLeA+8VKnMJU6VKvGGSkz0tj6sVqUpc1gYkyNOn/b29ycnZyQr3S/ItQtl9+7deOihhxAaGgqTyYSOHTti82al8tm1a9eQmpqK+umFnXv37u32vgpvSBLLwMpVLOUCTOHhJOzly/k5m83bp12+PL8niow5yRU/JYnXa0gIk/fKlVMI9ocfeL3LmYqpqSTCa9cYy3JNeNm2je7QkSPpCmnbljWOfNUWT01lzGf1alZGlCRazp6+dLkyKEByl7t0ya4jf3+ej8BA+tdlpZgndDoaXP/8w9VB58703zdtqtQb/y8gLY0VYOW+xDJk3Xp23bdHZ/wAP4cZqXp3/WiKPhRRMUdxYf1xn98LKhWEsF9X41qJhjDZE2G0p8DoTMH5pk+i2Z/vAgAi6kSh2sXNuDH2PfxbuysuPDwQKcu+Ras977olHUXvv4qQyc8BkGDRh8BsDIdVH4TIxLM4334UJDGH+YcaDQtlyWm0ksQLLi6OS9DBg5XPTpumWEKiSMshLo430fPP52y/dxm5JvCYmBhEuMgQIiMjcdNFjuP5fkREhNv7Krxx5YqSQOYJk0lx01WrRjJzJSaDga8XK8bSGW+8QSs5JESRAgoCDYzSpSkBbNeOyTiCwKSd06eZYGc2swnCd9/xe2fO0D0ha8KDg/n/998zK3PAACbxJSfTN9+0KT///POsFVKuHK0/i8WbgEND3QOoAO+ZWrWYbyFnoTduTMNInpQAxU8eFcUJZ8AAWt/BwSR9k4mrjzffzPNPk++wWOin9uqQlIfEaDnfxpd+3mTiBJcdSIf+Su9Z44F0f8ztvWcz/W7ph8uh2dXvYNz9B5xfr0L4uQNos/MtGIMUPap/uD8eeq83Wv01B23+nIGaQxqzubILzkz/FjrRDrvOxfctTyKxxxWffE7QoQNnuBo1lHoPffrQknH1jTduTOvjoYdoCZnNdBP9+KOS+HCfINcuFF8Z+IKLSXin91X4RnZOkZ8freCZM0l2fn78PymJLpbRo+n+mDHDd9EmPz8aFImJzPbu1IlCg2LFlFr5Nhv9ppUrk8hdE3pEkZJY2d+elsZA48KFihpLzpUQBP69Zg2/f/s2LWuNhpZ6aChdQ6dPs7BVsWJUdXmmuwsC772XX6Y7Rq+nMVW3LlPc163jBOLqY9dqSearV/N7+d0gOTewWhl0XbVK4eqhj17Dq9rZMPyykQfVsiUDCTnsgBwaqri/kpO5arPb+ZsGBbmX2c4KYsnSEE75FuBLEOBX2jvF3RMlm5RBySa5L4OhPX0SouAjeUYQIAoaJPx9CeheM+cbbt6cjzsl8tSrRz+/XD/iPuWuXBN4iRIlcPDgwYznMTExiHTJUy5RogRiY2Mznt+6dcvtfRXeKFOG1uStW97Ea7G4r/KGD6cLYu5cpeqevz9v3LFjFVVKRIS3H1iu0GcykcQ3bOB+XQOZBgP3uXgxU/Bdm0PIUlqdjtvS6znec+cUC1CWEALcrpzQ1rYtZYGpqdR+Dx1KH3ytWneukhgezuzQy5f5iIpigBZgjMCX5Sn70U+dKngClyROrtu3K41ewqzR6La0O+J08ShRNRiCycSD2buXs2rD7BejCgyk++jLL2nZy/wkq+g6d77zNgCg4qt9IG1bCo1oh6hRTqrRkYJUXSBqPtMsp4eeY9jLVoTm0nbvN9KzMQOreCtWcoTsiikKsA5KdpDr0TVr1gx79uxBXFwcrFYrfvnlF7R0iZCULl0aRqMRhw4dAgD88MMPbu+r8IZGQ9eHw8EbTram0lVaeMolSC8IJPS9e+mf7tmThCs36g4KIsFfvuztA05MZNljo5H+7swyhf39mXATFeW+jdu33bOW5WtckjiG5GTfnXZ0OsocN25k0s7Eid4B1OygXDkaUS7xcoSFZd6oQpJ8q8LkWkV5QU62cewYC2yFhSn80T/uE4QhHrec4UhJTY8uh4byxObC99O3rxLMlq8fgO6prVvd81UyQ8X2VXB50CT4OS0IsMXD356EAFs8REEL4dNF0PnnocZBNlFx4gBIggZa0f3iNdmTEB9YFtUGNMjkm/8t5JrAS5QogbFjx2LIkCHo2bMnunbtirp162LEiBE4duwYAOCDDz7AzJkz0blzZ1itVgwZMiTfBl5U0bYtLaiaNXmzmc0k5x9+8F2ISacj0a5f7162VRBYCM9goLoqMVHJe6hUSSlRXby4EjwEaClbLPw/LY0uv8GDlVracmJeRlNfJ/3pqalKtUN5/54wGnOoSc4B+vfn/54S45QUWu6uTZKPH6flX6UK3QqvvML4Q05w/TpLD9SoQTfTE09Q9pkVDh7keXU9Ny0SN8CiCWTtJVd/eFAQB5odxnXBzp28TsqV40QRHs7fWy4ktt2HUesLLT9/GuKPG3GhxVBcqdwG//YZh7DD21B9cJMcjSe3qNihKq499zYMzlQE2OIRmBYHky0RKcYwFF+7xMtn/l9FnkTZ3bp1QzePakFLlizJ+Lt69er4/vvv87KL/yQefpiEbbcrNUt8Qa4zcuqU4ipwhSDQLVO8ON2pdjuX0V26KIHSyEhas3/8oSy1nem5FhoNa4g/8ggJasUKWuzyvjUaThAyict6co2Gr8kWoCSRvJ1OxofuBlq14lg3buQY9HpOKgYDG7/I5/Cvv5i6b7dzwpMknuvt2+lKyk57w1u3mFUdE0NXiMlEcn7iCSqFmmXiYTAYvH9LARJbogHw4iTZjM4BzGal/4JnDwa5rG52UalzNVTqPDVH+89PPDK3H24MbY4zH6yH80YM/JvUQb0JndyTev7jULNq7mNkVo3vzz9ZjvXIEZJHixYkJF81tZ1OWomLFmW+nwkTGFSzWt1flySWmo2OVvyqcn9euU6+q9bYamVjlu+/ZwBNhiDw/dhYpujfDWg0rJ3SuTNjT7dvUw0zdKh7Seg33+Q5cY0LhIfT0F2wgM2Q7wS5iYOrcCEkhOfk9dfpqvC1AmnTBhkNj2UXyu7gTng0/jvYhHAEuxKu2UwBvq/MwSzQsqUSIPUo5JdRy70wIaphKUR9Paqgh3Hf4v720KvwwsaN9IWfOqXUs9+yheQoVxGUIeups2oIYzZTM+1ZiErWoIsiJ4y1a0k8ERF0PVSooFjaspXdvTuVKG3bcmKRLWGDgf+bTJT53S1oNFTUrFzJjOc33nAnb7kDmGt5XBlBQVTDZAfr1/uWegYEMOYgr1I8Ubo0pZXJyXzY7cBy0/NIloJQ2hQPP336DyYHQF5/PcfqhzZt+PskJCj+b4eDaqEOHdzr16go/FAJvBDB4SApybIwuUxrsWJKGYj4eKVsc1IS5autW2e+ze+/J+nISilXZZXcgNvhYJnZ6tUVH3NoKC37ihXpXy1XjgG0CxdYibNaNUr8KlemD7ZGDX7niy/u7jnKCnfyRmS3dO2dugdltZ+XXgI++YQuLY0GCKlTDjcWrkVY7zb80eLjKclZuTJzX0wW0OuBr7+mmywlhRN0WhowbBhXKCqKFlQXSiHCqVO03DKzIMuUoQW2Zw9JfeBAJutkpYT64QffrhrXJsA6Hff7+ON0M1gs7gWrZFngyJG0Km/fZgA1MNBdDmkw0H+cXcgyxq++okVZpw713NkVM0kS9ycXnQsL4yR07pz3OUxOzn6z5y5d6EbxbO5gsdCHnlUXQEGgJdyhg+urlYFnllLWIwv784CwMGrjExOVRENfKwYVhR+qBV6IcCfLz2ikumTDBgbT2rd391H//jv9o/v2KdamnOYuVwB0tR7lIOStW8zU/O47ErhWS+v+9m26bmJjuY3QUE4ccgs1zyzDlBRa5dmBzcYJaN48Tg5BQSyf+/TTSoZoVti2jZNXs2YsAdCzJ7NLp05191KIIo3eoCAW7soOnnmGJBkXp7iPZJeInIWdKxgMeSZvV4SEcIWkknfRhWqBFyLUqMH7W5bsucJmow/aF44eJfElJSmJZRUqMLOxWzeq1aKimEYvE5IrtFoS9AcfMEFtxw7K1W7fJpnKfTfl/pfFi9Mqj45WfK6yNjmzioHHj3M8p07RJVOpEv3VculmQClR/eabHHdmXPfHH1wN6PWKEuPECUoNf/iBFv2779LVIwj02U+cqEjt7oSoKGZ+vv8+fe2iSJfIxIm58nqoUJFrqAReiKDX04IcP14prep0kphLllS00K5ISqKOOy3NvTHxxYv0i37/PRUmV6+SNKOjlZolgkA3SJkytOLkjvbbtrGEBAC8/TaJ9fJlpc6/LBuUffEaDd0Y779Pa9gT69ZRCeN08nunT3MC8Pf3ziI1GmntHj7smywliUoSnc7dfRMSQkt73jzWMv/+e2VS8dU27k4oV45uClkLX6R7Zaq4b6ESeCFD796U6y1YQLWDnx9fe+01b90vQMVESoo7EQoCP3v5Mi3eNWtIrqtXk+SrV+d7JUq4E5OcTfnddwqBa7WcDNLS+LfsO7fbSYwffUQifegh30v5hATWr/bzU4jUZKJ7IiHBewwyMsu6TEyk0sVXGdmgIFrnMvKDdHW67Gdlq1CR31AvvUKEK1dY5+TIEZKG0cjklenTM/dznjjhWxUhN3S4eJFku307t2c00jWSmWWq0bin1devT8J0re0tk7hWSxVKzSxqDm3aRKKWtylXJgwNpYIiMdE9A1WuqeJax98VOp2yf18t5PLS6V6FivsNKoEXAtjtTNMeOVKxpmUC/vFHEp1LAqwbypbNfLtaLb87YgT/lpUZVist8Ph4vm4ykUQDAki0rkWR5KYONpsiQwRIpCYT3T3yOIYMYZapTKwJCXTB3L6tZJGmpPC5XN/cbGZgVKvluFJTWajPlxIHoMvnwQfZ1cjTCjebOYaChtXKDkM3b/I4W7VSJxYVuYOqQrnP8dNPzCjs3Zv1nKOjlfIYWi2t1d9/zzxBpmdPEqFnCnVKCsnu0CG6I1x7ZkZH82+5OXFKCvXdV68ykadvX75vs9ElIVv4TqdS66N4cRLxwYO08rduJXl++KEyhjlzlElCfshjvX2bfv02bZR2cMHBLKF7p9ZpU6fSfx4Xx/HYbIqcbvTo7J33u4VDhziJjR3Lcr/PPcdM2rOZl9hWoSJTqAR+H2PPHuqePav+RUcrRaHkBJyjR31vo1Qp+rdlEktI4EOnYzOHQ4fcg32JiSRMPz/3VHnZr71qlWLZLl/Oseh0dLf4+/MhinxdoyEJm0z8TlAQU/P/+YfbW7WKRG8yKUlDAIk8NpZW+zffMHB66BCbRfTrd2eZXvXq9P337q24goYP52qlICsaJyYycJyWRldR8eL8Py6OKf+Z+fVVqMgMqgvlPsbs2SQgmUxlvzLA5XdIiELgvho3yOjenTUw1q2ja6RmTbYADAujVXvjhuLvjo9392HLTQA0GhL7rVuK3O7zz0nMoqj4zV3LmJYu7R7g02oVt8+4cXQlmEzc3tWrVJcIguKrXrlSGVdOlSIVK1L2eD9hwwYes6drJziYE9aOHZQ0qlCRXagEfh/jr78UX29oqFKHW25QLIq0ivV6VhTMCqVLe/WgBQAMGgS89RYtZ9fid04nJwVPhYVrzRS5sXLJkvxsbCzHZTRyO6GhfO5qyQsCLU6djl13zp2ji8ZmU+qY63QMfmblvy+MOH8+83R9hyPnJW1VqFAJ/D6G3OhXdk8UK6aQuCRxSa7TsStPbrPtnnwS2LyZLgrXrE2Dwb39n6z+cM2krFqVvvHAQPfypfHx7OLzzz+KuyMggAkwGg0lhQB9wb//rhTOSk1loDEoiDW6ixrKl8+8rIFOl7vmFir+21B94PcxBgwgoclWccmSJAGDgX/36UN3RHZbZfmCnx9dFd260S0jJ7fY7XStyF3uk5OZau7qqnnhBX7O1XfrcDAJR+4g73Qq/vdTp2ilV6rEyWfVKh6H/FnZBaPTFXzVPFFktukHHzDxJz+qKHbvztWSZ9leedLKquiYChW+oFrg9wFOn2YH9kuXWIjuiSdo/T73HLMez51TdNYOB63gtWupqsgPHD7MMrUVKnBysNuVQKnTSXfGpEm01l3RuTPw6qtKlTtJ4gSg1/M7Z8/Sb+7aE9bPjwE7uW9nZCSDeTKp+ftzvxs3Ai++mD/Hl1MkJXGMx48rqpo5c1iOYOLE3Nc6CQ9nXfbnnuMqRRQV+ebnn+cuI1TFfxsqgRcwVqxgIo5c9W/HDmDZMuq6mzdn4HHtWv7vcLA8bL9+vrMuc4tFi9wTd/R6WvolS5JYf/+dbpLr1xnELFOGZCTXNunfnwoRuVv9nDncjtOptFmTg5NaLa35rVuVetUajXcQNjaWWu5du0jq7dtzUsgPHDvGuuX79jGAOHAgYwH+6Y1e3niDyVKudVicTv4ujRp5VhLMGVq14jH99BMDt1WqcCLMKgitQkVmECQpr21d8x9Xr15Fu3bt8Ntvv6FMVrU5CzkuX2Zndn9/90QOq5VEt2+fQip3Ew8+qLQf84TZzFop8+ZxPDodJ5Lu3alj9vS9f/01+xDo9VxRuLZ5cziUhKCyZfm+XJ/F6VR84QkJlD9ev65YwDoddeRTpuSh2h84QY4YwbHIMQarlZmdX3/NFUTjxhyjZ4u65GSWtFW7BKq4V7gTF6oWeAHihx9IXJ5ZeP7+XMZv384OM74QEwP88gt9yQ0aMDCYVd3vrFCyJN04ngQuiiS4sWPpDw8JUbrwrFtHv/bnn7t/p00bpTStK2QrPCSEJFmhAlUqu3YpTQcAnovAQCoyZCsf4D6XL+exdu2au+MURdaMcc061eu5Svj7b2rHGzbk+D3JG6C65vLl3O1bhYq7ATWIWYC4ft3366mptAoza8315Zd0r0ydyiSdoUNpEeewgXkGhg9Xsi4BkuXt2/Rhp6XRLRIaqkwQcgbozp0MTLqiZEl2nXE4lHR/+REezklCbvP27LOUEKamulvVcXEkS9fX5PZsn36au2MEgJMnuW3PVYNs4a9ezRWCHHz1RGpq/rlxChqSxN/3yBHAciuFFcomTWJA4+LFgh6eimxCJfACRL167lZzaipw5gxvrJs36RufMcNd5XH4MF/z9ychFi9ON8TJkwwo5gZdu7LbTnIyfdynTnHyEEXltUuX3DXMDgfHu2eP9/ZeeIGddB5+mM+1WgZcAwK4sujcmYqLOXMoLZRbs1WrRoWKJHEC8YSfX9600jZb5qsUWcYYFMQMzsRE91WEXDZ2VBHor/v333TddekCjO99HufLtUHC6MmQVq9mmcsOHbyXViruS6gulAJEt26sDZKYSHK6cEGx/OT0888/J3FOm8bX5eeubhdB4Gd37KB6pFQpvn7hAiWCp06RGAcOZBamzcbg6YoVtEjr1iXpDhhAazwxkTVPgoLoqrFY6OaIi6ML5OpVWs6iSH/3hQv837U8a7t2fFy8yDHv308d+6BBQMeO/P7Jk0qgUD4e2Rcud8xxtcKtVpJ9blG9OolaTn5yRVoaA6UAj+XKFfr87XZ+R6Nh9mirVrnf//2Af//ldeBwAMFBEmacexbBYjyuJIUgzR8oEQke9DvvMDhSq1ZBD1lFFlAJvAAREMDA2ciRimRNq6V1LVfjCwlhB5kxY2hxnz3ruxONRkNSkgl882bFlaHXk0BXr2YQcOtWRd2h15Oo9u5lp5ukJJK9bKmGhlIRAtAqvn1bsWTlTjxff83A44IF3uOqWJErCU+41g6XSfr2bfranU5ODqdPU/ESGKi4YfJiAZtMlCa+9x7/Nhq5n8REHqcskzSZOLkdPcoEJz8/prhHReV+3/cLPvuMK42wMKCK5RiibJeRog2GBlxpFS8OaPV6npivv2a5SBX3LVQCL2BUrcrSoo8+SnIOCXEnaNn6O32aLokqVfg5T3WKHHAsVYokPHasEpSUezeaTNQxG420hmXilAOL06e7l4QFOJZixUjisq9aDlKWLs1t6fWcMC5eJGFnByYTA6979yr9JaOjlYqEGg3HdOYMj9VkYiXBjh1zf64BTpb+/sxelVvMNWvGcgLFiyufEwS6uOrVy9v+7jfs3KlcO8UcNyFBAwgCBACSCNjS0t/X6dSIbSGA6gO/D6DRUJXh5+dtXcuNdwMD+XzYMH7etSaJnFb/yCMk8G3blEzKf/8lUaWk0MKKiVGKRrlCrl8iJ+O4omRJkptcGyU4mFZ6WJgyfkFg7Zac4PXXlbKvN24oQU+djvtzLY4VEED53oEDOduHJwSBcsR9+3ieDhygtZ3dfpiFHUFBSkzlmqEiNFCaoEpwmbwdDjb6VHFfQyXw+wQDBpCoPeV3KSlURsiuyMaN2XneYiHxxcaSkB94QKm1nZBA0k5Kcq+1Laesm82+x6DR0C+fkMAgquwuSU2lBdy/P90I5cr5VnLktB5L9eosBdCuHflCdsmULcvJRu46BJDQJYnKFdeOQJ5ITVX851lBp6N7Rp6E/isYOJDnT5KAq35VcNLUGEHOBDgdEoxGwGAEgw16PaVCKu5rqAR+n+DRR6n5lsnXYiGJxsWRvH//XbGcnnqKGvGpU1n0aelSZvYVK8b3a9Qg8ftKeNFquR3P2tMOByeQ+HjevzduUInyzz8cw5w5JE+NxruiXloaCfFOFRF9oXJlSiHLlSOhly7Nscu+cdcSuiYTz8uff3pv59o1ZoXWrk2teNu2bNemwh09etAVl5DAiX965Mc4pauLYCShfEg8hKQknvjFi5V2SyruW6g+8PsEGg27nG/eTOXIvn0kK5MJ+PVXLvcfeIBxpZAQukqGDfO9rSZNaEDJTRJca3QbDCTguDilVVlaGkmzWjUqWcqX52fk7vTydho0YBr/qlVKEwerldt//33FzSPj/Hkm3xw6RDfMwIFM9PGcWEJDSSq7d9MidrWwRZFWuetzT4lhbCzQqxf/l9vN3bhBZc3771MWeCc4HDwHQUHZT4jy1XfzfofBQFXQhg1slpGUFI4Dg9aiXqO/YEw4zRPYuvW9SQFWkWeoBH4fQaOhNtfhIIG7lh+VJMoBp05lAO5O2+nVi7kZrpa2nx+JXxSBli1p1Ws0nCRefZVV94KClJR2OVvRbGbtkK5dGexr2ZJqhuvX2Q5sxAjvJsN//klfc2IinxsM3N+TT1LHLoqK7xzga336KC3W5InDz4/jkItkAQzkuuLLL0nqrkQvp8K//TaTnDLrHG+xAB99RDJLS+O+nn1W6RPqidu3uRpZs4aTV/367PvZrFnWv8n9BIOB57pPH/kVAUDD9IeKwgS1Fsp9iB49qDrxtGidTlqJBw8qNUQyw9GjvEEtFkVtIUvnxo2jSsVs5jI6IoIWefPm7ttNS+N35Zrk589nzzpNS6MaJTbW3ULV6XhMlStTceLvzwSip56i71qrpSto0ybKHuX+mK6TUIMGtNRdt9u+vbI9TyQns2SBL/24KHJC2b+fxK3XK6uRfv2AWbPcP5+UxBjB5cs8Tzodty+KXD3lpaxvXmG3c4I8e5Yxkw4d8rfgmYqCwZ24MNc+8EOHDqFPnz7o0aMHhg4dims+8r6jo6PRoEED9OjRAz169MDw4cNzu7v/FK5dc0+KkSFrp7OTMl+nDl0psbEkJbudfk+rVUkHDwyka0PWm8v+cYDW9dmzdEXExNAf369f5gFQV3zyCb8jW/I6nULGt27Rry67b+bNo9+6Z0+S47lzXDl8+iknHzngJkkk2Bs3SOCe58WXGSJ/z5clDXA7hw7RbSNb90YjvQjff++tovv2Wyb4FCumlPcNDuZ3pk3znX5/L3DpEr0eL7xAjfukSXRJ/fZbwYxHxb1Drgl8/PjxePvtt/Hjjz+iW7dueOutt7w+c+zYMXTr1g0//vgjfvzxRyxbtixPg/2voGpV76L/gKLUyE5j3r/+omVZsyYlfxUrMhhaqhRvcIvF/fNGI5UwSUl0e8TGKkWdNBqqTw4f9p2U44rPP2eykNyhPjWV/8tySFeijY7m+w4HPxMYSOu7eXMGJOUkpPBwuk2qVeNK4N133ffZp4+39BHgOYyI8Ha5yNi2TanZ4gp5leE5Uaxb5zuJyt+f5+z06azPzd2AKLJOeUwMJ5OICKVuzfPP8xyrKLrIFYHbbDa89NJLqF69OgCgWrVquO6jMtOxY8dw5swZ9O7dG0OGDMHpgrjCCyGee07pdylDkkiuTz6ZPbneDz8o9bcDA5Vek0YjSWvnTu/vjB/P5Jrr1/ldOSMyPJxWakgIZX/Jyb73uWEDfeSAOym6ql4EgWOwWPiQJ4iEBP6fmEgL3WwmecsB16Qkfj8wkO6hTZt4noYM4bZLl1Zkj04nt2O30weeVf2TrIKQ2XEXpaTQb2+xuP9e9wqHDrG0gadLzc+P41FL3xZt5IrADQYDevToAQAQRRELFizAo48+6vU5o9GInj17Yu3atRg+fDief/552LIS8aoAwCDhpEm0IBMSSBCJicxCfO217G0jKSlzApIVJp4wmZi2X7YsLbkSJWi9li6tuEMAJbU+MZHNJpYvZ0LM7Nm0kOVAqCucSr4IihXjsYmi++fMZo7LtRytvAq4dUspKBUXR3fBr78y2Pvhh/xev378fEoK0LQpXR5ZtSnr2NG3+0Wux9Kypfvr3bpxxQCQHM+eZfbplSsc39ChXKXcS1y9mrkaRqNhJquKoos7qlA2bdqEmTNnur1WqVIlLF++HDabDRMnToTD4cCzzz7r9d0xY8Zk/N2qVSt8+OGHuHDhQoblriJzDB9Ov/AffyhNBh54IPvfb96cAUFPyG6M+vV9f08Q6D//+29vq052N0RG0hKfOFGxrrVaLterViVBx8cr2nLZFw1wm35+7jp1uYO9XAFQTgqS9eXy58xmTkxy8S5X0oqP5/7//jt758fpZPZrmzasDePvz5WB1UorftQo79onTzxBieeNG0ppAVmeqdFQJdSpE11XFSpkbxx5hTy5+iJxUSz43qIq7i7uaIF37twZO3bscHssX74cKSkpeOaZZ+BwOPDJJ59A71neDcCKFSsQHx+f8VySJOgy03Op8EKxYvTvPvlkzsgboBwxMpLEJpOn00mLvmPHrAnm2Wf5WVf1h+zCefxxWpzjxyvBz2LFSMwOBwN/fn7MAdHr+ZBdFbJvNiFBkSja7bTaw8IUohcEEpPc/UcU+TCbSa6Rkd5kFRLC0rby6iAziCIlkE2bMtD3xx/0rQcG0jVUtixlhb5K84aF0Q/erBnJW3YzyZp4rZbn29VSv9to3JhxDU+3Vmoqx/X44/dmHCoKBnkKYpYvXx5z586FIZNurAcOHMD36U64/fv3QxRFVKpUKbe7VJEDmExUczz4oFILxWJhoFJOuc8MzZoxk/HyZSocbtzgNh55hGn8X35J4nJVymg0JHK5u05wMDMr5QYOISFUvBiNSpp+WBj12hERJHKdjp+NiODrVauSrOUyACNGMCDrSy4ou1pkX3lmmDWLfvq0NI7JZGLwMTSUFSF/+YUyzsx84yVK8P0yZbg/Pz9FkSIX+rpy5d5lgWo0nJDCw3nssbGcRJxOKnxKl74341BRMMiVOXzy5En89ttvqFKlCnr27AkAiIyMxJIlS/DNN98gJiYGL730Ev73v/9h4sSJ+PHHH2E0GvHhhx9Ck9u+XypyjFKlmKASHU3CLFuWRJUVLl8myd+6RavUaiW5Dh/OZr+CwCCjPGfLPSXlpg0JCdxfQIBSRyUwkBa/VkvCTEwkEf/8M33YS5fSn1yvHotvnT9P364cdI2IAJ55Bvjf/ziurVu927+lptIKHTuW+3j8cVrCrp+7dYsqGVkyCXAfYWGsaf7LL/zOnRAZyWOTSdsTej3dV7163Xlb+YFKlZhB+9tvnIxKlKAr579W5+W/iFwReM2aNTNVlDzhUgCnRIkS+Fzt7FGgkCQSWni4bwmc52eff56SNFeidziYwt+rF33nFSqwFZccYJW/K/vIdTq+Z7ORRMqUcc8otdtJOFWq0MoePZpWrdXKhg8nTyoJMjodmyjIwdvnniNRpaYqx5OaygkgMJBBO1GkH/rrr+mzli32gwd997uUSXjz5uwReLNmnKBiYty3Jbt/AgOzULBYLByknx/9Yvlk0BgMTCQqyGQiFfceqjlchLFuHVPdGzViYHLMGLpDMsOZM7SuPTP4dDqS4sqVfD54MIn79m2lsYOrr7pECRKzRuPum5UkWtbXr3OJL7dIGzeOCSjvvssgZIUK7BJUty517GfPshkFQCt9wQISZ3IyXTZXrpBQK1Tg/0FBnID++oulYl2PIzOIordVnxn0eipv5OOW4wWiyFWPVssJyQ2SxHoETZpwidO9OyUy+/dnb6cqVPiASuBFFF9+yUBcXBzJLDCQy/revWkd+8LNm+6qD1cYDHRvAKzRIvt95YQdWRKo15PY5aClw6HUQ7Fa+bdsBfv7k3CDg4ElS2gxBwcr+5cnBz8/ullkdOxI2eLy5cAHH3B1UaGC+7gFgdt3JfCHHvKupQ6QWzUacmp28fDDlE3KfvTISI5BkjhZyu3ZMrBsGYMPcj0BuV/d0KGq1k9FrqESeBFEaiot2oAAkous4Q4PJ0l/953v75Uvr2RNesJmU+qJnD/PbVWrRqldYCB5yc+PRCin28tdf2R5oFyTRRRZ39u1y73DQYval5VsNNJqd4XBQBJt2JCThi9PhF7vHtQMCqK+PiWF+3I6ea7i4rgtT923PGZfmnmA7pyVK6lokY//+eeppXez5m02FksJCFBy9mVfi83GugEqVOQCKoEXQZw8qRSg8oTB4FsfDpDAmzWjhe5K4rIee8gQPg8JIfnp9QwwynVNZD2ya12RyEj6wZOTlbomkZF0s6SlkTzj4xUXhq88L6uVgTpfKFGCxOxLtmc2U2bniiFDWOq6Rg1uNziYypqlS9392X/+SSlmgwa0qIcOpSvHEx06UHFy4QLdP6++6iNT9soVDtDXD2Iyeefsq1CRTaii7CKIzIo7AXw9K1/wnDlUnBw/rmicdTq+LtcUqVqVhHr+PMlczryUiznJZV1tNvLT77/Tkt27lxK+kBBymmyZy4/WrblNWS8OKC6a55/P/FhfeokNmbVaZfKwWpV6IJ5o25aPzPDHH0o52dBQjm3XLmryN2zw3X4ty7rgJpOSiuorRdUjYyomhuerbFnfRc1UqJChEngRRK1aigTQVTMtK0CykreFhzM9/q+/gBMnSLZt27qXthUEEvqAAbSe9Xpa2bGxivUZH08CfestapFLl6bL5ddfgS1bFHeJXOQqJITkXb8+a524ptK/8AKt4cwweDDdIvPn06qXJE4qc+bQxZITSBJrk8s1ZOTjDQ3lauGTT7zLzN4RJUsyInvsmHuEWJJomQ8cCICa+wkTmI4vV3EcNYoqHVV9q8IXikw9cEmi6+CPP/i8VSsSWWHrmJJf2LSJqhPZ1epw0KVQowYLHPn7kyi3b+dnWrdmWdfMzldMDAtkXbxIIu7encbjd9/R3RAeTqK/eJFKlgoVSPCelQATE6mekwObGg394RERHF/VqkxA2buXBNaypXtKe0ICsHEjA6qVKgGPPaYYsCkpXDkYDHR75CbpNyaGbqSQEO9zYbNxm7mqd3LmDIu1JCfTrJarlTVsCKxcibgUI9q353mR922z8ZyMHu07M1RF0ceduLBIELjDwd6QGzfygnc4eI/06kUy+K9m7+/bRyv00CFapE88wTR5Pz+S+2+/kUNk7Xbnzkwj9zxfW7fSCnZVb/j7MwPwwQdzNqabNyltDAriBKDVkqhu3lRcKoMHU1pYs6b7d3fvpmsjLU2psSIrTRo1ytUp8sLt2wxK+iLwtDSeuwMHcrnxGzc42G3buFSR5YRGIxYupEjFM/nG4aCRvn//nZt4qCh6uBMXFglq++wztriSg2GSRGts2TIG2GbMKOgRFgyaNmUmpicWLGDWYViYQlKiyAmwTh2SpIy4OJK9rH6TYbEwO3Lv3px1ow8JodUt+9dtNrpO5AqABgNXUbt3s/dm3br8XlISMHKk0qAhJobfFUVa/ps3U0mSVxQrxonjn3+8CVPu1JNrREWxiMz48V5v/fab4r93hTyZnjiRP8enomih0HvWJAlYtIhE49pnUQ5+zZrFgJkKQpI4sQUEuFuYcm/MJUvcP79hA8+jZxanyUQf+7ZtOdu/nx/T3JOSOJabNxVLXK6DEhZGy/Ptt5XvbdqkFJD691+OSQ5aWixA3750oeUH3nyT50OuKWK38/qKiOAK5m4gIIDH5guieOcsWhX/TRR6Anc4mN0nk7fNplhm8vutW1PmpYLnJiHBt7pBLjTlSiTR0Zm3CrPbaQnnFJMmUZ6XlKRUSxRFEresYAkOpqsiJYXPr17lOGQ9uGtQTxDo3pg3L+dj8YUGDRjIbddOqVs+cCCwfn32uiHlBv37u9dMl2GxMIAqr0RUqHBFoXehyAWPZNeJTD6yJhmgOuK557jMvldBzRMnmKm3cyfdAr16UdJ2twgguzAY6CZwrSUiIzWVqeCu5PjAA5nHEAyG3NW9Dghg8HPXLmaGyioWzyqDrr9hxYocl1wm1RUaDQlfDmD7QlISs1O/+47baNuW1nRm469Zk3rxe4WOHVntcedOXs96PScvnY7Zppn19VTx30aht8AFQSlAJFuK8o0v3/wWC7XI7dp5N6q9Gzh4kJrh338nKQkCY1c9e7rXq5Ykjufy5cx12/kNQaAv2WJxt7RFkdbmqFHun+/cmQFHz3rTSUl0KXhmL2YXGg2DmU88wYnEk7yTk1n3RPa7d+rEvz37asruF5PJtw9ZHmvv3gzQxsby2FetArp2zT+3S17x//bOPLypMm3jd07SpEn3QkvLWlBBAR1QBEWwg4JSocC4jCifiAi4wQDjBqOo1AVxuWRRkQGxwyKKIFQQhE8UF0CRT4EKKIPsIHRPmtIly/n+uHl7kjQtpaVN076/68rVZjvnPSfJ/T7neZ/FYGAy0UsvcbIKC+P4Vq+u+TmWNH6CXsAB+rmjo727v4gfuajPoSjseH7PPf6z/S4WqsqmvqpKq1L4aWNjGYSwaBFf9803dO3cfDNvf/0rHzvftk+douBX5i+tDiNGMMxSFKTKzaVg3n03I0A8sVg4+URHay4Pm43rcUuXnr9A1NmzVU9OkyZRrDwXoK1Wfm7PPFNxHKJxhCgipdfTii4q4gTpj/R0utBiY7XOO7GxdLs8+2y1Tlm9YDSyecf69WxOMXt2xUgcicSTRiHgkZFMDhEZfMJNIhroigS45s3ps/3yy7oby5kzjKrwjNgQhIXRovrxR0ZwiE7ikZH8f+xYPuePHTt4mX3jjRT8vn3pEroQ3G5GoPTqRYFwu3nOxo3jtmbM8J8w0qULL+3nz2dEz6JFdFe0b+9/P6IWy1VXMba8Z0++R0w6JSWMglmxgpPBypWcUER52u7d2c/SNzTwqqtYhrZVK56zli05BtF9fvx4/+P55BP/kTKRkYyFr4kfH6D1PmYMY9evuIKx2idP+nmh3a6t1kokF5Gg94ELrr6awjxkCK3J4mLtktrlYs0MUf5zz56qM/tqw59/8jJduGrCw7lvUVTK5aK46XQUdEFYGMXs9dcrdhLPzNQsY5HIl59PwZo/n4JeHWbPZrZiRATdFmKfH38MjB5d9XtDQtg/8ny43UzF37aN+4mN5Wfx0ktM8klJ4XpESYkWOtixI8uvdu3KJKEBAyov7dqlCyePefM4aev1vHIYO5bn2R8lJf4nJtFBp7T0/Mfly+7dDON2OHicqsqFz6+/ZuROy5agaL/wAtNPdTrOGBMn8sP0WIzZu5eRQb/8wmO4/35O1jL7UnI+GtVX5JpraLm1aMHfh6h8FxfHG6AteH36KcNxZ8y4eH7QrCxas8XFWgXAoiJevtvt/H/AAC2xxpeICPrPfQ21WbM48YiaI6Lpr8HAGtrV8Z/b7VyUi4jwLogXFcUJb9myWh8+AFr2O3ZQuIUIh4bS0l+yhMWk8vLoJjl7ludq0yYmYs2Zw446op1bZbRrR7fZDz9we2vWsMz2FVfQ171ggfdaw403atEsnhQXc5w1aTuWlsbPJDpaS3uPjeXE+vbb0BzvGzfyCxcVxZli+vRzLyAbN3KBOyODHYN+/pkT89NP19+6iCR4aVQCDtC1sGOHVn/jiivorxWpyarKtlpPP013xoIFtNqrK4RV8f779Ce3batFxCgK/z96lNb4Qw9RQP35sN1urc62J99/7z8LLyyM7hrfBUZ//Pabd6VAT0ymC4/nrozNm7XOPJ4oCjXt2DEKVX4+RfbkSa1GS3g4j/P0aVrUVX0ebjfdF7NmcVvZ2TwXa9cyTLFvX21N4dFHeYwi9hygeJeUAFOmXLila7Ox8qC/zyQigglRWL2aFnhsLKAoUAEUOkJxrCACJ/71Dl6bVogDB+h2EU2dQ0M1rV+zpnJ3mkQiaHQCDvDH8O67tIpsNgpcfj5/tPHxtACjongTzQ4WLKBQ1oa1a7UGBe3aUTSENR0Swsv+tm0ZNSNqgXhitXIy8RUUESbpixAjfwuJwrJdvJhumeXLeS78uWFdLv8++5pQlRja7drCo+eYxZWSWFyOiqK7Zc+eyrf1/fe0wKOi6LYSi9UhIdyP201XjdUKXHIJr8w6deJ9m42f0xtvVL7wWRVVLSCLK79y/w4AFcCpk8CRo4C1yICyMmDnot3ltU98wzkVhdtYvfrCxyZpWjQaH7gvKSnsir58OV0kl1zChbKHHuKPPiuLFqBnGNoHH9ByqymeFmNkJK0x8WO32yneAK2u77/3rt539iwXWR9/vOJ277gD+M9/tCQXgdXKY/JdoNu8ma7W06e1npWiO3xeHs+FCNsTvSw9WpnWiltuYdSHb+XU0lKea0+BF118AC21HtDcRCdPMpTQHxs38j2FhVp6vXiv2J7LxcXZu+/mAujatRT7sjKtq3xNiI7md+vgwYquMJvtXLXHXGP5F6LIDuTlA3oFgA5QXCrMkSFw23nlEBfn/4qlss5JEomgUVrggvbtWax/6VK6HiMj+aM9cYJXt6I2tui8snmz/+04nbz0z82ten8pKd6+VuEHLynRXDoAF7jWrdMmk6go/r9u3bnFLx8efZQVSfPyuC27neJsNlcMgzt0iH74Q4f4euHKKSnRrMODB3m/qIgC37v3xVvU7dGDIZH5+dyHqnJyKijQusF7looFNLEXE5EYc1V1zMQ2/HUQEmGkTmfFqJDERF4d1TYxZto07XsjxpufT8v+scfAWfdcamV+PmiG6wCjuwRlihm/m7sjJobvEx2MfJHx35Lz0agF3Je2bSkmVit/wEJAxF+bzXtBU1Vp+V57LdC/P8Pv7rmn8rT8cePovsnL09KiCwspJC+84G1lxcfTD791K29PP115lmZcHK3HkSN55XD4MMXx7Fm6ijxbfi1ZQnEXlQNFpAXA9yQmatEvl1wCvPIKffeVJcFcKIrCMT31FAU5N5eLyq+8oi0mu90V/eSe/mSrlWVor7yy8v307av59D2349kZPiSkYjnb81FayvWAjAz/HXgE111Hw6BzZwq31coxrVp1rnvQrbcyNCo/H7rSYujhRLjLCqNaindavgSnYoTRyHHabDwfYvwFBcyWvZAenZKmSaN1ofgjPp6i9eef3j5Yl0tLOrnnHlpXw4YxdnnmTApRZCRf89NPNK42bqwouIpCK3zJEpZ/tlj4Q586lVZubQgPpzjY7ZpVazIx5DA3l+4fgOF7vk17xdjcbopFTAwFVrRIqw0HDjCiJzub1ndqKsf68MO8ebpS/viDPvlLL6XgORw891YrJ5WcHIpuy5aMmPFX9sBmY5Gr1av5etHAAdCuMJo35xpAVBRdOtXl229ZeVFcObjdFOW5c/2vEfTsycXGs2dpEHjVlzEa+UVIT4f+9aXQHytAZngvrEj4B/aGsQavqP8yZAiTd3Q6CvnVV9M/7y9SSSLxpEkJOED/5E8/aRaPsAbFpf3p07SGP/+cUQBhYVo4nKJonVmWLmXom+DwYVbZKyjge8xm+lp1OnaZqS1PPcVIErEAqKoUMLOZDRX272fEjYgTFyVbBULknE6+v5p9Mqrk7bcZBSKibT77jOnqH33EiRLwFuGpUyn0Gzdq6fOKwklSCHinTnTBiAn20CG6QVq1ouvjvvsYFx8ZyQQaEXcvjkt0iY+NpS++ulX8jhyhG0tRNOFUVUayPPkkF6Aro9JyuqGhWNvqYbzY7GHsPgG47UDEGU5QRiO/K0OGsGbO9OmMVKppWKOkadLkBPyWWxhvbDTS0jp1iv8LCzUujpaUyL3wlxwSGsqkIU8Bf+45/iCjozXRUlV2iElPpx+7phQWcsIQPnVAW+grLqYve9cuCvj999M6Fa/ztIBF44ZmzWrvX/35ZyYGiY70AquV0R8bN2r7LSpiRMyRI0w6evhh4PffKeB9+/oPx8vKojX888/cvtNJ4Tt2jCIntt2qFcNECwqYLHTgAK30bt0qLvpWxZIlnHA9GyqIVmpffsn4/GPHOJa+fSs2XvDHmjVclDaZeNVx7Bg/y//+l8dy551arfrIyKpdRhKJP5qcgF9+Oa3wVau0olfCWhWxuAAFsKCAbhLfS3m327v40q+/0vosKeECqcVCURGW+PLltRPwn37SBNsT8djZs5pfe9AgujJ27uQxOJ3e4YZt2tCvX9suRYsXU5hFrWpxPiIiKNT79jFrctcuYNQovtbhoItEdPPx7RgvcLlYr+XQIW1CVFVa3iL5xhO9nu959lm6k0RPzBdfBPr04aJtfj4jWsaP93Bn2WwcWHw8fvlF7zf7U6fjFdewYVphMp2OVvmYMZWfH5eLfn/Pc9OpE78j+fl0w73+enXPtkTinya1iCmYOZMWs9lMAdLrubjneekaGqo1C/BEVWmp3XUX758+TbERflC9niJz6BBvR45QxNLT+eOtCapKS9ezvKrnc6KnpWDjRi66ijorUVGciKZNo7B37FizcQi++44+d5GI88cfPFaRGq8oWtW/Bx7gcUdF0TcdFUUhHz3af3YkwEXdo0e9OwaJKBXR29MTh4PjOHaMPnGHg0J+7BgzTP/8k1dZO3fS7//FolPMFLrmGsZhXnst/mb7DxxlFTOHRId4cR4jI2lRz5hRedQSwH0XFFSsshgayglo+/bqn2+JpDKanAUOUGBGjaL/sVcviqNvWJnTydesXauFh7lcFOdu3bQEkIULeVlsNHp3BBJCYjDwvWlp3NayZRfeXaVHDy1bT8R1i7oqAF0Snm6IyEguimVm8uogPJx1TC7GotixY9Q+k4nCLM5bURGfa9eO5659e7oe7HbvRuwAz4fVyonm9tsr7iMz0399kshIhn8WFXkfi6jOGBqqZb46ndr5LyzkuYuMBELOWpEw/g64W5yBEhNdXgzlrv1pOFRqw5rICV7up6wszYrOy6MPX6xtTJrEScFz8VJcKaxbRwEX0T2if6dYaL1YUT+Spk2TtMAFsbH0GYuICEAL44qOZgz56tWMkTYaacVOneotwmvWUANiY7VyqCKjUFjHLVtSQHbtqll2XVQU8I9/UAASEmiJ6vUcQ69eXADzRadj8sq993KiulgRDcuX81x51psBKJR2Oy3vvn0Zsnn8eOWlex0OXp34IybGv4vHYqH4l5ZSxJ1OflaFhXy9KJdQUqJ9nk6n91VUytmViHFk4awpVouvNJlgah6O8cq7cFsLy2u15OZym0lJnDhOntQWvAH68UeP1s5BWRkXQu+4g0XGCgroSvr9d16h7NvHSaC4WLuCk0hqQ5MWcICC/Nhj/MHb7fzhduvGEqSxsYzznTuXltbXX9P6FBEmzz5LC/f4cVpmwhfrGdbWurVmeYWEsPJfTXj0UVYxbNuWgt6mDRfIPv/cf3u0ukKkt9tsWqapy6X52i+9lJEpAMdaWVVBg6HycrQDBmjd6kVGJcD78fEMI2zblvu+9FI+Jl4voos8EV3sAeA66ya4dCEVXFG6kBA0i1XxaVpmeTXA557jeRYRPyJ3QPjBjUbW3RElGObO5VVHZCSPT4xbnB+3m9+V8PCKddclkprQJF0onuj1FMJHHqHfNSrKfzakL1OmcCHUZKLwi0t3RdGsQaORlrznvgoKeHldVsYEoTZtqjdOnY5RC3fcQQvTZApMuVFRKtdz34qiVXucNUtzmfTvT5G3273jqIuKeP/WW/3vIy6Olu2LL2oWvGgFN3MmY/XHjePjZWV0MRUVaW4Xzyggcb+g4FwTB5iggxsWC5MjbTYgOwsoLQOiFBUnTodg6lTWKk9P12qniLUSgdvNqyGXiw2Xb7iBrw8Pp8V/5EjFzkEAxxARIWO8JReHJi/gAouFYXjV4dQpWujZ2d5p22IBT6Tot2rlHTmSnU2f6j//qb3vjjtoUVY3KkSnq7gwVl/8+CPdAIpCMRPH5nTSN9+zp3conNlMURs1ikJYVkYhDgvjImhl8dO7dvH55s0pyqWl2rm9/HLv1xqNFPPp0ysKN6CN027n/taa7kRf/TboFRWnz+iQnXWu8QdKYHNbMO7dbghfzuMJDaV1L1xsYoIWpb1jYzkxqCq3X1zMCfvwYe9yAeJ/vZ7H/uefdPv4C5+USC6EGttwa9asQZ8+fTB06FAMHToUb731VoXX2Gw2jBs3DikpKRgxYgSys7NrNdiGwi+/UIxFXHVoqCbALhd/mM2bey9gnT7NH3tcnHdEwyef8NI7EHi6FqrDBx/Q8k9I0BKgPN0bvuUCAPrht25l/e7+/fnepCTWRPdXkRGgq0jE5LduzaSgDh14Pj1D73JzOSE+8gj7RxoMvIlkpzZttLUJh+Nc3PnLAxHVrzsc2fmwnSmGUXEiUi2ACaWY1+YVuPUh2LOHr7VY+Nl26sTtud3cXvv2XKwFKNCiX6fZTGH2lwkr3C75+dr6hURSW2os4JmZmZgyZQoyMjKQkZGByZMnV3jNrFmz0KNHD2zYsAF33XUXXn755VoNtqFw+LAWcQJo/xuN/HF27cqoE0XhD9pqpYi3bKlZnXY7F7aOH2eW5aOPclKoDxYvpiBGRtIi7N+/8vounhw6RAGPi2MWZFwcLU6RJVmZRe12c59btlBwf/uNYXgpKZzYPHG5GGJXWcOL7dsZF5+aSou/d28mZw0fzmNq1YqCf+WVtJ5bt6a/fPlylhm48hojvn5gCb7qORVWJRaKzo3dEX3wTPvl2B6VApuN+/KssS4WsBWFx2+xcMLKz2fae9++/NxFvLu4AgO8rW+xyCoWxSWS2lJjF0pmZiaOHj2Kf//73+jYsSOmTZuGKJ94sS1btmDZuVYvgwcPRlpaGhwOB0KCPIZKJPeI5rriRyr+mkxsK3bffRRoi8W7RZbVSj+yeK+qsvbH6tVcFKuuX7wmzJrFCcOzEuM331CIMjOr3nenToz5Fo2BExL4uNtNwassBXzhQm7bM64boHi/9JJXg5rybFN/zRxUlQJ/331aP0+A0SHjx3OBeeFCnteyMro0Cgsp5idOcKL6809AUUKRlzcWZw1jcdllFX3bnn8F4qrDYmEkiaj3MmGC9v4JE9gebelS7zGLxU+RTfqvf1V+jiWSC6HGFnhcXBwmTJiAjIwMJCYmIi0trcJrsrKyEHdudctgMCA8PBx5eXk1H20DoXNnukgcDu8fuvDT7t9PC9topDWYmEgBEAtyp055l0EVESo5OfSJe3L0KP27AwfSyly3rua9ccvKgOef5/+ifIBez/+LiphdKLDZmF7+wAMUx6+/Zsiloni7CETY5U03Vd6TctkyWvq+7pWoKMaCe4b5KQonu8oaXpjNdJ3Y7RRSt5tiqigsRrVyJc+VTkdrX1Fo8Y8fz8lRVAAU/nXfUEZh+YsIm5wchgHu389xvvMO7+/Zw4nQcz3CaGRlxyee4NVNQgKvAMLDgRC9GwktVGzaVPl5kkgulPNa4Bs2bMCMGTO8HuvQoQPS09PL748ZMwb9+/ev1g6VRtCptUsX+kHPnNFioYV4C0Fcvx74+9+194wapdXu9vWRCgvNYGBYoihM9X//R2uztJQ+08OH6T5Yt45CcuQIxaRZM0Zi6PUUud9/p6XYubN3tMi2bbRK/S2YKgrrlQC0Vu+8k+IlasR88QXrmDz3HKNDRHedkBCGXb72WuXny2bzv/AqLO3iYm/3y5NP0m+el6cJamEhX3/4cEUrPimJIrlvH90ozz7LzNS2bXneRB13t5sTYseOWoVJm02LkhFXRDExjPQ5fZqiLT7j0FDGeS9YwAROf+h0vKpo25afUbvCXzHa9Tp64zvEKgqMswbwADt0qPyESSTV5LwCnpKSgpSUFK/HCgsLkZ6ejlGjRgEAVFWFwY8qxMfHIycnBwkJCXA6nbDb7Yj2jKsLUnQ6JmL88ot3YodeT1+wSKX35O67KaBr12pCIRa2fD1Ke/fSXTFpklZyVKCqFNpbb6UYCYGOiWFY4qZNmuC0aMFKd6LmiIiR9lem1TMpZ8oU+uN99/vll4zR3raN/xcV0T1x7bX+tym4+mpOPL4ZmcXF9KP7FoZKSmJtmXff5UQIsGv9559rLhaxP09RFs+tWqX12AQ0C1+v5+OidkxSEidBUaJXUVgWYcwYCvVXX/ExRaHbLD6e733qKZ6DyppCKArdK6N77IF6991QmjkQEhutfXjbtrHgeFJS5SdNIqkGNTKHLRYLFi5ciN27dwMAli5digEDBlR4XXJyMtasWQMAWL9+PXr06BH0/m/BZZfR53vppbxMTkpiiJvIkhRRCgK9ntEmH32kXfIbjfQle4qRaOy7bx8tfFGkSqDT0TL+8UetbVtEBH3T8+dzMggP52PZ2az9IdwEffvyeX/JLi4Xszqzs7Vek777DQlhWGDz5nTnPPggFxKrEm+A7d0A71owDgcFfNIk//HsbdsycmXPHt569dKiQDxdSOIK4fRpVlg0m3kFUlZG105RkRbKJ8YpXFk6HY9lyasnse2fK7Fr2io8ed9p7NjBcywWa6+4Qqv9brFwu3v3Vn3MAGB87SWYFCdCmkdr8YcRETT7Z88+/wYkkvNQIwHX6/WYNWsWXnjhBaSkpGDv3r148pwDdfbs2Vi+fDkAYOLEidi1axcGDRqEDz/8EM8999zFG3mAuekmCqXLpTVGFpUBTSb/Lcp0OuD665mM4hkfLOLI9Xq6Q/r21YTHVxxLSnjzfE605dLpUB5FIbrSlJZqzR5MJoqpCKsT7xXx2TNn0gVjMPgXVaPx/G3l/NGrFxdPQ0LoCrHbebxTp3q7mari5Eme6/h4rbysZwy+wQA88wzLya5fT0E/coT+7zNnvLM0hQ1RXOTGJOt0JD+fjGavT4HryadRcGVfHH7kNezfpyInh9vwLQeg01WjMNnZs/SBRUbyZB89yll5/34651es8L9SK5FcADWOQunRowdW+ynsMVGYWwCio6Px3nvv1XQXDZrQUJZEFUkqQoBNJkZC+FqwnjzyCK3KxYu1wkgWC8V7zhxakZ06aVEXnpfqogONZxKIZwaib/XE0FD6kwWvvMJ9vfmmJvrt23PM3brRKhauBt+LpaIilmetCYMGMdwvM5PnqmvXKhoh+KFDB4p0SAit4uxsLYkmMpLH1bIlrXDP+HSBCOET8dz5+cDdxf/BA/r/QImMQplbj0MnAL3iwgj7fByK6ID0wjvLa7YIF43Dwb9dulRj0OID/OMPvlH4flwuHsCCBVpKqURSA4J/RTGAdOtGcZw5k6L80kuMU77++vO/d948+ljHjGE89IQJXCgUnqjoaC5g+vZLFNa3Z01sYc2LHpGeOJ0V62eLutkHDlCcDhzQGjyYzYw8KSz0FkGx34cfvoAT5ENICP3hPXtemHgD9PlHRGiFqxIT6dpo3ZqCPnw4U9qtVm0xGfDOzgwJoUvqmmuARx5W8UL8PITG0OeVn3/uHOr1KFNMGFPyTnmYpfCbl5Zy0nvssYquLYCG9a5dtPhhsfBgT5zQyiJ6DiYykpclvjOuRHIByFT6WhIW5r8kanXo1Yu3ypgyhWK0eLFmuHXuzEW/0lJNrE0mujd8mx0I98L//E/FbStK5cWkJk6kEGVkcJ9GI/3Bb7/NzMpAYLHwPIwapU1qBgOPd/FijvHXX7Uem3o9z48oLqaqFH2zmZNl727FwLyc8hNWWgrgnL6W6Mxo5TiMSzqqOHlKB5uN24yPZwz36NHeY8vPZxu+r746t8bgUDG57SqMO3MAhrw8zRIPCdGyvhITKex79rBxqkRSA6SAN2AMBgrG+PHsKhMZybjy3btpnYu0bKeToh4RoTXkFW6Em2/274+vihkzuJAZH6/5y1XVOzsxEHTtygCOLVsYS9+mDa8cxESWmOi9ZiBKHQDaRCSiTRAaytn33AKAyQRWtwIQopahwBAHo0mHpCS6at56i1dKvlc4bjfj4/fupdtMUYCU7CUY/N10nAwNRduICOhEzKUIKWrZkrOu8OlIJDVEfnuCgMhIXo0LunVjN5iVK7lOlpjIxcD4eGYBfvstXTDDh9P1UFm4mz/27mUCT0SE9/tE+dxbbvFfhElV6cJ4/316DS6/nKF45e3LLhJGY+Wd5ocMYTf38HDvrj0iTl+UOujeHVTa++4D3nsPiIlBTAwLW6kuFRbVjsVxjwCgtd+mDWut+FvY/eEHrkuK1m9Gdwnuz3odJYYwlDqMKIltBrPofOFycdIQ3TDMZvZ5k0hqiBTwICU+3n+fzSee4K2mrF1bceEU0JpAb9lCofREVZng8+GHNChNJlrKW7fy8ZEjaz6eCyEhgQlFTz6p1QcX0Trx8XSTzJzpUT99wgQG8+/cCaPLhQ4xQHauHttMf8Ui3RjAyjDDRYsqL927a5e2sAkASSW/waCW4aw+AqoTsOmjYTaf6+IA0BcjBvDqq7I1j6RWSAFvYmRl0UJ3OrmY6JsQaLVWHtftdms65Mm+fSwWJVwIAAXf4WCp3MGDL6xDfG0YNoxXKEuX8org2DHN6p48WVusBUALeNkyzjb/+7+wKApie98Kd05P/CNHwWWXMVy0qsJTIqZf4NIZhCsdOgB6g8KTnJ3N4HK3m5lPEydWvQAikVQDKeBNBFXlIuTcuVrrN52OGY4zZ2qu2D596Jrx937gnPvBB1GfxddKFU2ht2yp+UJvTUhKortHlC6oEkXhQZ+Lj4wAcPcF7GvAAJYWEGGXh0OvQKE+CqEuO4p1FrqbRCqn0cgylSNGXPhBSSR+kGGETYSNGxm1ZrHQXyua/K5e7V0NcMAAhubl52up9S6XVoBr6FDWAUlP18IbRb0Qf7jd1Uh6CWISExktVFR0LvOzRI9XI2fA4HKgbWQBjDonfTd5eVwY+NvfAj1kSSNCCngT4Z13aCF6Bj0oCl0AixZpmZlGI/t23ngjo06KiliCtbiYz4WG0hOQlsZ4aLeb7cT8lYAVVr6oxdJYefBB+v/796eghw+9GYULP0bUbX14Yi0Wukw+/vjCA+AlkiqQLpQmwsGD/isCGo30e4tuQQCv9j/4gP7yP/5gsEaLFtp6m9lMIf/qK9Zk6dePbt6DBzU/uNPJCI7+/ZnF2Njp2ZM3jauB+9MDNBpJU0Fa4E2EhAQt5d4TkRDjLzQwPl4rKesbLCESi9at43PLlzNkUdQ6KSmhq3fOnLo5HolEIi3wJsOYMcC0abScxWKjqtJKvvdej9A6Hzw7DvkiaoMAjDJ59136znNzOWF4dqKXSCQXHyngTYThw9mRZv16irKond2tGxfhKqNnTwq4Zw9QQMtovPlm79fHxFSs790YKSpiqOLHH/P/5GQmLl1ySaBHJmlKSAFvIuj1jEIZNYpFs8rK2LWmT5+qMzVbtaL4f/ghLWqjUfNvd+7MOOmmRlERG3r89hvXA/R6hl6uW8fz1K1boEcoaSpIAW9C6HSM4/YXy10V06czumLBAq3a39//zjotTTGR8MMPKd6eTZpjYzmpTZnCBKLzNbmQSC4GUsAl50WvZ8jgQw/Rxx0RQV96veJ2MyNo5Uqukt50E7OD/K2+1jErVvD4fUVadEY6cYL1UySSukYKuKTaGAxaqGFtyM2l0DVrxvDD81qrTicLv3z1Fe/r9Ux/nzePgl7PaimaXvgiGls35sQlScNChhFK6o2SEtbNvu46lmAdOBBITaWYV8nq1Sy/GBXFNNKICP7Nyal6BbaO6NfPfx+G0lLm6chexZL6Qgq4pN546ingk0+4GBoWRu/H77/Tn15QUMUblyyhs93HVHdHRsGx9UcU/pFVp+P2ZexYCrXVqoVYlpRQ1J94ommuC0gCgxRwSb1w4gRDGKOjvdudRUVRCD/9tIo35+R4qaIK4EwWsP83BcdOGXD7TQV47DG+rD5o25bhg507c+w2G33iL77ImHqJpL6QAi6pF379lcLtr662ong3Xq7A1Vd71bE9dQrIOgPo4YBeAW5wf4t2y2dgbvJKFOcUXfzB+6FzZ7ac+/57Fgr74QeKt4w+kdQnchFTUi9ERFT+nMt1nnrh48YBmzYBJSVw6EORlweEKC40c2ZDBxcezJoBHdxwHjCg9NqXYf5sMXDllRf9GPyRkFAvu5FI/CItcEm9cO219Bv7Lv6Jdmd33VXFm6+6ijVvDQaU5hYizG1HuNsGg1qGAn0zFBpiYDM0Q6E+CiUFxcADDzBTSSJp5EgBl9QLRiMLW7ndjCU/e1bzHw8fToGvkltuAXbswP4nP8D0Fu/iw/iJsOujUab3KM+qAg5TODf6zTd1ejwSSUNAulAk9UbfvlzI/OAD4Oef6X4YMYJhedXyHRuN6DymN36aD1yX+w10cHs/r+MiKcqcLGIukTRypIBL6pUOHRitUVPCwpjav338ZXC6FagqQ/lUN8MSw8MB2AwMFakn8vNZB+XwYRazSk0NSIKopAkiBVwSdNx1F9AuOhX6v8+EubgITmMYmjUHYmMAnc3GdNFzPS7rmq1bGRdeVqZVbHz1VXY5Oq9bSCKpJdIHLglKeg6IQpsv09HhchM6JtjQzJ0DXaENaN4cWLzYu3dcHWG1MkBGd851ExvLv04n66/7y9aUSC4m0gKXBC/XXANs384aKWfOAO3asZlnPaVCbtjADEyjkW4Uo5GRNmFhFPcvvwSGDKmXoUiaKFLAJXVKbi6zMFu0qKOYabMZGDSoDjZ8fnbvBk6e9H7MaOQ84nDIdVRJ3SMFPAhwuSgIJlPwZPrZ7awX/sUXzMB0OoHevYE33rg4FQ0DTWkpsGoVPxtRpkVV+fjhw6yf3q5doEcpaezUSMBzc3MxevTo8vuFhYXIz8/HL7/84vW6U6dOYdCgQWh7LiKgefPmeP/992sx3KZFbi7w+ussxldWxgiHJ55gFb+GjKqydvj27fQJKwoX+L7/HrjnHroegr3g0+bNPKaQEP7V6yniBgM/K72+aXYrktQvNRLwZs2aISMjAwDgdrtx//33Y/LkyRVel5mZidTUVKSlpdVulE2QwkL2Kzh+XAuPO3mSjRVeeolC2FDJzGT/Tc+ONYrC+0ePAl9/zbycYOa333hV0b49cOQILXFV5fHqdKyLYjQGepSSxk6to1BWrVoFs9mM1NTUCs9lZmbiwIEDuP322zFy5Ej8/vvvtd1dk2HVKvqOY2Np1el0XByzWIAZM3ip3lDZtYuC5s/d43Kx8FOw06IFrWyzGbj8coadJybyb5s2QJcugR6hpClQKwF3uVyYN28eHn/8cb/Pm0wmDBs2DJ9++ikefPBBPPbYYyiTNSqqxWef+XczmEwU7717639M1SUsrOpGyVFR9TeWuiIlhRZ2aSknqshIRjAajfyMBgwI9AglTYHzCviGDRtw4403et1GjRoFAPjuu+/Qvn17dOrUye97J0yYgOHDhwMAkpOTYbFYcOjQoYs3+kaMv7KrwUK/fhy/71ztclHYBw8OzLguJrGxwFtv8Rjz8xk2mJ9Pt8rcuTITU1I/nNcHnpKSgpSUFL/Pffnll7jtttsqfe+SJUswePBgxMTEAABUVYWhHhIsGgNDhwI+a8IAGHdsNgNdu9b/mKpLbCzT3adNA4qKON7SUvqIH32Ui7GNgYEDuZi5ciVw8CBdKXfcQVeKRFIf1EpNd+3ahbFjx1b6/E8//YSSkhKMHTsWO3bsgNvtRocOHWqzyybD7bczofDgQVpzBgND81wuRqY09AWy4cOBjh2B999n27S2bVnltW/fQI/s4tK6NTBpUqBHIWmq1ErAjx8/jgSf7Izly5cjKysLEydOxDPPPIMpU6YgIyMDJpMJb775JpRg9g3UI2Fh7B85Zw7bd1mtLIv9+ONAcnKgR1c9rr6aN4lEUjfoVFW0ZW04nDhxAjfffDM2b96M1q1bB3o4DQJRKEkikTQdzqeFUhKCBCneEonEFykLEolEEqRIAZdIJJIgRcb0SeqFU6eAjz5ilmbr1iwFUE+N4yWSRosUcEmd8+OPDCEsLWU45PffAytWsDDXww8HenQSSfAiXSiSOqWsTBPpmBggIoKJPuHhwJtvMkZcIpHUDCngkjpl61ZmY1os3o8bDExKWrUqMOOSSBoDUsAldUpBAVPo/aHXA6dP1+twJJJGhRRwSZ0i6pz5E3Gzw4auxgOwHi2o1zFJJI0FKeCSOqVzZ/Ye9rTEDaVFGJn5JFYe64Gb5wxB3mU9saX7JNhO2AI6Vokk2JACLqlz3nuP/TCtVqDQpuLx38YgtWwVnMYwlIaEo0Qfhva/foZ9Pe+H2+kO9HAlkqBBCrikzomOBpYsATZtAtJu/wU91J0oNseUd31QFT2KQmKQkP0r9r7fCNr1SCT1hBRwSb3RoQOQeGInQlBWsd+aTge96kDuhh8DMziJJAiRAi6pV5RwM1w6//3WVOigC7P4fU4ikVRECrikXrl03M1QoYfidno9rrhdcOv0uOTRgQEamUQSfEgBl9QrCVe3xNG/TYLZWQizwwqDqwxmhw1mZyGODHwYrW9oF+ghSiRBgxRwSb2TvOIx5L+xCKda9UJpSBhOJV6D3FfmIznj8UAPTSIJKmQxK0lA6DYxGZgYJL3hJJIGirTAJRKJJEiRAi6RSCRBihRwiUQiCVKkgEskEkmQIgVcIpFIghQp4BKJRBKkSAGXSCSSIKVBxoG7XC4AwGnZrkUikTRhhAYKTfSlQQp4dnY2AGDEiBEBHolEIpEEnuzsbLRrV7HMhE5VK+tYGDhKSkrw66+/Ii4uDnq9/8p1EolE0thxuVzIzs5G165dERoaWuH5BingEolEIjk/chFTIpFIghQp4BKJRBKkSAGXSCSSIEUKuEQikQQpUsAlEokkSJECLpFIJEGKFHCJRCIJUpq0gM+ePRtz584tv2+z2TBu3DikpKRgxIgR5RmhTZU1a9agT58+GDp0KIYOHYq33nor0EMKOGvXrsVtt92GAQMGYNmyZYEeToNj5MiRGDRoUPl3Zvfu3YEeUkCx2+0YPHgwTpw4AQDYtm0bUlNTccstt1yc35PaBLHZbOrUqVPVq666Sp0zZ07549OnT1fnz5+vqqqqrl69Wp04cWKARtgwSEtLU9euXRvoYTQYTp8+rfbr10/Nz89Xi4qK1NTUVPW///1voIfVYHC73eoNN9ygOhyOQA+lQbBr1y518ODBapcuXdTjx4+rxcXFanJysnrs2DHV4XCoo0ePVrds2VKrfTRJC3zz5s1ISkrCAw884PX4li1bkJqaCgAYPHgwvv32WzgcjkAMsUGQmZmJNWvWYMiQIXjiiSdgtVoDPaSAsm3bNlx33XWIjo6GxWLBrbfeii+++CLQw2owHDp0CDqdDmPHjsWQIUOwdOnSQA8poKxYsQLPP/884uPjAQB79uxBu3bt0KZNGxgMBqSmptb6+9MkBXzYsGEYN25chTorWVlZiIuLAwAYDAaEh4cjLy8vEENsEMTFxWHChAnIyMhAYmIi0tLSAj2kgOL5/QCA+Ph4nDlzJoAjaljYbDZcf/31eOedd5Ceno6PPvoIW7duDfSwAsbLL7+MHj16lN+vi+9Pg6xGeLHYsGEDZsyY4fVYhw4dkJ6eXu1tKErjn+Oqc57GjBmD/v371/PIGhaqn7JBOp0uACNpmHTv3h3du3cHAFgsFtx555345ptvcMMNNwR4ZA2Duvj+NGoBT0lJQUpKSrVfHx8fj5ycHCQkJMDpdMJutyM6OrruBthA8HeeCgsLkZ6ejlGjRgHgl89gaNRfl/PSokUL7Ny5s/x+VlZW+eWxBNi5cyccDgeuv/56API740uLFi2Qk5NTfv9ifH8av3l5ASQnJ2PNmjUAgPXr16NHjx4ICQkJ7KAChMViwcKFC8ujCJYuXYoBAwYEeFSBpXfv3ti+fTvy8vJQXFyMTZs24cYbbwz0sBoMhYWFeO2111BaWgq73Y7Vq1c3+e+MJ3/5y19w+PBhHD16FC6XC+vWrav190dOjx5MnDgRU6ZMwaBBgxAREYE33ngj0EMKGHq9HrNmzcILL7yAkpISJCUl4bXXXgv0sAJKixYtMHnyZIwcORIOhwN33nknrrrqqkAPq8HQr18/7N69G8OGDYPb7ca9995b7lKRACaTCa+++iomTJiA0tJSJCcnY+DAgbXapqwHLpFIJEGKdKFIJBJJkCIFXCKRSIIUKeASiUQSpEgBl0gkkiBFCrhEIpEEKVLAJRKJJEiRAi6RSCRBihRwiUQiCVL+H8m/eBN2Zl1eAAAAAElFTkSuQmCC", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], "source": [ - "#@title clustering the UMAP embeddings\n", + "def plot_2d(points, points_color, title):\n", + " fig, ax = plt.subplots(figsize=(5, 5), facecolor=\"white\", constrained_layout=True)\n", + " fig.suptitle(title, size=16)\n", + " add_2d_scatter(ax, points, points_color)\n", + " plt.show()\n", + "def add_2d_scatter(ax, points, points_color, title=None):\n", + " x, y = points.T\n", + " ax.scatter(x, y, c=points_color, s=50, alpha=0.8)\n", + " ax.set_title(title)\n", + " # ax.xaxis.set_major_formatter(ticker.NullFormatter())\n", + " # ax.yaxis.set_major_formatter(ticker.NullFormatter())\n", + "data_scaler_tsne = StandardScaler()\n", + "df_all_ei = pd.concat([df_exc,df_inh])\n", + "data_all_tsne= data_scaler_tsne.fit_transform(df_all_ei[['Vm_avg', 'resistance', 'thr','isi', 'sub_thr', 'imp']])\n", + "data_all_tsne = normalize(data_all_tsne)\n", + "for perpex in np.arange(5,95,5):\n", + " t_sne = manifold.TSNE(\n", + " n_components=n_components,\n", + " perplexity=perpex,\n", + " init=\"random\",\n", + " n_iter=1000,\n", + " random_state=10)\n", "\n", - "df_exc = exc_df\n", - "df_inh = inh_df\n", - "ind_feat = ['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']\n", - "lab_exc,lab_inh = plot_UMAP_clusters_hbd(df_inh[ind_feat],df_exc[ind_feat],10,0.0,5,5,42)" + " S_t_sne = t_sne.fit_transform(data_all_tsne)\n", + " colour = np.concatenate((np.repeat('blue',len(df_exc)),np.repeat('red',len(df_inh))))\n", + " plot_2d(S_t_sne, colour, \"T-distributed Stochastic \\n Neighbor Embedding\")\n", + " plt.show()\n" ] }, { "cell_type": "code", - "execution_count": 31, + "execution_count": 163, "metadata": {}, "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\manifold\\_t_sne.py:790: FutureWarning: The default learning rate in TSNE will change from 200.0 to 'auto' in 1.2.\n" + ] + }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], "source": [ - "#@title clustering the UMAP embeddings\n", + "def plot_2d(points, points_color, title):\n", + " fig, ax = plt.subplots(figsize=(5, 5), facecolor=\"white\", constrained_layout=True)\n", + " fig.suptitle(title, size=16)\n", + " add_2d_scatter(ax, points, points_color)\n", + " # plt.show()\n", + "def add_2d_scatter(ax, points, points_color, title=None):\n", + " x, y = points.T\n", + " ax.scatter(x, y, c=points_color, s=10, alpha=0.8)\n", + " ax.set_title(title)\n", + " ax.set_xticks([])\n", + " ax.set_yticks([])\n", + " # ax.xaxis.set_major_formatter(ticker.NullFormatter())\n", + " # ax.yaxis.set_major_formatter(ticker.NullFormatter())\n", + "data_scaler_tsne_inh = StandardScaler()\n", "\n", - "df_exc_NC = exc_df[['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']] # df_all_conds_exc[['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','thr']] #pd.DataFrame(data_exc,columns=features)\n", - "df_inh_NC = inh_df[['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']] #df_all_conds_inh[['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','thr']] #pd.DataFrame(data_inh,columns=features)\n", + "data_inh_tsne_inh= data_scaler_tsne_inh.fit_transform(df_inh[df_inh.columns[:-3]])\n", + "data_inh_tsne_inh = normalize(data_inh_tsne_inh)\n", + "# for perpex in np.arange(5,95,5):\n", + "t_sne = manifold.TSNE(\n", + " n_components=2,\n", + " perplexity=20,\n", + " init=\"random\",\n", + " n_iter=1000,\n", + " random_state=10,\n", + ")\n", "\n", - "plot_UMAP(df_inh_NC,\n", - " df_exc_NC,\n", - " 15,\n", - " 0.0,\n", - " condition_inh=labels_inh,\n", - " condition_exc=labels_exc,\n", - " random_state=42)\n" + "S_t_sne = t_sne.fit_transform(data_inh_tsne_inh)\n", + "colour = np.repeat('blue',len(data_inh_tsne_inh))\n", + "plot_2d(S_t_sne, colour, \"T-distributed Stochastic \\n Neighbor Embedding\")\n", + "# plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/tsne_inh.png',dpi=200)\n", + "plt.show()\n" ] }, { "cell_type": "code", - "execution_count": 186, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 892 - }, - "id": "DemEWFyfZmwI", - "outputId": "405fa15c-0249-42c4-f2dd-7fd451c98450" - }, + "execution_count": 109, + "metadata": {}, "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\cluster\\_kmeans.py:1036: UserWarning: KMeans is known to have a memory leak on Windows with MKL, when there are less chunks than available threads. You can avoid it by setting the environment variable OMP_NUM_THREADS=2.\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - }, { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAAScAAAEWCAYAAAAkfFFJAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjMuNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8QVMy6AAAACXBIWXMAAAsTAAALEwEAmpwYAABzGElEQVR4nO2dd3wcxfn/33O9qvdmSZaL3CvY2LgAptj03gKEUEMICSmEhPwCCck3CSGFACEkodeEEgi92RgbY+Peu2R16dTL9bv5/bHnk84n23I56STv+/XSS3ezs7uzd3ufnXnmmecRUkpUVFRU4g3NQDdARUVFpTdUcVJRUYlLVHFSUVGJS1RxUlFRiUtUcVJRUYlLVHFSUVGJS1RxigFCiHlCiKqBbseRIBSeFkK0CCFWDXR7ekMI8YQQ4ucD3Q6V/mFQiJMQQgohSg4ou18I8ULo9bxQnTcOqDMxVL7kgHIhhNgrhNjay7mWCCHcQohOIUSjEOINIUR2DC7rsAghyoUQZ/TT6WYDC4A8KeVJ/XTOI0JKeZuU8ldwdA+A3u4jlb4jhLheCLFGCNEuhKgSQvxeCKHrsb1cCOESQnQIIVqFEF8KIW4TQhyVzgwKceojDuAUIURqj7LrgZ291J0DZADFQojpvWz/jpTSBowEkoA/Hee2xpyQAB/J9zsMKJdSdh3FuXSHrzW4iedr7Me2WYDvAWnAycDpwA8PqHOelNKOcj/9FrgH+NfRnGwoiZMX+C9wJYAQQgtcDrzYS93rgbeA90Kve0VK2Qy8DozrbbsQIiU0FKoJDYf+e5B6EU9sIcQzQogHQ6/ThBDvhJ40zUKIL4QQGiHE80AB8L9QL+7HofozQk+kViHEBiHEvB7HXSKE+LUQYjngRBHfG0K9xA4hRJkQ4ppe2vct4J/AzNC5HgiV3yyE2B1q19tCiJwDrukOIcQuYNdBrrvXtgohTgn1SvND7yeG6owOvZ/dY79KIcQNPT83IYQVeB/ICbW3UwiRI4Q4SQixIrRfrRDiUSGEIbTv0lCzNoTqX3Gk1yiEeEwI8fAB1/g/IcT3DvG93yaE2BW6Px4TQoge228UQmwLbftQCDEsVF4Y2rdnr2SJEOKm0OsbhBDLhRB/EkI0A/cLIRKFEM8JIRxCiH1CiPv2P5xC9ZcJIf4QOleZEOKcHsc+7D0CIKX8m5TyCymlV0pZjfLbmnWQum1SyreBK4DrhRC9/oYOiZQy7v8ACZQcUHY/8ELo9TygCjgFWBkqWwh8CNwELOmxnwVoD22/BGgEDD22LwFuCr1OAz4Dnj9Iu94FXgWSAT0wt2d7DtZ+4BngwdDr/wOeCO2vB04FRGhbOXBGj/1ygaZQ2zUow7AmIL1H2yuAsYAOSAxd66jQ9mxg7EGu5QZgWY/3p4U+mymAEfgrsPSAa/oYSAHMvRzvcG39deizNQMbUXqroAhyB3BV6PNIBSb18rlFfMahsqnAjNC1FwLbgO8d4ns4omsETgJqAE2P+8MJZB7ivn0HpfddgNK7Pzu07UJgN1Aaau99wJehbYWhfXUHuS9vAPzAnaF9zcBzKA9ce2j/ncC3etT3ATcDWuD20HUIwEof75Feru+/wG97vC+nx/3ao7wCuP1If/dDqeeElPJLIEUIMQq4DuULO5CLAQ/wEcqNowMWHVDnESFEK7ABqAXuPvAgQrFDnQPcJqVskVL6pJSfH0WzfSg3xLDQMb6QoW+0F64F3pNSvielDEopPwZWowjAfp6RUm6RUvpRbuAgME4IYZZS1kopt/SxXdcAT0kp10opPcC9KD2rwh51/k9K2SyldB1FW+9HEc9VKD+Ux3qc9xMp5cuhz6NJSrm+Lw2WUq6RUn4lpfRLKcuBvwNzj9c1SilXAW0owxlQeulLpJT1hzjHb6WUrVLKCmAxMClUfmvo2NtC39VvgEn7e099oEZK+dfQvl6UHsq9UsqO0LU/DHyjR/19Usp/SCkDwLMo91xmaNsR3yNCiG8C04A/9KWtKAJ/RAwWcQqgPEV7okf5YR/I88B3gPnAm71svx74d+gG9gBvED20+66UMklKmSulvEZK6ejlOPlAs5Sy5UgupBceQnmCfhTqWv/kEHWHAZeFhi2tIQGdjXKj7ady/wup2I+uAG4DaoUQ7+4fOvWBHGBfj2N1ovR8cns715G2VUrpQ+kJjQMe7iHI+cCePrYxAiHESKEMkeuEEO0oP/i0Q+xyNNf4LIrwEvr//GGaVdfjtROwhV4PA/7S47NpRunJ5NI3erYrDTDQ41pCr3seK9wOKaUz9NJ2NPeIEOJCFHvSOVLKxj60NRfl+o6IwSJOFShd1Z4UEfll7Od54NsoT21nzw1CiDyUrvy1oRu4DrgUWCiEONRN3BuVKL20pD7UdaIMJ/eTtf9F6En3AyllMXAecLcQYv+T+cAeVCXKEDOpx59VSvnbHnUi9pFSfiilXIAiCtuBf/Tl4lCeduGneMjOkwpUH+xcR9JWIUQu8AvgaeBhIYSxx37D+9C+3s79N5RrHCGlTAB+ivKDPxhHc40vABcIISaiDMn+24e29kYlcOsBn4851PvfPynR6z3TS7saUR7UPXtdBURex0E5kntECHF2aPt5UspNhzu2UCaccoFlfWlLTwaLOL0K3CeEyBOKsfgMlB/yawdWlFKWoXTlf9bLcb6BMhYfhdK9noQyI1eFYuPoM1LKWhSj7ONCiGQhhF4IMecg1dcDVwshtKEvNzzUEEKcK4QoCRlK21F6iYHQ5nqguMdxXgDOE0KcFTqWSShT6nm9nVQIkSmEOD/0o/MAnT2OfTheAr4phJgUEo7foNjzyvu4/0HbGrrWZ1Bmcb6FMnT+VWi/F4EzhBCXCyF0QohUIcSkXo5fD6QKIRJ7lNlRPsPO0NP/9l726fl5HvE1SimrgK9RHoKvH2RI2xeeAO4VQowFCBm0Lwudw4EiLNeGPrsbOYRgh4Zq/wZ+LYSwh4aGd6N8B4fkSO4RIcRpKN/PJaEh7qGOmyCEOBd4BcU2fFghi+JIjVQD8Ydi8HsIxeDWBqwFzu+xfR4HGEd7bAsbxFGeCnf2UufHwGp5gOGxD+1KQenm1wMtwBu9tQdlbL4FxdD7PPAy3Ybd74euqwtFJH/eY78LUHqNrcAPQ2UnA5+jdJMdKEb5gt7ajvIk/Dz0mbWGto85yLXcQA+DeKjsNpQhVjOKfS6vx7aoSYpejtlrW4G7UIzghlC9nND2U0PvTwVWoghNJXB9qPyZ/Z9b6P1TKMOw1tAx5oS+407gC+CXRBr5b0MRwlbg8qO9RpThnATmH+b6DzoREnr/DWBTj+t8qse2c4CyUFsfDn2OPQ3iB35XyShi5Agd6//Rbbjvrb4ESo7wHlmMYsfs7PH3fo/t5YAL5T5vA1YAdwDao/nd758VUlFR6SOhHvILQKGUMjjQ7RmqDJZhnYpKXCCE0KP0/P6pClNsUcVJRaWPCCFKUYY+2cCfB7QxJwDqsE5FRSUuUXtOKioqcUlcLWZMS0uThYWFA90MFRWVfmLNmjWNUsr03rbFlTgVFhayevXqgW6GiopKPyGE6M2RGlCHdSoqKnGKKk4qKipxiSpOKioqcUlc2Zx6w+fzUVVVhdvtHuimHDMmk4m8vDz0+gMDLKioqBxI3ItTVVUVdrudwsJChDjUAvP4RkpJU1MTVVVVFBUVDXRzVFTinrgXJ7fbPeiFCUAIQWpqKg5Hb6GhVIYirc1tOBxNlO+txNXlomRkEaPGlqDVage6aYOCuBcnYNAL036GynWoHBqf18eyz1eyc+se3n3rE8r3VACg1Wp5/Nnfk5efTXlZJWnpKRSPKMRoNAxwi+MT1SCuonKc2bJxB9+7+T6klGFhAggEAvzp/57g3bc+Zu/ufdzz3V/xnxfewuPxDmBr45eYipMQ4i4hxGYhxBZxkAwVxxubzXbI7eXl5Ywbd2SJIG644QZeey0qrp2KSq9s3bwDnV6HyxU9iVNX00CTo4U//vpvXHLlIh761WOU7T6oH2IYZ5eT7Vt2sXP7HtxuD6DYMSv3VbNnZznOrqONeRe/xGxYF0oFczNKxgov8IEQ4l0pZa9phFRUhgpJyYn4vD4SkxKits0/cxZfLVuNlJLa6gZ++PM72LJhOy3NbYweU0JyalLUPpUVNTz0y0dZ8vFyhBBcfOUivvXta1j88XIefeifuFxu5pw+kx/9/DsMK+o1KOqgJJY9p1LgKymlUyoZIj4HLorh+SLo7Ozk9NNPZ8qUKYwfP5633norvM3v93P99dczYcIELr30UpxOJdT4mjVrmDt3LlOnTuWss86itrY26rg/+clPGDNmDBMmTOCHPzwwn6AKQGtrOyuXr+Hd/37MhrVbwk/6E4Xxk0oZVpTPe299wl333EJ2biZGo4HzLj6LnLxsFl5wBrd//5vMmD2VFUu/prqqji8+W8Gjf3yKlua2qON99M5ilny8HFB6S6+//A4rvljNI797Mtw7W7Z4JSuXrWbzhm3s2VlOXU0D1ZW1+P3+fr3240ksDeKbUWIap6KE7lyIkhooAiHELcAtAAUFBcft5CaTiTfffJOEhAQaGxuZMWMG559/PgA7duzgX//6F7NmzeLGG2/k8ccf56677uLOO+/krbfeIj09nVdffZWf/exnPPXUU+FjNjc38+abb7J9+3aEELS2th639g4VOto7eeR3/+C1l94Olz3w+3u48PJzTpgJgfxhuTz+3O/ZunEHXo+XPz35IH6fn3/89Tl8Ph9PPf4ifn+Ab9x0OSlpKTzz95exWC1ccd2FlO2pIDllfPhYHo+Xj9+Lzjj29Yp15BXksGdXOQB3/OBGXn/lXR6870/odFouumIRjoYmcnIzufqbl1JQ2NekLvFDzMRJSrlNCPE7lKSEnSg54KJkXEr5JPAkwLRp045bcCkpJT/96U9ZunQpGo2G6upq6uuV9GL5+fnMmqUkKr322mt55JFHOPvss9m8eTMLFiwAFONldnZ2xDETEhIwmUzcdNNNLFq0iHPPPfd4NXfIsHtHWViY0jNSOXPRPPbtreSjdxaTnZfF+EmlJ4RI5RfkkF8QTh6M1+vlGzddxq3X/ohAIEBufhYet4e3X3sfgPa2DpZ8vJyx40fxj0efJyk5kaknT6RoeAHTZ05i66YdEccfM34Uy5asBKB03Ei2bd7Jts07AfD7A/znxbe580c38deH/onNbuXG26/GYrUwmIipK4GU8l+E8qQLIX6DEsC/X3jxxRdxOBysWbMGvV5PYWFh2Mv8wB+HEAIpJWPHjmXFihUHPaZOp2PVqlV8+umnvPLKKzz66KN89tlnMb2OwUZDneLHdeppMygZWcTrL79DIBDg2hsvY/EnX/KNb13K2Al9TZ03dDAYDPj9AQIBJbHJtTdeznP/fDW83WQyct7FZ/K9W+4Ll6Wmp/DUq3/hwssXsvij5VSUKz+fCZPHMPPUaXyx+Cu+XrGOsRNG8dmHX0Sds7mxBbPFzEfvfc5pZ81hzPiRMb7K40tMxUkIkSGlbBBCFKBk2p0Zy/P1pK2tjYyMDPR6PYsXL2bfvu4ZkYqKClasWMHMmTN5+eWXmT17NqNGjcLhcITLfT4fO3fuZOzYseH9Ojs7cTqdLFy4kBkzZlBSUtJflxP3BAIBaqvrMVlMWKxmJk4Zy6N/+Fd4+98feZYf/fwO1q7adEKKE0BmVgZ6g57UtGRAkpOXRW210pufc/opvPWfDyLqNzma2bhuCxdceg5Pvfpn9u7eRzAoSUlNJiU1mYce/X/s3L4XjUZDQ30jSz+NfLAmpSTidrnJzcuisaGJFV+spmh4Plk5mQwGYu2E+XrI5uQD7pDHnh23z1xzzTWcd955TJs2jUmTJjF6dPcPorS0lGeffZZbb72VESNGcPvtt2MwGHjttdf47ne/S1tbG36/n+9973sR4tTR0cEFF1yA2+1GSsmf/vSn/rqcuKa2pp6Xnn6dl599k/xhOfzxiV/x6vP/jar3yftL+cZNl/d/A+OEwuH5/OZPP2P9ms3887EXuOmOa9myYTtutwerzUxHe2fUPl2diotARlY6FeXV/PT7v6aupoHM7HR+/cefMWP2NADsdhub12+juakVgJmnTqNyXw0ms4lZ806moryK3//yUTKzM3js6d8ysrQveUsHlriKIT5t2jR5YLC5bdu2UVpaOkAtOv4MtesB+OdjL/DI77uTxJ5+9qnkF+TyzJOvRNRbdNECbrztakaMLj7wECcMUkrK9lRwxw334HK6uPK6iwgEgtgTrLjdnojeplar5dnXH2XC5DFUV9ZyxaKbaW/rCG+3J9h49d1/kBeybVVX1rGvrAK/P0h7azsV5VUYjAbsiXb27NhLTl4WT/zlWRYsnMf/+78fotcP/AIRIcQaKeW03rYNfOtUBjWtLW28/vI7EWUrl69l0YVnkpySGJ4at9mtnH72HBrqG4+LOHV1Oqmvc2C1msnMzjjm4/UXQgiKS4bx/Xtv5Yffvp/H//Q0oEwePPH8Q1isZl56+g3Ss9K4/Xs3hO1EdTUNEcIEysxoTVV9WJxy87PIzVeyljc6mpFItm3exQtPvUZzYwsJiXau/dZlvPvmxzi7nL36YcUTqjipHBMmk5GCwlyqK7t9wjo7uhAauP3uG2lpbEFKSXpmGju27GLBwnnHfM49u8r57S/+wsrla0lJTeKnv/oe8xbMwmAYPGvU5pw2k3++/CdWLl9LemYqJ82cTPGIQkaMHs55F5+F3qDHYjGH6yclJ6LT6/D7uie8tVotySmJvR0et8vD/fc8hM/rC5e1t3WgEYLTzz4Ve8KhV1LEA6o4qRw1fr+fvbv3ceaiecw94xSkhEf/8E/S0lMpGVlEdk4mVRW1eNwe1qzcwOnnzGH4yMJjOqfL6ebhBx9n5fK1ADQ3tfKjOx7gxbeeYNzEwWNoN5lNnHTKFE46ZUrUtt56NAVFedzzizv59X3dds4f3vdtCofn93p8jUag0+kixAnAYrOw8MIFaDQapJTs2LqbHdt2YzKZGDGqmOIRw47xyo4fqjipHDUrl6/lO9/8SXh6vHTcSH7z5/soLilgWJHyoykozMXR0MyM2VNJz0w75nM6GhrD/j37kVKyr6xyUInTkaLX67jgsnMYN3E0dTUOsnLSKR5ReNDAhdm5mdx21/X86f+eCJdl5mQw57SZFBYr383GdVv58vOvcbvcdHR0UltdTyAYYMSo+LAJquKkclS0trTzu/sfCQsTwLbNO9mxdTdIGRYnm92GzX78hhBWm5WsnAzqahoiypNTko7bOeIVk8nI2Amj++SKIYTgoisWkj8shyUff8nwkYXMPf0UikuUnpHf7w87zDoamsL72ezWuBEnNWSKylHhcrqoCfno9MTv9/PaAQby3pBSUr63gq+WrWHX9r1Rw4+DkZqWzM9/8wN0uu6AbQsWzmPUGNXn7ECSkhM545y5PPjHe/nmbVdFDNkCgSCtLW0RwgTw1N9eorUlen3fQKD2nPrABx98wF133UUgEOCmm27iJz/5ScR2KSV33XUX7733HhaLhWeeeYYpU6JtCUOJtIwUzrv4zIiZOiEEJqORzKzDD9++WPwVz/3jVaZMn4DNbqW6qpZTTp2OoQ+B106ZM52X//ck5XsrSUpOYGTp8BOi53SsVFXUUFVRQ0KinaLhwzAYo4eEnR1d+LzxsVhYFafDEAgEuOOOO/j444/Jy8tj+vTpnH/++YwZMyZc5/3332fXrl3s2rWLlStXcvvtt7Ny5cpDHHXwo9fr+eZtV+Nxe3n/7U9JS0/hqhsu5oO3P+W+3/zgkPvWVNWxd2c5YyeUghD8+4W3EELQcvs1LFg0F5vNesj9tVoto8aUqL2lI2D9ms1855s/CbsjfPO2q5m74JSoGcALLjuHNavWc8qck0hItIfLg8Egu7bvZe/ufVhtFkaPHUHGcbAhHoohJ06eliZcddUEfV40egPmrFyMyalHfbxVq1ZRUlJCcbEyDr/yyit56623IsTprbfe4rrrrkMIwYwZM2htbaW2tjZq4fBQo6Awl/t/9yOuvvESGmodOBzN3P/7H1M67tBruNrbO1j2+SpOnjWFvz70z3D5L378O5JTE5l3xqxYN/2Eoq2tnQd/9scIP6mnn3iJuWfM5MkXHuaJvzxLfW0D8xbMoqWphR9/55f84bH7OfPc+eH6q79az23X/SgsZJOmjuP3j/6/mC6FGVLi5GlpoqtqH8ggAEGfV3kPRy1Q1dXV5Od3T9fm5eVF9Yp6q1NdXT3kxQnAYDQwbsJoOIL1cgF/ALfbw9cr1kdte/v1D1VxOs60t3awc9ueqPLqyjrOu/hMTlq1kd07y/jf6x+Gl7/8+4W3OP2cOWi1WtrbOvjDg49H9LDWr9nMlo07YypOQ8og7qqrDgtTGBlUyo+S3pb3HBjVoC91VLpJTk5EBoMkJUf782Rlpw9Ai4Y2SSlJTJgyNqo8N08RFqfTxQf/+ywsTABZOZloNBpamlop31sRjojQk+bm2C6VHVLiFPT1Hij+YOV9IS8vj8rKyvD7qqoqcnJyjriOSjc5+dlcdcPFTJo2LiLziNlsYtGFCwawZUMTu93KT3/5PbJzFTHS6XXc/dPbGDVmBABnLZqPxdrtjW4wGrj82vPZV1bFHTf+hF/99I/MOS06oEjJiNjmXxxSwzqN3tCrEGn0R7+sYfr06ezatYuysjJyc3N55ZVXeOmllyLqnH/++Tz66KNceeWVrFy5ksTExBNiSHe07N29j472TtrbOvjjE79k7+4K9AYdU6ZPZPRY1cgdC8aMH8kLb/6NhoZGtBoNmTkZWG1K8LnS8SN59vVH2bh2K4FAgIlTxjJ67Aie/OvzbF6/DYBT589g3oJZLP10BUnJCfz4F3dSGuP4UENKnMxZuRE2JwCEBnPW0Yco1el0PProo5x11lkEAgFuvPFGxo4dyxNPKJ63t912GwsXLuS9996jpKQEi8XC008/fayXMmTZV1bJLVffTUN9Y7js4b89cFzW3Kkcmq7OLp7/x7/59IOlFJUM48e/+A5TT5qIEIJRpSWMKu1+MAQCAZYt+Sr8/p+PvcDEqWN54Pf3MHzkMPbsLOeD/33GmPEjGTk6NuFXhpQ47Td6H8/ZOoCFCxeycOHCiLLbbrst/FoIwWOPPXZM5zhR2LJxBw31jRQU5nLLndfhcXuprW6gpqqOnLysgW7ekKO2up4tG7ej1el45dk3WPGFEpJo+5Zd3P6NH/HKO08yfGT38CwYDKLRaNBqtcxfMIsNa7aEt21Ys4Vv3X4NN199N12dSlIQi9XMv175c0wCCA4pcQJFoI5VjFRih8fj5axz53P62XP40/89EY4EufSzFfzyoXtUgTqONNQ38pO7fsW6rzfx20d+Hham/Xg8XnZs28PwkUXs3rGXd978mPWrN7PwwjOYe/pMFiycx5dLV7PqS2WR9WXXns/KL9eGhQnA2eXirf98oIqTyuBnzLgRODudfPrB0rAwAWxYs5m9u8oxW0yqt/dxYue23az7ehOg9O5tditWqwV/IECToxkAvUFPTVUtt19/D/W1ynrFtV9vZOe2C7jnF3fypyd+SXlZFRqNoKhkGPfe9WDUeSr2VeN0umhyNGNPsPc6C3s0qOKk0q8UlQzjvbc+Zef2veGykaXDOfeiBfz5d3+ns8PJdTdfzlnnnhaKta1ytDg7u7MAt7d18PPf/ICVy9eg1WrJzc9mw5rNDCvMY9eOsrAw7ef1l9/hmhsvpWh4AeMndUduPf+Ss1j80bKIuosuXMB3b/wpX3+1jjHjR/LD++5g6skTj7n9qjip9Cs6nQ4pJZOnjQun4b72m5dSW1PPvAWzaWlq5eFf/w2dVsvl37hwYBs7yCkaMQyD0YDP60NKyb13PUgwqEwWGYwGHn78AZxdTvS6aBkQQvk7kOkzJ/OL3/6IJ/78DFJKbr7zG6z+aj0lowtZeOEZVFfWsK+sKrzE5ViIdfaV7wM3ARLYBHxTShmdQF5l0OPz+thXVoXH4yV/WE7EuqyeaDQazlw0n6WfrmDytPHk5GWxacM2Xnvpf0gpyc3P5rs/vpkXn3mDcy44Y1BEbIxXSkYW8ffn/8B///MeSz9bERYmAK/Hy+KPlrF39z4eeOge8oflUrmv21n5mhsvJTc/2lcvIdHOJVedy/wzZyGlkgps2eKVJCYncP89vw/XW3TRAr7/k1vJyDp6p9qYiZMQIhf4LjBGSukSQvwbuBJ4JlbnVBkYWppbeebvr/LcP15V/GSmjuOXD91D0fDeMziPmzgao8nAhKlj6Gzv4sffeSC8rbqylmWLVzJz9tS4CMA/mBFCMPXkiYwcU8L3e+TD209Xl5PW1nb8fj+PPvV/fPbRMjat38bpZ89hxmE+/5RUZcjd0d7BgkVzue/u/4vY/u6bH3PuRWcekzjF2kNcB5iFEDrAAtTE+Hwx4cYbbyQjI4Nx48b1ul1KyXe/+11KSkqYMGECa9eu7ecWDiwb123l6SdeCgee27BmMy89/Tp+/8FDb4wYVczsuSdHxQ6y2a1s2bids847HZPZFNN2nyjY7Vau/uYlUeXjJo4m4A+QmppMUckwvvXta/jzkw9y3sVnkp7RtxnvgsK8g2YSdnY5ey3vKzETJyllNfAHoAKoBdqklB8dWE8IcYsQYrUQYrXD4YhVc46JG264gQ8++OCg23uGTHnyySe5/fbb+7F1A8/2LbujypZ8vDwqW0hv5OUrnvRzTpvJd374Lc6/5Gxu/e71pGWkHPd2nshMnzmJB35/DxMmj2HytPH84Ge38/mnX/LA739EyjFMPGg0GkaVDg9ngNlPXkEO+cOO3vkZYjusSwYuAIqAVuA/QohrpZQv9KwnpXwSeBKUvHXHet7GLXuo/HwN3vYuDAlW8udOJW3ssXmwzpkzh/Ly8oNuP1FDpuynqJcg+xOnjjtsXCaAsRNG850ffouGusaInG1ff7WOBx++l6Tk3rOLqBwZTY4WHvrVoyxYOJcJU8ZSV1PP6DHHZrDeT/6wXP7vL/fx9N9eZv2aTUyYPIZrv3XZMacAi+Wg/gygTErpABBCvAGcArxwyL2OgcYteyh7fzlBvzK88LZ3Ufb+coBjFqhDcSKHTAFFiOaecQqff/IloETJvOmOa/oU1TI5NYm5p5/CVefdElG+9NMVbN6wndnzTo5Jm080fF4fnR1dvPnqe7z56nvh8pNnTT0ux584ZSy/+uO9NNQ1kJiUSFr6sfd8YylOFcAMIYQFcAGnA6sPvcuxUfn5mrAw7SfoD1D5+ZqYitOJHjIlMyudBx++lz27yvG4PRQWF4RXwPeFrs4u/Ad8b0DcxLIeCuTmZzF7/gyWLe5eL2dPsFFU0vukxdFgt1ux249fpIKYiZOUcqUQ4jVgLeAH1hEavsUKb3vXEZUfL9SQKUqutSnTJxzVvhablXETR7N5w/ZwWXJKIhmZamyn44XFauGeX9xJYXEeH72zhDETRnHrd6+joDBvoJt2UGI6Vyul/AXwi1ieoyeGBGuvQmRIOLzt41hQQ6YcPVJK3nvrE75521V8+M4SVq9cz4hRxZy5aB7Dio7NoKoSybCiPH7ws29z07evxWKzYDIZB7pJh2RIOZLkz50aYXMC0Oi05M89tnH1VVddxZIlS2hsbCQvL48HHngAn09JZaSGTDk2Av4Aa1dtZPP6bSw8/wxmzJ5KMBhACEFmdsZAN2/IodVqj2l2rj8ZUuK03650vGfrXn755UNuV0OmHD06vY6LLj+HN199j80bt1FbXc+aVRv57V+inQZVTiyGlDiBIlCxNH6rHH9GjxlBRlYan3+6ggmTx/CHx+5n0vTxA90slQFmyImTyuDCUd/Ej77zAFUVyuKBxR8to2xPBU+/+pcBbtngx+fz09bajs1ujXv7Um8MqQQHKoOPfeWVYWHaT/meCirKjz5jjoryGf72F3/hsnO+xY/vuJ+tm3YMdJOOGLXnpDKgmEy9r58zmQffkz5e6Gjv5Jf3/oHVKzcAsOSTL9mwdgsvvv0Eeb1EGohX1J6TyoBSNLyAi66IjM9++TcupLD4+DkHnmhUVdaGhWk/Lc1tlO+pPMge8Ynac1IZUKw2C3f+6CbmnjGLst37GD6ikPGTx2C2qBEJjoaaylrcLjc6vS4iQy+AeZD1RtWe02GorKxk/vz5lJaWMnbsWP7yl2hD7YkeMuVYSUtP5bQzZ/Otb1/DvAWz1PC8R0EgEGDxR8u4fNHNPPjTP3Lp1edFbJ9z2syILCuDAbXndBh0Oh0PP/wwU6ZMoaOjg6lTp7JgwQLGjBkTrtMzZMrKlSu5/fbbWbly5QC2WuVEo3xPJT+84358Xh/tbR1k5Wbw/Xtvxe8PUFhcwPjJpYMuwsOQE6fNSzaw+LlPaGtsIzEtkfnXncG4eUcfbD07Ozu8FMVut1NaWkp1dXWEOJ3oIVNUBp7a6jp8Xl/4/ReffcUXn33Fy//7e0zSNvUHQ2pYt3nJBt599G3aHG0goc3RxruPvs3mJRsOv3MfKC8vZ926dZx8cmQYj4OFTFE5PtTXNrB1046oDCEqCsFgkKSUxKhIGFab5aCx3AcDQ0qcFj/3CT6PL6LM5/Gx+LlPjvnYnZ2dXHLJJfz5z38mISEyL9eJHjIllny1bDU/+s4DfPrBUt567QPWr9k80E2KK/bsKucPDz7GU397iVu/e134vtPptNz/2x8dczTKgWRIDevaGnuP/3Ow8r7i8/m45JJLuOaaa7j44oujtqshU2JD5b5qnvvHvznjnLl43B7cbg8b127FoNczZsKogW7egNPY0MTdt/2/cIqt6so6fvLAXWRlp5NXkHNcYzUNBENKnBLTEpUhXS/lR4uUkm9961uUlpZy991391pHDZkSG+pqHMw/cxa7d5bz8jNvhMu/cdPl5BflYrf3b9qoYDDIrh17Kd9TiT3BxqjS4aQeh4iPR0vZ3oqwMAFs27yTbZt38uJbTxxziNx4YEiJ0/zrzuDdR9+OGNrpjXrmX3fGUR9z+fLlPP/884wfP55JkyYB8Jvf/IaKigpADZkSS5JTEml02Hj1uf9GlL/41GvMXzCLaTMm9Wt7Vn25ll///M8YDHp27yjjlDnTeeChe8jITOvXduxH10syTGDIpNQaGlcRYv+s3PGcrZs9e3avNqWeqCFTYkPh8Hx27yyLSAYJSg+mproeKWW/2fba2top31vJxMlj6Ox0cu5FZ/LpB0vZtnnngIlTcckwZs87mWVLut1WLrjsHIYVxW90yyNhSIkTKAJ1LGKkEj/odDpGji4mJS2Z5saWcHlKahLNjc34fX70Bn2/tGXvrn08/ODjeDxeAD778AvuuueWPqW/6gstTa1s3bSDuloHufnZjBk/MmqmzeV0EwwGsIay2iQmJfDz39zNqi/XsWn9NqaePJGpJ03EbDEflzYNNENOnFSGFnkFOTz4h3v58++eYOe2vYwYXcylV5+HxWo5LsLkqG9Cq9OSkpp0yHrrvt4UFqb9fPTuEu7/3Y+OuQ1dnU4e++NT/PuFt8Jlt951Pbd85xvoDXq8Hi9ff7WOJx95js5OJzfcciVzTp9JYlIC2blZXHDZOVxw2TnH3I54I2auBEKIUUKI9T3+2oUQ34vV+VSGJnt2lfPvF9/imhsu5dt3f5PRY0ZQWV7N5Gm9Z18+kF079vLB25+x+KNl1FTVhcubHM088/eXueycG7n6/Ft5961PcDpdvR6jfG8lLc3REy3BYIDs3Kyju7Ae7N29L0KYAP756AuU71VmgDeu38bt1/2Ydas3s2v7Xn52929Ytnjor0CIZcbfHVLKSVLKScBUwAm8GavzqQxNaqrqWfLxch7741O0t3aQmp7M55+uwOV0H3bfDWu2cM35t/HjOx/grpt/xrev/zGV+xTn2MUfL+ePv3mC5qZWaqrquPe7v2JDLz5ULpebh3/9OMkpiegOMDR/69vXkpScELXPkdLVGZ22OxAIhMVy6adfRm1//l//6dNnMJjpr2Hd6cAeKeW+w9ZUOWqcbV0IjcBs7z13/WAkNV1ZBNxQ38gLT70GQHpGKkkph3YPcbs9PPHIs7jdnnDZ3t37WLNyA6npKbz6/H+j9vli8VfMPHV6RFljQxOff/Il27fs4ns/uZW1qzbS2dHJ+Zeczez5xyfhZ0FhbpRdrbA4n7wCxR2lNy/vxCQ7Wt2Q8qGOor+u7kqg1ywBQohbhBCrhRCrHQ5HPzVnaNHuaGPrss2s/XA1K95YzubPN+Bxeg6/Y5zT2dHJpnVbueabl4Rn5cxmE796+F4ysw6d087tclO+pyKqvLa6Hr1OR05e9HAsq5dsL2aLmaycDOprHfzhV49RXVmLz+snLSO1T+nW+0JOXhaPPf1bTjplMkajgbmnn8If/vYAqWmKD9XseSdjsXYbuTUaDTfefg0Gw+EzKg9mYt5zEkIYgPOBe3vbLqV8klCyzWnTph16zn4AcLvdzJkzB4/Hg9/v59JLL+WBBx6IqCOl5K677uK9997DYrHwzDPPMGXKlH5pX3tjOx/8/R12rlTCsCZnp2A0G6ncVkHJ1BH90oZYsWt7GQ/96jFKx43g9u/dgM/vx2AwUDKy8LD7JiUncuFl5/DYH5+KKJ80fTx6g54bbr2S5Z+vwhsycqekJnHK3JOijpOWnsJ9D97NXTf/jEAgwI6tu5l/5mxGjSk5Lte4n7ETRvPIP39De1snSckJmMzd8axGjx3Bs689ysrla3F2OZkxexpjJw7OxbxHQn8M684B1kop6/vhXMcdo9HIZ599hs1mw+fzMXv2bM455xxmzJgRrjOQIVP2bS4LCxNAS20zDfvq8bg9g1KcevouNTU2A7Bt8y62bd4VrjP/zNlkHKbnBHD+pWfT1NjCay+9jcVq4fs/uZUJk5RoEpOmjuP5Nx9n++adGI1GSsePpGh478s9Tpk7nZfe/jvleytITEpg1JiSmMScslgtWKy9D8lHjSk57oIY7/SHOF3FQYZ0seDd/37MI7//B3U1DWTlZPDdH9/MogsXHPXxhBDYbMoyCZ/Ph8/ni3L8G6iQKW2NbVTviA69WrOrmoKxw/B5fOiN/eMHdKx0dTr5esU6/v3iW6SkJHPp1edRMrqY1LRkmnrYYkaMKu6z02N2biY/+n/f4bqbL0ev15OZ3S1oQghKx46gdOzhBVyn01E6bgSl4waf2A9mYipOQggLsAC4NZbn2c+7//2YB37yEG6XYm+pra7ngZ88BHBMAhUIBJg6dSq7d+/mjjvu6HPIlFiKk9fl5bNnPiI1N/qHmjc6H41Og6vDid44OAKMLf98FT/8dnfm+vfe/oSf//purrjuIqSU/P0vz1JQlMevHv7JEc2Q6fU68goGbhG23+3B3dKB0GowpySgOciSE5VoYvpJSSmdQGosz9GTR37/j7Aw7cft8vDI7/9xTOKk1WpZv349ra2tXHTRRWzevJlx47r9bPozZIrP46NhXz2NlQ7S8zOQSOZfdwb1ZXWUbdhLQmoCI2eMZvPnGxk7Z0JM2nC0+P1+Nm/YzuKPlqHRaJh/5mzGTRyN2+XhX4+9EFnX52fbll188PZnpKUn8/L//k5WdgbJh3GWjCdcTW3sfW8ZndUNIARZ08eQffJ4DNah4cEda4aUjNfV9B6M7GDlR0pSUhLz5s3jgw8+iBCn/gqZIoNBNi1ez3uP/S9cdtL5M/C4vNTtqWXGhaegNeio2LKPaQtPpmZXFcmZKSRmJEUdq7WhBb/HT0J6IgZT9KyPx+WhqboRn8tLcnYKCccQ2WE/G9Zu4aYrv08gEADg2X+8ylOv/oWRo4sRmuiJY43QEAwG2b2znNaWdkrHjTzmNvQXMhikft12OqsbyJo+Bq3BQMDro7OqnsTiPLRDZHFuLBlSjhJZOdFTwYcq7wsOh4PW1lYAXC4Xn3zyCaNHR86UnH/++Tz33HNIKfnqq69iFjKluaaZj/7xfkTZqre/IikjieaaJhY/9wkyEKRqawUv3vcM7z/+DnvX7aalvjlc3+v2su6j1fzjzsd54tt/5Y3f/5um6saIY3a1dfHZsx/z1Pf/zvM/fZqnf/AkdXsiE1/2RnVlLVs27sDR0NTr9v+8+HZYmEDpHb3zxkdYrBZuvvMbEXUNRgPpmanhtWsHLv6NdwIeL627KsicWkpbWQ3Vy9dT9/UWdr25mOYdqrtfXxhS4vTdH98clYzRZDby3R/ffNTHrK2tZf78+UyYMIHp06ezYMECzj33XJ544gmeeOIJABYuXEhxcTElJSXcfPPNPP7448d0HQfD3eXG7/VHlQd83T/4tR+sDtuhmqoa+fylxTRXd4tT7e4a3v3r22E/qN1f72TF68sI9EgjVLu7hjXvrgq/72ju4LPnPsXrjlxbBtDR1kFdTT1fLl3FFYtu5qrzbuGaC25j/epob+uujmhP6P3e0aecOp2/PfcQ55x/OhddsZA7f/itsNNl/rBcho8oPORnE29oDAbs+VnoLCZcja0R2yo+W4W3oytqH7/HR1t5DZVfrKNhw07cLe391Nr4ZEj1LffblY7nbN2ECRNYt25dVPltt90Wft1fIVMS0xNJTI8MqKc3GZB027yMFiOeHna3zuYOnO3dP4TGymhH163LNjPn6vnhoVtbfUtUncqt+3B1uMJDwGAwyKov1/Kn3zxBZUUNc06byWXXnM+/Hn+RupoGfvSd+3nprb+Tntltcrz8G+fz+QFLMc6/9GwAzBYTs+aexKy5J9FQ18inHyylYFguF1+xiIUXnnFMvd+BQKPVkHXSWFp2RTuCBtwegoHonmDLznL2vrss/N6YnMDoK87ElDR444AfC0NKnEARqGMRo3jGlmLnknuv5N2/vkV9WR1JWUmcfMEpLHv1c6WCEIybOyEiZrpWp8We2j27ZUuOjh6Zlp+BsUePMykrOrpj4YQiLAndhtztW3bx7RvuCSdufO+tTzhz0TwmTBnLxrVbqK910FDviBCnaSdP5q9P/R/PPvkqWp2W62++gsnTx0edKyMrjatuuJgrrrsQTS+2qMGCNSOFoC9AzZcbkD3EKH3CSAwHLDHydjip+OzriDJPSztddY1o9TqC/gB6mwWNdvB+HkfKkBOnoU7OiFyu+fUNOFu7MNvNONtdCKHB3eWiYMwwavbUEvB3D/PmXnMaOSXdQe6zR+RSNGk4Zev3AKAz6DjjxrMwWrs9krNLcph5yWxWvLEcpCQ5O4V5156O3thtON+xbU9URtlP3l/Krx7+CRvXbsGeYCPxgCl/v89HekYKP//ND0jPTMNmO/QawMEsTPux5aQx+oqzqPx8De6WdtLHl5A+cSQuRws+pxtjoh1TSgIyGCDg9UXt7+9ys+XF9/C2dpI+YQTZM8ZhSjr2xcaDgUEhTv0Z8TCWHC6iJkBDeT315XXo9Doyi7NJyY7uxVjsFiyhJ681yUZ6QbdzYWZxNjklObQ1tJCYnkR2SQ76HrNxCakJXHD3xTSUN+BxuUnLSye9IHLIZEmwMPeq+YybOwFvaLbuwB6X0Rg9w5eUnIBer1cyf/zuR+Tld89Y7iur5P4fP8SaVRsQQnDZNedz213Xk5bRb54mA4IQgoSCLEZfcSYBrw9h0NGwehtVS5Ws0BqdlhEXn0ZiYQ7p40fQsL7b21/otEgpyTl5HJ62LmQgSMOGXeSfOrnX2c2hRtyLk8lkoqmpidTU1EEtUFJKmpqaMJlMB61TtmEPr/7qJfyhGOgJ6Ylc/cvrSMs7/FKN/RgtRgrGDoOxww5ax5Zsx5Z8aDuGzqgns+jgsYryC3IoHTciYlnJVTdcTMAf4B8v/SkiJZGUkjdffY81qzaE3//7hbc46ZQpnLloXh+vbHCjNejRGvR0VDvCwgQQ9AfY++4yxn3zPOwFmQithtY9VRgSrKSMHEZbZR1Bj4/O6gbs+VmkjB6Gt8uF0X58Fh3HM3EvTnl5eVRVVTEUIhaYTCby8qLjOzvbu3BUNbLijeVhYQIl2kDZ+j1HJE79xfBRRVx4+SJOO6sdj9uLxWLmiyVfMXveydz7vQfJyEzjocfuZ/L08XR2Oln88fKoY6xfs+mEEaf9+HqJ3eTrcuHrcmNOSaTqi3XYctLxdbnY98lKCk6bTmeNg6zpY2mvqKVtbw2mpARVnOIBvV5PUVHRQDcjZvg9Xiq2VKAzaGnvJb9eS130zFk8YLGYOXX+DN549R0+fHcxw0sKOevc+Tz2sBIFoKG+kR9/5wFefPsJUtOSmT5jUkQaI4DSsYPHqfJ4YUyMnpAwJtkx2CzorWZGXDCftrJqAok2MqeNoW7VZqyZqdR82Z21um1vFWO+sQhL+vFffBxPxL04DWWaqhv54pUlbFm6ibT8dMacOp6lL34WUadoYvzmH8sryObOH97EdTddzu4dZdx4xV0R2+vrHDjqG8nITOPK6y7ky6VfU1WhOHOeMmd6v6d2igfMaUkUL5pN+UdfEfT5sWSlUbzwFPShJS3WrFSsWYodzulowZqVimPjrohjBLw+nA3NqjipxIaWuha+eGUJm5dsBMCxr4GcES2cdMFM1n6wGoNRz6wr5ir2ozhGCEFScmJEMLT92BNsJCUrvlMlo4p55j+PULanEr1eR/GIYeFtJxIanZa0cSXYcjPwdjhpK6umevkGUscUk1CQhb5HHCdTSiKJRbk0blZmVrUmA6mlRehMRrS9TEgAeNo6aSuvoaOiDvuwLBKH5fTaWxsMiL7MIPUX06ZNk6tXrx7oZsScgM/P5s838v7f3ony+D7n2+fic/tIH5ZBzshczIeZbo8X3G43Lz/7Jn/6jeI1r9PreOix+zn9rFMHuGXxibOxla0vvEugh9d94ZkzyJxSGlFPBoPUrdlG46ZdpIwuon7NNnxON6mlReSdOhlTD3cNv9vDnv8tpXVPVbgseUQBxYtORdfL+sl4QAixRko5rbdtas+pn2mqbqSpupGyjWUkZiTRVBW5rk0IwfCpI6Km9+Mdk8nEVddfxMmnTKGpsYXs3MyDBm/rT5yOFlyOVjR6LZbMFIwJ8dGLcNY3RQgTQNWy9SSPHIahxwNJaDSkjy/BlGRn5+ufhsubtu5Fa9Qz7IwZYcdMd1NbhDABtOyqwN3Shi07/iZVDocqTv1Ie2Mb//nNK+SNyqN2ZxWTz57Op09/hAwtas0dlcfwKSUkZgxOW4LJZGLM+FED3YwwHVX1bH/lQ4Ihp1RzWjIjLzktorcRVwQlUkrcLe0EA0GMiTa0eh06kxFfL2mrOuua6Kisw93cjs5sRG+3gBBwwGhIBqJHR8FAAL/Tg9aouDjEI6o49SOOfQ00VjTQ7mjj1Cvnsvqdr5h7zXwCvgBGi5HhU0cMWmGKNwI+P9XL14eFCcDV2EJHVX1ciJMlIwWtQR/hFZ5zygRa91RS8enXBH1+kkcVUjBvKqbkBHTmSP84rdFAWmkR21/5MFxmTk8m99TJVPfwo7LmpGNKibxeV1MbNSs30bqzAktWKvlzp2LLHpiU6odCFad+ZH/YD6/Lw4ZP1jH5zGn4vD7SCzLIK80nMT1pYBs4hAh6fbiaol0zvO3R0QB64m5pp728li5HMwkF2djzM485OJy7pYOAx4PBbg3PylnSkym96mwaNu3C1dhGxsSR6O1mtr/0QXi/lh3lmBJt5M+fhjUzFVtOOp01ir9fSmkhdV9vjTiPy9GCaeYEck6ZSNveKpJK8kkdU4ze0i1sfo+Xve8vp7NKCenfXl7D9tpGxt1wXlyIdk9UcepH0gsysKUm0NnUTmOlg8XPf8KE0yYx+7I56AZJrO/Bgs5iIm18CTXLN0SU23IObnvxdjrZ9dbnOOsUO2DD2u1knzyOvDlTD7ngNuD1E/B60VtMEctKgn4/TdvK2ffxVwS8PkypSZScNyfsKmDNTqMoOy28PKt6+fqoYzdt20v2jHEYEqwUnH4SXXWNBLx+7HnpNG7aHVXf1+kkb/Ykck6ZiFan7WW7K8oRNODx4mpuU8XpRCYpM5mr7r+WDR+vo2pbBWPnjmfUzDGqMMUAIQQZE0bi73Lj2LgTjUFPwbxpWA8hTq7G1rAwAWgMOoROS/O2MoROgyU9BXNqpPtDZ42Dqi/W0lXfTEppEVlTSzGHEn46HS3sffeLcF13UytlHyxn9JVnoTN1R4HYvyzL2EtoFHNGChq9nq76Jra99AEyFKzPkpFM2rgSHBt2dh9HIwj6A3jau6LCrPjdHtr31eLYuAt7XiYZk0ZRs2IjgVBqLK0+/u7BWCc4SAL+CYwDJHCjlHJFLM8Z72QWZrHgprMJ+ALoDOqzIZYYE20MW3Ay2SePQ2i1GBMOveQj2CNKJ0DuKZOo+WpjeFZNZzZSeNZMzClJWDKScTW1sf3VDwmElhw1rNmGp7WDERfMQ2vQ42ntjDpHV10T3k5XhDjtx5abjjUnna7Q0E1r0JM3axJavY6OyvqwMAE4G1rIOWUiMhikZVcFBruV9AkjaNiwk7Rxw6OO3bStnPIPu2NpaQ16sk4aS/Wy9SQW52E+DmGYjzex/nX8BfhASnlpKLnm4HDaiTFCCFWY+gmNVtvn4Yo5NQm91Yyvy4UxyY6rsTViut/v8tBWVkPNik2MuHAerua2sDDtp21PFZ62TizpyWh78S0y2K29+hy5mtqoW70FW046aWOK0ZlNWLNSu3tqvax5dze3427rJG3McHxdTiqXrKbgtOlR7hLeLmfUkDHg9SniN2cKGr0Wn9OD3hJfiRdi9gsRQiQAc4AbAKSUXiA6zquKSpxgSrIz6oozqV+zjaDf32soXW97F0IInA0taI3RPx+NTotGp1WGiI5WCs+aia/TScvuKlyNrRSdMyvCjwmUIdfeD5bTWdmdd1ZnNjL2+vPC7xPys9DotBGzjwhB7swJuJvakEhGXDgfe37mQa4u2p3A7/FS8+VGkJK0Ca0UnTUTjTbaTjVQxPLxXQw4gKeFEBOBNcBdUsqIb1wIcQtwC0BBwcA77amc2FgzUig6ayZBf4CWnRW076uN2G7LTadmxSYkEnN6CvZhWXTsqwtvz5szBWOSneYd+2jashtnfTMIQebk0eTPnUJiUQ5+jxetXhc2nnvaOiOECZRemru5LWw7smamMvzcObTsrsTv9mDPzaBlTyXN28sZc/U5aA9htzRYLeTOmkT5h90WFY0hdP6QT1TT5t3kzZqIMTF+QgLHUpx0wBTgTinlSiHEX4CfAD/vWUlK+STwJCjLV2LYHhWVPiE0GrQGDYlFOeTNnULNlxsRQpA+cYTSYzLosKQnY7CaGb7wVDprHXhaO7FmpmDNTkcGJc07ynHWN5MwLBuDzULL7goSCrOpXr6e5m1l2PIyyZxaijUjBY1Wi9AIZFC5/e15mSQUZiOlxNflCrsfuFs76KisQ2s0ULlnLUiJOT05Iob8wUgZXYjObMKxaRcGuxVTsl3pNYXQ2yxo4swofkhxEkJogZuAPBTb0fIe2+6TUj54iN2rgCop5crQ+9dQxElFZVCgt5rJmTGB5BHD8LZ14ti8G73VTPHC2eGY4MZEW9TCWl+XE5ejhWFnnEzLzn20V9WTObWUhg07aQstL3E1tdG6u5Ix1y3CmJxAzsyJVC9fT0qpEh6oetl6QJmVG37+PCxpSSQUZFG1dC2yrdvQnjNzArqDLAKOuBazidTRhaSOLsTb2cXONxaHZ+oAhp0xI8IfKh44XM/p7yhG7FXAI0KIz6WUd4e2XQwcVJyklHVCiEohxCgp5Q7gdGDrweqrqMQjQggsaUl42zvxdnTRVeOgeXsZmVPH4GpqRW81Y05LRt8jQYTGaCBz2hgqPlkZthEFvb6wMO3H1+XC3diGKdFO5rRSbDlpeDucVH+5gbw5U5TZOY2GzpBXuzU7jdKrz6F+/Xb8XW4yp4wmoeDg0UoPhsFmZcQF8+gKre8zpyVhyYwOBz3QHE6cTpJSTgAQQjwKPC6EeAO4il7nD6K4E3gxNFO3F/jmsTRWRWUgCHh9VC5di7OuCaHVUnjWDPZ9spJgKKJEyphihp1+UtiTXKvTodFoIozXUhIxdNuPCDl36s0mkobnU7lsHVnTx1K5+OtwXVOKIkzWzFTseRnY8zL6FFff3dJOV10TfrcHc2oS1qwUtAall9Vbjy/eOJw4hfuLUko/cIsQ4v8BnwGHvTIp5Xqg13AIKiqDBRkIEHB7SSjKJWtqKc76ZnJOnkDzzn0465to3rqXtLHDMQzvDsGsOyC5a+ueStLGl+DY0B04zpaTHhUwzp6dRuXSdREi5m5ux1nfjLVHmq3DCZOrpZ0dr36Ep7Vj/w4UnTMLe34m5jjzBD8YhxOn1UKIs6WU4QU/UspfCiFqgL/FtmkqKvGBzmwid9YkfE43O1/rzgmYffI4ZCCAq7E1akmIJSMFc1pSONuvs76ZnFMmkVScT3tlLebUZEzJdtor6pRhVUikdGZTry4MPqf7iNrcVePoFiYAKalfsxW/x4NxSmlcuQwcjEOKk5Ty2oOU/xPF81tF5YTAkp7MluffiSirXbWF3FkTqV62PsrR05hoY+Qlp9NRWY+nvRN7bibWnDR0RgPW7FR2vbmErlrFE1yj0zL6yrOw52ViSE4gtVQJKteT/evx+oq/R9bn/fg6Xbib2/F1uuJ+SAd9dCUIzdotAgp77iOl/GNsmqWiEl8EfL6IrL0ASIkMSgpOPwlLZrR4mJITevVO76ioCwsTKOmhqpatY+TFZ2AwG0mfMALpD+DYvAe9xUT+vKnYc48s+GBvYpY8sgBXcxveLidddU2Yku2Y05LiNgdeX/2c/ge4gU1AdJJ3FZUhjiHBis5kxO/u7pFoDXoSi3Kw52UeUU5FTy9hW9xNbQT9PrQGHdbMVArPmkn2zAlo9Vr01iNf9WXNSmPEhfOp+HwNfqeblNGFAKSPK2H7Sx8Q9AcQGsGIi08nuST/iI/fH/RVnPL2z9oNZaSUtNQ243F5SEhPxHqYhaIqJw6mRDsjLp7Pnv99gbejC73NTOGZM7FlpR1xstfewrakjiuJCCgnNJqoyAJHgkanJWV0IebMFHwdTnxuDzq9jrIPvgzPIsqgpOyD5VhvOD9qSU080Fdxel8IcaaU8qOYtmYA8Xl8bFm6kQ+ffB+f20taQToX/uBSsoqzB7ppKnFCQkE2Y69bhM/pRm8xYTjKxJbW7HSKzj6FisWrCXh9pI0vIWPSyJhktDYnJ4Rn55q2leFpi4yU4Ot04Xd7B7U4fQW8KYTQAD4UHycppYzrOclgIEBrQysBXwB7ih2TTfFD6WztJODzY0+xh2ctGsrreOeRt8L7NlY4eP9v73DV/d/AZI30nG3YV8+OFdtormlk1MyxDBs3DLM9/r5clWPD1+UEISJW6xvs1qMWpf3ojHoyJo0isTgXGQhisFvR9BIY7nhjPCAFvdaoJ+vk8QQ8HjxtnXFnJO+rOD0MzAQ2yXjKJXUI3J0uyjeWse3LLbTUNFM0aTils8bS2tDCx/94n87WLiafNZUZF55CUmZyr5l1q7dX0tXaGSFOTTWNvHjfM3S1KnaDTYs3ctatC5l+7ox+uzaV2OJzumjaWkbNio0IrYa8OVNIGVkQdmA8XvR3JhhLejIlF8yj7MMvQUL+vKlUL1tP9dK16ExGis89laTheTHpwR0NfRWnXcDmwSJMAPXl9bz32Ns42xX/k5pd1Tjbu6jdXUObQ4ktvfqdlZjtZkadPBqfx8vZt52Ls8PJsleWEAwEScpKwWSL7DXV760LC9N+Pn9xMaNmjiEhNa47kip9pHVvNdUrNpI+YSRBv5/yD1egM5tI7uFkORjRaLWklhZhy0nH53Kz49WPwi4HfreH3f9dzLhvXhAV7XOg6Ks41QJLhBDvA+Hpinh2JWiqbgwL0342fLKOmZfMpm5PdxiMjGGZPHvPv/D7AkxaMJmkrGQu+MElbP9yK1POno71gK5u8MDpZJTh44HLElQGJ/tTJmVOHkXz9nKMiXaKF83G3dwGx0mcAj4/HZX1NKzfgdagJ2PSSGw56f02pW9MtOHt6IryhVJC/HYOOnEqC/0Z6LGkJZ7R95KLS2g0ESIydu541n+8Fp/Hx7xrT2fj4vWs+3ANACXTRpKcHZ2mKbMoC6PFiMfZ/cWecumpJKSpvaYhgZR42jrCTpCuxlbaK2oZft6c43aK9n21EZ7mTVv3UHrNwiP2ZToWdBYTGr2OoK9HxmkhwuFZ4oE+iZOU8oFYN+R4kzU8m6TMJFrrW8NlMy46hfry7sBgOSNyWfvBalLz0miqbqS5uim8bffqnVRsHkfSaZEClV6QwbUP3sDaD1fjqHAw6cwplEyNzUyLSv/j63LRsH5HRFnQ51dC9hblHHMigGAgQO2qzRFlMihp2VVxXMTJ3dKB09GMEAJzevJB3RFMyQkUnX0Ke975IhxwbtjpJ4WTM8QDh4vn9Gcp5feEEP+jlzifUsrzY9ayYyS9IIPLfnY1u1fvpGFfAyXTRlA8uQSfx0fdGTV4XR5yRuTS2dJJc00Ttbtroo5Rs6uKCadNiirPHpHLwpIcgoFgr+l3VAYxQoNGpyMQiIwordFqUSarjxxvlxNnXTM+pwtzRuxCkzgdzWx/5SN8XUp2YEOClVGXn4klLSmqrhCClNFFmNOT8bZ3YbCZMacm9cusYV85XM/p+dD/P8S6IceCs91J3d5aWmqaMCdYyB2dT2JaIplFWWQWRce7ScpICr8ePXMM277cgslqorHSEVEvb/TBwwYLIVRhGoIYE6zknjqZik9WhssMCVasOWlH9cP1Od2Uf/gVLTv3AUrYlOJzT6WjorsHLzSC5BHHHqK6cfOesDCBEu+8ZUc5lrRJvdbXaDVYM1KwxlAwj4XDLfxdE/r/uRAiPfTacah9+pvOlg52r97FzlU7yCjIoL6sjtXvruKCuy/uUwbd3FF5WBItdDS246hwULNTCQg2/rRJFIwrPOh+zrYuvB4fthQbOp2aSWUokT6+BIPdQntZDYYEC/b8bGw5R5eu29nQHBYmUIZw9et3MPLSM3Bs3o3OqCd9/IhjTgcupQxnA+5JV11TL7UHB4cb1gngF8B3UBwvNUIIP/BXKeUv+6F9h6WlthmD2UBXcwfLvtqGPcXOSReeQkNZXZ/TeydnpZCclcKV919LS00TGp2W1Jw0DOZo238wEGDPuj18+Pf3aHe0Mm7uBGZfMZeUnCNbNa4Sv+iMBlJHFZI6qvCYj+V3Rycc6qyoRz//JEZeOD9c5m5pp6uhGVCSLBxp9l0hBGljh9NxQKKElONwDQPF4QbR3wNmAdOllKlSymTgZGCWEOL7sW7c4fC5vXhcHr587QuqQz2ejuYOFj/7MUczs2+xW8gdlU/28JxehQmgvqyOf//qRVrrmgkGgmz8bD1fvPI5/p6zHioqIcypCVEuAra8DNrKqgl4lZx3zoZmtjz/LrvfXMzuNxez5fl3cYaE6khIGp5P1vSxCI0GodWQPXMCCYWDd/nV4cYj1wELpJThHM1Syr1CiGuBj4A/xbJxByPg8xMMSqp2VFG1rTLCbwkUXyRP15EF5+orjZWNUT5Nmz/fwJxr5pOcGe16oDL48Xu8dFY76KhuwJRow56f2fdEnWnJlFw4j4rFq/G0tJNYmEPCsGwqP19D0vB8rJkpNG7di79HMDm/003j1r0UHKEtyGC3kD9vGpmTR4EQGBNtffad6qxvwtfehbu1AyEE1qxU7HkHy4HXPxxOnPQ9hWk/UkqHEKLf88gE/AEqt+5jxevL0Jv0mBOs6A16zHYzrg5XRN2E9Nj4HR24zg7AnmLHcIi8YSqDF3dLO+0VdXg7uvC2dlCzfD3mtGRGXb7gsOnNvR1OWsuqlHWcuRmkji6ks7qBys8VX7r9Ufid9dG9pN7K+oJGq8F0BO4AUko6qhtwNTTjd3twt3TQuGk3QqelNBQAb6A4nDgdKkPvYbP3CiHKgQ4gAPillMcUT7xmZxUv/vxZZFBiTbIy+pSxbFm6kRkXzmLxC5+G/TXGzZuIwWgk4POj1R9fY3Xm8GzyxxRQubVCKRCCs25dhDUpvhZNqhw7nbWNbH/lw3AKJVtOOhmTR9GwbgfOhuZDilMwEKB25SbqVm8lfeJIumodNG5uC29PHVuMKUl5gKaNHU5bWXXE/mljh8fgiqJpr6hjx6sfIYPKygdbbgbpE0bg2LiL+jXbsOVmDJgP3+F+uROFEO29lAugr0mu5vfW+zoa9qzdjQxKhEaQUZhF7qg8Nn++kU1LNjDvmtPw+/yk5qaxbfkWnvrhk1x+39WMPGnU8Th1mITUBC760eXU7anB3eUiNTedrOIjT8+jEt8EfH6ql6+PyO3WWeMgsSgXhIj0rO4FT2sndSEvc8fGXeTMGE+C14e7uY3kkYUkFeeiNSg/v4SiHHJnT6b2KyXJZfaMCSQU5cToyrrxuz3s+2RlWJgAOqsbSCrOVa6hrRMZDCIGKN744VwJ4sqRx2AyYEmwMPuKuWxfsY3l/1nKWbcupLO5k6A/gMFkYPHzn9AeWtj70T/eJ29UHpbE4xs0LiEtQV2uMsQJen29TsP7Xe5Qrrqkvh9MSmpWbERnMTHi4tNIOGCoZLCayZ01kfRxSm/JkGjrl95KwOvD3Rzd99i/fjRt3HC66pqwZqUOSEKEWK80lMBHQog1QohbeqsghLhFCLFaCLHa4Ti0C1XR5OFMP28Gi5/7hIrN5TRVNfL2H9/A3eEkszgbW7KN+d84A4NJmWnraGrHF5oRUVE5EnRmYzi0bU9MqYmMuGh+VEqnAzEm2cicMjqiTKPTYTxILCghBMYkO8Yke78No/QWM6mlhVHlWqOe/LlTaSuvZevz79K+rzZ6534g1t6Ds6SUNUKIDOBjIcR2KeXSnhWklE8CTwJMmzbtkA4A2cNzcOxrwOfpFpxh44vweX3859cvEQwESc5O4fzvX8xrv32VSQsmY0vue6jT+rI6ti7bTFOVgzGnjqdwQjGWBDWI3ImI0GjInFKKu7mNtr3VCK2W3FkTSRldFE6eeSg0Wi3ZMyZgyUiheVsZ1twMUksLj3tANxkMIqU8qp6NRqcl95SJBLw+WnZWoDMbyZ83jYDfT+2XG8Pe5pWfr8WWm9GntOfHk5iKk5SyJvS/QQjxJnASsPTQex0asz3yxiiaWMySFz4Nv2+pbebrd1Zy4Q8vJXdkbp+XmDRVN/LCfc/gCoVZ2f7lNhbcdA4nXzCz1/ptjjbKNuyhbP1eCsYUUDx1hOpKMMQwpyQw4sL5eNo6EFotpiT7EYU1MdotZEwcScbEkce9bVJKOqoaqPt6C75OJ5lTS0kszkVv7qspWMGUksjw8+bibe9Co9fRuruCio9XRtTxu9xKavR+JmbDOiGEVQhh3/8aOBPYfOi9Dk9mURZZw7uNhX5vtGFy3+Zy3J0uXv/tv9n42fqouE69UbenNixM+1n68mLaG9ui6npcHj59+kPe+ct/2fL5Rt7/2zu888h/+3QelcGF1qDHkp6COSUxpvGWpJQRhunD0VXbxPaXP6Bl5z46axzs+d9SWnZVHNW5tXod5tREjAnWXlNcZU4tjQhV3F/EsueUiRJ3fP95XuqZOfhoSUhL5JJ7r6BqWwWt9a0kZ3X3VnQGHdMWnYwl0YoMSjKLMnn7T29w3l0XMvGMKVHHaqlrpqmqCb1RR7CXG+NgAeSaq5vY+kWkzu7bWEZjlYOCMcOO8QpVhhKu5nba9lTSEZoFSyjMjXBBCAYCdNY4cDe34e1woTXosOdnHXatXUdVfZSY1azYRMqIYVGp0I8Ea1Yqoy5bQOXStfhdbjKnlpI2pn/cGg4kZuIkpdwLTIzFsZMzk8NDqO0rtjB2zni2LN3EnKtPY9XbK+hsVtIwZw3P5qQLZvLl68sYNXNMhANl7e4aXv7Fc+Hezjl3nIfRaorwLJ99+Ry0eh3tTe0RIXj33xQarYaRJ48mNS+Nuj21ajTMIUzA58fd3EbA58eUlIDBdviehK/Lxe63P8dZp3jSNG8vJ218CYVnzgz733XWOOisdlC9fH3YPUGj01J69Tm9ppDaj9BG9+I0Oi1o+mZM9znduJvblOFqSkLYnqTRakkanoctLwMZCAxIj2k/g345vT0lgc6WTs6+bRFV2yvDwgTKUK14cgnWJCuaHl+a3+Nj6ctLIoZhnz39ERf/+HJ2rd6Jo6KBiadNwmg18eZD/yZ3ZD4ZhZkMG1+ILdlOck4qI6aPpGjScDZ+tp7tK7aRV5qPGm9uaOLrclG9YiP1q7cCYExOYMRF8w8ZasTv8dJZ4wgL034aN+0ma/rY8L5t5bV42zoi/KaC/gANG3YeUpzs+ZloDfrw+jyAvNmTo4zW7pZ2XE2taLRazOnJGGwWXE1timjWK64SKaOLKDhtekSPrr+N370x6MUpqzibky+cya6vd0atsQNod7Rx6pXzMfTo6rpdHmp3VUXU8zg9VO+s4uxbFyGlZM+63VRu2YfZbmHl2ytITE9krphP6ayxmG1m5l57Oi/e90x42UzV1gr+9+c3ueTeK9Vcd0MIV3M7ntZ2rJkp5M2dSuvuSjprHFQv30DJeaei0emQUuJp7QQkhgQbfqebisVfY0o5iC9cjzwhOqMO5wGxvEGJxXQorBkplF5zDi27K/F1OkkZWYgtLzKSZmddIzte+SicpdiWk87w8+fi2LgzLEwAzdvLSC7JxzhuYIZvB2PQi5NWr2PkSaPJG13AqrdXsOzVzyO2F08pIa/0gHTLUnFB2LJ0U0RxcpbyNBNCUL+nFse+Bnau3A5AU1Uj/334dZKyU8gpyaWjqSNqPV9LXQsttU2qOA0ROmsaqP16K617KjEl2UmfNIq0iSNIHVtM845y/C4vQuOjfv0OalZshGCQzCmlJA7PpWnrXjImj8aYnICnpdvRMXnkMEw93FtsuZkE/UFa90Q+LDMmHX6Gz5qZirUXAzYova/GzXvwH+Dh3l5RR1t5dNTXjuoG0uJMnPon3UM/YEmwMPH0yYyaoTi+abQaZlw8i+FTSnpNdpBZlEXuKEW0NDotU86ZjtHS3ZVNy0tn19cHxJIOBMNxxntbAKzRatDHQXdY5djxe33UfLWJ5m1lBL1+nA0tVHy6Cp1Bj6uxlZRRhQQDftor66n+Yh3Sr2TgqVu9la7aJrQmAw3rd5A2tpj0iUp2lfx50yg4bXpE/jtbTjoJhTkUnH4SxuQEjEl2ihbOJmHY0T/gnI4WaldtxtflIu/UyRHHcre0kTwietLGnnvwIeRAMeh7Tj1Jzk7hgh9cSktdM1qtluSs5F4X/tqSbRgtRmzJNk69ch4yKNm7fjfTFp0UrpOUlYwlwUpXa2T6ZqNFGR5mFmUy+exprPtgdXjb1EUnkZQTnyFPVQ6PlBJfpxONQY+3w0nLzsipeRkI4m3rom1vNQ1rt9NWkt/rqv2WXRXYstJoK6+hetl6DAlWMqeNJXtaaZQ7ghACe0469px0peciQW85Ml+lnria2tj28gfhECzN28rInTURZ0MzfpcHe24GpuQEWnbuC8eMSh41DHtB/PX2h5Q4gbL+LrPw8Atxx5w6DkuilY2frSc5O4WF3z6PjGHdN1pmURZnfOss3nr49XBZ/piC8JDNYDZy6pXzKJ40nJa6ZuwpCWQUZZJ2lOFcVQYWd2sHDWu349i0C2OSjbxTp2BOT8LlaI2opzXq8bQpD6zW3ZWklhZFHcualYbGqAsPn7RGPUnFuYf1kzqcA6XP5QmLjCnZjiU9OeqYXfVNEbGhABo27CRldBF6mxlbTiZ6i5HRV56Jq6ldCbGSmhgXBvADGXLi1FfMdguls8ZSOmtsuMzV4STgD2JLVpYYlM4aQ1JmMk1VDsx2C1nDc7D3cClISE0gocf+KoOTYCBA7Vebwimh/C4PO1/7lBEXzWfn692rD6w5aYoNp4dBW2s0YEi04Q0Jls5sJGPSKEzJNtJGFxH0BzAlJxxzPjif003FZ6to3LxHKRCCkRefFpUYQfaW9NUfwJ6Xgc/lQWiVKWW9xTygbgJ94YQVp574fT72rt3Dp898jLvTyfTzZzLxtEnYUxPILy0gv/TYM2OoxC++DieOjTsjymQwiN/jpfSac3A2tKAzGfB2uaj87OtwHUOiHWtmCqVXn42zoQWCEktGcjhKpjXr+PWinQ3N3cIEICVlH67Amp2Gwda9/tOSmRKVLDN9fAmVS9bg7ejCFgcRLvuKKk5AzY5q/v3gS+H3S577BK1Ow8yLZg9gq+IDn9sNfi9oNAiDaUhmmhE6LTqzKSKtEoDWYCAhP4uEfMVM4Gpqw9feRcvuSuwFWWRPG4MhFGXAlNj3BeZHg88ZHXba1+kk4PFBj7XE1owUSq86m7qvt+Bu6SCxKAd3awfeDsU1wdvpijpOvDJkZuuOhcrtlVFla95dRddhfE2OFb/fT2Olg8ZKR1wmSPB1duJtduB3duFpasRZvhtXYz0Bb7RfzmDGYLMw7IyTIsosGSlYsyInN7wdXXTUNWLNTsPX4YxwgIw1vflM2fMzI3pN+7HlpDNswcmYM5KpX7ON5m1l4W3G4xzbLJYMvcfgUdBbWBRbSgL64xzityftTe18+Z+lrHl/NSCZfOZUZl8xL26C2AX9fvzODgTgaWog6FN+iH5nJwG3C1NKOsFAAK3RiNZw9Gu54oWkkoLwEE5vMWHNTsOY0N0lcTW3sfONTwl6/ex/ZHXVNzHuhvMi6sUKS3oyJRfOp/yjFfidbmy5GcoymIPErtdbzGRNG4Pf5aFtTyVoNAw742TMaYMncsYJKU4+jw9HRQNtjlaMJiNZw7OxpybQ0aQ4ywmNhrlXR3qVH2/2rt3N6ndXhd+v/WA1WcNzmHJ2d5j1YCBAMBBE14ufVqyRwQABlxON0RQWpv14mxvR6PS4G2oROj32whJ0lsHzRO4NrV4XMYQ7EG97J8EDImD4nW687V39Ik4arZbU0YXYctIJeH0Y7JaDzrAFAwE6KhuoW70F6Q8w/Py5mNOSMKcmDVg88KPhhBSnii3lfPr0RzSU1yM0gqlnT+ey+66iqdKB1+UlqySH7OGx9fvY/uXWqLKtyzaFxal6RyWr3v6K5tomppwznRHTR2HrxyQKQqtDYzAe9maWfh/O2ipshSUDEsq1v9CZTSBExEyd0GrRmfq313i4jC8AndUOtr/SHQCkrbyGkZedgWUQ9ZrgBLQ5tTW2Urunls4WZepXBiWr31tFS20z4+dPYurCk8gdmRfzH1rUkhogLzQrWF9Wx/M/e4YtSzdRu6uGdx95i02frY9pew5Eo9WiT0hCyiCaA4ZthqRUfB3dca78zi5kIP5sZseKEmNJCbJmTkkkf97UiO3DFpx88PVzA0jT9m4bU1JJPrmnTsbV2IqzsQUpB0/kjBOq51S5rYJl/15Ka10zk8+cii3FxorXl9He2E5j5XFJENNnRs8cw4ZP1tNSqyyHScxMYuyp4wGo21uL3xM5lPrytS8YN28C9n78MeitNqV3YLHj7+og4HahsyUQcDkJuLojOuhsdjTaoXMrSSnxO7twO+oJ+ryYUtPR2xPJnFyKPT8LX4cTQ4IVS1pSTAPQHS2aUDgVZYlNgOov1gFQ9cU6Rl+24JiWxvQnQ+eOOgz1ZXW8eN8z4ciZy/+zlKkLT2LiGZPpbO4kNbf3BZSxIi0/nWt/fQONFQ1IIL0gncT0JIBeQwvr9Do0A/BD0JnMYDIjtDp8XR34uzrQ9HAn0BiMWLJyByx9UCwIuJx07N0RHsJ1VXVhyS3AlJqB/RBhTOKFlNFF1K/ZhiUjhaov1pJYlIM1Ox1vexfln66i9MqzjmmJTH9xQonTgSF9Ny1ez+Qzp6E3GygYX9jvbUpMTyQxPTo7a9bwbCwJloh4U3O/cfqAJu7UWSxYsvPxtjSBVoOtaARCaNAaTWj0Qyvbsd/ZFWFbAnA31GFITEaji/9rteWkU3rNQjqrGsifP43W3ZXUfLkBY3ICmVNG4/f6VHGKJ3SG6Es1mI34PF62fbmVmRfHj8NlWl461/7mm+z+eiet9S2MnFFKfi82qv5ECIHeakNvVQQy4PEQ8LgJeN0gRERvatDT2ySARhDOHx7nCCGw52YgNBrKPvgyHLvJ09JO5ZI1JBQMjiSwQ+iOOjRZw7NJSEugvbE7ts6Us6fx9f++wppoQ6ePr2FJxrDMiIXI8YTf2UVH2c5wRg59YjLWnHw0+vhbPHo06EK2tp4ZR8yZuYNOgIVGRASVA5CBAJ62zoPGgYonYv5pCyG0wGqgWkp5bqzPdzBSslNZdOeF1Oyqpq2+leTsZHav3oWr0815d12E1+1Do/WEQ6Ko9E4wEMBZWxXxw/W1teBPSsWQOETEyWTGXjwKX3sbAZ8XQ2ISesvADamPhqA/QMDnJ3fWJIRG0LK7kq5aZdKnv90fjpb+eBTcBWwDBnzONbMoi32by0jOScHn9lIwdhizLp/DnrU7eeP3/yarOJszvnU2eaMHdggVz8hAIGKmbj8B39Ba0qIzW9CZB2dCVSklTdv2svfdZeGyrGljkEGJOS0R82GyFccLMZ3+EULkAYuAf8byPH3FlmxjztXzGXnSKEpnjWX6+Sfz+Uuf8fXbK/F7/VRtr+TlXzxHc03T4Q92gqLR6dDbo58zOmN8h984kXC3tFP+4YqIsrrVW8mfO5Vhp52EPoYrH44nsZ6b/jPwY+Cg2QKFELcIIVYLIVY7HI4YNwd0Oh3pBRlkFmXR0dRB7c7qiO0ep4emalWcDobQaDBl5qDd36sQAnNmDlrL4OxlDEX8Lg9Bfy8ZegXHHFeqP4nZsE4IcS7QIKVcI4SYd7B6UsongScBpk2b1q/uqwajAa1eR+CAiAA9Y4mrRKMzmbEXjSTo9YBGcScYTGu2hjp6iwm91RwRAkaj0/bLGsDjSSx7TrOA84UQ5cArwGlCiBdieL4jJjk7hfnXnR5RNubUcaTH6SxZPKHR6dBZrOhMZlWY4oyOagc5MydgCK3D01lMDL9gXlwutTkUscz4ey9wL0Co5/RDKeW1sTrf0aDRaph85lSyirNprm3GnmInuyQHcx+yucYrQZ8Xv8tJ0OdDazKhM1mGlPe2yqHxdjip+GwVQZ+f1DHF6C0mAh4fQjLoHiKDy3EjBhgtJgonFFM4oXigm3LMBP1+uqqVjCE6swVfh5egz4fenjCk1r6pROJzuemorKdtbxWmlEQyJo+m5ssNODZ0hx62xWHqp8PRL3eslHIJsKQ/znUiE3A7QQZBaHDVdydONGfnYkhORzvInAhVDo+Ukob1O6j6fG24zJhkJ3NqaTh9ukanxTJI3Ad6ot6tQwgZCKCz2CKECcDd2IDWbEVrSyDo9xFwu5HBAFqjCa0x/tdYqRwcV1MrNcs3RJR5WjuwpCdjSknElJJAzowJWDIGXz5FVZyGEBqjCf8BDpLGtEyQEldtNT5rK1qjGWd1BSARGi324hHoBpn3s0o3ntZOZDDaU0dnMjL2unPR6DSDbtnNfuIvGI3KUaMzmdHbEiAUWkVvSyDoduFpaiDg6sLT2IDbUYsxVbE/yGAAZ201wUAvPjEqcY+vy0nd6i2kjI5M7Kk1GTCnJ6EzGQatMIEqTkMOvc2ObdhwtCYzWosVX2d7xPag1xsxe+d3dUWsk1MZPEgELkcrWqOerOljsWSmkDKqkOHnzcGcEh2KZ7AxeGVV5aAY7IlotDoCPm+v23tOKRsSkgb10/VExmA1kzNrIvs++gqdxYQtOw13R2ef4owPBtS7coiis1jR+I0YUtLwNneHINaazOG8c1qzFVNGdlyGmlXpG6mlxejNRhwbdmFItJM7ezKW9MFn/O4NVZyGMBqdDktmDnqrDV9Hu+LRbU8EKTGlZqAxGNVe0yBHbzaSWlpMaung99M7EPXOHOJo9AaMyWkYk9MGuikqKkeE2p9XUVGJS9Sek4pKDAkG/AQ9oegNBqNq3zsCVHFSUYkRfrcLZ/U+/F1KAldTehamtMyobDVBvw9fRzvethZ0Zgv6xGQlJdcJjirjKioxQEqJp8kRFiYAt6NOSTt1QD13YwNdlWX42ltx1dfQUbZTyWpzgqOK0wmOlEH8bhe+rg4C3t79olSOHBnw42tvjSr3uyLFKej14nbURe7r8xFwqeKkDutOYGQggLu5EVddFUiJ0OmxFw5X19odB4RGi85ixdsWKfjRC617D/4qD1J+IqH2nE5g/G4XrtrKcHZb6ffRVVlO0O8/zJ4qh0NoNIqDaw8/Mr0tAZ3VHn4vpUQKDabUjMh9tTrV5oTaczqhCXqj0zkFPG6Cfp/qnHkc0JktJAwvJeBxIzQatCYzQb8PT0sTQZ8Xjd6A3+MGJObsfHxtLWgtVozJqWooG1RxOqHpLUOv6jV+fNEajWiNSiqmgNeDu7E+YjmRMTWdoM+Hp7kR+/BR6M1DY13c8UAd1p3AaM0WTJk54fdCo8WaV4hGpz/EXipHS9DjjhAmAE+TA0NiMgSD+Ds7Bqhl8UksU0OZgKWAMXSe16SUv4jV+VSOHI1Wizk9E0NCEkG/H62h+ymvcvyQUhL0eQ8aN0uGbH7qQyGSWPbfPcBpUspOIYQeWCaEeF9K+VUMz6lyhAiNdtCm3R4M7B/KeZocWPOKEDo90u8Lbxd6PdLvR2MworOoQ7qexGxYJxX2e6DpQ3/q/KjKCYW3pQlPYwNISVdNBZbcArTm/fnkrMow2mDAXjRCNYIfQEwtn0IILbAGKAEek1KujOX5VFTiiaDfj6elh40p4Kdr3x6sBUVoTRaETq9mxDkEMTWISykDUspJQB5wkhBi3IF1hBC3CCFWCyFWOxyOWDZH5Tjh7+rE5ajDVV+Dt6ONYED1i+oNoRFoDNG9IRmU6ExmVZgOQ7/M1kkpW1Hy1p3dy7YnpZTTpJTT0tMHX+K/Ew1fVwftZTtx1Vbhqq+hs3w3vs4OAj4ffrcLv8cdNvCe6AiNFnNmNvQIi6wxmNBbVQ/8vhDL2bp0wCelbBVCmIEzgN/F6nwq/YO3rRV6piKSkqDHg6ujTZkmFwJTWmavq+9PRPRWOwklpQTcrpAjpkWdEe0jsexXZgPPhuxOGuDfUsp3Yng+lX4g2GOmCQhlcpHd/jtS4nbUoTWaMKao0TdB8RRXZ0SPnJiJk5RyIzA5VsdXGRgMicn4WpvD7/WJyb2uvve2t6HRGxBaLVqTOSLImgwGkTKIRqvaXFQOjnp3qBwReqsdS14hHkcdUkoM9kS8QQkHxCnSGo10Ve8j6PVgyRsWjmHud3biqq9D+jwYUtMxJib3uoymvwgG/Pi7uvC2NCH0OgxJKejVqAxxgSpOKkeERqdTFqaaLEi/F7/Hg85mx9fZhgxFM9AYDGjNFoKhOEXu+tpQfT/+rg4CbifS78NVUwmBAOYeS2iOhKDPR8DtJBgIojWZ0BpNETn5eiPgcRNwOZEyiNZkIeBx01WxN7zd0+QgYfho1SEyDlDFSeWIEUKgt1gACwaU0CvG1AwlJpQQCK02PNTT2RIwJqfiaaxHaDRoDCZM6Zm4HfVIvw93Yz2GlDS0R9h7Cvq8dFXuw9fZtr9R2ItGorfZo+pKKQm4nPjdLqTPR9DnxdPsQJ+QFB2ZQUp8XR2qOMUBqjipHDM6k1nJMBwK/+Fy1OHvaAchMCQl01VZ1l1ZaDBnZmNMTsXtqENotOHejt/jRvp8CK0OrenQvSC/09ktTABS4qypwF48Kiqqgq+znc6yXeH3WrMFY0p6lHG/57FUBh5VnFSOCxq9Ho1ej5QSs5R0ulzozBY8TQc41sogQb8/NMsH5uxchEaLt70Nd0ONEmNbo8GSlYsxOS1c70B6E5aAx63ESeohTkG/H2dNZWQ9lxNDQhKe1ibMGdm46qq7NwqB3pZwlJ+CyvFEFSeV44oQAr09kYSSUoIBH87K8uhKMojWaMVWNBK9xUrA7cLT1NAd/D8YxFlTicZkxnAQoejNh8qYlkHA6yHgcqLR69GaLchgkGAvsdGllIq/llaHbdhw3M0ONDo9xpR0tOq0f1ygipNKTNAaDGgxYErPihzWoYiX3p4YHrYF/X58ne1Rxwi63XAwcTIYMGVk42lsQAYD6JNSEEJD17494TrG1AxMGVkYklOi4igJjQZjSho6k0mJY5VfrC4niTPUb0MlpugTErEWFONpbEDotBhTM9BZ7RH2JKHVoDWaCLhdEfseysNcazSFBQaNBo1OHzV88zQ1hGJUmdEnpeBrbUbo9ZgzsgkGAgiDCWdtFQFnFxqjCVtBETo1EmXcoIqTSkzRaHUYk1IwJCSBEL0aubVGZQavq6oCpLI0RmdPPOSMmRCKMPldTgIuZyiRQLQhOxjw426oRWu2Yi8ehQwGldk4o0lxKwgNJYMeN12V+7AXj1TDFMcJ6reg0i8cKg23RqdHn5CErchA0KsYtHVm62HX5ml0egz2RAJGM25HHRqDMewaIHR6RQhDM28BVxeeZgd+VxdBjwcPoE9IQmezh8PjBtxONblDHKF+CypxgUarO6jx+3BIGcTT7MCSlYfP1YXOZCHgdaPV60FolGGf3oDOZkdrMuPv6sTX2Y7QajEkJqM1mvC2NINGc9DZQVBsYwG3SxE8nQ6twYjmEPVVjg1VnFQGPVqdHr09EWddNZacfJzV+8LbhFaLJTuPgNuNs7oCpERntWErKMZZW6UsW9HpMWdmI4ymgzqDBjxuOivLwsNAndWGPiEJQ0KSGsEyRqjipBL3+F1OvG0tBP1+DIlJCCHwu5xotDp0VhtaowlLdh5eSyuexvqIfWUggAwqPav9Qzx/VydevSFs/5J+H866GhJGlEadOxgMEnA58bW3hIVp/zF0Five1uajXn6jcmhUcVKJa/wuJx17diCDARAatAajkj49hEZvwF48Eq3RhCEpBU9TQ9QxZCAACHoazH2dHejtCSGvdhTnUK8XemTa9buc+N1OvM1NvXqNB9wuEBqCgYA6vIsBat46lbjG39WpCBNgSExSekA9CPq8+F1OQPGtMqZlHHAEgdZkDs8C7kdrMkU5Z/Y0hAe8Xroq9xJwOQl43GjN0enBtUYzQm84pLFf5ehRP1WVuKZnyF+h1SJ7iVcuAwFkSHwMCcmYs3LRmszorDYs2XkInQ6d1R5xHFNaJv6u7iSWpsycCNtRwOMm6PUhEEi/D6HRRniO6yw2pEZgSkk7bCQElaNDHdapxDV6qw1XyCXA196GITElqvckNBra9+zAkJCEITEZU1omensSwUAArV6H1mhCZzITcLuQwSBaowmN3qCEz/V50ej0aIwmZemL14NGp0NoNMhgQPGfEhrcjjoMSSkYU9KU5JcaHVqjAa1BDbkbK1RxUolrtGYL9uKRuB0NSL8XfUIiQq/H0+xAozNgTEnFVV9D0OvB5ezC19WBraAY3QHDMI1Oj8YW6Tels1jRoTh6etpaIpe+pGVgSMvA7ajHnJUTErYAGr0BvdV+SJcDleODKk4qcY0QAr3Vjs5iU+JFaTQYEpIwpaQT8Hro2Lsjwljt72gn4PGgsfT91g54PTir9kWUeRobsBWNQG+24Hc60Scmo++DY6jK8SOW2VfygeeALCAIPCml/EuszqcytBFCRKZY0usJeN29zqIdqQ0o6PX0bssKBjEmp2FMPvL2qhw7sTSI+4EfSClLgRnAHUKIMTE8n8oJhtZoQntAvG9DYjKaI7AD+d0uPK3NaHpxpFTtSQNLLLOv1AK1odcdQohtQC6wNVbnVDmx0Oj02PIL8XW04+/qQGdPQG9LPCKfo4DbhbelCUtWHu5mB0GPG6HVYcktUFwQVAaMfrE5CSEKUdJErexl2y3ALQAFBQX90RyVIYTWqCQ2IMq/qW8IjUYJ8VtXpfS6EpORwSA6i0V1ERhgYu7nJISwAa8D35NSRkUUU9ORqwwkWpNZGQZKibe1GXdDrTKzp1eHdANNTHtOQgg9ijC9KKV8I5bnUlE5GrQGI7bCEfg72/G7XRjsieisVrXXFAfEcrZOAP8Ctkkp/xir86ioHCs6kwmdSY0sEG/Eclg3C/gGcJoQYn3ob2EMz6eiojKEiOVs3TKUpeAqKioqR4y68FdFRSUuUcVJRUUlLlHFSUVFJS5RxUlFRSUuEbKXhZMDhRDCAew7RJU0oPEQ24cyJ/K1w4l9/UP52odJKXv1vo4rcTocQojVUsppA92OgeBEvnY4sa//RL12dVinoqISl6jipKKiEpcMNnF6cqAbMICcyNcOJ/b1n5DXPqhsTioqKicOg63npKKicoKgipOKikpcMmjESQiRJIR4TQixXQixTQgxc6Db1F8IIb4vhNgihNgshHhZCDFk43sIIZ4SQjQIITb3KEsRQnwshNgV+j8kUw4c5NofCt3zG4UQbwohkgawif3KoBEn4C/AB1LK0cBEYNsAt6dfEELkAt8FpkkpxwFa4MqBbVVMeQY4+4CynwCfSilHAJ+G3g9FniH62j8GxkkpJwA7gXv7u1EDxaAQJyFEAjAHJXgdUkqvlLJ1QBvVv+gAsxBCB1iAmgFuT8yQUi4Fmg8ovgB4NvT6WeDC/mxTf9HbtUspP5JS7s9b9RWQ1+8NGyAGhTgBxYADeFoIsU4I8U8hhHWgG9UfSCmrgT8AFSjZbNqklB8NbKv6ncxQNp/9WX2OLpvB4OdG4P2BbkR/MVjESQdMAf4mpZwMdDF0u/YRhOwrFwBFQA5gFUJcO7CtUulvhBA/Q8kF+eJAt6W/GCziVAVUSSn3p5Z6DUWsTgTOAMqklA4ppQ94AzhlgNvU39QLIbIBQv8bBrg9/YoQ4nrgXOAaeQI5Jg4KcZJS1gGVQohRoaLTOXGSc1YAM4QQllDSiNM5QSYDevA2cH3o9fXAWwPYln5FCHE2cA9wvpTSOdDt6U8GjYe4EGIS8E/AAOwFvimlbBnQRvUTQogHgCtQuvXrgJuklJ6BbVVsEEK8DMxDCRNSD/wC+C/wb6AARawvk1IeaDQf9Bzk2u8FjEBTqNpXUsrbBqSB/cygEScVFZUTi0ExrFNRUTnxUMVJRUUlLlHFSUVFJS5RxUlFRSUuUcVJRUUlLlHFSeW4I4QICCHWhyIpbBBC3C2E0IS2TRNCPHKEx/uOEGK3EEIKIdJi02qVeEN1JVA57gghOqWUttDrDOAlYLmU8hdHebzJQAuwBCU6w1BNk6TSA1WcVI47PcUp9L4Y+BrFuXAu8EMp5blCiPtR1gxmAyOBu4EZwDlANXBeaMnO/uOUo4rTCYM6rFOJOVLKvSj3Wm/RBIYDi1AWN78ALJZSjgdcoXKVExRVnFT6C3GQ8vdDvaNNKIH0PgiVbwIK+6FdKnGKKk4qMSc0rAvQezQBD4CUMgj4eqy6D6KEylE5QVHFSSWmCCHSgSeAR0+kcB8qx44qTiqxwLzflQD4BPgIeOBoDyaE+K4QogolRO1GIcQ/j1M7VeIYdbZORUUlLlF7TioqKnGJKk4qKipxiSpOKioqcYkqTioqKnGJKk4qKipxiSpOKioqcYkqTioqKnHJ/wfsttJhuRFyQwAAAABJRU5ErkJggg==", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, "metadata": { @@ -912,15 +1072,15 @@ "output_type": "display_data" }, { - "name": "stderr", + "name": "stdout", "output_type": "stream", "text": [ - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\cluster\\_kmeans.py:1036: UserWarning: KMeans is known to have a memory leak on Windows with MKL, when there are less chunks than available threads. You can avoid it by setting the environment variable OMP_NUM_THREADS=1.\n" + "0.5699745627656255\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ "
" ] @@ -929,1153 +1089,2077 @@ "needs_background": "light" }, "output_type": "display_data" - }, - { - "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAASkAAAEWCAYAAAA6tWH6AAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjMuNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8QVMy6AAAACXBIWXMAAAsTAAALEwEAmpwYAABOE0lEQVR4nO2deZxcVZn3v8+tW/vS+76ksyckQMAAYZEdQfZXHUfFQQcVx1HRcdRxmXdG551R31le0VHHwV1U0EEQUVB2EIRgEraEEEL27vS+d9d+67x/3Eqlq7t6SdLVVd19vp9PPqk6d3tu161fPeec5zyPKKXQaDSaYsUotAEajUYzFVqkNBpNUaNFSqPRFDVapDQaTVGjRUqj0RQ1WqQ0Gk1Ro0UqT4jIhSLSWmg7jgWx+YGI9IvIc7NwvmYRGRERxwz2bRERJSLmJNs/JyLfPdZ9NfOfeSNS6Ydyxbi2L4jIT9KvL0zvc/e4fU5Ntz8+rl1EZK+IvJLjWo+LSDT9BesRkbtFpC4PtzUtIrJfRC6do8udB1wGNCqlzjzRkymlDiqlAkopaxbO9SWl1PuPdd/pBE0zPSLyKRHZLiLDIrJPRD41brsSkdH096VXRB4RkT+frevPG5GaId3AOSJSMabtPcBrOfY9H6gGlonIGTm2f0QpFQBWAaXAV2fZ1ryTFuJj+YyXAPuVUqPHca0FKQLFfF9zaJsANwJlwBXAR0TkHeP2OTX9fVkN/BD4hoj842xcfKGJVBz4FfAOgHQ34+3AT3Ps+x7gXuD+9OucKKX6gF8C63NtF5HydBfpcLqb9KtJ9svyBEXkhyLyz+nXlSLyGxEZEJE+EfmDiBgicjvQDNyX/pX6dHr/TSLyx/T+L4rIhWPO+7iI/IuIPA2EsUX4vWmv8cgv4Q057Hsf8F3g7PS1vphu/4CIvJ6269ciUj/unj4sIruB3TnOmeXFpG37PyLydNqWB0WkctxhN4jIwbQH+/kx58p4zWO4Kf13bxeRv51k3yfT/w+k7+vs9N/270XkgIh0iciPRaRknM3vE5GDwKMi8lsR+ei4e3tJRK6f4p7fM8l9GCLyGRHZk/Y6fiEi5eltE4YIxnrS6fu6S0R+IiJDwHtFpD79ufSlP6cPjPs7/CJ9f8MiskNENo7Z/nci0pbetktELhl/PwBKqX9VSm1TSiWVUruwvzfnTrJvj1LqduBDwGfHOQzHh1JqXvwDFLBiXNsXgJ+kX18ItALnAJvTbVcCvwfeDzw+5jgfMJTe/lagB3CN2f448P7060rgUeD2Sez6LfBz7F8ZJ3DBWHsmsx/71+af06+/DHw7fbwTeCMg6W37gUvHHNcA9KZtN7C7Z71A1RjbDwLrABMoSd/r6vT2OmDdJPfyXuCpMe8vTv9tTgfcwH8CT467p4eAcsCb43wt6X3MMbbtwfZOven3Xxm373fS204FYsDaHJ/1kX3vAPzAydhe9KVT7GuOsesm4HVgGRAA7j7y+Y7Z/8fpc3uxf+g2jzn+1PTf3DXFPU92Hx8HngUa03/T/wbuyPXMjP/80/eVAK5Pf/Ze4AngW4AH2JD+O1wyZv8o9rPiwH7Onk1vWw0cAurH2L18Bt9DAZ4H/mqa76YTSAJvPtHv/kLzpFBK/REoF5HV2C7qj3Ps9hbsB+dB4DfYX+arxu3zdREZAF4E2oFPjD+J2ONUb8b+wPqVUgml1BPHYXYCWzyWpM/xB5X+pHPwbuB+pdT9SqmUUuohYAv2g3iEHyqldiilktgPSgpYLyJepVS7UmrHDO26Afi+sn9FY8BnsT2tljH7fFkp1aeUiszwnD9QSr2W3v8X2F+ssXxRKRVRSr2I/bc/dYpzfVEpNaqUehn4AfDOGdpwA/D/lFJ7lVIj2Pf1jnHdpy+kzx3B9hxWisjK9La/AH6ulIpPY1uu+/gg8HmlVGv6b/oF4G3H0HV7Rin1K6VUCvsH9Dzg75RSUaXUC9je8F+M2f+p9LNiAbePscPCFsmTRMSplNqvlNozg+t/AVsgfzDVTkqpBPYPXPkM72tS5pNIWdjqPBYn9hd8PLcDHwEuAu7Jsf09wC+U7b7GsH9Jx3f5blFKlSqlGpRSNyilunOcpwnoU0r1H8uN5ODfsH/ZH0x3yz4zxb5LgD9Ld/UG0kJ6HrbIHeHQkRfKHl/6c+CvgPZ012XNDO2qBw6MOdcItgfRkOtaM6RjzOswtidzLNvHMvbaB7DtnQlZ95V+bQI1uc6dfkZ+Abxb7DG+d2I/Y1Mx2X0sAe4Z89ntxH62a5gZY++5Hvv5Gx53L2M/n/F2eETEVEq9ju3VfQHoEpE7x3blcyEiH8H+4b8q/TeZal8nUAX0TX070zOfROogtks6lqVkP2xHuB34a2yPIzx2g4g0Yndj3i0iHSLSAbwNuDLH+Mh0HML22kpnsG8Yu5t5hNojL5RSw0qpv1VKLQOuAT4xZnxgvEd1CLtrUjrmn18p9ZUx+2Qdo5T6vVLqMmwhexW7KzITDmN/qQAQET9QAbRNdq05pmnM62Zse8eTy76s+0ofmwQ6pzjuR9ge2CVAWCn1zDFba3MIuws09vPzKKXagFHGPCNij6lWjTt+rF2HsZ+/4Lh7aWMGKKV+ppQ6D/tvoYD/O9m+InIT8BnsruRMQmuuw/6bnnAoy3wSqZ8Dfy8ijenBx0uxv9B3jd9RKbUPuAD4/Pht2K7wa9h98g3pf6uwx7Nm2l04cp124AHgWyJSJiJOETl/kt1fAN4lIg4RuSJtHwAicrWIrBARwR4/stL/wP7iLBtznp8A14jI5elzedIDro25LioiNSJybVpgYsDImHNPx8+AvxSRDSLiBr6EPTazf4bH55v/LSI+EVkH/CX2MzKebuzu7ti/4R3A34jIUhEJYN/Xz9Pd45ykRSkF/AfTe1FT8W3gX0RkCYCIVInIdeltr2F7OlelPZG/x+6STWbTIeCPwJfTz8EpwPvIPVGUhYisFpGL059rFIgwyXMh9kTLl4DLlFJ7pzlveXr/bwL/VynVO50t0zGfROqfsD+Qp4B+4F+BG5RS23PtrJR6SimV65f1PcC3lFIdY/9hPzyTzvJNwV9gdzlfBbqwXehcfAxbVAewf5F/NWbbSuBhbAF5Jm3f4+ltX8YW5wER+WT6wbwO+Bz2F/AQ8Ckm/ywN4G+xf3X7sMXxr2dyY0qpR4D/jT272Q4sJz1zWiQ8gd1NfgT4d6XUg+N3SHvS/wI8nf4bbgK+jy00TwL7sL+kHx1/bA5+jD1IP36W8Vj4GvBr7K79MPYg+llpWwexP5vvYntDo9g/nlPxTuwexmHsoY1/TI9TTocb+Ar2uFEHdjjO5ybZ95+xPeg/iT1DOiIi3x63z4siMoL9ebwf+Bul1D/MwI5pOTKDpNFopkFEbgRuTneRNHPEfPKkNJqCISI+bC/ntkLbstjQIqXRTIOIXI7dte7EHqfTzCF57e6JyH5gGHtALqmU2jj1ERqNRpPNXKz9uUgp1TMH19FoNAuQolo8WVlZqVpaWgpthkajmSO2bt3ao5QaHwuWRb5FSmFPtSrgv5VSEwYdReRm4GaA5uZmtmzZkmeTNBpNsSAiuYKxs8j3wPm5SqnTsde3fThXoKNS6jal1Eal1MaqqikFVaPRLELyKlJHgimVUl3YgWYnnEhNo9EsLvImUiLiP7KmKL0k401AzuhwjUajmYx8jknVYK/2PnKdnymlfpfH62k0mgVI3kQqvRBxqlxAGo1GMy1FFYIwEw7ub2Xn9t0k4glWrl3O6rXLC22SRqPJI/NKpPa9foCb3/1JOtu7AHC7XXz3jq9y6htyph/XaDQLgHm1du/Zp7dmBAogFovzvf/6GYl4ruScGo1mITCvROpwa+eEtkP72ziwf17V4NRoNMfAvBKpM885bULbeRedxXNPbyuANRqNZi6YVyK1+qTlfPofP0pFVTnnXngW//Rvf0dVdSXxeIKdL+8qtHkajSYPzKuB8+qaKvwBHzf85Vvp7ennHz51NG/8Z75wC6vXrcQw5pXuajSaaZh33+jlK1sA4affz66/cOtX/ptXtueqpq7RaOYz806kTjntJOrqqye0R6Mx2g62F8AijUaTT+adSAE0L23E48mu9FPXUMOL23bQfnjiDKBGo5m/zEuRWnfKav7p3z9DbdqjWrZyCTe+/+3c9dNfM9g/VGDrNBrNbDKvBs6PYBgGTUsaeOPFmygtK+Fwawf/78vf5uRT1/Lo7/9ARVU5VdUVhTZTo9HMAvPSkwJYu34lF7/pjfz+vse4/1cPs/GsUznvorP476//mFd0OIJGs2CYtyJlGAbLVy1h46ZTef+HbyCRSPL1f/0OSil6uk64srNGoykS5mV37whl5WVEIlG+843sqtdLljYVyCKNRjPbzFtPCuwsCB+85T2cfqadtsrr9fCZL97C2vWrCmyZRqOZLea1JwWwbMUS/vP7X6a9tQOP10PTknrS2UA1Gs0CYN6LFEAw6Ceok99pNAuSBSFSGs18Ix6JERsK4/S68YR8hTanqNEipdHMMf2Hutl2x6P07m3HX1XCxndfSvWqRj1MMQnzeuBco5lvxEYibP7eA/TutdeZjnYP8tQ37mW4o7/AlhUvWqQ0mjkk3DfEUEdfVpuVSDLSM1AYg+YBC66719PVx0vP7+D11/azas0yTj7tJCoqywptlkYDgOlx4XCZWPFkVrvL5y2QRcXPghKpkeFRvvqVb3PfL3+fafvzG6/nE5/9EF6fp4CWaTQ2gapSTnv7hWz5ycOZthUXnkqovryAVhU3C0qk9u05mCVQAD//8a946zuuZs26lQWySqM5iojQfOZqSuorGOkZxFvip6SxCpfXPf3Bi5QFJVKxaCzz+qxzT+f0M04BIJVKFcokjWYCpstJaVMVDrdJMhInlUiSSqUY7uhjuGsAd8BLaWMlTo8WLlhgItW8tJGGpjrOfuNGerp6+a9bfwjAzh27+bt/+CgNzXWFNVCjwY6R2v3I87zy280opfCVB9n47kt56lu/JpW0QOCkK8+iZdNJBKpKCmLjwKEu+g92MdQ5QLCmlMrlDYRqCzO2u6Bm96prKvnP73+J2vpqHn/4j5n2xx96mkd+/2QBLdNojtJ/sIsdv3kWpRQA4b5hdvzmWWrWpBfGK3jl/s307e/IOi6VSjHY3kvnq4cY7uhDpVRe7Bvu7Gf/szv50+0Ps+vBLWy5/WG2/uwRosPhvFxvOhaUSAGsWLWMnTkKMjz8uyd1t09TFIR7JmaP7d3XTmlj1dEGBcNd/cTSwpCyUhx6bhcP/cvPeOLWX/Lgv/yMwy/tyYt9oz2DvP7ES3hCPtZecQZr33wm1asaGWzrycv1pmPBiRSQyYowlrPP26jLXWmKAm95cEJbWXMNg+1H86CJYeAOeHG4nYDt3fzp9ofs7iB2bNXmH/ye4a6BWbdPpRSmy2T1mzay+7EX2PnAc7xy/2b6D3aTTCSnP8EssyC/tRdccg4nb1ibeb9yzTKuuPaSAlqk0UDv/g62/uwRunYeYMWFR39I3UEf667ZRP8Bu4iI0+Pi5OvPobS5GtNli1RkYISUld0TSMYSeemC+SpLWHPFGbz6+z+RjCUAW7heuucPDLX3TXP07JP3gXMRcQBbgDal1NX5vh5Ac0sD//n9L7N39wFSSrFseTOVOue5poAMtHbzxFd/mfnSVyyv47wPX8twRz+G6WDL7Q/TeNoKnF43KpWialUjFS21meO9ZQEM05HxpMAWM28eFieX1JUTGRgmNhzJ3qAgOjACzRNLyuWTuZjd+xiwEwjNwbUylFeUUV6hI801hUcpRaR/hFWXnEb/oW7at++jd087vXs7cDgdvHj3H0DB64+/mDmmrLkmS6SCNWWc+d438acfPYSVSGK6nZx10xUEqkrzYnOothxPyEd06KinJiJ4ywJ5ud5U5FWkRKQRuAr4F+AT+byWRlOMJGJxDjy7k5fufopkLEHligbWX3022+97hsMv7WXD2y/A6XGTiMSyjjNd2V9NwzBoOn0VpU1VRIfCeEsCBKtL82a3ryzIpve9mT/e9lvio1EM08Eb3nUxodq5j4zPtyd1K/BpYOJIoUazCOjf38m2Ox7LvO95vQ2X30N5Sw3Lzz+F6lWNrL/uHPY9vZ36k5cCQjKeoKSpimQsTjKWwB3wIYYghhCqKSdUMzdCUb26ics+907CfSO4g14C1aUFmXzKm0iJyNVAl1Jqq4hcOMV+NwM3AzQ3N+fLHI2mIAx3Dkxo69x5gPM+fB1lTVWICPWnLmO0Z5Cdv/sTKqWoO3UZwx197Lz/OYY6+liy6SSWn38ygcq5D+z0V5TgryhMQOkR8imL5wLXish+4E7gYhH5yfidlFK3KaU2KqU2VlVVjd+s0cxrPCUTB7ZLGiopb67GlV703revg9ce3pYJzixvruapb95L12utRIfC7HpwC6/8djNWcu6n/4uBvImUUuqzSqlGpVQL8A7gUaXUu/N1PY2mGClrrqF2fUvmvcNlsuGt5+Mcs6C4b192ZLmyFFbCymo78OxOIn0jebPTSiTpeq2VrT97lBf+5wl697bnLaL9WFlQa/c0mkIy2jfMaM8gLp+bQE0ZptPEVxbgzBvfxODhXpLROMGaMkJ12WNK7nFhBGJMTCNsel0YTkfO6450D9C7r4Po4ChlS2oob6mdMPA+Hf2Hunnia3ej0rFYrz/+Ihf97Z9Rsazw613nRKSUUo8Dj8/FtTSaQtC7r4On/+vXRIfCiAgnXX0WKy8+DVe60MJUxRaCVSVUrmig5/U2wA7SLGmqYvBQd2afU9/yRnxlE+efRnuHeOpbv84Kstz0vitoPmPNjOweau/l8Pb99O3r4KQrz6T/YDeHX9xjL8PZtnvxiJRGky+sRILk6DDx/j4cHg+u0nJM79xWX4mHo2y789FMTJFSih33PUvVqkaqVzZOe3xpUzU1a5upWdOESimcXhdn/sVlDHX2Eekfoay5hvKlNTmP7T/UPSEK/IX/eZKqVU14S/xTXne0Z5An//Newn32WsLWbbtZefEG/JUljPYMkirAEphcaJHSzFuUUsT7e4l0tAKQGIZYXw/BFWsw3XOXiTU2EqH/QNeE9kjf8IyO91eEWHnxBoY7+1EpRaimDKUUiUgMw3Tg9Lomnfq3YvEJbfFwLCsyfTIG2noyAnWEvU9tZ8UFp7Lr4a00bSyOSuBapDTzllQiTqTrcFabspKkImGYQ5FyB7yULameIFS5FhJPhsvrzkSYx0ajvHT3U+z74w57o8Cm972Z5o2rJxwXqq/AcBhZ6/qWv/FkvKXTR4aPXwvoCflYefEGTJeTje++FFegOPKuL8gFxprFzVzPSbl8Hk5/x8W4g3Y3U0RYd82m7NQrx8BgW89RgQJQsO2Oxxjtm5jipbShivM/9hYqltdnUqusuvQ0DMf0X+3ShkpcvqOzjGsuP4Mdv9nM8794gi23P8wTX/0lg4d7pzjD3KA9Kc28xXC68FbVEuk86k2Jw4HDM/ceQMXSWi797DsY7RnKmt07HuKjkRxtUZKRiV07MYTqVY2c/9HrseIJ3EHfjIuMBmvKuODjb2XPH14mZVl0vnowq5sYHQrT+coBSuoLuzhfi5SmqElGIyRjMQyBxMgQKHCVlmH6/IgYuCuqMFxuYn09OLw+3GUVmAUQKQB/eQh/+Ymvow9UlSKGgRqTpLF8Sc2Ui3udHhdOjwuAZDyRKeHu8k/d7S1rruYN77qYeDjG09++j+VvPBlxGLS9sIfIwAgjPYN0vnoIf0Uwb4uZp0OLlKZoSYyOMLzvNbw19Yy0t3GkIxfr7SK4bDXOQBDDdOIuq8BdtnBS8YTqKzj3r69h608eITIwQuXyek5/50WZCPWpGDzcw0t3P0X7jv2E6io4/R0XUb3KnmGMjUQYONRNdChMoLqUksZKTKeJiL0usHHDcl79/RZSVoqWTWsJ94/gLQ3wxK2/xOVzc/7H3kL5ktyzjPlEjuRZLgY2btyotmzZUmgzNEWAsiyG9+8hlYhh+vzEB7Kn2Z0lpQSal8+4azMfiQyOkojE8Jb4syLUJyMejvLk1+6hL508D+wI98s++y7cIR8v/s8T7H92Z2bbWe+9nCWb7OSQh1/ay1Pf+nXW+U79s/PpeHk/na8eBKDu5KWc84GrcLhMYiMRunYd4sDmnQRry2k+YzVlTceeZ0pEtiqlNk61j/akNEWJSqWwYhEMh4NcP6RqEeSr95b4p411Gku4dzhLoACseJKBtm6MTkeWQAFs+/njVK6ox19ZQuu23RPO17p1N2Y6fTFA/4FOEtEYDpfJwed28fwvHrc3vLSPvU9t55JPvZ1Q3ex7tHp2T1OUiGniKi3DikUxfRO/qJ6KmgXtRR0PpseJI8dymOHOAQYOTozjSkRiJKJ2plB/jvEmT4k/Kztnw4YVuPxeIgMj7Pjts9nnCscYaM1PoQYtUpqiRERwl1XiDIaI9/fiq2vEDIQw/UH8TUtx+uc+Q2Sx468sYcPbzs9qqzt5Kf0HOhHDwDCz1/6VtxwdjG/YsCxrkN3hMmneuIqhDrubXbmigeYzVyMik4Z45GvoSHf3NAUlGY2QGB7EikZwhUox/QEM0+5iONxuDI8Ph1dIJRMgBqgkhsuFOHIvtl2opCyLka4BEtE4/soSPMGJS39EhCVnrSVUX8lo9wAOl8mhbbtp3bqb/oNdnHz9ubz+2AuM9g5RvbqJDW+/AHdamEobqrj4U2+n/2AXKpWipLGK7l2trL70dMQw7Bztt/6SSz79DsqaqznpyrN44X+eyFzb6XVT1pSfVEtapDQFw4pFGdm3m1TCjv+J9/firW3AU1WbnnFy4C6rYLR1P1Z4FAzD9qg8c7s2r9DEI3H2PPEiO+57hpSVIlhbxtnvvzJnsKjpdlK1op6qFfWM9Ayw5faHAbvazI77nqH5zDUsO289geoShtv72fm7P+Fwm1SvbKS0sSqTHjjcN8TLv3oaa9z6vaGOXrxlAWrWNvPGj17Haw+/QEl9Oc1nrc3LeBRokdIUECsayQjUESJd7bhKy3G47Nks0+MluHQlqXjc7rK43ItuLGrgUBcv/+rpzPvhjn5euvspzvngVZhu16THBSpL2fSBK9n8vQeIh2OIYVC3voWy5mq6d7fxxK2/zOSMMj0uLvrbt2Vm6AzTgcvvJjJwVKTsijUmj/zrnYx2D1G3voUNf3Z+3oM9tUhpCkbOMQyl7H9jMBwmhnfxPqqjPYMT2jp3HiQ2Ep1SpADq1rVw2efeRWQojCfoI1BVgpVMsuvBLVlJ7ZLROB3b92dEyhPyc+rbzufZ7z6Q2Wflxaex+XsPZNb8tW/fTyKW4I1/fe2MQiSOl8X7yWsKjsPjRRwOlHV0KYanohrDlb8Hfj7iLZ04u1nWUoPTN7O/k7+yBP+Y/OgqpXIWFY2NZC/HqT9lORd+4m307WvHU+JHDGPCouSe3W2E+0coyaNI6dk9TcGwu3KrcJVX4vD68NU34a7SoQXjKWuyK8scweVzc9qfXYDrOIXBdDlZdfFpmffesgBrLj+DqlWNjIzx2kyXSfWqRtZcfgYtm07CE5ooli6fOyuWKh/oiHNNwVFKgUohxuKasTsW4pE4wx29JCJxAtUlBCpLT+h8sdEoh1/cw/7ndlK/binb73sGK57E6XNz9vvfTO1JLROPGY6w7c7HOLT1tUzbWX95BUvOmlkW0FzMJOJci5RGs4CJDUfo2XOYnj1thOoqqFrVmFUaq/9QF4985c6sbpzL7+Gyz70Lf8XExdLRoTADrV1EhyIEa8oy6/+OF70sRqNZxKQsi9cefZ6dDzyXaatYVsc5f3UN3nTO9ehQeMI4U3w0ymjvUE6R8oR8Ob2sfKLHpDSaBcpI9yC7HszumfTubWfo8NHlK97SwITqNE6Pi549hzm09TWSscSc2DoVWqQ0mgVKykpN8JKArJp+wZoy3vCuS5B0DnWH08HaN5/J64+9wDPfuZ+eve1zZu9k6O6eRrNA8VeGqD9lGYdf2ptpcwd9WXX/HKaDlrPXUrakmo4dB0jGEux+/IVM5Zv2l/dRu7Z5yusMdw0weLgHEYOSxgoCs1yWXYuURrNAcbpddkR4QyWHtr5GxdI6Vl16WtbAOYDhcBCqq2DHfc9mCRowbaqYgdZuXrrnKQJVpQx19DHSPcj5H70+s7xmNtAipZk1lGWhUhbiMDPdB01hCVSVsv7as1l92emYbifGJAuzHaaD1W96Ax2vHMjkOXf5PdSua5ny/EMdfYgIBza/SmlTFasuPo327fu0SGmKj2R4lHDnYazwKM5gCHdFFeIwcbg9OjizwIjIjFIPVy6r5+JPvZ2+/R04nCYVS2sJ1pbbBUgP9+BwmpQ1V2ei1yNDo7zy282Z4qTdr7Uy1N7HuqvPYqijb9aESouU5oSxYjGG9+1GWfZi1PhAH1YsisPtwfQHcZdVZDyrlJUkFYuhVAqH24th6kewWBBDKF9Sk5XHvHt3G0987e6MdxWoLuWNH7meYHUp4d7hCdWTY8NhDIeDJ792Nxd98s/wz8L4lPbJNSeMFY9mBCrTFgljuNyE2w7Y2Q6SSRKjI8T7exnet5vhPbsY3rebZCxaIKs105GMJ9jx22ezylyNdA3Q83obYC+bGR++AHZq53D/yAQBO160SGmmRVmWnXRuEnKPP6UfXhFSVtIWpT2vEulsx1NVg+FyY0VGifcXvvikxi7N3re/g959HcRG7R8OK55kpHtiBobIwAhge1Vrrjgja1vDhuW0vWgPvo/PBHq8aF9bMylKKZLhESIdh0nFY7jLK3GVVWRyPR3B4fbiLCkjMdifaXOXV5AYGsBVUkakvRUrZq+wV1aSSEcb3pp6Ip2HSQwPoqpr9bq9AjLaO8jzdz7O4Zf3AVC9upGNN1xKoLqUZeetZ/u9f8zav3J5PQAOp8mqS06ncnk9ffs7EWCwvY+2F/ZQsbRu1pLgaZHSTIoVjTC897VMfqdI52GUZeGta8waDDdME39dE8nScqyoLUbJ8ChWNIKnum5COSo4mkvKGQhpgSow7dsPZAQKoGtXK63Pv86ayzey5Kw1JMJRXn/8RZxeN6e+9Y2Ut9Rm9nX7PdSta6GsqYqePe3ERiKcceNlVK1sPKZKN1OhRUozKVY0MiEBXbS3C3dl9QRvynC5cLlcUGJXeHH4AnhrGxDDgTjMCWNWIoLD68O1gIp6FpIj+aFy5T6fjo4d+ye0HX5pL6svewP+8hAn/6/zWHHRBgyHY1Lh8YT8NJ62gsbTVhzz9acjbyIlIh7gScCdvs5dSql/zNf1NLNPrrEmcTimDSlwuD043EenvH2NSxg9sCfz3lVeiSMQJFhRlSm6oDk+YqNRWrft5pX7NyMIJ119Fo2nrZhRyMERatY2TwjirF3fkhkUNwxjVsrHHy/59KRiwMVKqRERcQJPicgDSqlnpztQUxw4PD4Ml5tUPJZp89U1YjinTlk7HleoBMfKk7DiMQyHicPrxXBoJ3426Hr1IFt/+kjm/ZbbH8bl99C4YeYeTd3JS2l7YQ9duw4BUN5SS9MbVh6THclEkoGD3Yx09eMKeChrrsZbMjtlx/L2pCh70GEk/daZ/lc8yas00+JwuwkuXUlidASViGP6AjkLdU6HiIHp9WF6F1eVl7lg39M7JrQdeHbnMYlUoLKEsz9wFcOdfaDsRcfuoPeY7Dj8wh6e/d7RfOi161o48z2X5czmeazk9edMRBzAVmAF8E2l1OYc+9wM3AzQ3Dz1QkbN3DO+6zabKKUy1WIMp0tHph8H/qqJwZL+ymMPoHQHPLgD9Tm3qZRiqLOPcN8wnpCPYG15JtFdykrRf7CToY4+1l9zNh07D9Dz+mE6duxnoLWH2pOKXKSUUhawQURKgXtEZL1Savu4fW4DbgM7M2c+7dEUD6lEnGhvN9HuTsQQPJU1OAJBnL6AFqtjYOk56zjw7M5M3ifT46L5zNWzeo3DL+/lme/cbwd1Cmx42wUsO/9kTKfJ4ZfsbSplp4RZdt56AHpeP0w8HJvqtDNmTgYGlFIDIvI4cAWwfZrdNQuAlJUklUjY5aicEwfH40MDRLvsXEXKssMbvNKAIYLp0yXUZ4q3LMD5t/wvhtrthb5lLTWUNlTO2vlHewZ57kcPHo06V/DCXU9QtbIBl99D56sHWXvFGYghdOw4wN6ntrP+mrPp29dBqLZsVmzI5+xeFZBIC5QXuBT4v/m6nqZ4SEbCRHu7SA4PAQpfQwvOYCjjISnLItbXM+E4KxJGTFOL1AxIWSk6duxn688eITIwSs3aZjb82QWzXqgzOhIhMd4jUhAZHMVKJOnadYjhjn4QWHLmWjwlPhA47yPXU1I/O2KZz2UxdcBjIvIS8CfgIaXUb/J4Pc0ckbIskuER4sNDWPHsBzgZjRAf7CcVi9nZEMqrGDm4JxPkCYBh5BznEqczd8FQzQQGD/fy9LfvIzIwCtjFQp+/8zES0fg0R05OMpGk45UDPP3t+/jjbb+la9chPCEfnlD2hIcYBoHKEK8//qItUAAKDmzeSVlzNbXrWqhd25xzXd/xkM/ZvZeA06bdUTOvSCXihDvaMmvuxHQSXLoS0+tDpVLEeruI9XYDkBwdxnA68ZRXYcVjmdk9EcFTWU18aADSYxliOjEcJk7tRc2Ika7+rArEAF2vtRLpH8FZd3wpUnp2t/Hk1+/JvG99fjcX/s3b2PT+K3nmO/cTGw5jup1svPEyTI+Lzp0HJ5xDpRSljVXHdf3J0MEqmmMiGQ5nLQpWyQSRjsMElizFisczAnWEVCKBOBwT4qJMX4DQ8jUkw6N2zT3TxOHy6DCFGeLyT/RE3UEfpuf4gmOVUrz+xIvjGm3v6Iy/uIzLPvtOwgMjeIJeAlWlJBNJKlc10Lbt9axDSpuqMByz20HTIqU5Jqz4xNQqyfAwKcvCznwgjA+HE4cDh2di3I2OnTp+ShuqaNq4ikNb0oU6BU5/50X4yoLHfU4j1wqDdJuvPIiv/Oi5TafJuivPom9/B5E+Oxxyyaa1Wev6ZgstUppJUakUVjRiR4qbThxeLw73RLFxBkK2p+QAd2U1sZ7OzDbD6cIMhDLJ7axYlGR4lFQygenzY3r9OtXwceAOejntzy9k2bnriQ2HCdSUUXICs3oiwvILT6X1hdczvzEiMmV14tLGKi751J8z0jWAw+UkWFt23KXfp0KLlGZS4kP9jB48ujreXVGNu7ImLURdABhuD56auozQeCtrMD1eYoN9OP1BXCVlmUFyKxZleO9rmQBOAP+S5bhLZmeqerHhCfrwTFPJZSzxSIxEOEZ4YAS330OwuixrcLtyWR0X/s3b2P/MK4jDoGXTWiqW1k15Tl9Z8IS8t5mgRUqTEysWJdyaPTBqRSMkBvtIjo7iqa5DTBOnP4jpOdplM1wu3OWVmD4/8eEhor1dOIMlmD4/yUg4S6AAIu2tOP0BvdA4j4T7R2jdtps9f3gJX1mQuvVLee2Rbay/5myaNq7CkY4edzhNqlc1Ur2qscAWZ6NFSpMTlUqhUlZWmzMYItJhp461IvbUt1Vehb+hOStK3IpFGdr7GiqdzTPW04W/qWV81hf7OsnkhFkqzeyhUoq9f3iZV+63V6QNd/TT83oba684kz/9+CFKGispa6ousJVTowcDNDkxnE6MdDfNcLnwVNVimE5cpeVkUgMDicH+jBgdIRkJT2gLt7flHDx3V1TljEjXzA6RgRFee2RbVpuVsEhZKZRShPtGJjmyeNCelCYnhukk0LSUSHcnDpeLaE8XqBQOjxdvXQOR9lYAW3jGZ9ZMTSztTSqFYZoEl64k3HGYVCKOu6IKd1mlXquXR8RhYLqdmbV9mXZDQMBbenQBcDKRZLijj+hQGH95iGBN2awFZJ4IWqQ0k2L6/HgqKu0UwmmsaITE0CBmIEQyPIKvrmFCwUmH1wciWVk93VU1GE4XDpeboM8PKaU9qDnAW+LnlP91Hs/96MGjbWUBrESS9deew2BbD1bCwl8e4NDzr/PiXU+CAofTwTkfvJq69UsBiI1E6D/YxWjvEP7KEGXNNbhzxGrlAy1SmikZP9ANdiR5cNkqxHRiThL/FFy2mmh3R7qAQxWuktKMx3QkXCH39RJ20Qaxl87ounwnTsPpKzi/NEDnzoN4S/2U1JXTf6gHhaLz1YM8//PH8VWEWHbuOvzlIUZ7h7ASFs/96EEu/ew7cfk9vPLbzex+7IXMOddcsZGTrtqUSdmST/QToJkSyTHr5nB77KydUwiI0x/A9C5DKTVpae/xWNEIwwf2kkpXljEDQfyNLRPyqWuODafbRe3aZmrT4QoHt+zi0JZd+KtKaN26G4Chw728+MunWHfNpkx1mNhwhPhIlNhwJEugAHb9fivNG1fP+hKYXEw5cC4iDhH5oIj8HxE5d9y2v8+vaZpiwOEZVyzBMPA1LJmRhyOGMWOBUkoR6+/NCBRAcmSYxMjQMdusmZpUwqJm7RLant+T1a5SKVKJozO6vrIgnhI/yRyLlpVSOdvzwXRP2n8DPuA54Osi8oRS6hPpbW8B/jmfxmkKj8PpxFffhLu8EmVZGC53zi5eLlKJuO1JzSTrZipFYnhiIcrk6AiU5//XejFR0lSFYTrwhLyZLApHMEzbb/GEfJx10+V4S/ykLAtPyEd0KJzZz18Zwl9VOif2TidSZyqlTgEQkW8A3xKRu4F3MnYeWrOgMRwmhn/mUcWpZJL40ACRjlZUKoWnqhZPWSWGa/ICDuJw4AyVZqd0AZyB/EYzLzaGOvr4048fYrRnkDVv2sjLv3o6s62koRJfeYi1bz6TZCyONx1J7i8Pcd5Hruelu/9A757DVK1q4pTrz521unrTMZ1IZZ4qpVQSuFlE/gF4FNA5NTQ5SUZGCbfuz7yPdh5GHA68lTVTHucuqyA5OkJydBgAV2k55jGIo2ZqVEqx5w8vM3DQXtJ08E+7OPm6czCcJslYnNhwhOd+9HtQdhriVZecnjm2vLmac//qGhLhKC6/B9N9bBWDToTpRGqLiFyhlPrdkQal1D+JyGHgv/Jrmma+Mr7b5iqrgFSK2EAfDo930u6iw+0hsGQ5ViyKGILh8sx4TEszPYlonI7t+zPvB9t6eLmth5UXb6D/QCc9e9oz29Zfswl/RXatPafHhdMzd+J0hClFSin17knavwt8Ny8WaeY9xpjZOHd5FcnImBxUYhBcthLnJB6SYZoYpnbS84HpcVJzUjPDnf1Z7eVLall+/il07jzASNcADaetxB3wEBuJ4g7MTSzUVMwoBCFdmuoqoGXsMUqp/5cfszTFjBWP2WNHIjjcXhzjxpqcgRBR04lKJjCcTqy+MYOzKkWkow1Hy0rtJc0xhmGw/I2n0PVqK0Pt9o9G4+krqFrVgK8sSKi2nN79HWy741H6D3RR0lDJG264hMplU2dCyDczjZO6D4gCLwM51jxoFgtWNMLwvt1H6+W53ARaVmR14UyPl9Dy1XbWg/jEskZWNGIvXtYiNeeU1Fdw4d+8heHOAQzTQbCmDJfP9nzD/cM8/V/3ER20f1QG23p46pv3ctnn3jWh6zeXzFSkGo/M8mkWN7H+3qwo9FQ8RmJwYMI405GiorninJwl5To1SwHxhPw5KwuP9gxlBOoI8dEooz2DBRWpmWZBeEBE3pRXSzTzgmR44qr5RHh40v0dXh++hmZIJ8VzhkrxVtXoRcVFiNPnnrigWOz2QjJTT+pZ7ArEBpAgnchaKVU4edUUBFdpuR1gObatZPLqJIbDxFNRjTNQglIpHE4Xort5RUmwupT1156TFTt10pVnEaw9vuozs8VMReo/gLOBl5UujLaocQZLcJVXEe+zq8K4y6twBaf/rXK49fq7QpKIxBjtG8Z0mfgrS3J6sg6nyYoLTqGspYbRrgFcAbsyjMMs7I/KTEVqN7BdC5TG4XLjr2/CU1mdeW/FosQG+lCWhTMQwvTp4grFxFBHH9t+9ihdr7Viup2c8pbzWLJpLc4cAZmJSJwdv36G3r12zJThdHD+R66nenXTXJudYaYi1Q48LiIPAJnpGh2CsDgRw8gMlCcjYYb37MqkGo52tRNcuhJnsKSQJmrSWMkkr9y/ma7X7CSFyViCbXc8RqiuImcu874DnQy29XDSVWchIqiUYqCth9Km6sws4FwzU5Hal/7nYsxSGc38xs7dFLWzFbjdEwp4zoTEyNCEXOiRrnZMX0CPPRUBsaHIhGwHAMOd/TlFKjYcZu0VZ7Dr4W3ER+0aiw6XSVlTNVUrG/Juby5m9FQqpb6Yb0M0c4sVjTBycG9mQa8zVIqvvumYczepHKmClWWh0CvQiwHT66KkoYK+/Z1Z7Z4SH737Oji0ZRfx0SjNZ66hckUDpU1V7H9mZ0agAKx4kn1Pb6dyRX1BZmWnFCkRuVUp9XERuY/xZWkBpdS1ebNMkzeUUsT6erIyDiSGBkiWlB6zSDkDQaLZzz/uyhodTV4kuLxuTn3b+Tz59Xuw4kkA6k5Zhifo57H/+B9SSdsL3v/sTs7762upXttMIvrChPMMdw+QSqVwFOBznc6Tuj39/7/n2xDN3KEmyd2UCI/iLptZFdxUImGXXBeDwNKVRLvaUZaFu7IGZzBEYnQEKxrBME3E6bILMbjdOJx6tGCuqVrRwGWfexfDnf2YHtuz2veH7RmBOsLO3/2J6jVNNG9czcHndmVtW37eyQURKJh+gfHW9P9PiEhV+nX3XBimyR9iGDhDJVjd0ax2p29m+YGS0QgjY9L8usoq8DctRRwmhsNBbKA3q/Kxw+vH4fGQHBm2l9B4fZOdWpMnQrXlhMbEO6Wsid10u8wVVK5s4IwbL2P7r58hZVmsveIMate3zKG12UzX3RPgH4GPYA8xGCKSBP5TKfVPc2CfJg+ICO6yShIjw1gRO9uis6RsRrmblFJEe7qy0vzG+3txhUpxlZRhxeOE2w5lHWNFRnGFSognekmMDJEYHSY5MowzWIIzGNI5zAtA7UlLeOX+57LGFNdcvhGn216utPScddStb0GlFN7SwmalmK6793HgXOAMpdQ+ABFZBvyXiPyNUuqrkx0oIk3Aj4Fa7EXJtymlvjYrVmtOGIfHS3DpSnt2TwwM98xyNykrSTJXmt/wKK6SMlAplJWceJxSuErLiQ/2Y4Xt9WGJoQGcoVL8TUv1GNYcU9ZSw4WfeBt7nniB+GiU5RecOmG2L9f6vkIwnUjdCFymlOo50qCU2isi7wYeBCYVKSAJ/K1SapuIBIGtIvKQUuqVE7ZaMysYpvOYF/qKw4EZCB7ND5XmSBfOcDpxlpSRGByTs0gEEcHh9hAf6Ms6LjE0QCoWxZhhV1MzOxiGQdWKeiqX14GiKIqATsZ0YcHOsQJ1hPS41JRPt1KqXSm1Lf16GNgJFCbQQjNriBh4KmuzEtu5SssxfXaXQAwH3toGOxtnWph8tY3E+nomTg+nUZNu0eQbESlqgYLpPampatbMuJ6NiLQApwGbZ3qMpniwZ/JiiMOBw+3G9HoJLV8zJhA0u6touj34G5bgralHEFLJBH6vFzGdJIYGMuNgAKY/iMNV+OyPmuJlOpE6VURyFT4TYEZPlogEgF8CH1dKTTiXiNwM3AzQ3Nw8k1Nq5pBkJMzIgT3p5HWCt7Yed0U1htM5ZZl0MYzMgLjhcpFKJrCiUTxVtQDE+npwBoK4Ssp0lWLNlEwXgnBCo5ki4sQWqJ8qpe6e5Bq3AbcBbNy4Ufv9RUTKsggfPjQmu6ayU/96fbgmWZtnJeKkYke9LjEcWIkEkfZDR8ejROz1fQGd6UczPXlbqp4OX/gesFMvRJ6fKCuZKS81FisaIVdCjGRklJH9e0iGR4gP9hPr6yEZjWBFw9kD5kox2naAVDKRT/M1C4R8+tnnAn8BvCwiL6TbPqeUuj+P19TMIuJw4PD6ssaQAEilsOIxTPfRHn/Ksgi3H8ZdWka4vTXTbrjcmS5e1iliMZRlgU4jrJmGvImUUuop9BrTeY3hMPHW1DN6aJ8tKKQzc0ZGcZaUZe2rrCSGwyDWlz0ZnIrHkBxjTmYwpPOca2aEHrHUTInD7cVdYSe4ExESI8MYpjmhjJU4TBxeX87CC8qy8DUuIXz4EKRSdt7z2kadykUzI7RIaabE4XbjKikl2tOFFR7FVVqBq7QcMbIFxnA4MAMhXPHYBG/K4fbg9Adw+oOoVArD6dIzepoZo58UzbSYXj/+xhZbYKbwfpw+P4iAYRDv60VME19dUyYa3eHW8VCaY0eL1CIlGQkTH+wnFY/ZEeP+YE4Byg7knF5knF4fpqcJT2UtYoged9KcMFqkFiFWNMLw3l2ZwfD4QB++xhY85dm5pLICOUXw1jbgLq+cNs2wiEwYs9Jojhdd0mMRkozH8FTW4Kmus5euOBxEO9tIJY7GLU0I5FSKSHsrViQyyVk1mvygPalFhhWLEhkrPoaBr6aBSHd71n4qmcgZyJlKxIDp805pNLOF9qQWGYnR4THLXIBUivjwIN76xqy1eOIwcaTLVo1FjLn7XVNKkUomc0a3axYPWqQWGalYbGJbPIbTm+0dGaaJr6E5K9TAVVpOrL+X5PgI9DyQjIQZbd3P0Os7iXS0YcWi0x+kWZDo7t4iw/QHYFyWendZRc4y6KYvgLe2gVQykQnkTI4OY3q9ectTrpTCiscY3rcblV7bF+3uIBkOE2hZdly1ATXzG/2JLyJSVhIrHsNTVUustxuVSuEqq8BVWpH7AKWI9fdiRUazmq1EfhYGJ6MRO+Onw5ERqMy20SFSsRiGTz+yiw3d3VtEpNKD5rGBXtzllXiqauyMBpNkxhTDwFNZNaHdVVI6+7Ylk4we2ke0uwOZbAyqAIUpNYVH/ywtApRSWNEIyfS4jkokiPYcreh5JF4qF85gCb6GJbZ4iOCpqcfpm/3qIVYsmsm2YMWimD4/yfBRD85TWaMj1hcpWqQWAcnREYb3vWanTBED1NEyRobLNWXBTsN04qmosivBQN7W3I0t3x0f6MNdUYUZCKEsC2cgiOkPIIZ2/Bcj+lNf4KQsi3BHqz2+1NeNr7YBIy1KDo+PQPPyKdMAH8EwzbwuCjbcHlylR4tXxnq7saIRvDX16RTDR220EnHiw4MkRoayAlA1CxPtSS1wVMoidaSbl0wS7mzDVVKOM1SC0x8smmwEhsOBr64RZ7CEZHgE0xew1xOOs8+KRhje/3om1svh8RJYslx3BRcw2pNa4BimM8tDIZUi3t+D4civZ3Q8GE4X7rIK/A1L7LCIHOv/Yv29WcGoVjRCfGhisVLNwkGL1AJHRPBU1mTGlMThwNe4JG9xTvlEKUVydATD5cYZKs3U/su1fEezcCiun1JNXnC4PfibWvDUNqQzFBwN3ExGI1ixKIZhIC4Pykpm9im2zJkigqeqhvjgAMnIKKY/gKOsAplmTE0plfG+DJc7a5BeU/xokVokiOHAdGeLTiI960fKnu1zeP2YXh+xvm5c5ZX4qusxiijlSiqZINJxGCtmZ2KIx6I4PF78zcsmPyaRINrbRbS7A7BDGTwV1UV1X5qp0d29RUrKsoh0tGYECsCKjGZm+uJ9PSSKrBtlxaIZgcq0RSMoKznpMYmRIaJd7aAUKEW0u4P4sB7Dmk9okVqspCys6MRFu8qy7FgqIBEemWurpkQk9+M6WTtAfKA/R1sv4e4OkpEwKcuyu7zxiQuvNcVB0Xf3EokEra2tRHN8oeYbHo+HxsZGnDOIS8o3YjpxlpQR7+se125mgj2dXn8hTJsUw+3BWVJGYvCo8DhLyjCmCD9weL0khgeyz+NyE+/tQhQkhgdIjo7YEwoNzbhCZTpotMgoepFqbW0lGAzS0tIyrwc8lVL09vbS2trK0qVLC21OZhA6lYyTHBoEw8BTUU1i2C5JZQaCOAJBrHgccRhFkX3gSCxVMlQ6JpYqMGVxCFdpObG+nsyCZTFNnMEQzlApyfAoyVHbW1SWxejBfTiWu+1MEZqiofBP3jREo9F5L1Bgi0JFRQXd3d3T7zxHmG4PwaZlWIk4YhgowAyEEKMeMUxi3Z3EB3oxnG58dY2YgWDBPweHy43D5cZdNknmhnGYHi+hFWtIhkftLAoeD9GuDqzIKA6vH19dE+GOtoz3aMVjWqSKjHnh1xb6izFbFOV9iIBS9oB0KmWvk/P6ifZ2EuvtQlkWVjTM8L7dE8utzxMcLre9tMbtJtx2MJN6xoqMEunuwD2mAIUUgceoyUZ/IosYlUoR7esmcviQ3SCCv3kZptdHvK93/N6Z7ATzERFBcuSpUslEJh7MGSqdl0GuC5154UkdC4HA1K76/v37Wb9+/TGd873vfS933XXXiZhVlFixyFGBAlCK8KH9KMuyB9DHMd8HlCerAejweAkuXYW/ccmMFltr5pb5/dRpTohUYmJ8kUpZKJXCV9+U1e7weHEU2WzfseJwu+10NWNwl1ficLlwBkO6kGmRsmBFamRkhEsuuYTTTz+dk08+mXvvvTezLZlM8p73vIdTTjmFt73tbYTD9ljL1q1bueCCC3jDG97A5ZdfTnt7+4TzfuYzn+Gkk07ilFNO4ZOf/OSc3U8+sL2G7HEyMU3EcOAKlRJcvhpfQzOB5mUEWlbM+4KfYjjwVNcSaFmBr74Jf/My3BXVmHlI4qeZPRbsmJTH4+Gee+4hFArR09PDpk2buPbaawHYtWsX3/ve9zj33HO56aab+Na3vsXHPvYxPvrRj3LvvfdSVVXFz3/+cz7/+c/z/e9/P3POvr4+7rnnHl599VVEhIGBgQLd3eygAG9tPZGudkilEIeJt6rOXr9neHH6gzj9C6vGnuEwcYVKC22G5hhYsCKllOJzn/scTz75JIZh0NbWRmennTK3qamJc889F4B3v/vdfP3rX+eKK65g+/btXHbZZQBYlkVdXV3WOUOhEB6Ph/e///1cddVVXH311XN7U7ONUkR7uvBUVIMIKmUR6TyMf8nyQlum0WTIm0iJyPeBq4EupdSxjVTPAj/96U/p7u5m69atOJ1OWlpaMlHr40MBRASlFOvWreOZZ56Z9JymafLcc8/xyCOPcOedd/KNb3yDRx99NK/3kU8cLjeGy5VZfAt2TiedQE5TTORzTOqHwBV5PP+UDA4OUl1djdPp5LHHHuPAgQOZbQcPHsyI0R133MF5553H6tWr6e7uzrQnEgl27NiRdc6RkREGBwe58sorufXWW3nhhRfm7H7ygWGa+BtbcFfWYLjcuMoqFsTYk2ZhkTdPSin1pIi05Ov803HDDTdwzTXXsHHjRjZs2MCaNWsy29auXcuPfvQjPvjBD7Jy5Uo+9KEP4XK5uOuuu7jlllsYHBwkmUzy8Y9/nHXr1mWOGx4e5rrrriMajaKU4qtf/Wohbm1WMT1eHHWNqOo6xOEozoBTzaJG1GQ1zmbj5LZI/Waq7p6I3AzcDNDc3PyGsR4PwM6dO1m7dm3ebJxrFtr9aDQngohsVUptnGqfgocgKKVuU0ptVEptrKqaWIhSo9EsbgouUpriQSlFyrLIp3et0RwrCzYEQXNsJCMRon1dWCPDOEOldiS2nuXTFAF586RE5A7gGWC1iLSKyPvydS3NiWHF44zs3028txsrFiXa3cFo20FSU6Tl1WjminzO7r0zX+fWzC5WLEIqEc9qS44M2fmXfNrZ1hQWPSalmTxHuA5H0BQBWqRmwO9+9ztWr17NihUr+MpXvjJhu1KKW265hRUrVnDKKaewbdu2Alh5/Dg8HhzjslG6yqtwuN2THKHRzB0LzpeP9fcS6WgjlYhjOF14axtmnGo2F5Zl8eEPf5iHHnqIxsZGzjjjDK699lpOOumkzD4PPPAAu3fvZvfu3WzevJkPfehDbN68eTZuZ04wTCeBpqUkRoZJRkbTC4sDiFFcxUE1i5MF5UnF+nsZbT2QGV9JJeKMth4g1j8+y+TMee6551ixYgXLli3D5XLxjne8IyvtC8C9997LjTfeiIiwadMmBgYGcqZ5KWYcLjee8koCDUtwl5ZjOPXSGE1xsKBEKjImoX4GlbLbj5O2tjaamo4mgGtsbKStre2Y99FoNMfHghKp8TNU07XPhFyBjePXt81kH41Gc3wsKJGarItyIl2XxsZGDh06mge8tbWV+vr6Y95Ho9EcHwtKpLy1DZkS4RnEsNuPkzPOOIPdu3ezb98+4vE4d955ZybD5xGuvfZafvzjH6OU4tlnn6WkpGRCwrz5RDIaIdrbRbjzMPHhIVTKKrRJmkXMgprdOzKLN5uze6Zp8o1vfIPLL78cy7K46aabWLduHd/+9rcB+Ku/+iuuvPJK7r//flasWIHP5+MHP/jBrNxPIUjGogzvfS2r9JO/aekJ/Q01mhMhr6lajpWNGzeqLVu2ZLUttNQmxX4/sf5eRg/ty2ozXG4CS1Zger0FskqzUJkXqVo0xYWyJnbtlGWRSh7/5INGcyJokdJk4fB4GV/mylVaDkXkcWsWFwtqTEpz4pj+AP7mpcR6ukglE7hCpSgUDnfhunpWIk5yZJhkeBTT58f0B3C49JKdxYIWKU0WIoIzGEJMJ6lYBBBMf7Bg6/hSlkXkcCvxwT4AYr3gLCmzS6I79OO7GNCfsmYChsPEFQhCwC4MasWixPp7SMXjmL4ADp9vzgQiFYtmBOoIicF+UlW1Oo3MIkF/ypopseIxhve9TioezbT5GpfgKZ+bfPRq/DKnI+2p3O2ahYceOJ8BN910E9XV1axfn7vozXxP1TIVViScJVAAkfY2rPjczPY53J70YP5RDLdXpzZeRCw4T+rA5ld5+d6nCfcN4ysPcvJ157LkrDXTHzgF733ve/nIRz7CjTfemHP7fE/VMhW5PBY7An1uZvsM00mgeRnRvh4Sw4M4AyE8FVUYTuecXF9TeBaUSB3Y/CpbfvowVtzOzR3uG2bLTx8GOCGhOv/889m/f/+k2ydL1TKfl8YcweHx2hk6x4QguMsq51QkHB4vvrpGVE0dYugCpouNBdXde/nepzMCdQQrnuTle5/O63UXcqoW0+sjuGwVpj+E4XThrqxBnE6iPV1Y8dic2SEiGA5TC9QiZEF5UuG+4WNqny0WeqoWpz+I0egk0tNpz6ylU99YkQj+xmadwVOTVxaUJ+UrDx5T+2yxGFK1WLEo8d7urNxc8YHeORtA1yxeFpRInXzduThc2c6hw2Vy8nXn5vW6Cy1VSy4WkmeomV8sqO7ekcHx2Z7de+c738njjz9OT08PjY2NfPGLXySRsFOZLLRULZNheLwYHg+p6NFwBHdlTV6Xp6SSSUQEceju5GJmQYkU2EJ1oqI0njvuuGPK7SLCN7/5zVm9ZrHhcLoILllBfHgQKzyKM1iCMxBEjNl3xq14nPhAL7HebgyXC29NA6Y/oL25RcqCEylN/nC4PXjzHESplCLW00m0pxOw89MP73uN0Io1mF5/Xq+tKU4W1JiUZv6TSsSJ9nZnNypFMhIpjEGagqM9Kc2soVQKKxLBikURhwPT6zuuIhjicKCS4yPddT6rxYoWKc2skRgaZOTAnsx7MxAi0NQyY6FSlkV8eBBvdR3hwwcz7YbTBSIoy9KD6IsQLVKaWSGVSDA6RlgAkiNDJCNhXDMUqWQ0TLy3BzMYwlvbQCoRzwSKxro7cYXK0EPniw8tUppZIZVMoBKJie052iY9RzxOKh5DDINIRxviMO3FzErhKqvMy0yipvjJ66cuIleIyC4ReV1EPpPPa+WLQ4cOcdFFF7F27VrWrVvH1772tQn7LORULTNGBGcgNKHZMGe+EDkjSqkUhtuDspKgFGKaeCqrdQjCIiVvIiUiDuCbwJuBk4B3ishJ+breEX77q4e4/Jy3c2rLhVx+ztv57a8eOqHzmabJf/zHf7Bz506effZZvvnNb/LKK69k7TM2Vcttt93Ghz70oRO65nzEMJ04QyUZoTKcTrx1jWAeg7MugremnmhvF05/EG9tA77GFoItqzC9vjxZril28ulJnQm8rpTaq5SKA3cC1+Xxevz2Vw/xxc/8G+1tnSilaG/r5Iuf+bcTEqq6ujpOP/10AILBIGvXrp2Q4WCyVC2LCcM0MX0BMAw81XU4gyVgGDg9Ews4KKUm5KlKhMNEuzuI9fXgqahGTJNUIk4qEcf0aYFazORTpBqAQ2Pet6bb8sbX//U7RCPZ6UOikRhf/9fvzMr59+/fz/PPP89ZZ52V1b6QU7UcC6bPj7+hGWewBHdlDZ7yqgmzccnwKNHeLqK93cQG+kgl4lixGNGudpSVtOOkujuIdrUT6+3OqqSsWZzkc+A81wDChGAXEbkZuBmgubn5hC7YcbjrmNqPhZGREd761rdy6623Egplj70s9FQtx4LhdE0acpCMRkiGR4j1dpOKRXF4fUAtYjpBwBkqxYqEs45xhsrmwGpNMZNPT6oVaBrzvhE4PH4npdRtSqmNSqmNVVUnlty/tr76mNpnSiKR4K1vfSs33HADb3nLWyZsXwypWmaDVCJOpOMwqZi9SNmKhLEiYZKjw3abUnjrGjFcbhweL/7mpTh9einMYiefIvUnYKWILBURF/AO4Nd5vB63fPoDeLzZq/I9Xje3fPoDx31OpRTve9/7WLt2LZ/4xCdy7rMYUrXMBiqZTOdHt3F4/aTiMaKdh7GikXQXrwtPdR2eqlo7LkoHby568tbdU0olReQjwO8BB/B9pdSOfF0P4KrrLwPssamOw13U1ldzy6c/kGk/Hp5++mluv/12Tj75ZDZs2ADAl770JQ4etAMXF0uqltlgfF50ZzBEtCt7giGVTqLnDJbouCgNkOdgTqXU/cD9+bzGeK66/rITEqXxnHfeeTnHnMayGFK1zAYOrw93RTWx3vQYoVIgBoyrrWeYJsaxhC5oFjT6SdDMGYbDxFtTjytUai95cXnwGAbRzqNDlYZrYp09zeJGi5RmTjFMEyN4dHbU9LgxPT7iw4OYHi9mMJTXbJ+a+ce8ECml1IKY0p+u27gYMUwnrpJSXCWlhTZFU6QU/cikx+Oht7d33n/BlVL09vbi8ejy4BrNsVD0nlRjYyOtra10d3dPv3OR4/F4aGxsLLQZGs28ouhFyul0snTp0kKbodFoCkTRd/c0Gs3iRouURqMparRIaTSaokaKadZMRLqBA7NwqkqgZxbOM9sUq11QvLYVq12gbTsextu1RCk1ZWaBohKp2UJEtiilNhbajvEUq11QvLYVq12gbTsejscu3d3TaDRFjRYpjUZT1CxUkbqt0AZMQrHaBcVrW7HaBdq24+GY7VqQY1IajWbhsFA9KY1Gs0DQIqXRaIqaBSdSIuIQkedF5DeFtmUsIlIqIneJyKsislNEzi60TQAi8jciskNEtovIHSJSsDQNIvJ9EekSke1j2spF5CER2Z3+vyDlYyax7d/Sn+dLInKPiJQWg11jtn1SRJSIVM61XVPZJiIfTVc23yEi/zrdeRacSAEfA3YW2ogcfA34nVJqDXAqRWCjiDQAtwAblVLrsXPRv6OAJv0QuGJc22eAR5RSK4FH0u8LwQ+ZaNtDwHql1CnAa8Bn59ooctuFiDQBlwEH59qgMfyQcbaJyEXYRYJPUUqtA/59upMsKJESkUbgKuC7hbZlLCISAs4HvgeglIorpQYKatRRTMArIibgI0fZsblCKfUk0Deu+TrgR+nXPwKun0ubjpDLNqXUg0qpZPrts9hl2wpuV5qvAp8mR63LuWIS2z4EfEUpFUvvM21RzAUlUsCt2B9Mapr95pplQDfwg3RX9LsiUvCCckqpNuxfsoNAOzColHqwsFZNoEYp1Q6Q/v/Eiijmj5uABwptBICIXAu0KaVeLLQtOVgFvFFENovIEyJyxnQHLBiREpGrgS6l1NZC25IDEzgd+C+l1GnAKIXrtmRIj+9cBywF6gG/iLy7sFbNP0Tk80AS+GkR2OIDPg/8Q6FtmQQTKAM2AZ8CfiHT5AZfMCIFnAtcKyL7gTuBi0XkJ4U1KUMr0KqU2px+fxe2aBWaS4F9SqlupVQCuBs4p8A2jadTROoA0v9P2z2YS0TkPcDVwA2qOIIOl2P/6LyY/i40AttEpLagVh2lFbhb2TyH3euZcmB/wYiUUuqzSqlGpVQL9uDvo0qpovAKlFIdwCERWZ1uugR4pYAmHeEgsElEfOlfs0soggH9cfwaeE/69XuAewtoSxYicgXwd8C1Sqlwoe0BUEq9rJSqVkq1pL8LrcDp6WewGPgVcDGAiKwCXEyTrWHBiNQ84KPAT0XkJWAD8KXCmgNpz+4uYBvwMvbzULDlFCJyB/AMsFpEWkXkfcBXgMtEZDf2bNVXisi2bwBB4CEReUFEvl0kdhUFk9j2fWBZOizhTuA903mgelmMRqMparQnpdFoihotUhqNpqjRIqXRaIoaLVIajaao0SKl0WiKGi1SmllHRKz0lPwOEXlRRD4hIkZ620YR+foxnu8jIvJ6IVf0awqHDkHQzDoiMqKUCqRfVwM/A55WSv3jcZ7vNKAfeBw7Y0MxlmrS5AktUppZZ6xIpd8vA/6EvfzhAuCTSqmrReQL2Es46rAXnn4Ce03Xm4E24Jr0cp0j59mPFqlFh+7uafKOUmov9rOWK4PBcuz0OtcBPwEeU0qdDETS7ZpFjhYpzVwx2Ur3B9Le0svYSfd+l25/GWiZA7s0RY4WKU3eSXf3LHJnMDiS/CwFJMas40php/XQLHK0SGnyiohUAd8GvlEkqUw08wwtUpp84D0SggA8DDwIfPF4TyYit4hIK3ZupJdEpKjSQ2vyi57d02g0RY32pDQaTVGjRUqj0RQ1WqQ0Gk1Ro0VKo9EUNVqkNBpNUaNFSqPRFDVapDQaTVHz/wHcmWTXf3mQSwAAAABJRU5ErkJggg==", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" } ], "source": [ - "#@title clustering the UMAP embeddings\n", "\n", - "df_exc_NC = exc_df[['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']] # df_all_conds_exc[['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','thr']] #pd.DataFrame(data_exc,columns=features)\n", - "df_inh_NC = inh_df[['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']] #df_all_conds_inh[['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','thr']] #pd.DataFrame(data_inh,columns=features)\n", - "ind_feat = [0,3,4,6,18,20]\n", + "def plot_pca(data_inh,data_exc,plot_loadings=False,feature_sub=None ):\n", + " \"\"\"_summary_\n", "\n", + " Args:\n", + " data (list): _description_\n", + " \"\"\"\n", + " features = ['Vm_avg','dvdt_p','dvdt_n','resistance','thr','adaptation',\n", + " 'isi','peak','peak_adaptation','ap_width','hyp_value','fist_spike','up_down_ratio',\n", + " 'isi_adaptation','thr_adp_ind','psth','int_fr','fr','sub_thr','spk_fr_adp','imp']\n", + " if feature_sub !=None:\n", + " features = np.array(features)[feature_sub]\n", "\n", - "labels_exc, labels_inh = plot_UMAP_clusters(df_inh_NC,\n", - " df_exc_NC,\n", - " 15,\n", - " 0.0,\n", - " condition_inh=np.repeat(['acsf'],len(df_inh_NC)),\n", - " condition_exc=np.repeat(['acsf'],len(df_exc_NC)),\n", - " k_inh=3,\n", - " k_exc=4,\n", - " random_state=42)\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": 249, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 876 - }, - "id": "RlyAe4cnZ6g3", - "outputId": "3c362c24-0d73-4227-dffb-2fd4acb138f3" - }, + " min_size = min(np.array(data_inh).shape[0],np.array(data_exc).shape[0])\n", + "\n", + " scalar_inh = StandardScaler()\n", + " scalar_exc = StandardScaler()\n", + " data_inh_pca = scalar_inh.fit_transform(remove_nans_and_infs(np.squeeze(data_inh)))\n", + " data_inh_pca = normalize(data_inh_pca) \n", + " data_exc_pca = scalar_exc.fit_transform(remove_nans_and_infs(np.squeeze(data_exc)))\n", + " data_exc_pca = normalize(data_exc_pca) \n", + "\n", + " pca_x = PCA(whiten=True,random_state=40)\n", + "\n", + "\n", + " # Project the data in 2D\n", + "\n", + " reduced_data_inh = pca_x.fit_transform(data_inh_pca[:min_size,:])\n", + " exp_var_inh = pca_x.explained_variance_ratio_\n", + " loadings = pca_x.components_.T * np.sqrt(pca_x.explained_variance_)\n", + "\n", + " n_components = 3\n", + "\n", + " kmeans = KMeans(n_clusters=5).fit(reduced_data_inh)\n", + " centroids_inh = kmeans.cluster_centers_\n", + " label = kmeans.labels_.astype(float)\n", + "\n", + "\n", + " if plot_loadings:\n", + " fig, ax = plt.subplots(1,3,figsize=[24,8])\n", + "\n", + " ax[0].scatter(reduced_data_inh[:,0], reduced_data_inh[:,1], c=label, s=50, alpha=0.5,marker = 'o')\n", + " ax[0].scatter(centroids_inh[:, 0], centroids_inh[:, 1],c='black', s=50,marker = 'x')\n", + " ax[0].set_xlabel('PC1')\n", + " ax[0].set_ylabel('PC2')\n", + " ax[0].set_title('Inhibitory') \n", + " for i, feature in enumerate(features):\n", + " ax[1].plot([0,loadings[i, 0]],[0,loadings[i, 1]])\n", + " ax[1].annotate(feature, xy = [loadings[i, 0], loadings[i, 1]])\n", + " ax[2].scatter(np.arange(len(exp_var_inh)),exp_var_inh)\n", + " else:\n", + " fig, ax = plt.subplots(1,1,figsize=[8,8])\n", + "\n", + " ax.scatter(reduced_data_inh[:,0], reduced_data_inh[:,1], c=label, s=50, alpha=0.5,marker = 'o')\n", + " ax.scatter(centroids_inh[:, 0], centroids_inh[:, 1],c='black', s=50,marker = 'x')\n", + " ax.set_xlabel('PC1')\n", + " ax.set_ylabel('PC2')\n", + " ax.set_title('Inhibitory') \n", + " plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/PCA_inh.png',dpi=200)\n", + "\n", + " plt.show()\n", + "\n", + " \n", + "\n", + " pca_x_exc = PCA(whiten=True,random_state=40)\n", + " # Project the data in 2D\n", + " reduced_data_exc = pca_x_exc.fit_transform(data_exc_pca[:min_size,:])\n", + " exp_var_exc = pca_x_exc.explained_variance_ratio_\n", + " print(sum(exp_var_exc[:3]))\n", + " loadings = pca_x_exc.components_.T * np.sqrt(pca_x_exc.explained_variance_)\n", + " n_components = 2\n", + "\n", + " kmeans = KMeans(n_clusters=5).fit(reduced_data_exc)\n", + " centroids_exc = kmeans.cluster_centers_\n", + " label = kmeans.labels_.astype(float)\n", + "\n", + "\n", + " if plot_loadings:\n", + " fig, ax = plt.subplots(1,3,figsize=[24,8])\n", + " ax[0].Projection ='3d' \n", + " ax[0].scatter(reduced_data_exc[:,0], reduced_data_exc[:,1], c=label, s=50, alpha=0.5,marker = 'o')\n", + " ax[0].scatter(centroids_exc[:, 0], centroids_exc[:, 1],c='black', s=50,marker = 'x')\n", + " ax[0].set_xlabel('PC1')\n", + " ax[0].set_ylabel('PC2')\n", + " ax[0].set_title('Excitatory')\n", + "\n", + " for i, feature in enumerate(features):\n", + " ax[1].plot([0,loadings[i, 0]],[0,loadings[i, 1]])\n", + " ax[1].annotate(feature, xy = [loadings[i, 0], loadings[i, 1]])\n", + " ax[2].scatter(np.arange(len(exp_var_exc)),exp_var_exc)\n", + "\n", + " else:\n", + " plt.Projection ='3d' \n", + " plt.scatter(reduced_data_exc[:,0], reduced_data_exc[:,1], c=label, s=50, alpha=0.5,marker = 'o')\n", + " plt.scatter(centroids_exc[:, 0], centroids_exc[:, 1],c='black', s=50,marker = 'x')\n", + " plt.xlabel('PC1')\n", + " plt.ylabel('PC2')\n", + " plt.title('Excitatory')\n", + " \n", + " plt.show()\n", + "plot_pca(df_exc[df_exc.columns[:-3]].to_numpy(),df_inh[df_inh.columns[:-3]].to_numpy())\n" + ] + }, + { + "cell_type": "code", + "execution_count": 195, + "metadata": {}, "outputs": [ { - "ename": "ValueError", - "evalue": "could not convert string to float: 'NC_170711_aCSF_D1ago_E1'", + "ename": "AttributeError", + "evalue": "'DataFrame' object has no attribute 'cluster_ei_labels'", "output_type": "error", "traceback": [ "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", - "\u001b[1;31mValueError\u001b[0m Traceback (most recent call last)", - "\u001b[1;32m\u001b[0m in \u001b[0;36m\u001b[1;34m\u001b[0m\n\u001b[0;32m 17\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 18\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m---> 19\u001b[1;33m plot_UMAP(df_inh_NC,\n\u001b[0m\u001b[0;32m 20\u001b[0m \u001b[0mdf_exc_NC\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 21\u001b[0m 'blue','blue',15,0.0,labels_inh,labels_exc,figsize=[8,4],random_state=42)\n", - "\u001b[1;32m\u001b[0m in \u001b[0;36mplot_UMAP\u001b[1;34m(data_inh, data_exc, c_exc, c_inh, neighbours, distance, condition_inh, condition_exc, figsize, random_state)\u001b[0m\n\u001b[0;32m 86\u001b[0m \"\"\"\n\u001b[0;32m 87\u001b[0m \u001b[0mdata_exc_umap_scaler\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mStandardScaler\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m---> 88\u001b[1;33m \u001b[0mdata_exc_umap\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mdata_exc_umap_scaler\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mfit_transform\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdata_exc\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 89\u001b[0m \u001b[0mdata_exc_umap\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mnormalize\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdata_exc_umap\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 90\u001b[0m \u001b[0mdata_inh_umap\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mdata_exc_umap_scaler\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mfit_transform\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdata_inh\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", - "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\base.py\u001b[0m in \u001b[0;36mfit_transform\u001b[1;34m(self, X, y, **fit_params)\u001b[0m\n\u001b[0;32m 850\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0my\u001b[0m \u001b[1;32mis\u001b[0m \u001b[1;32mNone\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 851\u001b[0m \u001b[1;31m# fit method of arity 1 (unsupervised transformation)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 852\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mfit\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mX\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;33m**\u001b[0m\u001b[0mfit_params\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mtransform\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mX\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 853\u001b[0m \u001b[1;32melse\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 854\u001b[0m \u001b[1;31m# fit method of arity 2 (supervised transformation)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", - "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\preprocessing\\_data.py\u001b[0m in \u001b[0;36mfit\u001b[1;34m(self, X, y, sample_weight)\u001b[0m\n\u001b[0;32m 804\u001b[0m \u001b[1;31m# Reset internal state before fitting\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 805\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_reset\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 806\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mpartial_fit\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mX\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0my\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0msample_weight\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 807\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 808\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0mpartial_fit\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mX\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0my\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;32mNone\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0msample_weight\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;32mNone\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", - "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\preprocessing\\_data.py\u001b[0m in \u001b[0;36mpartial_fit\u001b[1;34m(self, X, y, sample_weight)\u001b[0m\n\u001b[0;32m 839\u001b[0m \"\"\"\n\u001b[0;32m 840\u001b[0m \u001b[0mfirst_call\u001b[0m \u001b[1;33m=\u001b[0m \u001b[1;32mnot\u001b[0m \u001b[0mhasattr\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m\"n_samples_seen_\"\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 841\u001b[1;33m X = self._validate_data(\n\u001b[0m\u001b[0;32m 842\u001b[0m \u001b[0mX\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 843\u001b[0m \u001b[0maccept_sparse\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m\"csr\"\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m\"csc\"\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", - "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\base.py\u001b[0m in \u001b[0;36m_validate_data\u001b[1;34m(self, X, y, reset, validate_separately, **check_params)\u001b[0m\n\u001b[0;32m 564\u001b[0m \u001b[1;32mraise\u001b[0m \u001b[0mValueError\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m\"Validation should be done on X, y or both.\"\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 565\u001b[0m \u001b[1;32melif\u001b[0m \u001b[1;32mnot\u001b[0m \u001b[0mno_val_X\u001b[0m \u001b[1;32mand\u001b[0m \u001b[0mno_val_y\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 566\u001b[1;33m \u001b[0mX\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mcheck_array\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mX\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;33m**\u001b[0m\u001b[0mcheck_params\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 567\u001b[0m \u001b[0mout\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mX\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 568\u001b[0m \u001b[1;32melif\u001b[0m \u001b[0mno_val_X\u001b[0m \u001b[1;32mand\u001b[0m \u001b[1;32mnot\u001b[0m \u001b[0mno_val_y\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", - "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\utils\\validation.py\u001b[0m in \u001b[0;36mcheck_array\u001b[1;34m(array, accept_sparse, accept_large_sparse, dtype, order, copy, force_all_finite, ensure_2d, allow_nd, ensure_min_samples, ensure_min_features, estimator)\u001b[0m\n\u001b[0;32m 744\u001b[0m \u001b[0marray\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0marray\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mastype\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdtype\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcasting\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;34m\"unsafe\"\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcopy\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;32mFalse\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 745\u001b[0m \u001b[1;32melse\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 746\u001b[1;33m \u001b[0marray\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0masarray\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0marray\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0morder\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0morder\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mdtype\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mdtype\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 747\u001b[0m \u001b[1;32mexcept\u001b[0m \u001b[0mComplexWarning\u001b[0m \u001b[1;32mas\u001b[0m \u001b[0mcomplex_warning\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 748\u001b[0m raise ValueError(\n", - "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_asarray.py\u001b[0m in \u001b[0;36masarray\u001b[1;34m(a, dtype, order, like)\u001b[0m\n\u001b[0;32m 100\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0m_asarray_with_like\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0ma\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mdtype\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mdtype\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0morder\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0morder\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mlike\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mlike\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 101\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 102\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0marray\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0ma\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mdtype\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcopy\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;32mFalse\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0morder\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0morder\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 103\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 104\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n", - "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\pandas\\core\\generic.py\u001b[0m in \u001b[0;36m__array__\u001b[1;34m(self, dtype)\u001b[0m\n\u001b[0;32m 1897\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1898\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0m__array__\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mdtype\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;32mNone\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;33m->\u001b[0m \u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mndarray\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 1899\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0masarray\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_values\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mdtype\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mdtype\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 1900\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1901\u001b[0m def __array_wrap__(\n", - "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_asarray.py\u001b[0m in \u001b[0;36masarray\u001b[1;34m(a, dtype, order, like)\u001b[0m\n\u001b[0;32m 100\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0m_asarray_with_like\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0ma\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mdtype\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mdtype\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0morder\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0morder\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mlike\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mlike\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 101\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 102\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0marray\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0ma\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mdtype\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcopy\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;32mFalse\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0morder\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0morder\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 103\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 104\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n", - "\u001b[1;31mValueError\u001b[0m: could not convert string to float: 'NC_170711_aCSF_D1ago_E1'" + "\u001b[1;31mAttributeError\u001b[0m Traceback (most recent call last)", + "\u001b[1;32m\u001b[0m in \u001b[0;36m\u001b[1;34m\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m labels = plot_UMAP_combined_single(df_exc[df_exc.cluster_ei_labels==2.0][['Vm_avg', 'resistance', 'thr','fr', 'isi', 'sub_thr', 'imp']],\n\u001b[0m\u001b[0;32m 2\u001b[0m \u001b[0mdf_inh\u001b[0m\u001b[1;33m[\u001b[0m\u001b[0mdf_inh\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mcluster_ei_labels\u001b[0m\u001b[1;33m==\u001b[0m\u001b[1;36m0.0\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'Vm_avg'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'resistance'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'thr'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'fr'\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;34m'isi'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'sub_thr'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'imp'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 3\u001b[0m 15,0.0,1,[3,3],np.concatenate((np.repeat('exc',len(df_exc[df_exc.cluster_ei_labels==2.0])),np.repeat('inh',len(df_inh[df_inh.cluster_ei_labels==0.0])))),True)\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\pandas\\core\\generic.py\u001b[0m in \u001b[0;36m__getattr__\u001b[1;34m(self, name)\u001b[0m\n\u001b[0;32m 5463\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_info_axis\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_can_hold_identifiers_and_holds_name\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mname\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 5464\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m[\u001b[0m\u001b[0mname\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 5465\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0mobject\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m__getattribute__\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mname\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 5466\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 5467\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0m__setattr__\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mname\u001b[0m\u001b[1;33m:\u001b[0m \u001b[0mstr\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mvalue\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;33m->\u001b[0m \u001b[1;32mNone\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;31mAttributeError\u001b[0m: 'DataFrame' object has no attribute 'cluster_ei_labels'" ] } ], "source": [ - "#@title effect of noise\n", - "fac = 0.1\n", - "# data_exc = exc_df #data_acsf_exc_all_conds\n", - "# data_inh = inh_df #data_acsf_inh_all_conds\n", - "\n", - "# df_exc_NC = pd.DataFrame(data_exc,columns=features)\n", - "# df_inh_NC = pd.DataFrame(data_inh,columns=features)\n", - "\n", - "# data_exc_w_noise =data_exc +fac*np.random.randn(data_exc.shape[0],data_exc.shape[1])\n", - "# data_inh_w_noise =data_inh +fac*np.random.randn(data_inh.shape[0],data_inh.shape[1])\n", - "\n", - "df_exc_NC = exc_df #pd.DataFrame(data_exc,columns=features)\n", - "df_inh_NC = inh_df #pd.DataFrame(data_inh,columns=features)\n", - "\n", - "df_exc_NC_w_noise = exc_df # pd.DataFrame(data_exc_w_noise,columns=features)\n", - "df_inh_NC_w_noise = inh_df # pd.DataFrame(data_inh_w_noise,columns=features)\n", - "\n", - "\n", - "plot_UMAP(df_inh_NC,\n", - " df_exc_NC,\n", - " 'blue','blue',15,0.0,labels_inh,labels_exc,figsize=[8,4],random_state=42)\n", - "\n", - "\n", - "plot_UMAP(df_inh_NC_w_noise[df_inh_NC_w_noise.columns[ind_feat]],\n", - " df_exc_NC_w_noise[df_exc_NC_w_noise.columns[ind_feat]],\n", - " 'blue','blue',15,0.0,labels_inh,labels_exc,figsize=[8,4],random_state=42)" + "labels = plot_UMAP_combined_single(df_exc[df_exc.cluster_ei_labels==2.0][['Vm_avg', 'resistance', 'thr','fr', 'isi', 'sub_thr', 'imp']],\n", + " df_inh[df_inh.cluster_ei_labels==0.0][['Vm_avg', 'resistance', 'thr', 'fr','isi', 'sub_thr', 'imp']],\n", + " 15,0.0,1,[3,3],np.concatenate((np.repeat('exc',len(df_exc[df_exc.cluster_ei_labels==2.0])),np.repeat('inh',len(df_inh[df_inh.cluster_ei_labels==0.0])))),True)" ] }, { "cell_type": "code", "execution_count": null, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 381 - }, - "id": "hiSEQ_OpcZ7c", - "outputId": "e79a4a57-d5f3-4057-db79-bf056f106017" - }, + "metadata": {}, + "outputs": [], + "source": [ + "from random import sample\n", + "\n", + "cluster_data_temp_inh.iloc[np.random.randint(0,len(df_inh),10),:]#np.random.randint(0,len(cluster_data_temp_inh),10),:" + ] + }, + { + "cell_type": "code", + "execution_count": 71, + "metadata": {}, "outputs": [ { - "ename": "ValueError", - "evalue": "ignored", + "ename": "AttributeError", + "evalue": "'DataFrame' object has no attribute 'cluster_ei_lables'", "output_type": "error", "traceback": [ - "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", - "\u001b[0;31mValueError\u001b[0m Traceback (most recent call last)", - "\u001b[0;32m\u001b[0m in \u001b[0;36m\u001b[0;34m()\u001b[0m\n\u001b[1;32m 4\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 5\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m----> 6\u001b[0;31m plot_UMAP(df_inh_NC[df_inh_NC.columns[ind_feat]],\n\u001b[0m\u001b[1;32m 7\u001b[0m \u001b[0mdf_exc_NC\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0mdf_exc_NC\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mcolumns\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0mind_feat\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 8\u001b[0m 'blue','blue',15,0.0,labels_inh,labels_exc,figsize=[8,4],random_state=42)\n", - "\u001b[0;32m\u001b[0m in \u001b[0;36mplot_UMAP\u001b[0;34m(data_inh, data_exc, c_exc, c_inh, neighbours, distance, condition_inh, condition_exc, figsize, random_state)\u001b[0m\n\u001b[1;32m 86\u001b[0m \"\"\"\n\u001b[1;32m 87\u001b[0m \u001b[0mdata_exc_umap_scaler\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mStandardScaler\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m---> 88\u001b[0;31m \u001b[0mdata_exc_umap\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mdata_exc_umap_scaler\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mfit_transform\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mdata_exc\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 89\u001b[0m \u001b[0mdata_exc_umap\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mnormalize\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mdata_exc_umap\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 90\u001b[0m \u001b[0mdata_inh_umap\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mdata_exc_umap_scaler\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mfit_transform\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mdata_inh\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", - "\u001b[0;32m/usr/local/lib/python3.9/dist-packages/sklearn/utils/_set_output.py\u001b[0m in \u001b[0;36mwrapped\u001b[0;34m(self, X, *args, **kwargs)\u001b[0m\n\u001b[1;32m 138\u001b[0m \u001b[0;34m@\u001b[0m\u001b[0mwraps\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mf\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 139\u001b[0m \u001b[0;32mdef\u001b[0m \u001b[0mwrapped\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mself\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mX\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m*\u001b[0m\u001b[0margs\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m**\u001b[0m\u001b[0mkwargs\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 140\u001b[0;31m \u001b[0mdata_to_wrap\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mf\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mself\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mX\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m*\u001b[0m\u001b[0margs\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m**\u001b[0m\u001b[0mkwargs\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 141\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0misinstance\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mdata_to_wrap\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mtuple\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 142\u001b[0m \u001b[0;31m# only wrap the first output for cross decomposition\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", - "\u001b[0;32m/usr/local/lib/python3.9/dist-packages/sklearn/base.py\u001b[0m in \u001b[0;36mfit_transform\u001b[0;34m(self, X, y, **fit_params)\u001b[0m\n\u001b[1;32m 876\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0my\u001b[0m \u001b[0;32mis\u001b[0m \u001b[0;32mNone\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 877\u001b[0m \u001b[0;31m# fit method of arity 1 (unsupervised transformation)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 878\u001b[0;31m \u001b[0;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mfit\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mX\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m**\u001b[0m\u001b[0mfit_params\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mtransform\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mX\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 879\u001b[0m \u001b[0;32melse\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 880\u001b[0m \u001b[0;31m# fit method of arity 2 (supervised transformation)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", - "\u001b[0;32m/usr/local/lib/python3.9/dist-packages/sklearn/preprocessing/_data.py\u001b[0m in \u001b[0;36mfit\u001b[0;34m(self, X, y, sample_weight)\u001b[0m\n\u001b[1;32m 822\u001b[0m \u001b[0;31m# Reset internal state before fitting\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 823\u001b[0m \u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0m_reset\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 824\u001b[0;31m \u001b[0;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mpartial_fit\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mX\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0my\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0msample_weight\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 825\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 826\u001b[0m \u001b[0;32mdef\u001b[0m \u001b[0mpartial_fit\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mself\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mX\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0my\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;32mNone\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0msample_weight\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;32mNone\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", - "\u001b[0;32m/usr/local/lib/python3.9/dist-packages/sklearn/preprocessing/_data.py\u001b[0m in \u001b[0;36mpartial_fit\u001b[0;34m(self, X, y, sample_weight)\u001b[0m\n\u001b[1;32m 859\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 860\u001b[0m \u001b[0mfirst_call\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0;32mnot\u001b[0m \u001b[0mhasattr\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mself\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m\"n_samples_seen_\"\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 861\u001b[0;31m X = self._validate_data(\n\u001b[0m\u001b[1;32m 862\u001b[0m \u001b[0mX\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 863\u001b[0m \u001b[0maccept_sparse\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m\"csr\"\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m\"csc\"\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", - "\u001b[0;32m/usr/local/lib/python3.9/dist-packages/sklearn/base.py\u001b[0m in \u001b[0;36m_validate_data\u001b[0;34m(self, X, y, reset, validate_separately, **check_params)\u001b[0m\n\u001b[1;32m 563\u001b[0m \u001b[0;32mraise\u001b[0m \u001b[0mValueError\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m\"Validation should be done on X, y or both.\"\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 564\u001b[0m \u001b[0;32melif\u001b[0m \u001b[0;32mnot\u001b[0m \u001b[0mno_val_X\u001b[0m \u001b[0;32mand\u001b[0m \u001b[0mno_val_y\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 565\u001b[0;31m \u001b[0mX\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mcheck_array\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mX\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0minput_name\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;34m\"X\"\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m**\u001b[0m\u001b[0mcheck_params\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 566\u001b[0m \u001b[0mout\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mX\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 567\u001b[0m \u001b[0;32melif\u001b[0m \u001b[0mno_val_X\u001b[0m \u001b[0;32mand\u001b[0m \u001b[0;32mnot\u001b[0m \u001b[0mno_val_y\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", - "\u001b[0;32m/usr/local/lib/python3.9/dist-packages/sklearn/utils/validation.py\u001b[0m in \u001b[0;36mcheck_array\u001b[0;34m(array, accept_sparse, accept_large_sparse, dtype, order, copy, force_all_finite, ensure_2d, allow_nd, ensure_min_samples, ensure_min_features, estimator, input_name)\u001b[0m\n\u001b[1;32m 919\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 920\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0mforce_all_finite\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 921\u001b[0;31m _assert_all_finite(\n\u001b[0m\u001b[1;32m 922\u001b[0m \u001b[0marray\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 923\u001b[0m \u001b[0minput_name\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0minput_name\u001b[0m\u001b[0;34m,\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", - "\u001b[0;32m/usr/local/lib/python3.9/dist-packages/sklearn/utils/validation.py\u001b[0m in \u001b[0;36m_assert_all_finite\u001b[0;34m(X, allow_nan, msg_dtype, estimator_name, input_name)\u001b[0m\n\u001b[1;32m 159\u001b[0m \u001b[0;34m\"#estimators-that-handle-nan-values\"\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 160\u001b[0m )\n\u001b[0;32m--> 161\u001b[0;31m \u001b[0;32mraise\u001b[0m \u001b[0mValueError\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mmsg_err\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 162\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 163\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n", - "\u001b[0;31mValueError\u001b[0m: Input X contains infinity or a value too large for dtype('float64')." + "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[1;31mAttributeError\u001b[0m Traceback (most recent call last)", + "\u001b[1;32m\u001b[0m in \u001b[0;36m\u001b[1;34m\u001b[0m\n\u001b[0;32m 1\u001b[0m \u001b[0mdf_inh\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mdf_inh\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mreset_index\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdrop\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;32mTrue\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 2\u001b[0m \u001b[0mdf_exc\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mdf_exc\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mreset_index\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdrop\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;32mTrue\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m----> 3\u001b[1;33m \u001b[0mcluster_data_temp_inh\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mdf_inh\u001b[0m\u001b[1;33m[\u001b[0m\u001b[0mdf_inh\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mcluster_ei_lables\u001b[0m\u001b[1;33m==\u001b[0m\u001b[1;36m0.0\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'Vm_avg'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'resistance'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'thr'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'isi'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'sub_thr'\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;34m'imp'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0miloc\u001b[0m\u001b[1;33m[\u001b[0m\u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mrandom\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mrandint\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0mlen\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdf_inh\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0mint\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m0.3\u001b[0m\u001b[1;33m*\u001b[0m\u001b[0mlen\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdf_inh\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 4\u001b[0m \u001b[0mcluster_data_temp_exc\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mdf_exc\u001b[0m\u001b[1;33m[\u001b[0m\u001b[0mdf_exc\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mcluster_ei_lables\u001b[0m\u001b[1;33m==\u001b[0m\u001b[1;36m2.0\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'Vm_avg'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'resistance'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'thr'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'isi'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'sub_thr'\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;34m'imp'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0miloc\u001b[0m\u001b[1;33m[\u001b[0m\u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mrandom\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mrandint\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0mlen\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdf_exc\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0mint\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m0.3\u001b[0m\u001b[1;33m*\u001b[0m\u001b[0mlen\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdf_exc\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 5\u001b[0m \u001b[0mcluster_data_temp_exc\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\pandas\\core\\generic.py\u001b[0m in \u001b[0;36m__getattr__\u001b[1;34m(self, name)\u001b[0m\n\u001b[0;32m 5463\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_info_axis\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_can_hold_identifiers_and_holds_name\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mname\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 5464\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m[\u001b[0m\u001b[0mname\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 5465\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0mobject\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m__getattribute__\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mname\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 5466\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 5467\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0m__setattr__\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mname\u001b[0m\u001b[1;33m:\u001b[0m \u001b[0mstr\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mvalue\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;33m->\u001b[0m \u001b[1;32mNone\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;31mAttributeError\u001b[0m: 'DataFrame' object has no attribute 'cluster_ei_lables'" ] } ], "source": [ - "#@title effect of seed\n", - "df_exc_NC = pd.DataFrame(data_exc,columns=features)\n", - "df_inh_NC = pd.DataFrame(data_inh,columns=features)\n", - "\n", - "\n", - "plot_UMAP(df_inh_NC[df_inh_NC.columns[ind_feat]],\n", - " df_exc_NC[df_exc_NC.columns[ind_feat]],\n", - " 'blue','blue',15,0.0,labels_inh,labels_exc,figsize=[8,4],random_state=42)\n", - "\n", - "plot_UMAP(df_inh_NC[df_inh_NC.columns[ind_feat]],\n", - " df_exc_NC[df_exc_NC.columns[ind_feat]],\n", - " 'blue','blue',15,0.0,labels_inh,labels_exc,figsize=[8,4],random_state=300)\n" + "df_inh = df_inh.reset_index(drop=True)\n", + "df_exc = df_exc.reset_index(drop=True)\n", + "cluster_data_temp_inh=df_inh[df_inh.cluster_ei_lables==0.0][['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']].iloc[np.random.randint(0,len(df_inh),int(0.3*len(df_inh))),:]\n", + "cluster_data_temp_exc=df_exc[df_exc.cluster_ei_lables==2.0][['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']].iloc[np.random.randint(0,len(df_exc),int(0.3*len(df_exc))),:]\n", + "cluster_data_temp_exc\n", + "plot_UMAP(cluster_data_temp_inh,\n", + " cluster_data_temp_exc,\n", + " 20,\n", + " 0.0,\n", + " np.repeat(['acsf'],len(cluster_data_temp_inh)),np.repeat(['acsf'],len(cluster_data_temp_inh)),\n", + " figsize=[12,6],\n", + " random_state=42,\n", + " save=True)" ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 56, "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 1000 }, - "id": "qdbYofkvu_-m", - "outputId": "4ede55b8-b370-41c2-8f10-308e15033a85" + "id": "jWXw-Ud5M_jK", + "outputId": "32b652ce-228e-46b9-cfa5-bc21a5dcca53" }, "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "5\n" + ] + }, { "name": "stderr", "output_type": "stream", "text": [ - "/usr/local/lib/python3.9/dist-packages/seaborn/relational.py:573: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", - "/usr/local/lib/python3.9/dist-packages/seaborn/relational.py:573: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, "metadata": {}, "output_type": "display_data" }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "10\n" + ] + }, { "name": "stderr", "output_type": "stream", "text": [ - "/usr/local/lib/python3.9/dist-packages/seaborn/relational.py:573: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", - "/usr/local/lib/python3.9/dist-packages/seaborn/relational.py:573: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, "metadata": {}, "output_type": "display_data" }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "15\n" + ] + }, { "name": "stderr", "output_type": "stream", "text": [ - "/usr/local/lib/python3.9/dist-packages/seaborn/relational.py:573: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", - "/usr/local/lib/python3.9/dist-packages/seaborn/relational.py:573: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, "metadata": {}, "output_type": "display_data" }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "20\n" + ] + }, { "name": "stderr", "output_type": "stream", "text": [ - "/usr/local/lib/python3.9/dist-packages/seaborn/relational.py:573: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", - "/usr/local/lib/python3.9/dist-packages/seaborn/relational.py:573: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, "metadata": {}, "output_type": "display_data" }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "25\n" + ] + }, { "name": "stderr", "output_type": "stream", "text": [ - "/usr/local/lib/python3.9/dist-packages/seaborn/relational.py:573: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", - "/usr/local/lib/python3.9/dist-packages/seaborn/relational.py:573: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, "metadata": {}, "output_type": "display_data" }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "30\n" + ] + }, { "name": "stderr", "output_type": "stream", "text": [ - "/usr/local/lib/python3.9/dist-packages/seaborn/relational.py:573: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", - "/usr/local/lib/python3.9/dist-packages/seaborn/relational.py:573: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, "metadata": {}, "output_type": "display_data" - } - ], - "source": [ - "for i in range(len(ind_feat)):\n", - " inds = np.ones_like(ind_feat,dtype=bool)\n", - " inds[i] = False\n", - " plot_UMAP(df_inh_NC[df_inh_NC.columns[ind_feat][inds]],\n", - " df_exc_NC[df_exc_NC.columns[ind_feat][inds]],\n", - " 'blue','blue',15,0.0,labels_inh,labels_exc,figsize=[8,4],random_state=200)\n", - " " - ] - }, - { - "cell_type": "code", - "execution_count": 187, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 }, - "id": "tbJAbqsK73kM", - "outputId": "1d76cb01-a3b6-451f-9f1f-e6ed5b6358dd" - }, - "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "35\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + ] + }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" - } - ], - "source": [ - "from sklearn.preprocessing import StandardScaler\n", - "scaler = StandardScaler()\n", - "# transform data\n", - "feat = ['Vm_avg', 'resistance', 'thr','mi', 'isi', 'sub_thr','imp']\n", - "df_exc = exc_df[['Vm_avg', 'resistance', 'thr', 'isi','mi', 'sub_thr','imp','exp_name']].reset_index(drop=True)\n", - "df = scaler.fit_transform(df_exc[feat])\n", - "df = normalize(df)\n", - "df_exc[feat] = df\n", - "df_exc['class'] = labels_exc\n", - "\n", - "sns.pairplot(df_exc,\n", - " hue='class',\n", - " diag_kws = {'common_norm':False,\n", - " 'common_grid':True,},\n", - " palette='winter')\n", - "plt.show()" - ] - }, - { - "cell_type": "code", - "execution_count": 44, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 }, - "id": "F1sQKqZ-r4l0", - "outputId": "ee4f2e13-f23f-461e-d749-d45adfd970b1" - }, - "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "40\n" + ] + }, { "name": "stderr", "output_type": "stream", "text": [ - ":8: SettingWithCopyWarning: \n", - "A value is trying to be set on a copy of a slice from a DataFrame.\n", - "Try using .loc[row_indexer,col_indexer] = value instead\n", - "\n", - "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n", - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\pandas\\core\\indexing.py:1738: SettingWithCopyWarning: \n", - "A value is trying to be set on a copy of a slice from a DataFrame.\n", - "Try using .loc[row_indexer,col_indexer] = value instead\n", - "\n", - "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n", - ":9: SettingWithCopyWarning: \n", - "A value is trying to be set on a copy of a slice from a DataFrame.\n", - "Try using .loc[row_indexer,col_indexer] = value instead\n", - "\n", - "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" ] }, { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAABRcAAATXCAYAAAB02kJFAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjMuNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8QVMy6AAAACXBIWXMAAAsTAAALEwEAmpwYAAEAAElEQVR4nOydd3gUVRvFz+ym90pCSAgQIJRAKAGkSRMEFLGAXbGLvWFBERGx9674WUFBUREQBZXeeycQkpCQRnpvm2Tn++MwbJskm2TT7+958sjenZ2dmDt37j33fc8rybIMgUAgEAgEAoFAIBAIBAKBQCCoK5rmvgCBQCAQCAQCgUAgEAgEAoFA0DoR4qJAIBAIBAKBQCAQCAQCgUAgqBdCXBQIBAKBQCAQCAQCgUAgEAgE9UKIiwKBQCAQCAQCgUAgEAgEAoGgXghxUSAQCAQCgUAgEAgEAoFAIBDUCyEuCgQCgUAgEAgEAoFAIBAIBIJ60e7ExcmTJ8sAxI/4aa6fGhH9U/w080+tiD4qfpr5p0ZE/xQ/zfxTK6KPip9m/qkR0T/FTzP/1Iroo+KnmX8ENdDuxMWsrKzmvgSBoFpE/xS0dEQfFbRkRP8UtHREHxW0ZET/FLR0RB8VCFou7U5cFAgEAoFAIBAIBAKBQCAQCAS2QYiLAoFAIBAIBAKBQCAQCAQCgaBe2DX3BQgEAkFTER0NHD0K2NkBAwYAYWHNfUWC9khmJnD4MHD+PNC9O/uis3NzX5WgrZKfz/6WmAh07gwMHAh4ejb3VQnaMpWVwJEjwKlTgJcX+1xQUHNflUDQuCQnA4cOAYWFQJ8+QP/+gEaE8QjaCTExHPcBIDIS6Nmzea9H0DwIcVEgELQLDhwAJkzgQhvgQueff4C+fZv3ugTti5wc4KmngCVLDG1ffw3cdVfzXZOg7VJWBnzwAbBggaFt/nxg7lzAyam5rkrQ1lm/HrjqKkCv5+uxY4GlS4FOnZr1sgSCRiMxEZg5E9i3j6/t7YF164Dx45v3ugSCpuDoUa6xFDtMX19gwwaKjIL2hdhPEQgEbR5ZBr74wiAsAkBqKrBmTfNdk6B9cvy4qbAIAI8/DsTHN8vlCNo4p08DCxeatr3yCtsFgsYgMxN45BGDsAgAmzcDBw822yUJBI3Ovn0GYREAKiqAp58G8vKa7ZIEgibjp58MwiIAZGezTdD+EOJiK2VfSgHSi3Q2PaceMpJRAFlUWRe0MSoquKtmTnR001+LoH2Tm2vZVlgIFBU1/bUI2j75+aYiD8DNFrHgFTQWxcWM4jJHbewTCNoKagWM4+J4PwgEbZ1jxyzbDh9u8ssQtACEuNgK+e5wGqb+eBQRn+9DRrHtBMbbsRLd8CE+wV6bnVMgaAk4OAB3323ZfvXVTX4pgnZOjx6W6ahDhgAhIc1zPYK2TdeuQGCgaVuHDkC3bs1zPYK2T8eOwE03mbZJEtCrV/Ncj0DQFEREWLbNmmU5/goEbZFbbrFsu/32pr8OQfMjxMVWRkWVHvM3JWDhuK4YG+qJV7epbA/XgwNIxX+IxyeYihexCWWotMl5BYKWwrRpwIsvsnCGhwfw/vvAmDHNfVWC9kbv3sDatUB4OF9ffjnwzTeAt3fzXpegbRISAqxeDQwdytdDhtAOQojZgsbC0RF46SUKjBoNfRZXrmThKoGgrTJ4MLB8ORAQAGi19FF+7DH+WyBo60ycCLz2GuDqyp9Fi9gmaH+Igi6tjP/ic+HtbIfe/i7wdrbDw3+dwXuTukOrkRp03iU4iinojp7wRTd4YyPOYip62OiqBYLmp2NHFjW4+24ueMTiWtAcSBIN3nfsYMpqQAAnYgJBYzFkCItXZWcDPj6s3isQNCY9egDffssFpqsrxzmBoC3j7AzccANw6aUspNWpE7NmBIL2gL8/8NxzjGCUZaBzZ853Be0PEbnYyvjzTDaGdfIAAAS6OcDPxR47k/Jr+VTNyJDxG6JxKUIBAJcgGCshzOgErYOcHKC83LpjNRogNFQIi4Lmx9eXqamSJLzIBLajvJwiomxmnezpyf4mhEVBU+HoyD5Xm7CYny88ZwXNQ36+7T0RO3akHYUQFgX1QafjM9zcK7k1IEkUFUNDhbDYnml2cVGSpMmSJJ2WJClWkqTnVN5/WpKkwxd+jkuSVCVJks+F9xIkSTp24b39TX/1Tc/fZ3IwtJP7xddDO3ng79icBp3zDHJQCT26wAsA0B8B2ImkBp1TIGhsEhKAl19mVM4NNwB7a7EKzcoCdu9mYZeysia5RIGgWqqqWEH1yiuBSy4BPv4YOH/e8H5FBXDiBLBzJ5CW1myXKWhFHDxIj6MhQ4AXXuAYGRfHKNm4uOa+OkF7prQUOHIE2LOHC2eA//3mG2D4cKbP/f239RuFAkFDyM4Gvv7a0PfWraOoYw0lJSxUsXcvN7cFAltx6JDhGT53LhAb29xX1DDEuqt90qxp0ZIkaQF8CmAigGQA+yRJWi3L8knlGFmW3wbw9oXjpwF4QpZl4+F8nCzLKjW62h4ZxTpkl1agm7ehGkBEBxf8GdOwp9tWJCISAZDAbYZu8EY88lCCCrjAvkHnFggag/Jy4JVXuDABgPh4YONGTvbUTONPnKD/07Fj3E17/HHg3nuBLl2YyiIQNBW5ufzJzwcmTzYsph99lP+eMwcoKAA++4weoZWVjIL47Tdg4MDmvXZByyUujotkZbH77beMIHj2WfYnd3dg6VJ6z9YnoiAvjwtyPz9GQQpaFwUFXOh5eze9v2tGBvDqq9xAkWWOYz/+yOe1caG1qVOBLVuYVioQNCarVwP33GN4rfS90aNr/lxaGv1Ev/qKr4cNA77/3uChbEtSUih4BgcD9mIp1uaJjwcmTTJUHX/rLeDkSWDZMsDNrfG/Py3Ntun85uuuJ59k2rSfX8PPLWjZNHfk4lAAsbIsx8uyrAOwHMD0Go6/CcCyJrmyFsi+lEL09nOBxmhl0NvPBQfTClHZgPjpTUhAX3S4+NoBWoTBG4cgwmUELZNz54DvvjNtKywEjh+3PFanA954gw84gIub99+nWHPbbcDp041+uQIBAEYhjhsHhIUBDz3EfmlcOfrdd4H0dO5ez51LYREAzp6lIF5Q0CyXLWgFnDxpGkVz550GoRrg+HjzzfWLhNizhz6h3buzANH+dpEn0nY4eJDiSVgYx5+dO5v2+3fvBj76yJCqf+gQn8Fr11oe+/ffTXttgvZHYSH7nzGyTF/a2ti+3SAsAhwbFy+2bQprURE3h/r3B3r2BJ54Aki0Te1OQQsmOtogLCr8+Sfnf41JaSkLEQ0YQK/c2bMpdDYEnQ54803Tdde779aeYSZoGzS3uNgJMMm/Tb7QZoEkSS4AJgP4zahZBvCPJEkHJEm6r9GusoWwJ6UAPXxdTNrcHe0Q4OaA4xn1Nw3ZjWT0hb9JW3f44BDOV/MJgcA2xMXx4blhA0UVa7G3B1xcLNvVohBzcoD16y3bMzPZ/sILfLgKBI1JfDxwxRVMDQSAXbuADz+kwK0waBBTWdVE8q1bDemEgvZDZiajstesAWJiqj/OfOzTaCy9xIqLGQ1TFxITmbp/6BBf79kDXH113c8jaB7OnwdmzGBqPMDx54orGr54rAvKmGfMX38BkZGG13fdxYJr3bo17bUJ2h92dvQ8NseaiN7duy3b1qxR3/grLQX27QP++AM4cMD6tNC9e3k/5ORwg/HTTy030wVtD7X1i719w6IIZZkbj6tWAdu2qft7HzjACMOMDNr1fPst56ZVVfX/3urWXWpzW0Hbo7nFRbXkHFmlDQCmAdhhlhI9UpblQQCmAHhIkiTVZApJku6TJGm/JEn7MzMzG3bFzci+1AL08HGyaA/zdsbR9PqJi3koQzqKEArTPKdOcEc02kW2ebPTVvpnXTl4kCkl06YBl10GXHcdhRVrCA1lFUpj+vUzXawoeHkBo0ZZtvv6crH9++9Aampdr7590V77qC2Ji2NqqTEJCYZiB126cNE/fLj6BDAqqunTGVsLbbV/JidTfJ4wAbjqKvow7dmjfmzfvuw7xjiZTRccHYHAwLpdQ3y8ZTRFSkrjR1O0NZqrj549a/m3ystrWg/O3r0t28aOZRSlRsOo7EOHKC7edx/nBYqYLWga2uoYqoazMzeVNUYrYE9PzkNrY9Agy7bx4y3TVnU6RjQOHQpccw3H7h9+MGQj1IRaZPE333CjqT3T1vto376Wa5W5cxlxXl82bGCfvfpq2k089ZTl81yJLjTm++9NPcDrSnXrrsawDxC0PJpbXEwGYFy3NRhAdcv8G2GWEi3LcuqF/2YAWAmmWVsgy/JiWZajZFmO8vf3VzukVXAyswRdvSy3Njp7OuJIev1K7R1EGnrCF1qzrtAZnohG2xu8WyJtpX/WBSVk3jgSa8cOppxYgyQBd9zBFKoXXuBO2x9/0JvGHCcnYP580/emTWMUkCwDQUFN42fSmmmPfdTWqFXptbenaLhgASdzc+awT+7aRVNvBV9f+pWJSr/qtNX+uXev6e5/QQF9ONWqm3bsCPz0Exewzz9P8WbxYoNXl709Cxj06FG3a/DysvRo1GqF72Jdaa4+6uWl7tfWlGPJiBEsuqYQGgo88wyFlx07gJAQUzExKwt47z0WtRI0DW11DK2OMWMYyfXKK4zS2raNaci1ceml3OhR6N4deOQRRkMac/o0hRwFWeZxZ87U/h0hIZZtPXsCrq61f7Yt09b7aEAAfZGXLOEzfM0aenGb9y1rycxkirNxkaxvv2UxImPU/leGhTVsXeTkxLmK8brr1lu5cSRo+zRrQRcA+wD0kCSpK4AUUEC82fwgSZI8AYwBcKtRmysAjSzLhRf+PQnAwia56magWFeFjOIKBLlbxkeHeTtjw1mVUBcr2I9UdIePRXtneOI0RA6eoHEoLFT33oiOtv4cnp4siDF5cu3HDhjA9OtTp/jAXbuWhvIaDVNOlOgxgaCx6N2bhtbvvWdoe+01RitOn05BUUnP/+sv7vq+/DI/16sX00l++YUL9dGjRZ9tD6j5bB08SJFRbaHZpQt/FCorgcGDGWkYFMSoAa3W9DPx8cCmTTRfHzMGGDnS1HC9Vy+asL/+uqHt5ZdFBEJroUcP/u3mzDG0Pfkk0KdP011DUBDw5ZeMUCwtpVDS6YIB0iWX0P/YnN27KaKbi6CVlQbR3dmZBRDUoskEgpqwt+ezdMSIun0uJIQpyqdOMc05PJz925zMTMu0Up3OMmpMjVGjuAGemcmUVa0WePhhijWjR/N9URSjbRIayh+FpCQGURw8yLFy9GhuJFpDdRHq5hZUQ4Zwk1vxUra3B95+u+EbiAMGcF4bE8P5St++IpCjvdCs4qIsy5WSJD0MYD0ALYBvZFk+IUnS7Avvf3Hh0GsA/CPLsvF+fQCAlRK31O0A/CTL8rqmu/qm5VRWCTp7OkKrscwk7+rthON76pcWfQCp6AbLXLsOcEUOSlEMHVxhg7JRAoERPj7A9dezGpox5ml9tXH+PBcZS5awAuVtt3En2cnJNOXlzBmKOCkpwKxZ/J5p0/hQHTCgwb+OoB0iy1wAf/UVvWruv5/RYu7u6se7uTHK9oormO7atSv7nrIrHRJCwVCZ+G3fzgnlrl00dN+wge3vv88IiLfeskx7FbQt+vWzbJsxA/DwoMhSW0SDnR1FJGMhKT3dMGb278/xcs4coKSEfWvBAmDePIMI6ezMKLNJk7jQ6dyZY60tqkkKGh87O6YaDx3K9OjgYI47toiCio2lrchff/F5es019ExUw9OTi2M1Ro8G3nnHtO3GG9UXt9u30yZAKaCxcCGjzgYPrvlay8sZgSv6raCheHtbzlVLS9m3lHGzc2fOBQoLDcf4+rK9NrKyOBdQUqsdHTm/SE/n5uS8eaxYXd+INkHrIDcXePBBBkYo3HMPI23VPOfNCQyk9cSmTabt3bubvg4NBVauZPR4YSHnC9ZE8VpDcDCF8O3bmW1mb8++PGKEGIvbNLIst6ufwYMHy62RHw6nyRN/OCxvOptj8bMhPlt2WrRFLiirqPN5w+WP5a/kA/Im+azFT5j8oXxUPt8Iv027pk32z/oQGyvLV10ly4AsOzjI8ssvy3JWlvWfr6qS5Rdf5OeVH39/WX77bVl+4AFZPnLEcOzy5abHAbIcFCTL50X3NqfNjqG2Zu9e9lvjPrViRcPOuX27LHfpwnMFBsrykiWyvHGjZd/VaGT56FHb/B6tkHbTP/PyOJ45OfHvftllsvzXX7I8eLAsz5wpyzt31u18VVWyvHChaV/y9ZXl5583vHZ0lOWYmMb5fdoJ7WIMzcqS5dGjTfvShAmynJNT93NlZsry/PmybG/P81xzDecH5lRUyPK0aZbj4fz51Z+7oECWf/9dlkeNkuVJk2T5n39kuby87tfYxmjz/bOpSE2V5c8+k+WBA2X5lltkec8ew3v//SfLHTuyj4aEyPLmzbWf7+BBw3iv/CxYIMseHobX9vayfOpU4/1OLYB2MYbWxo4dlmMdIMuHDll/jqNHOV8A2Ie+/VaWS0oa64rV+fdf0+uXJFnesqVpr6ERaHY9qyX/iH2PVsLp7BLVlGgA0EgSQjwccSanFIM6VhM2o0IpKpCAPHQ2K+aiEAg3nEUe+kHk3wlsT1gYPcLOnuUOVliYZcpeTSQmMnTfmMxM7rx9/jk9GHfu5K6c8e6xQlGRdebaAoEaGzYwssCY119nmr61qR+FhTTTTk9nJGNoKAsbOToC+fmMUFywwPJzer3wI2sPeHoyhXX6dKbgnTgBTJ3K9w4cAFavZvSsEn2dn8/+lJnJ8bRvX9MxNSnJNL0ZoO+tcQSMTif6lqB2Tp9mxKAxGzYwBa6uvlp+fkz5vOUW9r+uXTkGHjnCtP0OHRjF6+jIKqTmqBXAMr6ma681vP73X0byjBlTt2sUCMyRZUYWKs/oQ4c479y9G4iIYITt/v0cjzt0sC6ddccOy6rSP/3EcX/5cr6uqGC2xMmTjE7r10+km7Y1iov5N1ajpvHOnH79OOYlJTGStmtX21yftcgyrafM2374gf6lgraJEBdbCaezS9HTR6VO/QWCPRwRk11SJ3HxJDLRGZ5wgLqiEwBXnEX9vBwFAmtwdeUkrL6YFxpQ2kJDgbvvBjZu5MIlI4MLE2Nj46eeUvfKEQisobq+Zy3FxfQhe/ppvtZoWBEyIIDCt4cHF9rx8UyZTkoyfHb8+IZVEBS0HjQa+uadPw889hgXCI89xnZJYqX7AQPorzR/Pgv/ABQMf/+d6arG1NZvb7ih6RcggtZHdWNdXcZAY+zs6MWo8McftABQfOueeIKpoI89RgHGGEU8TExksYLycs4runcHPvjA9FhZBn79VYiLgoaTnEx7kltv5Rit09GqJDbWMK8NCqrbPLO68VmWDa+vvpq+t4pVyoIFtLZo7wVfWivHj3Pj0MWFz3I/P+Cjj4Bz52gzER9vOHbwYFPLJ2vw9uZPc6F2vXX9HQStCyEuthJic0oxrkv17qpB7g44nVVSp3MeRbqq36JCANwQj7w6nVMgaCpCQ1lo4KWXDG0BAdzVffRR4NlnuVDev58RPV9+yYiFffvou3jrrdYvhM6coe/J7t3AlVdyR1oIk+2bCRMsBevnn689gqCsjBG1S5dy4fz22/RRSkvjwvmee4B336U/04svGhYR338PbNlCX7O77hLVetsbdnb0P5wzh4WA8vLYHhwM/PMPfbo+/pgFgHQ6Grnfey/HP6ViY+fO7KPz5hnO26EDxetevehzd/vt/J76cOQIBc34eHrqXnqp6Kethfx8RiL+/DOLAl13Xc1+xOHh9JjdvNnQdvnlpgJhfUlKYt8NCOBC++RJ+oFedx29P5ct47jp6grMnUsvxzNnKKSfPs1zuLpy3FTzwBVRXgJjjh+n51x0NAXtsWPpC14bWi19vlNTOe6dOsX559Kl9b+WkSMpMpUYLeeee45zz/BwYOZMzhuMI9AXLKCXc1RU/b9X0Dzs3AlcdpmhmF///oz0e+EF9q+XX2Y2wqFDnHP6+RmObUmkpnK8/fNPjsdXXknBXZKAhx7i/aGg0fC+EbRdhLjYCpBlGfG5pejk7ljtMZ3cHXE6u24jzhGkIxRe1b4fCDfsRUqdzikQNBUaDTB7NqMTli3jg8zXl7vGK1Yw5Tk0lGLinDmsuOboyH/7+FgvDqalcVFz7Bhf//ILTZbfe4/nE7RPBg+m2LdkCSNj77qLhQlqY/NmYMoUw2sHB+CVVyiG5+cbirRkZ3OxM3cuv2voUEY7enhYL4oXFFAwWLaM98KMGSzGIWh9+PlRPFy61CAsAoyeWbOGKdBvvw3s2UNx8IEHKMjk5RnERUlicY+wMODHHykeXX89BckZMxomBJ44QfN4JWVr6VLg6695XwhaPn/8QcN9hY8/ZoRg377qx/v48O+7Zg3F7cmTuaA0r+5cH/LzWVk6KYnP35kzuQhPT6f4cuON/C6t1iCEb9pkEBYBjpVvvslF+tq1hsgvR0du0AgEAEXpCRMMKajLlnHcfPzx2j8bFETLir//Zv+aPp198LnneM4OHep+PQMGcF6xdCn7/513cpPmllsoOJ49q/4Mry6FVtByKSlhcISxWHj0KDfnZJlrmBde4BgcEcHn9rff8hnenOzfz02ozEzg5ptZGPPVV4HPPuP7v/zCiurr1tEKYNQoZpF9+y0LutxxR/XFvQRtAyEutgJySishy4CHY/WGdB3dHbAlMa9O5z2M85iC7tW+Hwg3JIjIRUELpkMHPtxuvpmRO5s2MQrnxx/5/t69FBPvv9+wuPj5Z+C///hg9Pev/TuOHzcIiwpffMHdOOMqrIK2Q0UFRRIvr+or2kkSvcXq4i9WXm7pE6pEmQUGMmIhLc3wXmIioxgVEbuu4s8ffzBKV+GTT1i1T60KsaDlM3o0hWhzoqMZMWacYp+ZyQgIRVhU8PenOHPjjabtDY0wPHjQ0gtq/nyKQPVZZAuajsxM/q2Myc/nIrI6cRFgyt4DDwA33cS0O3t721yPXs905qwsvl69mhXLw8MNx5hHH8bFWZ7nxAluPm7dyrHQyQm46iouhgUCgGn05sLcggXcbDEfO81JTORGc0ICX//5JzMP3N1pbVLfcS8qSj0K0dOT0bwhIbxnp0zhnGHTJmFl0RopLma0qzkuLtw0UUTHEyf4bJ01ixG2nTo17XUac/AgxW7l2tasoRdoTg7HV8Uv9OhRXnfHjpxDjxvHH0H7QGS9twLic0vRycMRUg3hKh3dHJCYV1bt+2qcQGYtadGuSEZBnc4pEDQXfn6McDBeOOflAX/9ZRAWu3QB3niDgmNUFP+dUktwrl5v2SbL6u2C1s+JExSjBwxg1NXRo7Y7tyybplErVFZSHHr0UZq3K9x+O/t1fcjKMrUMABjJuHdv/c4naH68vNQjAW+7jcIxwAXte+9xcfvQQ8A77xgWv42J4o1nTEWFGCdbA9UViFL7mxpz7Bj744ABjKY5ccI21xMdbRAWFf73P/UUZ4WxYy3b7riD4+eoUbwPFi1iBHh9fSEFbQ+1Pl5ZaepxWB3HjlmOrT/8wEJsjWWb07EjU0xff52C+q5dLPrl69s43ydoPPz8TKPFFfz9KSIGBvJ1t27Mxpo2jZslzcmmTQZhcfp0zpUfeIBj/4IFplG1tT0/BG0XEbnYCkjML0Oga81bwn4u9sgprURZZRWc7GovuZuBYuhQBT+4VHuMBxxRhkoUQwdXVBO+IxC0MBwc6FVXVsad5dJSRvI89BAwYgS9FpWH3ty5FHvmz69+wdGnD0VJ40nkTTeJghptESXNQxEUf/yRqYE7dtRtsZCVxWq+ycnsO4MHUxhycmIhIeOCBBoNxfDu3RlVq9HwuKefNlQGrg+yzJRXFxf2d3t74KuvhNjTGjhzhv2nooIeTJGRhveuuopj0Qcf0IfxpZd4TEUFC/088ABFaWUB8Oqr7NeffGK7yDI1Bg609Ap7/nnDAknQcgkIYPrdww8b2pydOW5VR2oqC0soxQa++44WJJs2WZcRUBPK89nFhempdnYcF7Oz6RuqxogR7OMvvMCIoNmzmUpaG2fPMkKzpIQR3QMGiGID7YnISEYE5ucb2p57ruaoxTNn6C+bn8+55gcfAIWFfE+vZwprY1rmFBezwJHCnDmcX9x9d+N9p8D2SBI3ZzIyaDHh4UErh0GDuJGyfz/nkoGBHKOzstiWksJI1cGDm97TuLKS/3V357zDOJPiueeY+nz33bThEZld7RchLrYCEvLK0MG1ZnFPq5EQ4OaAxLxyhPtVLxgqHEM6wuANCdVv4UqQEAA3JKMA4ahn+IxA0Az06MEd5ORkph1ERDCC0d3dcjfto49oHl+deBQSwnSXJUvohXPDDfRsqm/RA0HLJTbWMlIxIQGIibFeXCwq4g7up58a2hYsoJDt4ABMnMg0v48/5mTykUfoI2ZnR9/FG2+kMBga2rBFbkkJ8O+/NAIHKCy9/TYjdwQtl5MnafCupMc7OdGvaPhwvg4KomB4//3sH507c5Eybx4jWo4etTR8//pr9q1u3RrvuiMj6Sf6xRdcfN9/Pwt8CFoHN97I1OYvvzSkOxuL2uacOWNaxRRg9MqZMw0XFwcM4LN67lxG4SpRjB99xHtB7bo8PbmBOG0aF8AhIbWL6bGx3MA5c4av7ezoHynS99oPvXuzT331FSMR776bfaK6zebjxzk+p6fztZcXx95nn+XrW27hBuK77/K53hisX2/Z9uGH3EysKbpX0PLo2pWbIs88w/lhSIjhvU6dDCnQhYUUsr/4wvC+4tXdmJuG5owbx+8bM4brInO2bQN++41rMOPfRdC+EOJiKyA+twz+tUQuAqwYnZBXZqW4mIGuNRRzUegAVyQJcVHQCnFyYjRYVhaFmlOn1B/Cvr617zL37csU6spKLkAEbRNnZy4qzFOiXGofUi8SHW0qLAKcBF53HUVuNzcugJUFjLGAKEmMdLQF+/YZhEWAkW0//KCehiNoOfzzj6nvZlkZUzqXLzeMX1qtpceWry+weLGldx5AEbs671BbMmQI7Sb0el6joPXg68uo7Rtu4JhUW+pwdZtrtth069OH3rBffWWaHp2Tw0IXNYme1UU2qrFrl0FYBPh8nzeP4o2oKN1+GDQI+PxzbjzXNm79/rtBWARovXPqFMX5kBD20T17mLb822+NE8GoZpUSGNi0IpPAdtjb154JdfKkqbAIsJL0NdfU7Itra6KiGJ3+77/0CzfH1ZVzzaa8JkHLQwT/twLO5pYi0K32lUEHV4qL1nAIaTVWilbwh4vwXRS0anJzuYCQZU4czXfT3nzTer8aISy2bXr2pEG7MbfeCvTqZf05ClSGy6oqQ9qUglbbuOl3mZmWbfHxjKwUtFzU/BHPnFGfyBtTVERRLyuL0TjGvPVW7cUJbIUkCWGxNaPVWudJ2Ls30++NmT3btOhKQ+jfnynL5hw5YpvzA6YikUJCgmlqv6D9YM24peYreuYMxb3FixklDtAPscy65VidmTiRUcYKWi0tKJycGuf7BM2P2ryystJyXtnYaDSMyH3pJUZbGq+JvLx4HxhvjgraJ2Kp3ApIzC/HdVaIi34u9jiXb93T7CgycA8G1nqcL5yRhPxajxMIWirdunEh9PbbTG9duJC7y7m5nKSJNFGBgosLI78mTmSKVJ8+TEf18LD+HN27My3QWNzr3r1hKakVFSzE8scfjECbPp1RYjWJAP37W7bdcYfwwGvpTJnCFDdjlBTomujWjX/bzz6jT91113FBcvnlrO7Y1Jw+zQiwEycYpTtqlCg60JZwd2c0/6RJTMUPDeWYY8sNk1tvBdauNW0zFzTrik5HEd7JSf3Zf//9orq5oHpmzgR++cW07cYbmZ5aXGxou//+hvvhlZVROLS3Z0bEunUcV6dNA/77j9kJRUUUe0QF9LZN1658fmZnG9rCw5vP+12SmBr9ww+cmzo48JkgSeyTs2cDV1wBjB5N0VHQvhCRi62A5IJyBFiRFu3vYo8EK8TFKuhxGlnoWkOlaAU/uCBRiIuCVkx4OBfec+cyzerOO5n6NHgwd5dFJJfAmA4dKN7Nmwdcey2rM9aF0FB6Ko4axUXB+PGMaPCufbitlp07KRC98w7w2mucsNVW9XnQIGDZMhqBa7X0knrkERFV1tIZMYLFMQIDKWrPn89IqlGjWDE3I0P9c8HB7HdRUfSp27CBQszUqU2f4pmYyIXFY4+x7199NSN6rKnAKmg9nD9Pb8avvuLYMno0U+ZsxYQJTC91deXPokUUM+uDTsdUvmnTeN7ffuPm0YoVzGZwdaVAdOedtrt+Qdtj3DhuVLu70wLg5ZeBK69kWnVQENsXLKD/YX3JzQV++onizTXX0CLg8stZFfrLL/l9O3ZQwHzqKeCSS8Rzva2Sn88xavZsg4+nvT03IX/5peH+tg3Bzo7zFY2G/b+ykpZAL7zAfnrVVZyDCtofInKxhVNQXolKvQw3h9qfHB3c7LEzufYU5ljkwA8ucEHtgqUfXHAKWbUeJxC0ZFasMEwGU1NZ8OCXXzh527qVIlJ1FBZyN054MAnMSU1l9EBaGlOno6LYT1JTGbXw+OP0PbzsMi666xNBptczks24yrNOx/7buzcnmmo+Z87OjKgYM4YREJ06NY3vnqBhuLsDs2YBkyezKuRNNzHiGmDhqYICLjLVGDKEno3Z2YCPT+NHDOTmUpQx71dHjnDjxpiFC7ngttZTtKyMFbOPH6fHWFQUhXuBbSkqYgXSU6e4kRIVZSgiUBv//WeZlvfqqxxz6uJTWx3+/hT8br6ZwrRSvKg+7N5NgUYRuHfupMA4Y4bpGCkqRQvMSUw0VO6NiGBV9euvN/TJykoKfpMnA+Xl7Ef17acAC2UYR+gOGQIkJZke8+KL3LQRRTPaNv/8YxCqN2+mqLh1K6PEbTHGNhQ/P17f+PG8P1JSTN9/8UWKjObPlPx8zhtEYcy2iRAXWzjJBeUIdHOAZMWTqoOLA5ILyms97gjSrYpaBCgupkKEdglaL6mpjFbo1o0iUHg4hZn8fEYCeXgAAwdaFkjIzwf+/pupXw4O3I2bOLFlPNAFzU9mJnDPPewjCp98wrb332e0gXFK38qV9RcX1Xx1cnK46C4q4gTu0ku5MN63j+lTAPt1x44sgCCExdZFQAD7jyIsKrz1Fv/u1aW3e3papuOVlbHIwL//8r0+fdh/BgwA+vWr+7UlJADff28orvHccxSlFCorLT+j06m3V8eaNabRP4MH8x4Si2nbIcv8Gz7wgKHt8suZ6mZNarCaD5ji/WkrJMm0SEtcHBfZp08zUtLPDzh4kPfLkCHVC9B//GEZOfv++4zsbc7oH0HLJimJAvT+/Ya2X35hejQAHD7MSPGdO2lFcc891QuLGRkUhrZtYzprRAQLXwQEGI4pKKAPuDFq91NZGb2cBW2X0lJGKypUVVF47tuX0aotgZ9+Au67j/82Libn7MyoWkdHjs/Ll3MzcswYipCvvMJ+P28e24Sffdui2f+ckiRNBvAhAC2A/8my/IbZ+2MBrAKgWDv/LsvyQms+2xZIyi9HBytSogHA39UeaYXl0MsyNDWIkYdx3qpK0QDgCxecF+KioJWSnc1UwddfB86dM7S/8gqjeh57jBO32FhLcXHTJkYNKVx9NXcRJ05siisXtHSOHjUVFgFG2Vx+uXqUa30jX+3smHL433+m7X37Ak8/zX9v20Yxs7KSaVvKokNJ20pOrjk6V9AyUROEnZ3rPhH/919GDyj4+jL65oEHKNQYC4O1UV7OtL/vv+fr2Fief98+FkQC2De9vFhJVeHBB62PPDx/nmOzMQcOMApYiIu24+xZwxiisH49/WYnTKj5s6dO8W+s0ZiKH3PmNF6Uf0oKx7GjR/n67bcZHb56NYtVDR3Kar5qkZfVjckiUlFQE4cOmQqLAMem0aM5Fk6ebCgM9NZbvHd+/pkR6MbodOyv77xjaLvkElZnv/NOw4aQVmv5WYBtxpuMTzwhxsK2jkaj3hdcXZv+WtRISOB4r6DRcEwtKuKG4yefMJ377rsNHuSvvmpYj0VHG+auLUUsFdiGZn2sSpKkBfApgCkA+gC4SZKkPiqHbpNlecCFn4V1/GyrJqmgDH4u1omLTnYauNhrkVlcUeNxB5GGMCsjF73hhFyUohI23IoWCJqIEyc48TMWFgHgo48YmagsikpLTd+vquKD0Zyffmqc6xS0PtSiCYuLueCYM8c0esHJiT6O9WX8eEZtjR7NFOuPPmJ0kYJezwi3jz4yjWYoLaUI8Pbb1Xv1CVouUVGMzDJm0SLLtprIz2d0gDHZ2eynlZUGkdBaEhKAJUtM2woKTKuohofT8/HOOxkd+f77vCfsrZvKoKxMvb82dWXMtk5ZmbrnsFpEojknT9Jn6513KEQOHcqNjMGDbX+dCkeOGIRFhcWLGTEG0If28GH1z151lWk1XUlinxQR3YKaUBtzMjJ470RHW1Yc//tvCt3mnDnDcdCY3bs5Pp88aWhzdbUcr7/5hgL6rbdyPP3oI+Gf3B5wdGRFZuO5pLMzo61bAmVlps+KDz/kM+DOOzkXzs3l3NS4uCFAT+lp0/jvqipg164mu2RBE9HckYtDAcTKshwPAJIkLQcwHcDJGj/V8M+2Gs7ll1stLgJAB1d7pBSWI6CG6tJHkY5ZiLTqfFpo4AUnpKMInVCHkqkCQQugqEg9daSwkJM6gDtt4eGm72s06mlhdVnUC9o24eFMkS8pMbRNnMgUvh49gC1bgFWruFiYNq1hi243N0bOTpnCCd3kyYyQMMbDg+km5hQVcaJXVnutL0ELo1cvYONGLlgTEymQjBhRt3NUVBjGOmPKyxkBae6NWBv29hRpjPs9YCrcACwo9NVX/J66WkkEBbGy+ddfG9rs7OgxKrAdnTtzLFFsFAD+rXr1qv2zjo7sO089xSID3t7ckGtoNeeaKFdx/SkrMxUIqxOgBw9mlMyaNRwPp08Hhg1rnOsUtB369OHYY2zpcOedjI49e9byeDs7dcG6okJ9LlpVZSnwT5jAcX/VKkaZX3EFx1MlWlJY87QfxoxhFtWqVZzjXXll427g1IWQEPZNxf4nN5f2USdPsrCLVst+b05hoWn0pfCzb3s0t7jYCYCxTW0yALXH/XBJko4ASAUwR5blE3X4bKvmXL71kYsA4Odij5SCcgzqqBJLDSALJSiEDoGw/m72hytSUSjERUGrIzyckQ7OzqbRiQ89xGp7I0cylcVcXJQkpvGtWGGYVDo5NawCoKBt0bs30+SfeYZ9bMYMpoIoaSyjR/OnrijRhgUF9Ak1Tn1ydOTPiy9ykql4iPn4sA8/8gjTXI2JiqK3TVBQvX5NQTPTr1/9fBEV/PwoAD36qKFNq+XmSXExU5bqQteujE4wTqft358/5mi1pgvh1FSmUbu6sr9Wt6hwcACef57HLVkCDB/OKLMBA+p2rYKacXMDPviAHm8rVrCfvfWWdSJu//70A8vJ4QLym2+4sGzMojt9+zJ91Fgsnz6dQgzAflPdtUsSx8K6WAAIBJGRFN+feopi4h13MC3a3p6eiWPHmj5z58wBune3PE+3btx8/PdfQ1tQECO7FDsJBScn2puMG2fabjyepqUxGtLFhWOpWvqsoPXj4ECBccyY5r4SS1xdGY0bGEgrgIgIPj9CQ3lfvPsurTPMxfkbbzRkgfn6ch0maFs0t7ioZgxoZrmMgwBCZVkukiRpKoA/APSw8rP8Ekm6D8B9ANDZ2Bm6FZBcUI5eftZvU/k6M3KxOg4hDT3hC0n1f18154QzUiHykRqL1tw/WzphYcCkSVxIL19Oc+4bbuDi5K23uEAynpQVFjLtz8mJHiDbtzO9z86Ou8ktZcewqWmPfTQ/n9Firq5cGKjZ2I4cyaiyggIKeNamfVZHbi775ZtvUjgMDGSkjfmC+LLLuKDZsIGTs3HjDDvBn3zCiC87O/Z1WWZ14bZsmN0e+2dduOEGjmkffsix8NprObn/8kum3NcFSaIg2acPI8F69ODCp7YKw0ePUghKSODr++9nBenqCod060aBcfJkplUpY3O3bnW73pZCS+ujyvjm4gJ88QX/Fh4e/FEjMZEi3oED/JskJNBjtrKSz9Dvv2dfakiV3Nro2ZNj3ttv0wvvpptYffzJJ5mWrTzTBXWnpfXP5ubsWfb3Q4c4vv3+Ozf2AgMN6cj+/kzx3LqVVe2HD+ecwN6ec4KEBN5f3brxvvrsM465q1axn06dyg2Tugryx48D11zDjRqAkZSvvVZ9ka+2guijjc+hQ5zT5uUxKvGSS9jvz55lnw4J4Wa2Qo8etMdYsIB93M2N98qHH9Jf8a+/uIG1ciUF8YcfpmDv5sa56/jxnEsI2haSbF4+rSm/XJKGA1ggy/LlF17PBQBZll+v4TMJAKJAgbFOnwWAqKgoeb+5O28Lps+ne/Hk8GB097GuXvt3h88j2MMRi8arz8Dfwg7sRyoexBCrr+ED7MZkdMdsiC1fG1Dj1Lu19c/WQGEhd4vj4+mP88MP9MwZNYrh/MpiKiaGD75//+VCfOFCVkEzr7zaxql1adge+ujJk6z6uGsXRbt33gFuu63xjbQ3bKBwaMzIkZygVbfoByi+XHcdxR4XFy7+b72VYnhwcJsrWiDG0HpSUMCFb1ERRWdrKgLbgvJy3j8rVpi2r1nDCFw1KioYDfH776z0q9Ew5fbZZy0jzVsYLX4MPXmSz7YdOzhevP02MGtW9eNbVhYFaiVC8JVXWBnUePkQGMiiPsHBjX/9Oh3T8r28mFaans7Fak1jpMAEMYbWQEYGMxG2bTO0PfooxWtHx9o/f+oUC1ls2cKsmTfeoADo7s57JiuL45unZ93nFDodN3eWLjVtX7mS1ilthBY/hrZFDh8GLr3U1Fpi40YWBHz4Yc4fBg6koF7dJs7p0zymtJT9ffp09vMnn2QWRRsaoxtxG63109xLjn0AekiS1FWSJAcANwJYbXyAJEmBksS9UEmShoLXnG3NZ9sCqYXl8K9jWnRSfvWRi/uRijD4VPu+Gl5wEpGLglbL6dPAwYNM43vnHUOhgJ07mdIFcKL3/vuGlJWyMqa77t3bPNcsaD5KS5l2rJhMFxezqu6hQ43/3UlJlm3G/bQ6TpwwLIRKSijIzJjByWAbExYFDcDDg4tdf/+mExYB9l9FmDLm1KnqP5OYyMXOypVckFdVAd9+yyghQf0pK6MwuGMHX5eU0Cbk4MHqP3PypOnfr7TUVFgEWOHb3Li/sXBwoLAIMIosKKhNLVoFzczJk6bCIsCMgDNnav9seTkjtrZs4evSUqZRHzjA15LE8TcoqH6blbm5wH//WbYbF9QSCOrDxo2WnrUnT3JTTynccugQnxfVFf06f95gQVVYSBH800/5PBdjdPuhWZcdsixXAngYwHoA0QB+kWX5hCRJsyVJmn3hsBkAjl/wXPwIwI0yUf1s0/8WjUdpRRVKK/XwcLS+JJifiz2Sa0iLPog09KijuOgDZ6QIcVHQSqmo4ILanJEjGZYPcCf5118tjzlypHGvTdDyyMhgZUZzYmIa/7vVMn1Gjzb00+pQM83W69XbBYKmxsfHMiIXqN3bb/t2yzZjzzJB3UlPVx/fahJOzMcRZ2fL9OegoKYVrAWCxqK656mxb1x1ZGRwQ8ScmjZS6oKPD3D55ZbtERG2Ob+g/WJeWAhQ39jevp0pzmp07GhZcMjZWXh+tzeaPaZBluW/ZFnuKctymCzLr15o+0KW5S8u/PsTWZb7yrIcKcvyJbIs76zps22JlMJydHB1gFQHExs/F3ukViMuFqIcqShEKOqW5+kjPBcFrZgePYCUFKaBKVFcnToxxUXxW/TwYDU+c7p2bbrrFLQMPD3VUz46dmz87x40CJg3z7Sfvvde7WbtvXpZCpOTJ9NzVCBobhwdWeyjRw9D28MP0yevOjp1Ui/gMsR6RxeBCtWNbwEB1X+md29ucihec7/8QtsQxWPWy4uFd2rz3RQIWgO9epkWUgOAKVOse556etJTzhxb3Rv29szCMa7oft999MYTCBrCZZdx7ilJ9AF1d7csNgTQ51aJHDenRw9GKyoCo4sLraiMn/2Ctk8btnhv/aQU6OqUEg0Avs52OF+kHq5yBOkIgw+0ddSUfeGMNCEuClopfn5MS1m7loUyvLy4QFUmgOnpLDbw+OPA7t2GcP9Jk4Bhdag/n57OVJqtW7koHjdOiJOtES8vGlBPnsyUQQCYOVNdfG6M737hBfrQpaYaDLL37KHvolbLRU5UlGnkUEgI8OefNNbesoX+i7NmiTQUQcuhXz+OjcbVol1c2M+3bmWa7rBhLJ4QEsIoiptuYr9OSeE5+vShh5Og/nh50QLEeHybMcOyWFlBAXDsGP8OcXEUJK+/njYjnp7821x7LVOhO3cWzzpByyc5mX3azg6IjqYP92WXMYvF29twXEgI54uffcaxacYMpoZaU5HZw4MeppdfbogEu+oq21Yp79uXBd1iYxkVFh7e+H7QgrbPkCGcPx46xJ9evfhz333A4sU8xsGBRYn8/XkvnT7NZ0pkJNskid6fhw4xurFjRwqLjVnoS9DyEOJiCya1sBy+znX7E3k62aFYV4XySj0c7UxFxINIQ3d4V/PJ6vGFC9KhEi8tELQSwsO5A1dUxEmYEhmWmgrccQdT7Tw9adrduzcfiBERfFhaQ3k5hcv33ze0jR4N/Pab9ecQtBxGj6ZHUkwM+0VERO2pybYiIwOYM8eQEvr++4xUUFKyFD8n80iFfv2Ajz+mYGDNIkggaGoCA00rmhYVsSL099/z9SefANOmsR8//TSrqj7zDDeIgoKAESNEdJwtGD2aHouKUGg+vhUXUyBJTubGm7Ef4zPP0LPRwaHpr1sgqC9xcaywPH48C0nFx7P9ww/5jH38cdPj+/XjeFSf5+mIEcD+/by/PDx4f/n52eTXuEhAQM3RxgJBXbG3p8/xo48a2hYv5sb2bbcB2dlA9+7c5PvvP1aTViwEpk8HvviCz3dJ4npLLepR0D4Q4mILJrVQBx/nukUuaiQJvi72OF+kQ6iXk8l7+5CKbnX0WwQAbzghC6XQQ4ZGFEgStAB0OhoEa7UM0bemaIUkWU4SDxwweHjl5wOLFrHS2caN1Yf9qxEby0mqMdu20WR77FjrzyNoOSi7tnVBr+dubXk5q6bWZwG+d69BWOzfn0KisdeTTse0E7U0KK1WCIvthfqMgS2NmBiDsKiwZg0jJZTK0osW8b9dujCCV2AbwsOrr7odHc3/7y+9xMqgxrz3HjfkavPLbG9kZ3NjyMdHiD4tkePHKZZ7exuERYX58yk8hoaatjfkeVrT/QUw4jczk5vPYgNaUFcKChgc4e5uuw23pCRmzhgTF8eiLsaVyLOyaGti7E26ahVw//3MrBEIWuF0tP2QXFgOnzpGLgJMjU4rsvRdPIQ09KyHuGgPLdzggCyU1PmzAoGtOXcOeOIJLm769gVee40Pu+rIzubEMjXV8j2lcnSfPsDNN1PMsbPjsdnZ1l9TRQWFJXN0Ov43MZEpq1ddxV3yuDjrzy1oHcTHc+f2/vtZHW/NGvZVaygsZJW9pCQKkwouLowiMqe26tGClo9OxzFGbdyojaQkYPlyCnM33FD7GNgSKS5WH5OV98xJSOCCSq/n75+e3qiX1yYpLeWYlJdX83FKX1IrhFZZaV1hi9poSP9vaezdSxuU2283VDS3xf8jQcM5e5bP4y++oCjuo7IEKi21zd8rK4tjU23n2rmT0cNKf9m2zfria4WFlhV9Be2DvDxG0p44wUjB3r0ZDLF5M4tPnjhhOn+0hqQk4KuvuDY5cMBQ6dkYZR2jUFioXgBMWU8JBEJcbMEkF5TDt46eiwDg62KPtELT0aAMlYhDLrrWIy0aAPzgjPMiNVrQAvj1V3rhVFUBZWXAiy9yMq/G/v2MHOzXj34i69aZLmZ69gReeYWi4s6d/O+jj7LQwCWXMB3AmklfWJjljl1wMCPfCgsphj74IAWnJ5+kH15rEwME1aPXA998Azz0EL2a3nsPeOQRpkXVRGEhI7RGj6YH2tKlnCC+8gojbfftYxqXOXfc0Si/hqCJOHyYf8MhQ4Dnnqu5Uq85KSnsH3fdxaJU/fqxEml1Y2BL5d9/gWXLuDgyplcvjqfmHk1TpjA688UXuagaNAj46Sd1IVJgycmTwC23MK1t4kT1StwK3boxcr9nT9M0doBp6926NexaDh8G7r6b/f/pp+vW/1saqan0uB02jN5i8+bRx2/uXCGANzd5eYywevhhzv3efx+QZctoxNmzLQui1QWdjhXYhw3jPfPEE9wMUSMxkcLQqFGMbHz+eWDCBPaX8+er/46CAuDnn/m5sWOB338XY197ITOTPoeXXEIv+J07DRtzL7/M6PJhwygQLlnCPmYNJSUcr+67j2uTF19k+rMxbm6WlcgDA00jGRVEGrRAQYiLLRh6LtZdXPR2skOqmbh4HBnoDE84QFuva/GFixAXBc1OSQkfnuasW2fZlp7OqJ7jx/k6NZUPRGPBJyyMYuXy5ZwMLl0KLFjAB2xsLBdShw7Vfl3u7vQJmzePi+N776XI1LkzU/9WrjQ9fscOCgKCtkFiIgVFY9LSGM2othOssGULiyQcOcKf55+nB9q2bVyA6PVAbi775yWX0Hh+9WouMAStk4QEmv0vW8aomrffZsEpa6NR1qxhpEFVFTc+vv2WxTQ2bmzUy7YpRUVMu122jGPsHXdw3LznHvrU9u/PPq/4lI0bxyJLK1YwSlOJerzlFhbhEtRMbi7FvJUr2Wf27+dmRnWbHz17AuvX85n2xBMUzxRj/5tvbljxiMRECsVLl7L/v/ce8MADhkJqrY3ERPZnLy/2Z+W+fOcdYMOG5r669k1MDDeIjZk/nxuB11zDjJU336SPqH3dl1oXOXiQc8v4eG54f/IJbXKqqiyPTUhg//D15eaI0l/efZc+dtWxaRNw440GD9TrruM8QdD2+fVXCuCnT3PtMHs2NxcHD2bU9PffM2IxPp6ZM3v3Wnfe2FhWclY4fpyZW4sW8d64/nqOYX36mH7O2ZnP4YkT+drHh+O5+UahoP0ixMUWTFqhDr4udU+L9nayR2qhaWz0YZxHWD2jFgH6LgpxUdDcODpaVrUELHfWAIb7m3vrlJebpiQnJlLUMSYuzuCBo9fTf8oawsKAhQvpC/bZZ1wgA9wpV6O6dkHrQ5bV0/uKithnq+Orryzbtm5luuDzz7PvLVhAkXzDBkZ7TZvGdGlB6yQ62jJ96O+/KbTUhixTwDBn//7WJzjr9fx9Fi5kFF2fPoxG7NOHXqXXX89F9MmT9HPy9TVUrDSmpgg8ATl3zlKELS6m+FId/fszvfPZZxlZ2KcPN/GOHm3YtZw6ZRmhtWGD5bO6teDtzaihHTss31u1qumvR2BAbY6Vn88NvKVLeU888wyrQzeE48ctv+vrr7nBaI6PD+ewav3FfBNaQZaZ1m2OsTAkaJvk5lpuXOv1zGC46SbL+YBezzG2yIrlutr9sXgxcOmlvDeWLmUWlxq9ejF6Njqakei33AI4OakfK2h/CHGxhSLLMs4X6eBXj7RoHxc7pJiJi4dwHl3hVe/r8RLioqAFoNUy9dTYN6dnT0ZhmOPtzZB+czp0MPy7uoeh1ijA19PT+uuTJFYHtDPaE+jZ0zJlOiqqZrNvQesiNJS7ycb4+zNytaZCG2rG/56e/ExAAPuI4nvm4qLugSZoXaiNOXZ21kXOSJJ6IZ+ePZli2lpwc2MaoEJsLCMyo6JMjwsJYQq0uzv7f9eulucS1aNrx8VFfUOipqJlTk6sWg9QUPz9dy5op01r2LWo9X+ttvVWn+7Rg955PXpYvqe2ESpoOnr2tLQVGT6cEVYuLrYrfuatErcREqIe4durF0Uhtf5iPv4pSJLpvFVBFA5q+9jbq/uEOjkxClbt+efjY91csXt3buIZ06cP293da5+TuLmxPzdUnBe0PYS42EIp1FVBkgAX+7qnMfs62+F8kWla9EGkIawexVwUvOGMFAgXYUHzM3AgowNXrgT+/JPRXGpeH926MYLQ2Lvruef48CypqMS/cTlYnXUOt9xhmrsyZYohrWDo0IYvEDw8mCbz3nvcEVy0CPjxR/XJoqB1otUytXP+fGDMGP77+efph1cdpRVVuPbmCpNFtRKZ+9BDDfOAErRcIiIsK8g/8wwn9NZw221AUJDhdZcuFDfCwmr/7NHzRXhxYzxmrjiB36IzkVNiZRWBRmDyZEZ2TZ1KD9rNm2sea52deX8Z3y9dunBMFdRMWBj9OY254QYWRKuJCRNo73HllYxM2by5+kgWADiWXoSXNp3FdT8fx4oTGchW6V99+tCT0Jg5c6zv/y0NrZYptnffDXTsaGgPC6MHmqBuFJVX4u8z2bj5txN4cn0s9qXUP1/e25uRWG+/zXHi9deZQqrYLdiKwYOByEjDa42Gac5qoqO9PcXFO+80Hce7dVP3sVO4/35TscfJiecRtA3O5Zdh8YFUXLP8GN7fnYS4HBZQdXNj9orxOsbHh7Y548axTxtvYPfrx0hqrRXSgasrnwsffcT7Y8EC2pIYj2MCQX2Q5HaWmxcVFSXv37+/uS+jVk5lFWPy0qP47upe9fhsCb7Yn4rDsxnKoIcML7yBJbgGnqhf3PK/iEcMsrECM+v1ecFFpJrebC39s7Wg0wHHjjHlsGNHPng9PIA1p7Nw1XKaMd7cNRi9SjqiMMEZgwdq0KULU/G8vZmmZ0uRR5YtCxW0MGq9OtFHqycxEUhOZvp9WBgjGqtj7Zls3Lv6NB4N6YX8kx6wlzQYNEBCx0AJ/fuLKMUaaPVjaGIi03lPnmQk4vDhdVvwJiQwFU+SOKZZM0adzirGyG8OI7vUIPh8PKU7Hh4aXPdfwIbUZUyUZdpYHD3K+2Pw4IYXF2kEWuQYWlTENPPYWBryDx5sfeSTNX+jmOwSjPrmEDKNBMV3J4XhyeGWYS3nzrH/Hz9u6P+KFUlr5uxZ/k5aLTcRWvAGUYsdQ389mYmZK05cfO1sp8GOuwZiYMeGhRk29twrMZHVdgsKKNoPHGiawaJGQgLnpxpN7eO4Xs/zb9rE48eP5/y0jdIix9DGokhXibtXncYvJzMvtl0S7IHVN0bA39UBOh0DHjZt4rpk3DjDxlBFBbBrF8cdNzdgwACDJVNdaAVrk5aG+L9VA3U39BM0CfRbrJ/DsI+zHTKKDRO8c8iHM+zrLSwCgC+ckSYiFwWtDAcHLqKMI2JKKqrw+nZDObWfziZDK6Xg3avDcMMlXGgPG9Y41yMe3m2b0NCaBUWFsooqvLHtHNKKdJgbfRReznwUP+4ZjKuHdWncixQ0O9b2k+ro0oU/deHQ+WITYREAXtqcgGt7+SPIowZj0EamLmOiJHHxNGBAY11N28XNjdEp9Yn0tOZvdOR8kYmwCAALNifg+r7+CPYwnXt27szCMG2Nrl3VU/cF1lFQXoFXtiSYtJVW6rElMa/B4mJjz73qM6bXZRzXaGh90ZrsLwTWcSa71ERYBIDdyQWIziqBv6sDHBzoqazmq2xvX/9x3RixNhHYEiEutlDSinTwqUelaADwcrJDVkkF9LIMjSThBDIaVMwFAHzgjAwUN+gcAkFLQJaBSrPiG1WyjLyyyua5IEG7QwZQJRs6odL3qtpZJoGg6dCr9K0qPSBD9DlBw1Ebu/SyKFwmsB5ZBipVOkylXnQiQdtF7dlcU7tA0NIRnostlLRCHbyd6qf9Omg1cLXXXPS7OY4MdEYdqlKo4ANnpAtxUdAGcHXQ4tmRhvwTJzsNbu4ehKkdOqKi+SzIBO0IvU6LVwf3xtTO/tBc2DG200iY2qP+vrgCQU0MCHSDh6OpEdO8Szujk0fzlXjU6YCkJFbEFLQuqqr4t8vK4uvIADd4mc1Z547ujOBmjIoVtC48nezxwmjT8D8HrYSxoV7Ncj1ifBI0BT18XHBlD1+TtsgAV/TyU6nC1Q7Q62kvlJlZ+7GClokQF1soyYXl8Hauf2Cpr4v9xaIuR5COLg2oFA0A7nBAGSpQCqG+CFo/k8K8sfrGCNzWrwM+6zUU8Z/0wNIvHfHDD0B0dHNfnaAtc+QIMHMmMHmYC4p+6INvhkThzsgAbJoViSFBHs1yTcnJ9LFThAJB26OPvys23T4AD0YF4bJuXvj72oEY49QJ5841z/XExAD33suqqePG0U9KBGq0DhITWYSod296Jq5aBYR5umLj7ZF4ZGgQxoR6Ys11kZjsEYz4eAl6fe3nFAgA4Ioevlh5Q19M6uaNWZEB2DxrAAYH2aiscx2IiQFmz2axwLFjgY0bm3d8Ki4GTpygZ6q4n9oWHk52+GhKd7w7KQwjQzzx8tgu+GVmXwS6tZyNmYIC+jrGxzfu9yQns3Bbnz60s/rlF6C0tHG/U2B7mj0tWpKkyQA+BKAF8D9Zlt8we/8WAM9eeFkE4AFZlo9ceC8BQCGAKgCVsixHNdV1NzapBeUI86l/RIGPsz3SinToF8DIxUvRAIMnABIk+MIF6ShusFApEDQ37o52mBbuh5BSX1x5pYQnnwTeeYdV08LCgB9+AEaMaO6rFLQ1zp8HrrsOiIvj662bJZyOdsPevb2bxfxfrwf+/hu46y4gIwPo1Yt9X/g6tU0GBbljUJA7Dh+WceftEg4fZuXJL79k9Un7+jmx1JmSEuDpp4HVq/n6yBFWj963r35m9IKmQ68HPv0UeO89vo6LY7Xk7duBESPcMbCjO2JiZDz5pIS1a1l4Z9EiVlP2bFgCjaAd4Olkh6t7+WN6uB+kZjKCKy0FnnsOWLmSr48eBaZMab7x6cwZ4KmngDVreD8tXAjccw/g5dX01yJoHLp6O+PJ4SF4/JJgaFqYAeLJkxTat20D3N059t98M+DSCIGVS5YAr77KfxcWAjfcwI3HsWNt/12CxqNZxUVJkrQAPgUwEUAygH2SJK2WZfmk0WFnAYyRZTlXkqQpABYDMC63ME6W5TYXb5FapMPQTvXfrfN2tkN6kQ56yIhDboPTogHAFy5IQ6EQFwWtmvR0PiwrKgBJknDXXcC8eYbdsbg4Rpbt2wcEBbECZGwsH6p9+rDadFOg1/N7i4poFO7rW/tnBC0LJdogM5NG/9nZBmFRIT2df2c1cTE+nsd7ejJKyN3GARzR0cC11zL9CwBOnQJuvJHVBzt0sO13CVoGubnA3XdTWASAnBxO4PfvZ4XTxkaWOf6uXs2ot6lTWV1dq2VEnLWLd1lmdFFCAitt9+kjKqzbEuW55+HBitxpaSwq4e0NuLrymWlvz7Fi3ToKxCNGAJWVwIcfUlgE+Fx96ilWw504sXl/J0HrobmERYBjSmQkK/LqdMAXXzByKzq65vEpL4/P6+xsbtpERjZ8w6aqCvjkEwqLAO+np5/m/XT55Q07t6Dl0ZTCYlUVx/jiYs5PvVVKM5SWcqzfto2vCwuZcRAUxOe3jw2dfLKzudHZsSM3o2SZRTljY4W42Npo7sjFoQBiZVmOBwBJkpYDmA7gorgoy/JOo+N3Awhu0itsJs43oKALwKIu6cU6JCEfbnCACxoekuADZ5xHUYPPIxA0F/Hx3HHbs4evu3QBXnjBMuw+NRU4d47/nTLFkC56zz3Aa68B/v6Ne51FRcA33wDPPguUlXHR/8MPQERE436vwHYUFgJvvmnYhXV0BL76ipOxnBzTY9UE6927Kbwofk8PPQS8/LJtReb4eIOwaNyWlCTExbZKaipw8KBpm17PRXFji4ulpcCyZVy8X345FzTz5/M9Bwfg+++tP9eGDYy2LClhpcuFC4HHH2dVZEHD2LuXY092NvDYYxQaV6/mGLZsGfDuuxzfAODqq7lBoSwyMzOBFSssz3nkiBAXBS2ftDSOSb/+ytfe3hxbnnyy5sjbtDRg/XoKkXv2UFR87jmOSQ0RYLKymBpqzsGDQlwU1J/CQs5Hn3+eIt706eznvXqZHpeebsgwMGbnTvb1Tz8FQkJsc01OTtwkvOwyrsvKytj+yCMU95sqsEPQcJrbc7ETgCSj18kX2qrjbgB/G72WAfwjSdIBSZLua4TrazbSi3Twdam/9uvlZIfUQh1OIQuhNohaBABvOAlxUdCq+fdfg7AIcJGr1Voe5+zMKLE5c0x96P73P0b42Jr9+/mQnz2b3j7Hj3NRpzxcDx2i0FhSYvvvFqiTmAh8/TVw6638b2Ji3T5/7JhBWAQYnfXMMxQcjXn4YcsJXX4+8Oijpkbyn35qKQo1FDUB0cNDfQdb0Dbw9AQCAizbm0JMPnaMmzZVVcCdd3JxoqDTcRFhjQdkaipwxx2G8VCWgRdf5LgpqDvl5cDmzdzAePZZCoF2doY+oSwur7oKWLDAICwCwB9/MGJRsVLw9AQGDLD8jtCGOfMIBE3Cvn0GYRHgM3jFCoqEhYXA7bfztXmxiRMnaDGizC8rKoBXXjGdb9YHDw/1TZ9u3Rp2XkH75sABRpRfcw1F8IoKYOlSS895T08KfuY4OjKadvt2212Tqyuf4++/b1j7AMDHH9OaQNB6aG5xUS3+V9UyV5KkcaC4+KxR80hZlgcBmALgIUmSLq3ms/dJkrRfkqT9ma2g/FBJRRXKq/Rwd1BRPazEx9kOaYXlOIUsBMM2cr8XnJCKwtoPFNSJ1tY/WzNqE71//uEDTUGSgM8+o5+N2vFJSZZtDeHgQeDSS4HXX2dKwIQJjCIyT6dZt46+eM1Be+ujeXkU/e65B/jxR/73kUfYbi1paZZt589z0rRoEcXkn38GXnrJMtoqN1ddxE5OrstvUTt9+3KHWEGjoeDT2hYu7a1/NoTgYGDxYopHCg8+2DReYrm5FOpfe83SHgDgRo55VK8a2dlASoplu1pbS6El99HNm1lU57PPgLfe4lj3xBMUCXca5Q51706B2BwldRqgD9crr5hGmYwfDwwbZvk5QcuhJffPpkRtc+PYMQp8119PT7jrr+e9YlxYJT+f95E5R4407HqcnSnoG0dNjhkDDB/esPO2RkQftR2xsXzmu7gwYvGPP7gZPmOG6TzT25vinpNRCYiJE2mhA9he9HN3V5/nqs2nBS0Xq0LjJEkqhKXolw9gP4CnlLTmepAMwDigNhhAqsr39wfwPwBTZFnOVtplWU698N8MSZJWgmnWW80/L8vyYtCrEVFRUS2+HmFaoQ5+LvYN8hzxcbLH7qJCnEIWgmAboy4fOCNFiIs2p7X1TzUqUIV45KISenSDN5xtkIbfGEycCHz7rWlbv36MEpw6lRExXbpQdNHrgUmTLFMCwsJse03//muZlv3pp0wN+NsoTnvAgOYz8G4LfbQunD4N/PmnaduaNWy3dpHctSuFauMKkz17MuVwyRK+d+AA/eLM8fPjgnzDBtN2c9EvE8VIRSG84YTO9fDCdXNjpNIVV3Dy1q2b+i51S6e99c+GcsUV7HuxsYxOi4iw/dhSBB0SkAcHaBEGb2ihQUaGYeFQVUUx23iB3q0b/ZZqIyCA91JMjGl7ly42u3yb01L7aHm5ZTR1eTlTofPz+SyU7Sox+aFcBAYBs0u98fkHpksH88jrYcMYARYdzTEmIkI9WlbQcmip/bOpCQ+3bJs8mQKLMW+8wawGZT7o789+vnGj6XE9ejT8mnoNLcdfSXkozbaDQ4oPenaX2uX9JPqo7QgKAq68kptJxsTHA2eLi5CFIvjCGSHwxKWXcrN740ZuEB49Cvz0E48fOtT21xUZaSnKd+1q2+8RNC7WRi6+B+BpMGU5GMAcAF8BWA7gmwZ8/z4APSRJ6ipJkgOAGwGYLOUlSeoM4HcAt8myHGPU7ipJkrvybwCTALSJpJi0onL4NcBvEbhQ0KVYhxjk2Cxy0RfOInJRYEEGivAiNiECn6MfPsc9WIME5DX3ZQHgA+qZZzg5/PFHpm49+CAXtQB9Rm68keH4l1xC76hBgxjyr1S57N2bx9rZcYdv8GDbXmN5uWVbWRnFJQU3N+DDD0V1wKaiqsq69uxsRh9OncpIH+O05YgIiohKVGLXrkw7VPyT3n7b0LfMcXOjr5myMLG3pwBgnB61Hym4FN9hAL7EICzGSpxCJfTqJ6wBd3dGQVx7LQVsB4c6n0LQgiktpRfYzJlMJVbSmPr359981CjbjytnkI0b8Sv64XP0x+d4CzuQg1KTvrVkCcdTJcKtc2eO0dYsmjt0oD9jUBBfOzvTP6pvX9v+Hu0BWTZNQVPQ6xkJetlthej91794/ZbP8ei4z1H8xl+Y92U+AD4n33/fMC4VFnIT5uqrOX4FBPA51h6FEEHrZMgQRlYrmSNDhgD33cdNQWMqK003Rvr25dzS2F9x6lTOKxvCKWThaizHSPcvMK3LFzg4cg9cAlQmjTZElun5fP/9vJfXrDG1QhC0fgYO5GaccR92dgbe2JiMu3p+g4H4EoOxGGsQA1mS0bcvgy3Wrwd++42b4w8/TEsMW+LjQ/spRbR3dWVASL9+tv0eQeMiyXLt4r8kSXtkWR5m1rZbluVLJEk6IstyZL0vQJKmAvgAgBbAN7IsvypJ0mwAkGX5C0mS/gfgOgCK41WlLMtRkiR1A7DyQpsdgJ9kWX4VtRAVFSXvbwzTNBuy4kQGPtuXgpfGdqn3OXJKK3Dfmhi4PrMfizAOITbwXTyFLHyO/TiC2Q0+VzumxnDU1tA/zfkFJ3ADfjVpex0T8BxGNdMVkdOngZEjKQApfPcdFzrx8UwHGDBA3R/KmMxMHu/mxmiZhlb/M2fXLmD0aFPhatkyCqInTjAVt2dP2+yAW0Gt4dKtsY/Wlaws7uoap8Vfcgkn2caRhp98whRCBQ8PphEaixxxcdztDQpiX0xIYHRW3761V7dNT+fx7u78+yt9LwPFGI1vEQND57aDBgdxH/qBK/nKSoPpdmkp/TwvvbRNVNRtc2NoY/L331zkKmi1rPxobVrdsWP0Ytq3j5E6U6bUHF2oh4yn8A8+wG7T68At6Hq6O4YPN3iJBgUxcqJHD4qLgYF1+91SUpjG6OPDc2is3S5vXFrdGPrbb0yHU9BoGDXt6gociDiMB5xXmRz/cdVUjDo2BM7OTJVWfItXrGDKqIKjI8XsqKgm+CUEdaHVjaElJcDWrcDnn/N5eP/9FDbUPLMbSmUlo7pLSrgpmJ3N8dLYf/vRR4F33jGdD5aXc7w8e5Zj0oABDSvApkMl7sWf+AGmYVwbcTvGofFCufbv56aT8cb38uXADTc02lea0+rG0NbGjh3AypXMWFGiEO99sRD/LPwaici/eJwDtNhTfj/OrPbH118D06YxurdDB65LjNOlbUl6Oi2ovLwoNDZj8fjqaHlX1IKwtmKIXpKk64GLCoLRNETdI9FaZFn+C8BfZm1fGP37HgD3qHwuHkC9Rc2WTFqRDt4NjFz0dLRDfnkVCqqKEai1TflEXzgjXRR0EZjxHyxdEZbhOB7FMJtUKa8vR45wUhgRwQhFJyfuyE6dakhVdXGhABNZw0ji79+41aGHDmW6wYcf8nofeYQp0Z6eFEcFTY+fH6tz//QTsHYt00hvvtlUWExLY+SVMQUFFKIBLlDCwkzT6IOC6rYDGxCgHvWTigITYREAKqFHPHIviou7d9NHTdmZ/uMP4K+/KA4J2gc6HVNTn3+efXPJEqa6/v67deJiXBytJNLT+XrTJqbRL1pk6tloTDZKsAInLNr3IgWTw7tjwwZ6yx46xKIuY8cCnWoq41cDnTrV/7MCA5MmcXz44AM+dx5/nM+exERglXTK4viftcfx4IAoaIzWV4WF7BfGKIVimkJczMszbBp27159/xS0TjZt4oafwvLlFBttHTkFsO8Yp/p7e1Ns372b/bx7d0Y0mm80Ozqyr9uqv2egBCsRbdF+ClmNKi5u3myZUfPqq5w7u9vGZUvQzPzyC/DRR4y2feIJbgINuboQXxkJiwCgQxUO5uXi7uu5CFq/nptOO3c2nrAIVD/3BTjO5+TQP7quG5KCpsHax+8tAD4E8BkoJu4GcKskSc4AHm6ka2u3pBaWw9upYTMjrUaCu5MGziUesHe3zdaeN5yRjVJUQQ9ts9cCErQUBsBydB+BYDhZPbw0HlOmcOf5rbdYDW3IEODll4H58/l+SQmj02oSFxsbrZYRZSNHUgiydWSkoH707Ekj9blzuWiwhptvphj51Vf8W06bxgW7rQukeMEZ3nBCLkzzGQPgevHfv/1mmvIC8FomThQL7/aALNPP9bXXuGkREMDiQa+8Yn2E37FjBmFR4f33gXvvrd571h2OGIyOFv7MPcAQnoEDGX1UUSFS8FsK7u7cgJs6lREiyvhw6BDQ3SkYCD5tcvyoqlBotC0ncCM6GrjrLoo/9vbs5w89JGxE2go6HaMEjamqAlatahxxUQ1Z5gbwyZOM/n/nHWDWLAotjYUnHBGJAGyHaRXBTjayuqoLLTByTGADPvuMm+aDBgGaAie4wwGF0Jkckx1t2smLixnd2hRF4IzR6Tivvf9+ivxdunCTQRQLa3lYqxAVyrI8TZZlP1mW/S/8O1aW5VJZlm1YiFwAACmF5fB1afjqz8VZgk9Rw9OhFeyggQcckYkSm51T0PqZhDATgbEj3PAATKMabE15uSG1DmDap7kf3oABrKr32WdcyAJM7TtyxDQVWm2hnZfH486csRRoGgutVgiLLZHqhMWOHbmIVbC3pyD55ZeGPrNmDX3kbE0XeOErTIPW6B6bUzkS4RUdTK7vpptMIzCMU8h0Ov4I2iZnzjBFVbGFSE9nZNldd9FHy5iSEtPCQwpqC8qaFpmyDKTE2eHJojHwhiGsYQxCMRLBJuewRlgsKhJeX41Ffj6FklOnDM9Oe3vTjYfycsB7cy+EVRhyOztXeWFmhWX4tbs7MG8eBb2pUw3exePGNe7vodMBr79OYRHgs37ePD7rBW0HtfTnphK8CgsZzXvyJF+XllK8rq4SdGEhx66yMmYw1Bd3OOItTIQbDIPlleiJKNRe9ersWW4O1Keo8rhxlvOeefNE1GJb4rbbgBdfpCe9qyvwzz9AGHywGNNM1m4vVo3BjsWG1K1evTiv9LSRtFCXeeiJE7RmUeYECQl8LQqHtzysVbB2SpJ0FsDPAH6TZTmv8S5JkFqoQ4R/w7fD7J31cCuyTUq0gh9ckIZCBMK25xW0XrrDB3/hZhxHBipQhT7ogC71qFxrLXv2cDERFwfcfju9thYuZLrpI48YUlJ69lQvzLFlCwsZHD5MH0XzamcnTwL33EMvRGdnFtK44w4xsRJYctNNhuISI0eyT5qzYgXw5JO2j3CYjl7YXnIfdp7PRXG8G7Z93gEfRDjigQc42Tp5kvfK8OEsWvTyy6yKrtMxteWdd7g4mzOHi4k24MUoMOLcOYqGxuTkcMNFGfPi4uinuHIlC2/cc49ptfD+/Zl2nJJiaHv6afWqzCUlPNeTTwIaTRBeXnovOl2WCT8XB0TAHx3qMGcoLmYfffVVjuFz54qUPFty+jR9N1et4jgxbRqjQcwLTA0aBDw22g83Jd0Ov7EZgCQjyrkDBkWqryyHDKEI8eOPjNZ+6y2eozHJyuImjjnR0YzSFrR+HBz4nNqwwdBmZ8do26YgI4OpwubExZlGTubn03rkjTd4zbfdRmuBa67h/KA+YuhwhOAA7sMpZMEDjohAB/jBpdrjdTpaHNx3H6+nd2+Oy3W5DwcN4jz5u++4KXXXXXxuCNoGx4/Tg/vAAc5Ln3+eHptDhgBa9MZB3IezyEUg3BGh9ceKiQ5YvZyb6WfOcG3k6EjvxYiI+l1DSQmtDpSI5DlzOAepaR6akGAZ7BEbC6SmNq51laDuWCUuyrLcQ5KkoWA15xckSToJYLksy0sb9eraKecLdfB1sUEIk1MlHIpsu2L0u1AxeqAVO2eC9kNHuKMjGn/lFx1N/7uHHuKDLTaWfji9e9Mj748/KKgo0VrGUVuSxMiawYP5IHrySaaxGof2l5UxFXbXLr4uLaVxd//+YnIlsMTHh1V4Z87k68WLga+/Nj1mzJjGEe7soMGOzwMxZ04gHnoIGNYHSE6mf+fGjRQ8AfrTREXRwHvgQC4ajL2rNm3irrVYiLctOnRgtI/xBouzMzddtFouPGfPBv77j+8dOcKU/k2bDFWYu3alyLdiBRciN9zAfqIWRXToEAUqhSen+2DyZB/8+qupsB4XR2HrzBkWsrrkEsv01W3bgOuuM7y+8UZg9WqKYIKGUV5Of625cw0FKj78kJFO333HfrB5M9Pox46loPPTTx44+rYHbr0VGDFW/bx6Pat6Kj60hw6x7+ze3bhVvD09uSj+91/T9tDQxvtOQdMzZgz/xt9+y03hWbMsN4YbCy8vzjGjzewPlXFSYcMGzikV9u/n5vSECfSoGzy4ft/fE77oiZorw2Rnc966bRuFzdtvBz7+mNd8992cE3h7W/d9ksRUU5Fu2vI5dIjPbJ2Om8RDhtRse1JczA3CAwcMr194gfNDzlO1iEQgIo2y0a65hhuKxp+Lj6fn6dat9fM93rbNdB66eTOfF5MmVf8ZNX9Ff/+GFU0SNA5W597KsrwXwF5Jkl4D8B6A7wEIcbERSCvSwce54WnRFU7lsCu2reOqzwVxUSBoDo4f547sV1+xoAZAQefzz7n4LCigT5giKg4bxijHigoKhV5eXBwPHKh+/owMnsec2FghLgpq57LLGMmwcydfd+5MwaUxqtiWlzN9f8kS9n/lO7/5hvdIv368FwAucrRaGnB/+aXlub75RoiLLYHSUuDgQUaT+flRFA4Jqd+5evWiaPTII9xU0WpZOVypOh8baxAWFWJimCZrvGju29c6cUgtanfdOka+KJ6jycmMGj961HDMBx9wA8c4queHHyzP9fnnXIwI76+GkZnJSFTjyrcAhZH16xmNrRAYyEXfW2/Vft7kZODtt03bior4t25McdHVlRGuBw4wMhdgHxsyxHbfER3N8+v1tFRpaq8xAYWPyy7jT1Pj68uxc+pUijEAN2aM7XXi4lggw5x9++hPu3dv/cXF2qispJD48suGtqgoCrDff88sndRU68VFQetg/36uS5QMBTs7Co2jRlX/mfR0PpfNiY1V9y9Vxj4XF4OwqBAfzzlDfcTFxYst2/73v5rFxYgI+uUrG1gODpy7BgdX/xlB82CVgiVJkgeAa8DIxTAAKwE00Z5R+0JXpUehrgpeDSzoAgClTmXQF9o2mswHzhZG7QJBU+HkRF8oRVhUePtt7q4tWWLqGeXkxEjGP/4wtMkyo3rUHoienlw4mPs1iYpkAmvo1o0ppidOUNDu3bv+4lBt5OVRULSzMwiLCt9+Sy8dRVyUJIOHkptKdqpIN216iooY1bVlC/vIhAlcgBpHvowcyaqO5hEy1uDgwDTnESMoJoWEsD8qQnd1RX3q6/uqVtmxTx9Tb6YjR0yFRYBptNOnm6Zaq6U4degghEVb4OUFeKjUg7juOlMPWQA4f57PwvDw2s+rbF6Ulpq2N6aPsE7H5/uOHRzzKirYD/v0YVS5LTh6lBFBinDp4kLB1ZbipaDlc+mlFFdiY9m3+vY13EfHjrHirtqz1cODY311HrPnzjGS+Phx9qmRIznW1YW4OBbuMmb/fkNkWMeOQlhsi/z+u6n1iSIyjxhR/YZ2dVG4amsc47HvpZcM2V/GODlxo2rnTs5nevfmvVJb5LjanFPtuWT+mWeeYb9WNi2Ns9MELQdr4ymOABgAYKEsyz1lWX5WluUDtXxGUA/OF+ng62wHjQ1m0cXOxdAV2aZStIIvXJCEApueUyCwlgED1BeY+fl88ISGmu4mHz9uKiwC3N394w/DYsEYT09WQzWeJM6c2Xg7zoK2R4cOnJBNmtR4wiLABc3GjeoFhyoqTFNXZ89mOizAqEbj9+zs6CkqaFp++43RoosWMbr1u+/oiWnMjh2MOqkvjo6M0r7ySiAy0nSB26MHvbSMGTvW0nfPWgYNoseYgrMzi2kZpyyVlVl+rqTEUHBL4ZZbTAsK2Nuz3woajpsbBQxzD7bLL+dz1Bxz387q6NSJEYTmbdVlCdiCjRsZuTN3LgXq++7j4tlWwiLAzSLjuUJJCfDpp+oFkARtm/Bw2vIMH24qhKxezcjfCRNMN22cnfmZoiLaP5iTnc1n8y23MMPm2mvp11heXrfr0uksx1CAlhj29sz0qc8GlaBlk5Gh3lZTEUofHz6Xja16br9dfZw2Hvv++48F4oy5+mrOIz78kOPv66/zXLffrn5txtxzj+U89M47a/4MwEj1IUM4p+nTp3GyggQNx9rwuG6yLB6lTUFaoQ5+NvBbLEYFqpx1KLBxkKEfXHASojSToHkICaHp72uvmU6m7r6bD7lHHqFPmILaYqm0lBEZ0dFcZJkzciR3fWNiKDZGRNh2sSIQ2IKCC3s8paWM9DKumDd5MhdAzz1niIZQJpPDh9MnZ9UqCvWXXUZhvqhIPfJCYHvS0uhfZIwsW6aqAoa/s61xcWF60fjxjMQaOpR9wc+vbuc5e5YLiaAgLjLuvZdRtT16WEYV9O3LvmZcAXrWLNoHGDNkCIXVDRu4UJowwVCoS9BwhgxhCtru3YzEGjWKwnJSEscMBTu7uhWCuOkmPqP//JN//ylTmBLaGBQV0R/ZeGWSk8NI4PoWGTAmL48Rv7Gxlu+dOcMoocaMyhS0PPLyGCXo6Mj+rWyAxMfzvx98wM2ipCSOr8OHs6DLpk3q1gAnTwJ//23a9uGH3PSpSx/u2pViy59/Gtr8/HhPX3+9aZEuQdvhxhstPb4ffrj6rASFsWNpv3LmDCNa+/ZVj2xV+jXA5/FNN9EiIyeHGV6jR3PO8uabpp/bupWBHePHV38NxvNQjQa46qqm81AVND7Wiot+kiQ9A6AvgIsmfrIs19B1BPUhrajcJsVcMlAML2ctcotVyuU2AF+4CM9FgQnlqIQECQ6wbZRsdYwaRWPvV15hSslDDzG6UG1ntmtXCiZFRYa2YcOYtlqTh2J4uHWpYLYmIcFQ+ax7d5EG2Bqx5n5IS+Pf2tOTi5T6LFJ79aJg+OmnFIk2b2a/njSJO8cjRlBkNEer5XudO1OkV7wWb7iBE0dzoUdge3Q6U4ENoChy3XXAr78a2uzt6x9JaA2dOjFq5pZb6v5ZvZ6L2VmzuOj292c0pp8f+5hailOvXoyAeP11plzddhs/bxylCHDcGzRYRq/BFXCBPSSIgdDWDBxoGa1y++2GMSUkBFiwUA9XN2DnTg06dao91c3LiyKHsVF/Y1FRoZ59YAsx/tQpblju3k2xyJz77xfCYnvjzBngyx8qsHGdFkcOavDkk0zR9PdnxOE333A++txzjNb+6CNa9dSEWiS3Xm8ZuZiUxB9fX84XzKO13NyYcRMRAfz8M0WaZ59t3KhhQfNSXMzxdvVqinTr17PvWetJ2qtX7SnF06aZ+h8vW8YId2VjVIaM6MQKBAfb4+xZ02d0bdG3yjxUzedR0PqxNqD0RwCnAHQF8DKABAD7avqAoH6kFurgbQO/xXQUw9fZHnk2Fhf94Iw0FNV+oKDNUwwdVuE0JmEJpuJHrEcsyqCSm2FjNBoKg2vW0G/psceqT/nIzuZCKSqKi6Yrr+QO2cGDLc+rY8MGXufIkZwU/vwzoyMErYNi6LDa6H5YV839cOAAU6RGjGAK/0cfmYrf1tKnD8Wdnj1pch0eTpExNdWQAl0Tq1axSIbCzz+zWrCg8QkOZhETY/bv56T9vvuY+hMZSeP1fv2a5xprIyaGgnReHl936cIonAEDOIYNHUoPSXOGDgWWL6eX34IFppHmCqeRhRewESPwDeZiA05DJaRTYHM6dmS/XLe7FI+vPIud8UWIGqzByJF8Nm3e3NxXaMDbG3jySdM2ZW7QECorOSbv3EmhZ8MG+oIGBVE4f/ddRmQK2g/J+kIs89mLDa98g9ANq/D2xlT8738Gr+NRoyguBgVRAJw71zqRp1cvy2IUY8ZwY1lh2zba8ijzwiVLuDllTvfu3Czct4+CkBAW2y4pKYxQHDKE65m9eyn83XyzqcdxQ9DpuMkybx6fC35+HG+VDabTyMLz2ICbQr/B5Qc2Yv7SrIvBEP7+LW99JWharFWxfGVZ/lqSpMdkWd4CYIskSVsa88LaKykF5fC2QaXodBTBx8ERcRUyyiv0cLS3jTGBF5yQjzLoUNVkkWqClslGJOBqLL/4egPOYgNuw3h0a5Lvd3Y29Q1R48QJGm1/9x130s6epZCzYkXLqjCWlMSUg+xsvi4uZlRPRIRtUrwEjc9mJGC62f3wH27DBKP7IT8fePBBRjgAjL6ZM4dio1qKPsC058pKdQPs8eOBH3+kyL5qFSMh3nyz5tRWvZ4Txw0bLN/74w/ggQes+GUFDUKr5eLAx4fpqT16AC+8QOFt4EDgxRcZjeLlZf05dTqKK0pKVEUFxxFPz8aJgE5ONo28ueoqXrdCSgpTpDdtsrSVcHS0jFZUyEIJbsNK7EMqAOAo0vEP4rAOt6IDXG38WwjMqYIeX3jvgMuRTlg4y+Oif1dWFhev+/bVrzpoQygrY38x78fXXcc+//77HPPmz294oZXcXG5cKmzYQN/TTz6h8NOxY8POX1TEa3Zxadh5BE1DFfT4BHvxpu92AMBhj/PYMOYUnvj8Hhw44I/p0znGXncdo7okiX2kqor91smp+nMrFgLvvUcRcfp0Pn8VgSgtjfNCxfKktJQp05GRpt7iCpJk6nEraJts2cI1jcLWrdywW7jQds/67Gym+QPc8OzUiVkVnToBfccW42b8hoM4DwA46p2OgTfE4dGztyDjpCueflp909CYigpaWlRX6EjQurFWcVLCL9IkSbpCkqSBAFrQ0rztkFxQDj/nhudbpKMYXpIjPF1smxqthQZ+IjW63aOHjE9hGZbyI441w9VUT+fOfDAmJzNdatMm4NAhijxqBtjNRWqqqWceQEEpKal5rkdQN2TI+FQlmH8pTEvjZmaqR3OdPWvZptMx/X/KFEZG/PCDehpgnz7ArbfSe+eDD2pOoz1wgEbao0YxEsncQLsmjxyBbQkJYera3r1MJ1bEZXt7bnxYKyzm57Oi9IQJTM/bvJnFfu6/nxYQL7yg7hvXUAICTA3Z1VL8jh6t3djdnDPIvigsKhzCecQgux5XKagrSSjAh9iNiiR3i8IAaWn0K24qzp5lgYsRI7hJeOKE6fv+/ny+79rFtMCJExueruzhYZmql53Nvt4QYTE/nwLApZfSumLtWsvK2oKWRzIK8IFml0lbIXRAv3T07ct74uOPuUF47730WNy7l0XSRo8GFi+u+Z6JjOQG0759wDvvmGYdnD/PTRpj9HrD5qSgfbJtm2XbqlXcTLQVXl70RXz0Ua5DPvyQ419kJBCD7IvCosIhuzRc/Uw2vvuu5qjZsjKO1VdeSdueP/+07XULWgbWhsgtkiTJE8BTAD4G4AHgiUa7qnZMapEOvf0bvqWZhkIEwR0eLhrkFFUh0Mt2BjEd4IpkFKALvGx2TkHrQgLgAcvQEzeVtuYkKorRWJWVXMgrrF/PSmgdOjDtsLkLWXTowN1q4wI0Gk3DoyQETYe7St83b/P2ZiTq8eOmx6lF0e7dy0gIpWDBrFnA99/TF80ce/vaIxZOnaIApfSxAwe4GOrTh8bykZG1e0QJbI+3NyvQ7t3LRWNICPuIq5VBen//zegWhb/+Yurmt9/y9euvc0Pll1/Uo1/rS3g4LScefJALXrUo8rCwuhfDsq8mI8LB6r1wQUPQQoIj7ODQsQSSZFowxde37gV/6ktxMaO6f/+drw8d4rN82zbeI8YYC/GVlRxf4+IoPvbrp16soDocHZnWum0bhSOA3mPDhzfkt6HXqPF9euWVjIoUGzotGy00cIQdymEapOHpokVgIMXDBQvYFh3NfvLGGwbBZP9+bio+/3z1UWXVPb/9/CyLtQGi8nN7Z+hQ4IsvTNsmTLCMhj5zhhsyzs4cB+vSb5ydGQk5axY3K5XzHTkCfHlQC7WlnquDFrUFIu7caeoFvmkTN1qmTrX+2gQtH6tma7Is/ynLcr4sy8dlWR4ny/JgWZZXK+9LkjS38S6xfZFWWA5fm6RFF8MbTnB3prhoS/zhgmQ0UglLQatAgoSHMAQaI6N9e2hwE1RK4jUjRUWMCjROcwK4YFq5ktXWPvig+SMIunalcKSkCmq1TMNqzGIOAtvB+yFK5X4wzWn39eWk0Hgx/Mwz6ilO//xjurAHKBqZFwKxlmPHLKun//ADz/nff/T3s8arUWBbKiqAr75ilOHMmYyA+fJL6yKri4qAt982bauq4iI3IMDQtm4dxRZb4uDAyNeDByloTp/OtFQFd3dG03boULfz9oQPZsB04LsKPdETTaRqtXNC4ImFGIvf+2zFnA/yLxaPcHLiM6q2oi62Ii7OICwqJCayb9fEmjX0qJsxg2nMzz/PVOe6MGAAi7n88w9Fxu++a5iNik5HH0dzfvut/ucUNA3B8MAijDNpC9F7wiUmECtWMKLLGMWOwpg337SMQLSGkBDec8rGjUbDFGq1ytOC9sP48YZCfAA3+u6917TQz4EDFCGvuYZi3rRp6hkyNVFWZhAWFU6eBOzP+uIamJoqXove6Inac/KNC8QofPaZ5VxX0LppuIpFZgJ43UbnatekFelsUi06CyXwhBPcnSptLi76CHFRAGAkOmMr7sBqnIYdtLgKPTEETWzGVAtKipSav1e3bjTBzs8HTsfoUehVgJicUgS42GNwkDs6ujdtFOa0aYzOSEoCAgNpiCz8SFoPI9AZ23AnVuFUjfdDxOAKrN6kx9mzgL+PhAH9NPDysnwUDxjAlNZ9+5geLcuMwLGr51Nb7XP29oyeufVW9jlB03P6NCO0jHnmGS4eaivmotWqe7c5OJiKk1qtYSw5ll6Ew+lFsNdIGBTojp5+9c+UcHBgxGtkJF+HhdF7MSeH42tYWN3P6QEnvIfLMQ3h2I5zGIkQjENXeMEJGRkUigIDbWdc356QZQp0lZUUCatLIb4dkejm7I0Ds4/i50v7oDLVFd6+MsK6ywCaxixQq+Vi2Tw1u6bxLymJdgDGn/niC0YMXnpp3b6/c2f+2AKNRj16si4RlYLGp6qK94ck8f5QxJrbEIku8MZaxKBLmS9KV3bHo7O8ceut3EQxFq/VohMdHYGUolKsP5SHQFcHDOroZvX8cvJken4mJjKKsXfv6v1qBY1LXlkFDqQW4WxeKUI8nDA4yA1+Lk0/SQ8NpcVCdDQ3Lnr1Ms1y0umAt94yFFsDuAm4ZUvtXojGVLf+kAqc8AEmYzp6YceFZ/RlVWHITnRCjtm9Y45aRoara+P4QguaD1uJi/XuFpIkTQbwIQAtgP/JsvyG2fvShfenAigBcIcsywet+Wxro7xSj8LyKng6NuzPogeQizJ4wQluTiXILrR9xehE5Nd+oKBFU4GqalPQrMEOGoxEZ4yEjWbgjcRdd/FBt2ePwRfswQe5EPnuO07UPLyA3YFp+Cs5HQAwracvvr4qHP6uTTdx0Gg4cRTRiq2DSlTBzuj+sYMGIxCCEQip9jPllVV4Z2cyFm1LvNj2SEknvDa+K9wujPsVFUwTeeghei6NGQO8+iqr9r3wgmn6aVERd6MdHSnkaGu4nSMjuVg29mu67z4WNzJOUxE0LdnZllXhq6oMxZ0AiiVxcYyw7tKFvnAA+8Lzz5umFDk7M7LF2J/ziSe4kbI3pQDjvj+MkgqqLx1c7bHhtkhEBNTfF6IKekiQoIEEZ2dGjTWUEHjidkTidlC1lGVgw0b21/h4Rnd+9pmoiFoXcnJY0XbBAhY3e/BBitrmKcYA4A1nTEM4Oud0whUbjyGlsBwA4Odij423R6KfFf3FfHxUKC83RNF261Z9wYuwMF7jJ58Y2oYMoY1DdeTnW6aQAnX3/bQ1dna8B//8k/c2wPv06qub9bIERqSlMQrx/ff5HJ07F5g9m4KeF5wwDT0xDT3x53/AtJsZyZqdX4UnntDiCSOTMHt7Ro2npxvannupElf9eRAVVTJuCe2Mc652uHyAFt1Cal/rSRIzCkRWQfNSXlmF93clY+FWo7nbUNO5W1Pi41N9EcCiIkYumnPqVO3nrYIeGkiQICE8nDY8xtGGM2YwUtITnpiFSMxCJNLSGJn93ntcx8ydy8JE/v6W57/1VloJKHMejYZzXYDjd2IiN0y7dateoBS0fGx1R9QroFWSJC2ATwFMBJAMYJ8kSatlWT5pdNgUAD0u/AwD8DmAYVZ+tlWRVlQOPxd7aDUNk/DzUAonaGEPDTycNcgssHVatCsOIs2m5xQ0HWeQjeU4jj9xBlegB25CBHpYEc7emqiooMHxffdxVzk8nClWa9cyJdXODnj5ZR5bWQnMn6fBK4uDsU5Kh14G1sRk41hGMcZ3FaGDAlOikYklOIqNOIsZ6IPr0BtdYV0IyunsUry2PdGk7eO9Kbg9MgBRQVSMjh5lYQ4lTWTLFi5Y9uwB+vc3fC42Fnj8cfZpBweKjw8+WL33YrduvAd++okCY2QkU/9ycylYCZqH0FAuFIzFQG9vw98kP5+G//PmcXNk3Djg8885pgF8vXEj/7ZeXkxPDghgOt2RIyzeM3IkoLHT48PdyReFRQDIKK7A2jM59RIXS1CBzUjAx9gDR9jhUQzFKITCoQEbVtVx+jQ96pTNod27gVtu4b2htoARWLJ9O/D004bXH33EKJbHH1c/Xi/LeG9X0kVhUQLHpDUxWTWKi+eQjz9wCj/hGEahM+7EAPQFc+OTk4FXXmF/Blhc6sUX1VOOnZwonI8YwfTkoUNZCKWmCOtOneizvH+/oU2jobDe3IwcyRTrf/7h7zZxIjBoUHNflUBh7VqmLyvMn09B74YbTI/r3Bl4cUk20iccw+GOsTiXEY4/LuuLXUt9EBwMXHYZReO1a/mMvnyqHt/lxEBbLuGZjgPw1mOuyMgAQkNl/Phj9QKRoGURk11qsikMWM7dWgre3nw+Llxo2j56dPWfyUQx/kYsFuMAwuGLBzAEUW5BeP114IorOP+MiuI5zLMG/vqLPqMKL70E9Ohh6jGrMGwYq1srXvjXXsux/dQpRp1v3cqNlzfeoO2KLX2iBU1Hc0cuDgUQK8tyPABIkrQcwHQAxgLhdAA/yLIsA9gtSZKXJEkdAXSx4rOtipQCHfxskBKdiRJ4g+EtHi5aJGSqlHFsAB3giiSRFt0qyUYJ7sQq7ADLEO9FCtYhFmtwE3ybKN2pKTh5kpNCJT3q9Gng6adlfPpLEbQlTnjwLsv7LHqPE7r0d0J8Lu+X/LJKi2ME7ZtUFOJqLEcMqATtQQp2IglvFk7FjrgiJOWXY2SIJ4YFu8PVwfLxWlheBb3KVlxBuWEDKCbG0n/mv/8YqaWkqej1TPdbu5avdTouhgYN4kSwOgYPZuTMvHmMYAoOpghfn/RVgW3o0oUT7TvuYFRe166MqFbExX37TNOmN21iVdHPPqPo7OREgXGcqS2YReGfskoZMTmW5rLxufUznN2MBFyBny6+Xo3T2IRZGIMu9TofABTrKrEnpRA7zuUj2MMRozp7ooevC2JjLatRR0dTJBfionWsW2fZ9t139OpSS1Wr1MuIyWbfmNrDByNCPJGUXw5HrRaJeaUI9bKs4FOGSizAZnyLwwA4Pv6M49iOuxAKL/z9N6NWFBYvZjTiPfeoX3PHjlygqi1S1fD2pnB5zz0UGP396V8aEVH7ZxsbOzsW+2hoYZi2TJVexv7UQmw7lwcnOw0uDfVC/wZEVVuLXs/NGHN+/dVSXAyIKMaavr/isJbVcvd2SMFh37P47Y2ZF9ddgMEX8Vh6Cf78IgPz+vTGi7NcL/p7JyZKmDGD/bRTy3ITEqhQ7dytzLbBO7ZAkjifiDkj4+flEhwdgWefr0L/wTKqk32+xxE8jX8BADuQhF9wEjtxF/oFBeD664Hrr1f/rurund9+Ux+3NRrLcbC8nELo1q18XVoKPPYYN9PHjrX61xa0IGwlLq6o5+c6ARdUDpIMRifWdkwnKz8LAJAk6T4A9wFAZ1uZqDQCqYXlNhIXi+EF5pp4OGuQbWPPxUC4IUmkRduMpuyfMci+KCwq7EIyTiMbI9qQuBgfb+nVdOKEhH+PlGJD0Rn06huJY8dMI2yCulYiq4RGZc52GoQ3wIusrdFaxtDG5iQyLwqLCitxCsPT++GZVYbcuyXX9MKt/S1DbMJ8nNDNywnxeQalpIOrPbp7GxYlapGHnTqZ7uDm5DCd2Zw9e2oWFwHuEi9dylRBf3/Twh8KZ88Cu3YxLXvwYAoAat5+LYXW3j9Hj2YVxcxMy7/JiROWx//2GyOv61L90clOi/sHd8T+VNOKQFeFs8MdOcKIQFlm2rFakSGFKujxMfaYtMkAluF4g8TFlaeycNtKQ+5WDx8nrL81Er6+lkKWq2vr8l1s7j6qZrcRGVm9f5uDVoP7BndEXnklunk7Y95GQzWANTFZ+GVGX3RwM43sj0cuvrsgLCokoxAnkIlQeKmOWb/8Ur24qMb58xznzpxhivTQoaYVrCMj6VGbnMz+oZb2LbCkufsnAGw/l4/LlhxB5QUVx8NRiy13DMCAwMYNX9JoGJW1fbtpu3GmgEKMJguHcd6kbZP2LM4gB0NVPJZDvRwxJtQTcp6jReHA8+c57qemsh9HRVXvgypo3j7azcdZfe6m8mxqCbj66+B74zm8cLU7KqQq/JiaBPuYQDzXobNFdmQqCvAatpm0FUGHI0hHP6hMEAFkZQF797Lv9u/PqGxjFB9ma8jI4Ca3OTExQlxsrViV0S5JUldJkt6TJOl3SZJWKz/K+7Isv1bP71eLeDTfG6juGGs+y0ZZXizLcpQsy1H+LXibO6WwHD42qBSdgWJ4XKgT7+li+2rRXnBCIXQogRXlLAW10pT9U1NNkLG+fs4GLRY1wcTfHyjUlKGorAp33SmZLEy7dpUxbqQWZZV6DOrohnW39kcff5VwjnZKaxlDGxutyv0jAdBVyVjQvxc+i4jCD6MGYd2pPKRdSCc0JtDNEb/dEIHJYd6w10gYG+qFtTf3Q2cvg/FYZCQr/CloNEyDNU4HdHfnYsQcJVW2Njp0YDSP2n1y7hzTum65BXjqKU7ufv+dPmmpqdadv6lpC/0zIED9b6JWnXfgwPoJa9N6+uH1Cd3g6WiHIHcHrL6mP7pU+WDXLqbnzZ5Nr6SRIxkxWR0SJDiq7E2rtQGckyQhH1XQq74PAOeLyjHnn3iTtjM5ZTh0vgh9+wIPP2x6/Icftq6I2+buo5Mmmf7/8vQEHn2Ui8QtWyhy5Jjum+CKHr54dXxXLD5geuNvSczHsQyzkrjggkJtjqGMm2ppeTWl6plTUAA8+yzHp6ef5kbKa69RaDQuquHlxXtJCIvW09z9s6JKj3d2nrsoLAKM6P/rTE4Nn7Idd9xhKlJ36sSUTXOqm0NrISELJTiHPFTAsObycLTH4im9EBXqYlG0wsWFRfwef5z2FZs2mb5/5gyzFo4cYXRXe6c5+2igmwN+N5q7jQn1xNqb+6OzZzWmsc3MsYxifHooGYuio/HmyRjE5pXila2JiFPJVNBAUvXf18rqElFZGdOWr7iCke/BwZb3zujR3Kw0LipTHZ6e6mJkXTZPBS0La5WsPwB8DWANUMPssO4kAybu98EAzJcv1R3jYMVnWxXJBeXwdbZNWrTnBXHRzUmDwlI9qvRyg70cFTSQLkYvhsOv9g8IWgzh8MMV6IG1OHOxbTy64CjOQ4aMUegMqf71mVoMERFcfLz9Nl/b2QFz3ijGH/nZuKkqErfcpMEjj1C46dIFSE6WEHPYHjGzL4GHqwbeNrgPBW2PPvBHFDpiv5Hn7K1VkRhc0AU33umK/HympDwx3xG54ypUK0IOCHTDr9dHILukAt7OdnA3MwMPCGAq3wMPcOHfq5dl1WBHR5pmb9xoEARGj+YCxRqys4GUFKYRmi/ADx2i76MxTz1FI+/ffgM+/ZSVzUUl88YlL49Fp3r0YMGWv/5iu4cHBRW1VNbaCHBzwHOjOuO2/gFIjbPDkw9pcf48fe2KjbSikhKmzA4Zon4eDSQ8iqFYjdMXt6XsoMGN6GtyXCkqsBZnsBj74QcX9EUH3Ir+CIWXxTl1VTLyVKwoSiqqoNPRM/SVV5gy5e1NCwBRYdJ6wsNZGf7IEXoSK6nC48czxRwApkzhRoYiaHdwc0APH2dU6mV0cndEdmkFyiq5BCitsNy0DoMPHsUwvI/dF9t6wgeBYGrrjBksDhAby/e6dwdmzjQ9R0ICUFjIccnLy/S96GjT4gIARWZ3d2DNGo6b1fVZQcumUi/jfJFlwEJGsa5Jvj8yktH6R49yXhgZqV5Ztxf8MA5dsAkJF9uuQ28UohzD8BVSUIgXMRo3IALd4Yvjx4E9e5wRH8+iPu+9x89IEvDMM8C33/K1Xk//0Usu4Rj/33/cZCwq4vW8+SbnBPUZ9wW2IbKWuZstOXeOc4DgYHoy1xW18bm8So/ySksJJxDuWIixmI21F9t84QxPnTMyyivQwcN0PRQTw8JHCgsXUiDv04drrTNngMsvp13PjBnABx/UnPrv4UGrl8svZ38HuIFki+JwgubB2jujTJbljxrh+/cB6CFJUlcAKQBuBHCz2TGrATx8wVNxGIB8WZbTJEnKtOKzrYrkgnKEeTc8xDoDxQi4MJnTaiS4OWmQW1wFP3fbDYSBcEOiEBdbHV5wwqeYiisRi/WIxSUIhh20+Az7MQXd4QYHDETHBn2H7sKubWMY+1uLhwd95a6+miH3PkEVuHfXcTwd3hv3X+EAvR5YtIjHenoCX38NHD0qIT3eEaEqEWFFukqcyixBUUUVuns7I7iF7lYKqie7pAI7kvKx/Vw+evu5YGwXL3Stw3h7Lr8McTkV+LjDdOxxTsBOTRImohuCS3zx0E0uyL/gFCHLwHsvO+KSy3ToU83muquDFq4O1d8f/v40/K+JQYOYlhIdTQPsfv0YkVgbhw4Bs2YBx45xt3nxYhbLUNKxii0DkpCTw3sqPZ1iwO7d6pGTAttw/Dhw9938+3p6sgjPk09S9OvVi4JjQ3CqcMQ9d3AhHRWlXk03MdGyzZhRCMUmzMIy+Ti0eg0uLeqJY7ESXIOLLvqkHUAq4pALTzjjENLhCkfs1CchOQVwsdOit78LnOx4H3Ryd8TDQ4Pw7q7ki9/hqNWgXwdX7N/P39+YSZNk/PKrDE93UVLSWkJDDcKhXs8NOEVYBIC//2b01KxZMuIKi5GRXwl3By3em9Qdh84XIcjdAaWVenx/+Dx6q0T220OL2xGJrvDCBpxFT/jiEnTC59iHtzAJvXs7YuNGQ6p/RIShmItOx82LBx/konrIEPonGqemqo1Nej3H3EOHKMbs2SM87FojzvZaPHpJJ9xuZIsAAFeFN3yNIcsyDqQV4r/4XFTpgYlh3ogKcofGbHeie3cgIKQSp7JKkFhRBfsCZwR7mM71fOGCr3EV1iEWm5GIieiGCPhjBL6BE+ywCOOxC8nYVJmEm9Zeg7n3uiIzk7YEzz7LeWdICEXCF19karRCWhojFFNT6ZmrCC3KvXrppeK529zUNncDgMxiHeJzy5BTWoFdyQXwdNTism4+iAys3T9UKUZ5//2cdw0YQAG6JpsSY2TIKEUlevu7wsfZDjmlhg27K3r4oqu3+tplJvpCq9fiX00sAuCGcPjimGMa7MsdMRGmFbdKSkxtp0pLgddfZ/T7l1+aejD++iv9Gs03kcwZMYL+o6dPc64ZEWEaDSloXVirNn0oSdJLAP4BcDE4W5blgw35clmWKyVJehjAegBaAN/IsnxCkqTZF97/AsBfAKYCiAVQAuDOmj7bkOtpbpILyjG0U8O9RTJRgp5G1X89XbTILrStuOgPFyQiz2bnEzQdofDCeHSFBhLmYSMyUQIAOIFM2EGDAQisV/RiKSqwEQl4FzsBAE9hBMajC5zRPFGAHh58YAFAXJwdnvWOQsYxCYsWAT/+aFjg5OcDhw9zp/rECcvJW2axDvM3ncUXBxitFuTugD9v6oeBHUUZs9ZClV7GZ/tTMH9TwsW2IUHuWHVjhGp0oTn7Ugpw5bJjyChmZMULozvj01H98ZrDViSk2CE+zvJ+OZNSgQpUXUw3qaoCDh4EDhzgwmLIEApFDSEsrG6podnZBmERYGTkzJmc1CmT1759GZWoMwoYmTHDUBBCljkBFIucxqGwkOmqe/fydX4+04927wYmTLDNdyQlGaJTDx9mcR/zgh933VXzORygxRh0geacF+5cdQqf5VIU9HKyw9Y7BqBfgBuyUYqPsAepoM/jKWQhXpODK/KdMee3ZLw0pgueGB4MD0c7aDUSHhsWDC8nO/zv4Hl093HCS2O6oH+AG/Ya2QRfcQXN4PPyJKz8V4d+I8swOKAVmS+2EEpK6E1ojKsroNHo8fEPOmSX6dFrUBXiynLx4kaD0jyooxv+vS0SYT7qGzOnkIVn8B96whfbcQ5vYyfexGU4h3xEoANCQtTTlY8coRWDUsxq3z6mwv/5J5/lAKv3BgfTT1Ghd29G+QCMxk5MFOJia+WK7r7431XheHP7Obg5aLFgbBcMD254Jd49KQUY+90RlFdREXl5SwI23zEAI0JMx42MIh3mbTqLrw5yrtfJ3RF/3twPA8xEoa7wxgMYggfAMNlvcAgygIcxFG9jJzJQjAUnr8fsGa6ovKDtREdTgBk6lFGLY8eyWExRESPT/viDz2J/f47NaWmwICWlwf8rBI3MmewSzFoZjRl9O2DOP3EXI/vdHRKx9c6BFn3JnOPHTYtRHj7Mjcb//mPEfk1EIxPf4jD+QRxm+vTBqlsj8N62FOxLLcL1ffzxQFQQ3FQKDQJAYXklih3LEY0sbMM5pIHK9lcuVwFm4mL37tzMVuaRAMfcDh0sU/sliVWhf/mFm5iRkdzQdFZ5fISHW2/tI2jZWKs29QNwG4DxMKRFyxdeNwhZlv8CBUTjti+M/i0DeMjaz7ZmUgp16ODa8FyzbKO0aADwdNYgq7AKtrxnA+CKeOTWfqCgReIILdJQdFFYVPgE+/AQhiIYdZ/QbUMirjSqHroJCViPWzAJ3Rt8vQ3h7FngyislnDpFkUeS6Bfyyiuc2Pn68r+nTxuiJFJS6CsSHAzsSy28KCwCQGqhDi9sPItfZ/aFSy07mIKWQXxuKV7des6kbV9qIY5lFNcqLhaUV+KJ9bEXhUUAeGtHEsaMAT7BXsz0H4zeERGIPm4aQdUxtBJ2RrbG27YxGlFZbAQEcCKmVmjBGlJTuWMcHFx9UQa1zxhPCAGKnvHxBnGxf3/gn3+A559n+uL06RQdli83fEat6Iyg4SQlUQA2974D+LcYplqyru64u/OnsJD9cdMm4KWXgCVLKO7Mn8902doo0lXimX/jEZdrMLnPK6vElsQ89AtwQyHKLwqLChuRgJnel0AGsGBLAiZ088Kozl4AgBBPJ8y7tAseiAqCs5324viqpCcOGsQKwvPmKWdzxPTbZbzxUSF6eYrNnrrg6gpcdZXpePDcc8CTT2qQne0EwAkODsBbS+0BGMTFg2lFyCmt3m/7Z5xAGSpxFOkX27YgETejX7WfARjB9fTTHI9+/ZUi4bZtFFkUcTE4mOnPCxfyveHDuYGo9Ad7e8tUakHrwcfFHncP7Ihre/nBTiPZLO10ydH0i8IiAFToZXx1INVCXNyXWnhRWATogz9/01n8PKMPnO2rn+spBTSdYY8MMLy2It7j4rNe4fRpbtQNHMhI7D1GNbE++AC48Ub+OyCAdj0JCYb3JcnUf7eigs8Le3vhLdqSWHEyE66Odvg9OsvEyb5QV4V/4nJqFRfj4iyLUR48aLCxqY50FOF6/IrjYBrCEaRjdFAcfr/uRsjlWvi62FtE6hrjZeeAPXIKjkmmaQw/ao/iDvSHnVEmmp8fxcKNGzlfKSmhIBoaSguXL74wfP6hh7jeMrbaWb7csgq7oG1hbT7JNQC6ybI8RpblcRd+GiwsCgzIsow0G1SLlgFkoxSeMIQ+e7hokFVg6WXUEALhjlghLrZaQuGFIFg+5NzgYCKI1IWvcMii7X8qbY1BQXkFUgrKUWn+VAajsk4ZZdrIMlNBH3+cD+vnn6ePk6cnhZWlSym0hIezwEFltqVys/1cPnLKREGj1kKVLOPGiA64b3BHBBpVOC1T8Z8xJ7e0EruSC0zaRoS645gmHc6wx6++B3H//1Iumk87OADPfFiAyH6aixHApaVMhzJebKSnA5s31/13KSsDfv6ZC5SePbmrrXiYVUdJCXfEdTp10cg4nVqSgDFjgPXrGU10883Axx8b3p88mSKPwHbk53NC3q8fI1siI+mvZYxa8Z2a0OkYLXP8OCyqlIaFAR8ZGd1s2MBU1K1bGTF2xx3WiTSVeiBXxSexoJzWGD6wLDGuhYS0XMNnkgrKIcsyUgvLkXtBtPJ1cTDZuBk8mILntGnAN9+Ynm/VD044HS3MF+uKJDH1UvFp9fUFiotlZGcbjtHpgHVLXDG8o0GE6evvCnuthPxqnn++sEy784eL6oZleTlw8iRTmrdupX/il1+ykMa0aRRNzAsXDRhAm4ADB+jRNXcuBUmAi9iGWgYImh9vZ/tqhcVKvR4pBeUoKLd+/pVVYnlspkpbbI5lsYtt5/JNUkvViEIQBiHQJN/HsQOT/Lp2ZTr0vHkcV11cOB4bC4sABfOKC5cUEMCNHuW57OjIOWufPnx97hzFyfBwzlm//JLFjgTNiyzL+Ds2By72GhTqLPtMfnnt63A1a5uAgNqfx6eRdVFYVNiGc4i3y4a/q0O1wmJGcTkyi3Xw1DoiQLZcE3rDCRqzNaFOxyyvV17hpmR8PDer7OyYdaF4JUoSs3PMPbyfeMLUDgDgZtKhQ5wXC1o/1qoIRwAVB26BzcgurYCTnQZOdg3zDyoGc9mcjIJSPZw1yLSxuNgRbiJysZUzGp3RCabRHq9jwkXz9briDsuoW7U2WyLLMrYm5mHiD0fR97N9ePTvWMTnlKCwkCIMwOgcczIyuMv2zjssjnDrrfSdS0wEbruN6aJVVSxq8M9PLujlY7pIntDVyybFlwSNT2F5JfanFGJzQh5Wn87Grf0DMKOPP3yd7dHXiorgvi52GBPqZdKmq5RxRE7HbERBhyq8MGwJrt27Da9uT8Bfhwpxx4MVGORqMIwpL2eUgTnmEyxr2LePEQ4ZGdzh/vFHFi6qqGatlZAA3Hknhathwxgpecsthvcfe8yyYAwAuLmxQvXo0fzOZcuYOvvdd6aVqwXqlJerjz1q7NpFMTE/n3/HH37gRL3jBfvb22+33nMJYL969ln+Xfv1owBtHAUDsA/t2cP+s2ED/b86dapbVKqXkx2eGmGaMqWRgLFdvABw0T0anU3ev710MNbuMUTMd/F0wstbEtD/8/0Y8fUhrInJgq5Sj4oqPeJySpGUX3ZxE2j4qCqLqA4AKCsSvov1oWdP+nvt2cOK0YXFssUxGSla+DjyOf7syM4YGOiKq5efwGVLjmJzQi5k2fQzd2EQ7I2WFvbQ4G4MtDhvaioFkgkTeA3vvMN7pqiIxQKGDKGgojbWODkBnTvzub1lC/vwjh3AffcZvGMFbY+4nFI8sS4WEZ/vw2U/HMUWs/6XlF+G+JxSVFSZDhJ3RFp2otlRlqVow/0sczUv6+YNX5eaIyg7wxMrcQNGozOi0BEusEdJjzR8vbwMN93EjZxFi4CTJ2Vcfrn6szovzzBnBSj6798PbN/O1Ng772S/Byg8fvIJNyvz8rgJvmtXjZcoaAIkScL0cF9sPJuHq3uZGgZKACaH1V6ZpX9/2kEoaLUcB4ODq/8MAJPIQgV7aOBY5oSY7BJkl5gWRsotrcDiA6kY9OVBDFp8AF8fTMUtugEm2oEWEh6XLrGokH7wIFP4z59nsMYvv1AcLyvj/HLdOvbHQ4cMUefGZGYa+npVFS0BBg3iz4gRlsK7oPVhbcx5AIBTkiTtg6nn4lWNclXtkOSCcpukRGehFF5wMhkKPF20yCiwrBzVEILgjgThudiq6QV//IvbsB+p0EKDYHhgIOqvGtyFgfgBR1B1IRlACwl3qSwqbMmJjGJMWnIULvYadHC1R0amjF+WavHT/+hdc8cdFEm0WkN0A8DUlHfeAR58UI81azTo25cPwTVrLL9j2Y8afLCqB2ZtOAIZQHdvZ7w8rmuNaTKClsOOpHzc9ochdPWdnUl4fUJXPDa0U7W+Yca4Odjh3UlhuObnE0jML4NGAmb29scQ+OEI0vEVpmErEuHSqQxjOmkwEpbpmWfPciE8f75puzWpp8bs2sV0QHOWLmVkhFp61PLlnPwBvAc+/ZQC4YwZvEciIiyjg4zRahklObBxb+U2g17PBeFrr9Eb7pFHWFiqpsjDLVss29ato2ii1fJvVF3FyLQ0ioPr1lE8njqVk/MPPjAcs2wZJ+5z5hjanJwYJdlQ78xrwv2gkSS8vysZfi52mDc6FEOCeA+4wh4fYDLSUYRtOIfeFQHYulPGgeRs2GkkfDa1B/6Lz8XLW5h2m11agenLjuO/2yOx4mQm/ncwDR3dHPDmZd1wRU9fDBygRd8IGSeOG2Y4AQFA355CXKwvPj6GPjBtmoRPPzZ9/75HK1EW7gFnZxnxuSVYcTILALA/tRCXLz2KffcOvljAJwUF8IUz9uJurEIMNJBwObojCpZCzr//Ujx5911GLJoTEwO88ELN1+7pySIXrYmTJznPOHGClhNjxwqbCWsor6zCS5vP4sdjjM7al1qISUuPYt89gxHq5YRfT2bg8/1pOJFZjLsGBOKZkSEI9eLz/dJQT6y8oS9e33YOVbKMuaNCLTYMAWBIkAeeGh6C93YlQQbQ09cZ8y8NhZOdFnrIF9c8ofCE9oKArtPRD3fFCi84Onrh00duxuHddvjiLUdsc+bY37MnswD27qXn90svMRqxvNzw3TfdZPn8VvMnzc01VJg2Zts2RvIKmpdre/vjn7gcHEgtwvwxofg9OgtejnZ48dJQDOtUu92UlxcjAm+4gRvI3bvTB9ucpCT6MG7YQCF6zOUdcXnXMKxHHADABfb4KvUm3PNnAvanFSHC3wVfTgu/aAXwX3wu7v8z5uL57lkTg99d+mJ3r7sRgxwAMsLgjUiVNWFyssEbV2HZMkabDxrEa1aKsej13PAxFtTvucfgixsdzYIvyvvx8dz43L277tkagpZDjeKiJEmfAFgG4KWmuZz2S0qBDv6uDd9ypd+iaVqKp4sGp1J01XyifnjDCaWoQCHK4W7k7yhoXXjCEUeRjo+wF1pIeAGjcR8Gwx+1R3SZMxzB2Io7sQoUcqajF4ahcZ3VT2WX4NlRIcgvq8L5onKMD/DGyvc0F32ktmzhrvGnn/InLY0VJR0d6X/zz78SHn3UcD61h1n37sCUvh441H0wiiv0CPN2QoCb6POthVWnsizafovOwsnMEoT5OKOjuyOOZxRhbUwOEvPLcFW4L0aGeJqkZQ3s6I5ddw9EfG4ZPNxlbPGKwVRpA0pQgVvQD1chHF3ghcEqldYPHGD037XXMkpw+XJOIF97rW7CztGjjPJ57jnL98LCKKKbU1LCFGpztm5lhXSB7Tl4kH8nJQV+9mymwT3+ePWf6dbNsq1PH+7i1+SnWVbGfvTJJ3z9449MtXexzEbG8uUUOq3157QWP1cH3D2wI2b28YO9RnNx0+UUsjAH/2AtziAArvgEU3GlfQ+Mi6rCrB4h8Hayg7ezPaIWHzA5nwzaTiw5ch5zRoSgokrG76eyUF6lxxU9fPHDMg1eXyThv/VaDBtRhRcX6RHRWb0CpqBujBoh4avvK/HGQjvIMvDqtwU4VJaF7Awdbu4XgI/3mlaU0FXJOJlZjPAAJ6zCaTyCv5GBYlyFnngLExGO6st9rlkDXHcd+2/fvoYCRgoREYCmjWnG8fEUgJSCNEuWAG++Sa/JGuzQBACS8sux7Lhp2qeuSsaprBLklFYgOqsUXb2dMC3cF8fSi7HkaDrmXdoFAODqYIere/ljYjcfyJCrLWrh62KPReO74Lb+ASiuqLo418tAET7DfryJHZAh4ykMxyMYikC4Y/t24LLLKLY4OgLe3m5GnrCMqH3zTYqLAPv9Rx/R1/jZZymi33or00mtGZtdXBgdFhdn2q740gqal27ezvhlRl/EZJfCTgs8NCQIbvZ2dfJn9/Iy2FWoUVTEvrNsGV//+CMwbpwdvlhxLbb5nsYuJGFGwQDcszwFKYVUsI9nluDKn47hwH2D0dXbGV8fsqwY9P3h87inlwNm40/kohQ3oC9ewXh0hw/0sox9KYVYdToLRU4y3lrmiy/neyDuDAfpLl2AtWuB//2PnrlKxGJkJDc+n32W49+dd9KHUYkwP3vWMpI3IYFjpBAXWy+1RS6eAfAOgI4AfgawTJblw419Ue2R5IJym6RZZpkVcwEYuZhVaNu0aAkSOsEdccjFgAZEuwmalzWIwTsw5FPMwyZ4wxkT0Q09ULftdC00GIEQjEDTuUv7u9jjm0PnkVzAB+jPJzLx9K3dcXx/MJKTGal1+jR3dR9/Qoasl5CeTs8mAIgYXAkYVbMeNozpWPv28bWDA/DWW4CftxZ+KhFpgpZPZ09L4SHA1QGnskqQmF+G/PJKjPv+yEVfps/3p+L7q3vhdrNUqo7ujujo7oj1iMUj+Pti+w84ii7wwgz0Uf3+9espLv34I3drp0+nr86UKeoV86rj6FGKSU5O7KdK6oi9PdMI1cy+nZw4ST182LRd8cQR2J59+2Bh5P/224xMqW6yPHYs/bNOn+ZrV1emjFZU1LzgjIsDPvvMtG3jRvrQmTN6NMezxsLD0TCOlqICz2MD1uIMACAdxbgeK/AJpsDF0wFTPLsjAG4oKK9AJw9HpBWZbn5KAO4YEIjfozMRk00ftF9PZmLe6FC8NDYUS7/XICsL8PbWwsVFRJDbCldX4B/n0xj+sgMuC/fAo5tiL3rTfX3oPF4e2wVH04uRbVTQxc5Bxm84iVuw8mLbasTACXb4HtdcTLPLyOBmR6dOHLOmTQN+/50RfHfcQbElL4+fDw7m+22NI0dMK10DTCe8/nouzgXV42SvgbeTvUnfA4AAN3vMXhuDU1mGceK+wR2xPTEfuaUV8DZaV7laIfA42WkRaVR0Qw8ZaxCDl2EIL38N29EDvritagA+/NAQxTVyJPD33+ZnZHpojx7AmTMUW9zdGXG7fj2FooAARqhbg6MjI3o3buT9BNDXbswY9eP1evY5Oztc9IUWNC5ezvYYGtx4/gwxMQZhUWHTJiAj2gV3jRyISckDkVpUBhmmg01uWSXic8vQ1dsZ3X2csT7O1Nos1McBj2IdcsB7aTlOwBtO+AhTsT+5EJd+dxgVenZ2jZSEt18fgKdmeEGrBR58kNYqJSX0AFd8uTUaZuj89x9QXEybC+NNIzXbCy+v6jM1BK2DGvcFZVn+UJbl4QDGAMgB8K0kSdGSJM2XJKlnk1xhO+FcflmDi7kAFBfNfe68XDXILrJtWjQABMMDZ5Bd+4GCFkklqvAdjli0r0ccFmAzMi9UvWvJpBdVXBQWFb48cxYz7zaY1zg6cjJXoeOkbOFCiisvzNNj2GWmnw0N5YJnzRoKkPv2tb60K4EpV/T0hY+zYR/NyU6DCd28cCS9CJ6OdjiQWmhh+P7CxrPIKFaP9t5iVDlVYSmO4TyKVI/XGZ0mJYX+OX//bVkRsDacnSl0r11LH7233mLE2rZtwLhx6p/RaOhD1skogHjQIJE+1ZioRQ26u9fsBdejBxeaf/zBgiWvv85I16uvthSGjdHrLftRXh43SCIjDW0hIfRdbKroqDQU4g+cMmmTAaShGHdiFb7EAeghw8PRHq+N7wqN0XV19XKCj7Md/FwcLgqLCm/tPIezuWVwdGSfVvt/LWgY4X7OWBqbgrNFpRZFL77Yn4rr+hiiEYd2csfewNOIVpkH/oponEchdDp6Kg4Zwn7+wAMUxS+91GDHsHAhfcbmz2c6/5YthuIVbYkqlWl4ZaVliqHAkmAPJ7x3eZhJ2/BgD+SVVV0UFhW+O3weV/fyg4t9w0NfTyETK3DSon0JjkKWTYtllZSoZxC4ufE9V1daACjFOTw8KPhZKywqXHIJI32XL+czY906ZtiYk5YGvPwyIx379WPhl/z8un2XoOVR3dxRkhgJ3qcPMHmEE24tG4QpnQwVYiQA3hfmwncMCISrkbWTu4MW4yJccdbM7mwZTiATxVh+IuOisAgAehnYUZGCzz6XsWAB56IlJZxzqm1ienqyr5tHo/fpAyxYYHit1QJffSUicVs7VnkuyrKcCOBNAG9KkjQQwDdgqrTYMrYRCfllCPFoeL5SJorhYRa56GQvQZaBorIquDnZ7k/WEe6IEeJiq8UOWgxGR+w2290KgQd+wQmcQla90qObElllsVxeKcPekQ9BLy9O7KZMAWbPNhz8ww/As3OB3p0tV/zBwbWbJwtaD/0D3LB5ViT+i89DVmkFXOy1eHN7Et66rBt6+rpgT4plmcWySj2q9OorviCVCNZu8EY+yi4WQzp/3lCld9IkLpiNJ/XPPsuFRl2IiODOcHQ005oBLk4OHKg5fbBfP6ZmnTxJgatvX0OhEIHtGTqUXpaZmYa2V1+tfSc+NJTiQ1QUfbUA+inNnMnNjl69LD/TrRujnhRPTYARUN27A3/9xaiwqipO4Dt3tvx8Y+EGB3SGJxJhupJVCn28ge2YhUiEwgvjunph512DcPh8ETyctBgS5I7skgqsi8uxOG+lXkaVUGIalZv6dsBXB85Dr/L/uaxSjzsHBCIywA0BrvbwCarCeI/FeBljLY7tBi+4wREHD9KKRDnd118ziurjj+m99fPPLHy0aBHbN29WtwlobRQV8RmQlsZ7sm9fjsVeXoYITYB2CU15b7ZmZvTxQ1evATiWUYwOrvYYGuSBI+mWm3qVehkRHVzhaNfw9c5mJKKLSj3TQQi8WB13+3aK487OFEW6dOEmoiyz7frrgauuovdieHiDLwkA+5OaF58xf/xB4R6g8DN7Njeapk61zTUImocePWi9smGDoW3YMM7xjFPy33rBCYsWd0aiXxES88vx+CWd0OtC0aKoIA/svHsgDqQWQpKAwR3dcSwg3uK7usEb5ahEYbnlzkhxRSWio4GPPzK0Pfoor89aXF2Bp54CJk/mvLlrV4rhgtaNVeKiJEn2ACYDuBHABABbALzciNfV7jiXX4ZBHetXpdeYTJSiB0xXMZIkwddNi/R824qLneCBU0JcbNXcg4FYjuPIvhAG3wnu8IcrMlFiUSGsJdK/gys8HLUoMHrwPRIVjA4nnbBoEY3S9+5Vn7z/tFQDd1dH3H67eiEMQduhX4A7QjydcOR8MZILyvH7DX0RGeAKrUbCgEA3ONlpUFZp2A5+dmQIOrqrb/ZEoAPC4YvTF8Y+V9jjSvS4WMgoIYHVmHfu5PE+PoyG/eQTICeHk68JE+r+OxQWUlg0pqCAqVZqUQvGhIbyp7bz79gBrF7N++WKK9SrSAtqpndvpij99x8ny5MmMdLEGuLiDMKiQmwssGIFF6fG0YgAJ+ZvvsmosJ9/ZmrcnXcaxjNbp8Ht388otKIiCkaXXKIepdABbvgMV+AqLLt4X4xBKM7g/+ydd3hUZdqH7zN9JjOTZNJ7IYUWEgLSRARpgr1XwF52LZ/ruru66lrWsquurruuq669994QQUCl995SSO91ejnfHyeZZJhJSCAQxHNfVy7IyZwzJ5n3vOX3Ps/vkQRDJQqEjvFFpVAwPtnM+OQus/ssCygVAs+srgyInluQH09GhOyvONB0f/ZTUox8PGs8reHN6FUK7N36xT+cmMqE5HAmJEshh9+wF4AimjiJVJazHwAVCv7NXKIx8PmO4Mi8V1+VsghOOkkSZhYulKJxZs2S2vIvHZtN2lC65x7pe0GQNjQvu0wSBJ57rqsC8Jln9j9y7deKQa3ipLQITupWjMXjE4kxqAP6iXmj4jghKXgTcOdOKcpv+3ZJYDvppIMX07HhJhkz8Rj92QkxGLgEaXCcNk16bi67TEr9B6n42dtvS35ys2Z1pYgeTWw2SeA8kC+/lMXFXzrh4fDf/0pj/iefSG3s6qsl784D2bYkjLOHjCEhCWamgqFbtOKoOKO/GBdAHUYKSWA9kh+jFiULyMeDyGWj4nhpY3XAtW8an0TeFIGxY6T+7MQTJRue/vo6G42SOCpz/HCwgi4zgUuA04DVwDvAdaIoHvv5kr8wyltdxBoGolq0lbEhigpEGJXUtngYEndo79HaqGDVV3p2rdXQVKtEqxdR5yrYM3U94gmyGfUvlQISWMoVfMM+2nBixc1DLGMKaQztxYz9WGFYTBjfz8/n6VUVbK21ctXoeM4ZGkPSqVKD9Hhg5kzJOP1AUlKkhU1uriwu/hqI0Kk5OT0i6HhBvIkl8/N5alU5exsd3Dg2kTNyel5xZGPhYkYiIODFh4BAOa0MQTI9/PHHLmERJEHxueckz0WVqvf02N4wmYIrTEJXitXh8umnMG9e1/ePPy6lXMu7yP2nL1EloQhVtVutllLrn3kGnn02WIhIT5eqQN9yy5H1VFy7VlqMOzocJ/75T0kUmjEj9OtnM4Q1XMsWaqmkjS3U8gabAbiHKaTQe+XMsYlmvpuXz7NrK1hV0cZlebFcMDxmQKKRZAL57LPAhWnUE0pWrIhi8YJ8/rmygt2Nto5+MXBO0LnR8iqbuJxRzCATM1qmkEY+ksFoqP4pNVVKaRcESUw8HgTF7uzY0SUsgiSu3nCDJMYXFkrCgNt9ZJ/XXwuZFn2f+omSEklUKy6Wvn/hBcla5Pe/7339cgoZnMKrXM9Y9B1L5pNIpbBjnaXVSkJip7AIksdia2vo4mtHC41GGrsPtNUYMiTky2V+YWRlSRs0d9whfdY2mzQXWLEi8HUpKQIvv6ikqkoaq995p2dBPRML00jnLHLx4EOFAitOMoggOVnkm8vyeHxFGW6vyG0TU5iWHkGYBubPl75kZDo5WOTiXcBbwO9FUQzOUZEZEHyiSFWbk9gBqRZtD0qLBogwKKhp6X9Rl/pKJS/dE8HS98PILnSSOtRNfJoHl1OgYm0Eu/47lQyfyK23Clx/veyB9EtkBLEY0fA1e/mWvTzBLE4jmyh+GR/m2EQzL59lwun1BezKgSTmrFghpadmZUlRQCANxhdeKIXjn3LKINy0zDHFhJRw3kgy4faK/mq3PZGEmUvJ41N28jNlnMVQZpKJtmM43bkz+JzVq6XJ38GiJHojKwsefRRuu63r2LXXDow3WV2dNFHtTkODlHIti4tHj2HDpEqKzzzTdezGG6XIV4VC6sdCeXrBkRcqvviiS1gESTD5+98l77xQ761EwWgSGE0CW6nBgYdzGcpFjOQUMvyRi72RH2/kmbk5Ift2mYGhp2d/1Sq4/PJwxp7bc7+YjJlPuZhP2MlKykkjgplkBlhHjBkjWQV0VoNWKqWovsPpC491ugtNnVit0t81K0sSs2RhceDoSz+xaVOXsNjJ/fdL88DeovoLSWAh83iHrZTTynxGMYEu3xy7HVauDD5v8+ZD+U0GDpVKSrn/7DOp7YHkUztnzqDelswA09mPtLRImxdffCFFooNUODA/v6vo26JF0vz0xBNDXyudCK5iNB+zg43Uch7DmEEmShQo1TA7K4ppGZGIoihv8sn0Sq/ioiiKPdjEywwkdVbJB0yrOjzzYR/QjCO0uBimpKa5f+LiorfCePpmC+Nm27nz9TqM4YG5LSIim8Ql3LjjXD57X8ff/y6ZyS5Y0LsHmMyxRxoR3MBYbmDsYN/KIaFUCBgUoQc7lwueeEIyDTaZpAm+Wi0tjL3e4FRDmV8nKoWCvnbBOURxB6FnaBMnBh+7+OLDr36nVEqpL4WFUFQk+SYWFoaOdusvHk+gMX0n3YvRyBx5TCZpwXvSSdLiVK+XFgTbt0u+jT0Ji0eDzsqk3Wlv71thopHEMZIeSmUfhN76dpnDx+vt/dk/WL+YSzR/ZHKPP09NhQ8+gPXrpQXw8OFQUHB493ysk54OOl2gGJ+cLHsrHkkO1k94Qix/XK7QRXYOZBxJjCMp5M9MJml8v/fewOM9FVk7mowbJwmfmzdLc97Row9uoSLzyyQmBkpL4Xe/kzb+BEFq32Vl0jjdidvd8zUAhhPDcGJ6/LlGKS/uZQ6O3EqOAfa3OIgzHv42ZjN29Kj8pundiQxTUNVHcVEU4b93RPLCnyK5/m9NnH5de5CwCCAgkCAY0Q5v5i9/kQbXJ5+UvBPWrz/sX0dGZkAoLJQmVvfdJ6WoLlsmtdXGRklg7PT68Hj6NtGUkemNiRMlE/XOHeWzzpIKFwyEdYTJJEWKXXGFVPE5puc5YI+IYvAEMyEhOIVLpxscr6hfO1FRXdHUDzwg9VcXXwyXXjq493XmmcFt+He/k9pJd0K1L5ljl/h4qcAUQGSklDo3cuTAPvspKVI/OH++VLBI1Se3918uublStHFsR6HWzEyp6JJcSOvo4vN1zelGjgxO0b/ppoERfC+/HC66SPq/Wg133SVtEB0LjBwpjR0XXCALi8czKhVcf70Ucf7AA5IXs9cLixd3vWbYsP4VFJLHcZlD5Tgf4n8ZlLY4iB+glOgI9CF/FmlUsnqvI+TPuiOK8I8bLGxboeOWfzUQFkJU7E4cYZTQTAHxDBsmpbt8+6208D3nHHjwQYjrY8CCwyGd+/XXUgpNXV2X0eu11/Ycyi0j0xvJ2S5eX9HG9ioHRreee2aaeVynIiJCmvQ3NMDHH0s+SDod3HqrZEospy0d/9S0u1hX2cb+VgdDIvWMTTQRqT+8vthikRYXF10k7RxnZPS/MvRAUFEhiUHdi3ps3CiZvK9f31VMoHPBe/nlUhTks89Kvkz/93/Hf4TRsUpMjLQZMn++tOmRmSlFMR4Mq8vDmso2dtbbSDBqGZtoJMk8MAVQxo2D776TosBbW6X0/JkzA1+zcaPkZbZunSSAz5gh9aPx8XJ/eixz+eVShc8VK6TF6MknD1yREVGE8nJJdImPD/xZVZuTdZVtlLc5ybYYGJtoIlz3y1+WKBRS+um6ddL8Ij6+7/PgXxtOj5e1le1sq7Ni0as4IdFM2mEWbfL5JN/jp5+W1hE33ST1Rd9/LxVW27hR6p/OPXdghO6MDHj5ZclnU62W+utDva7TKRUCM5slsV/m+MHtlqrHGwwQfQQs7XNypE2M0lLwKjyU0UrkTDtzbwzDW2ZkzgxVnzY4tm2T2vPy5dLG5rnnHrwgoIxMd375o/hxQGmzk5iwgSjmYiM8REo0QLRJ2afIxRfujGDrTzqu/3sTOkPvwiJALGEU0VXesnNSNXmyVERj6FBpEP/tb0Pvmjmd0mT2rbfg88+l14wbJy18o6Mlr5CNG6Vdt+nTpcIIsq+jTF+xujw8sKyUf62u8B+7fWIKD45PR69WsmGDVCXz5pu7zvn8c/jhBylCTOb4pcXh5k+L9vHKphr/sb+cnMafT0pDfZipH0qlNNEbDOrqpGqsDz0k3cf990uRC3V1Uh/a2OGevGqV5EHV+brYWCnt+rLLpIXR8R5ddKyjVvcvygDgjS213PDFbv/3MzMjef2cYQOSGaHRSO1nyhRp8X5gRcjdu4Pb17XXShuFo0dLgnt29mHfhswRICJC2tR99lnp+1Wr4MMPJYEmI+PQr1tZKW1mPPGEtFH86KPSQtVkgka7m1u/2cP72+v9r//7zEx+NyEFpeL4qBCYnCx9yfTMF7sbOP/97f7vC+ONfHLxSFLCD11gXLNGSkvuTIX+4QdpjXHJJdLmh8vVt82a/qDXH1oRr+7s2iWN1x98IK2dnnpK+j3kgpm/fIqKpCJ5L70keV8+9RSceuqhF/jrCZMJotOdXP7RDr4vbvYff+H0HIYNS+z5xA7274fTTpMESpDG73XrpH5cXnvL9JVBS4sWBMEiCMJ3giDs6fg3aI9GEIQUQRCWCIKwQxCEbYIg3NrtZ/cJglAhCMLGjq+5R/c3GDiKm+0DVMzFFtJvEcCkU+Byi1idPRskfftqGN+/ZeTqh/omLALEYwwQF/3vZ4Lf/EYSA6urJaPZ3FxpcL/5ZmkRO3myJCDeeacUMfPii1Lne+GFkJcnRdRkZcH550s/q6uT0sW6+0fIyPTGrgY7jmYlf84cyZ3DcskI1/GPFWXsarBTWSkJKx9+GHiOKMK77w7O/cocPXbU2QKERYC/LtvP7oYQBmRHkbY2qdrk5s2hfe4OxrffShUEm5ulqJmbboIlS2DLli7hp5OnnuqaRHai08nC4i+R4iY7dyzcF3Dsu6ImttQO7ICpVgcLixC6fb36qrTZ+MorUlSP4+DJE/2mslISw/bskfpumYMjipIYvGqVFElTUiItHrtTXQ1btx7e+3zwgSSWtLdL17viiq7iF9tqrQHCIsA9i0vY2zi4/a/M0aOm3cmt3wT2Weur21lf1XZY1128ONhj8dFHpbFVqewSFn0+qd/ofA4GE6tVspl4+20pwm3LFqnv3LZtcO9L5vBxu6UNlmeflQJqiookm4gNG47M+22utvJ9cTPxRg2/H5rNnzNHsrvYx/6Wgw/A27cHzwnfeku6ZxmZvjKYnot/Ar4XRTEb+L7j+wPxALeLojgMmAD8VhCE7rUxnxRFsaDj66sjf8tHhuJmB3EDELlYhw0Toa8jCALRZiVVTaGjF4u2qHnmdxauuL85pL9iTyRhpoRmREKfExsr+UC8+640cKalSQN6eLjUub71lrTAPf/83qsH6vXwhz9IYuSFF/bNRF5mcKmhnVoGVwluKtKz8M5MHpofzZMLErjUXUBBtJl2l4d9+6SFaaidw1CLZ5njizZXsMGmVxSxugfPeLOoSIoyLCyUCg399rdSejOAD5FKWmmm5wmizydtxBzIO++ETnNUKuXiW8ciXnwH/awPxOHxhWzTrc6j054721d8vJQWCJJI3el59t57XW15oFixQsp0mDBBio584w25CNHBcDol0Xf0aOnvNm5cV6regRxOanRrq7S5PGWWm5sfbWXaXMnA67vvpJ+HaqtOrw/bIPa/MkcXh0ekxhr8wB5un9XTnK57BGDnc5CfLz0H48dLIuNgUVYGX3VbxUZHS+ulnTul79twUkErLvpXmFNm8KmultKMuyOKR044bnN5STfruNVUwHPXJvHQ/Ghe/W0yO9ZID0Y17dQTeuc6VJ8vCPI8UaZ/DGZ8wlnA1I7/vwr8APyx+wtEUawCqjr+3yYIwg4gCdjOcURps4OzcntR1vpIXS+RiwBRJiWVTW6y4gMFSJdD4P4LYzj92jYSMvo3cBnRoEJBLVbi6LmUpVIpRS72N82rOwqF5Id3xx1ShOMf/nDo15IZOKy4cOAhCml10oiN99nOAyxDgcB9nMx5DCeCgfH+6k5xk51FxU2sLG/llPRIpqZHkGSWnoHGRrj9t0r/LpzDAQ/9QccT72cyJFJPbZMU8v+3v0kVWTujXtRqKQ2/N5weL+ur2tnVYMOiVzMmYeC8zWR6xieKrK5o5eOd9Xh9cM7QKMYnm1GFmPlsqGllS40VrVLJ6HgjOVGBq+fsKD3RBjX1ti7X6pExBjIO0+/pcHj/ffjii67vX3lFSouaOr+Z/7CW51lHCmb+zkymk4nqgP1BhUIy7f7hh8Dr5uRIi6jkZMn/rJN77pG9dI41SmjiGdbwIhtIJZzHmMkpZKA8yF5wariOOVmRfL23K5NAr1IwNPrI5jLVWV2sq2qjLsnFm6vVlDY72VFv464kC4oKE3+9W5pvxMYObFpVbS3Mm9clWFqtsGCBVMBg9OiBe5/jjW3b4Kqrusa78nJpA/jf/5b+fp1kZUkZJJ1srmlnXWUbDq8PnVJBpF7F5NRwog2hN7S1WvjjGzW8kbOEV8KKOeHmNJ5YeQqG3ZLxYk6UnnCtihZn15xzXKKJjEh5HP21kGjScFVBPM+v7wobVCkEhsccnknxKadIAQndq6DffbeUmt/J1q3Sc9BJWZkUWbtsWehCaW68NOMgAh1qBr56vV4veSw6nXDnk+3UhDdQ53agGRrD4gofq+qb8KjdxCWITImMYyhHwLRP5ohgMEhzrz17Ao8fWGCoN+qsLpaWNvPN3kZGxRk5NcsSNKftZGi0gatShnDvpQZ/UZbaWph/mZLn1lZwY8o7aFHyV07hLHIxddMNcsY4+d0DPl76h57mZunYb38r+XAfiMfnY0NVO9vrrJi1KgoTjKRFDLDfgMwvksEUF+M6xENEUawSBCG2txcLgpAOjAa67y3dJAjCfGAtUoRjcH6udO51wHUAqQNRGmyAKWt1DljkYiI9u0ZbjEoqGoLFw5fuicCS4OWE2YeWs5SEiX009iouDhRqtVTV9De/gbPPHjxfs4HkWGifPkT20kg9NpIxkUpEn85ZRil/4QfKaOFGxnIpefxEGTfwpf911/A5URg4m6EDes/1VhdXfrqTpaUtALy0oZorC+L595wsDBoVNTWwaVOwWU2U00SCSUlkrlQl88UXparR69ZJEbXz5nVVkO6Jz3Y3cGE3n6CT08J5+7zhJJiOz5DHY6GNAqwsb+XkVzbi8Ukr4ydXlvHDggJOSovwv8aDj8Xl9Zzz2k5sbinEOS5Mw+L5+QyP7Vq0pEfo+fqyUfzxu32sqmhj9pBI7p+WEeR/W0M7RTRhQksuUUdkYQFSxNWBKfoACxeK1Mzfzt/4CYAmHMzlLVZwNeNICnr91VdLEVxtHZllFosklmdkSCnTn30mpVydffbx4+d0rLTPw8WDj3+wkn+xGpA+6zm8yUquYSy9+yWFaZQ8dWo28T/u5/3tdYyIMfDwzHSsMc3swEYOUQcVKPtLq8PDXd8X878NXeLA/01IZm1jE6/vruA/F6dy68s6/nxaIk8/PbDVcquqYF9gRiWiKKX4Hovi4rHSRouLg9PHd+2SNiU6va8LC+GMM6QqzyD1u6e8uhG7R+pPE4warhuTyLY6G3dOTkURohNp0rbzQMG77BOkafliwx52nVLN2ydeyFY05FgsfHv5KP64aB9rK9s5I9fCvVPSidANsAmZTJ8YjPapVir40+RUdGoFr2ysJj1cx+OzhlAQf3hricJCSST84APJTunii4MLQpaUBJ+3cyfU1ASLizuo40lW8jV7OYUMfs9E8npZa3VSRgtltBKFnmyiUNDzYJuWBk8+CftarPyzZRP1VW5UCoEJWWHc8fE+/5wnK1qL9RI3iRZTr8EkxyPHSh/aX6KipM/2zDO7su4KC2HMmL6d7/WJ/Ht1BQ8s68pXHhptYOHlo/zepO242E0DPnzkxEaRrYoIqvZcWwtrK9upTpEyyubxMV9xKXPIxo6bRRRzn+UH2u9xcc8tk7C/NZQ0k4Hp00Nncy0qauK0t7bQ0TQpjDfy0UUjZIFR5siKi4IgLALiQ/zoz/28jhH4EPg/URRbOw4/CzwIiB3/PgFcFep8URSfB54HGDt27DHlytNkd+MTwaw9/AVrPdYeC7oAxJqVFNcFpiDsXq/h65eN/P6FhkNeZCZiYjeNTOLodPbx8ZJ34003wcKFR+UtjyiD3T5deHmPbVzH59jxEI2B97mAqaT3et4GqpjJ63iQRss/sIhoDLxNsFHT62wacHFxe73NLyx28vLGav5vQjKj4oxYLJCeHjyJjApXct110gLryith6lRp1/qmmyRD7oPtJla1Obn5q70Bx5aWtrChuj1IXGxvPz487Aa7jXby+uYa/yQbwCfCs2srAsTF7b46/r2qyi8sAtRYXXy1tyFAXAQYm2jis0vyaLK7iQ5To1MF9sMbqeZ83mMfTSgRuI+p3Mw4wgcwCtfjkaJqjUYp4mLNmsCfj5vk4++sDDjmQ2QrtSHFxTFjpHTRjRuliPGCAskcHmD4cOmrk4YGSdB85x3pNRdcAKNGDdivdtQ4Vtrn4VJJK8+zLuCYF5Ht1B1UXATIiTLw3Ok5PDA1nVpdK2dpX6OcVjQoubf+VFRv5nPKJDUnnDAw97u93hogLAL8Z00Fd0xK5aHlpbz8YwMZ88pYuHcqhRGWkNfo3v77Q3S0VIG3JtA2NaA6+rHEsdJGQ/19EhOlIgMnnCDNrbrj8fr4x4r9fmERoKrdRYvTwztbazknK5bhCcGLyX00+oXFTipo4zvtHh5mOXczhf9LnsDnl+TR4vAQE6ZGqzoyGzcyB2ew2mdGpJ5/zMriD5NSCdMoDltc7uxPxo6Vvnoi1EZHUlKwPVMdVi7lIzZSDcBrbGI5pfzIVSRiCnltqxWWevZzZfh71GJFh4pnmMtl5KHtZdl94YXw5uY26r+RVKE5WRbeOGDOs7feSVO5mRJLM6P6IHAeTxwrfeihMGuWNC/bvl0KYhgzBrrro0VF8OWXUkXz2bNh7tyurJLiZjuP/rQ/4Ho7621sqbWSEq6jjBb+wCLe6Vh7zSCD+9IvRhACN5LMZnDFBPqZfsJO5pDNKio4k7f9x28P/5xXrldyoScfTYjYp0a7i9u+3Uu3psn66nbWVbXL4qLMkfVcFEVxhiiKI0N8fQrUCIKQANDxb22oawiCoEYSFt8URfGjbteuEUXRK4qiD3gBGHckf5cjRVGTgySTBmEAwkcasPe66I0LV7G/vmsrw+eDx6+NYu7V7ZgiD93EMAkzO6g75PMPhbPPlnbbFy06qm97XLKDOhbwCfYOL5d6bFzKh1TQ2ut5G6nxC4udPMc6MkNEPWYSemF5OHSfcHXH7fUhilLk1r/+FZiKd/vtsHqjm+QpjcRPr2XJzjbCTD6uukra2e5LmoLN7aU2hE9Qi6MrKri0VIqGnDgRrrvuyBk3/9qwhvDpanP5ELvNoPZ5m0NGaO9usPHlngY2Vrfh7dZ2wjRKksN1QcJiOy5+z0L2dRSs8iJyD0tYz+E5vzc0SFGyu3dLAuD8+TBpkuQ9e8EFgdHYEyfC9FN96EIsSHqLWhgxQqr6fPHFXcJiKN58U/K7/eAD+OtfJXFz165D/91kDg8damIJTgk09uClHAq1UoE23Mul2vco7+jDXXi5O/pLPENrOflkWL9+YO63xRH8PLq8on+j0uEScYs+GuLqQkY+rF0rpSJOmiRFdnRP2T8YPh/85z/S5k0nDz4opUXL9MzIkfCXv3R9r9dLfmChRMemJti5V2R3Q3BWS63VhVGtZO++rr7U5fGxtqKVd7bWYLMKIeO0lCjwInI/S1lHJSatiuRwnSws/opRKgSSzFq/sGh3e1lVLrWjZaXNNDvcB7mCRPf+5Kmneu9P8vLg3nu7vtfrpUq+B4qO+2jyC4udFNPMLrGhx2uvLmnnRtNH1GIFwIGHa/iMbQdZJ+n1oNR0PU9xRg0Vbc6g11mtYBjUxEOZ/qJWS/62V1wB55wTKCw2NEgp+rfcAp9+KmXm3XKL5FsL4PWFXu94vNKx7yjyC4sAiyhm67BtPPVUV2aKWg0Pv9TGG5lLA64R4zaxo66dz8Xgid/TrOL+v7tZvJigKEiry0dZS/A6qMkROPfev1/aMN+/P+ilMscxg2nR+RnQ6fCyAPj0wBcIkuL2IrBDFMV/HPCz7kPAORAiXOoXQHGznQTj4adEu/Bix93rIiQ2XEVZg9u/EP/6ZSNej8AJsw+vOl8KZvbQePAXDiBqtbQo//Of5QqRh8t+WvAdUJCninaqD1KMJYzgHeY6bCygIOBnZrRcwoiBudluDI02kGMJ3CGbkRlBmknPK69IHnNXXy1NIN97T/JXzBvrxpNXjU/hQ+FVsF5VzhZH/9puklnLBcMD82ZUCoFhHd5mTqdUIfOPf5R8fV5+GWbMgL17Q11Npj8syA8OhP/N2MSAzRmn2s2swuCd09GJRs54awsnvLCe74oO/pk3YGMJJUHHS2ju1z13Z8sWKRV57FgpQvCtt6RJ15YtcNttksi3eLG0afLDD/DJJzAiU80TvtkBC/XhxDCGw8sxragIFBlAmuRu3HhYl5U5DGIJ4ylODTg2ith+f9a1WNlF8OLXFtuM3S6lDA4EWRYdEbrARe6waIO/KuV5J5r4TrsHfYixYscOmD5dEri3bJEKvj3xRHCVV5BSeX/8URLkfT6p8EFBAdx8s+Sl9vbbkrDwu99B2OHZtR33mEySX/WaNdLfccMGmDkz+HU7dkjVaqdMVHJ2anC/OyImjKlDzNTt0fkXjh/trGPc/9ZzyYc7uPeDaq51BobIXsQIFlPs/774MPpSmeMTnyjyxuYaJrwotaOTX9nIw8v30+4M0TF0Y8cOaXOssz+57Tb4xz9C9yfQ9+cg1MYeQEO5KsDTsZO2Nlhf1c5+RWBWjQjs70N7L0wwoVNJS/Pvi5o4M4Qf/wmJ5iOyYS8zOOzaBUsDNT8++0wa7wAMbi0L8gL74BiDmpFx0mC3iOBSzi9q13LldV7Wretq3+PPbqOpW5E4C3r0RTGc/MomjJ7gOXOE08jyJQIzZ0rrp+4kmDRcNTowclYARsR0RXMsXChFaI4bJ/377bcH+0vIHC8M5tbHo8B7giBcDewHLgAQBCER+J8oinOBE4F5wBZBEDZ2nHdXR2XovwuCUIDUZ5cA1x/Vux8gipscxA6AuNiIHTPaXtVio06BUhBobPeiR82Lf47kivuaDrsKlAU9LrzUYyOaI2se352pU6WJxJIl0qRC5tBIxIQAAfJiFHpiDvJZjiWRFMyUdYtw/DszmEgKK7iatVSiQGAMCYw8AukbiSYtH188kpc2VPN9cRPnDI3msrxYinequeoqacdu8jQvle1udi5U8+TjCmw6F9s/iufBB9T4fDB5aiQVN9dS1eZEr1JQ0uwgTKNkiEUf0kcKQKdS8tdTMtCrFby1pZYhFh3/nJ1NXpyU11dcLFUh7E5jo2Skn5U14H+GXxWTUsz8eGUBP5Q2s7q8jatGJzA8JgyvT0SpkD6v0cSzLHc9v7Ul8tpPTehUCv44NYnPdjQgAmqFwC1f7+Wnq0xB/ordiUTPeJIooRk7Hn/l3mTMh3TvVqtUjGrLFul7pxMee0yKGPxJslPkySelSNfp07vOa2yEfW8O4eG8q2hJryHcq2d6eCIZ0ZGHdB+diGJXJd/u+A49iF1mADiNbH7mKjZRQzQGxpJAWh88cLsThZ5UwtlP4ALX0Ci13Z4W3P1liMXA15fmces3e1lX1c70jAguyYvlxY1VPHB+HDuG7ObO9mmkizEcmEG4bZtkG3HJFW5mXeBAIQj875Ew9u8X/NWmQVqgXHQRNDdLUejPPisV4Wrs2B+4+24p4nz9+oEtGHM8YzD0njLqcsEjj3RVzy35KoY/nuPkmdWVGNQK7piUQkS4wJjoeN763EPRXg3zr3fxmy/3oFMpcPtEVpZYif0mng9OvZhKbXOHR/N+ltHlG5ZyiH2pzPHL3kY7t34TuBP72M9lnD88hnFJPbeXrVslYU+vh7MudhMV5+ObzzTcdFNgf9KdsLDA56Ci1UGN1U1smIbkjsKAOURxg28sHyi2IyBtoM9tGcFLd0ST+jtJOAFodnhwe33oBQ3txQbiMQZt0Cf2ob3nxxtZsqCAp1eVs6fRzmlZUagFBS9urCLaoOYvU9Mo1Eb26t8o88uipzmX1yeyZLmPyy9WcuUt6fwxz8jCumpOSDTxmxOSyIyUBMGTSA2yo5pNFiadkvwCkQSrizC1EoU3jp+sN7BHU8s2awuKKjOPfNaA1e0lsiweS6aeRiTFXInA6TsmcfsSFaIojcPdfUtVCgX/NyEFrw9e3FBNoknDk7OzKEyQBvp9+6RMnM7oy/p6KUtmwwZ5HfRrYNDERVEUG4DpIY5XAnM7/v8jhO5BRVGcd0Rv8Cixr8lO/AAVc+lLNd5Ei4p9NW62vhZNVr6L1KGHv8oQEEgngh3UcRJHr/SoUil1Xg8/LIuLh8MIYniKU7mNb/EhokfFq5x90KIuWVj4jnksZz81tHMiqYzv8IDLI65Phteh2FFnZWV5K+0uL+OSTIxNNPuFowMZHhPGYzMzsXt8GNRSWtW6RdKk8a8vtvFxaxHVESpmnWPhj8taiQlTEz1dzT/zzfznrnB+/EFJ4eRIqia6uPnrPfxc1opOpeCR6RlcPToBkzZ0F5kdZeD503N5YFo6YWolUd0qZqpUoNFIvj/dCeVbItN32p0evtrbyF+WlODw+rh9YjJLiptY8MlO5ufH8X/jk8m06Gkp15Denoovr5V/D48jSTDx1y+rqGx189jMIbQ6PVIUQYuzV3FR61Fxd8UZfLqvFr0OYjJdtMQ3UHiQKLIGm4s2p5c4owa9uivVr64utEds9+gHnU6Kyu7OunVw+y0qIAWVKgWPB04/XYrG1R+GtU1ysiTM/PGPXcfCw6WIX5mjT73NxU/7W1la2sSIWCOz00eQEXloH3A8Jl7hLM7kHdpxIQC/qZzG13+PRaORNuYGigkp4VxREMe0jEjWVraxpbmF20+LRrCpCNtYyJMryvmXbwt3TU5lVmYksUYNkXo1ajU8864Vd0oTT6yvotnh4ar7ErBp4gDp9y4thUsvxV+10maDa66Bu+6SosM7aW6WUiAzMgbu9/o1U18fWLX+1We0XOVL4aPfR/DT/lbe2FzDucNiWNlSw0/DW7g6LYOqqnD+cGIq9TY3erUCj0/kiZ/LuGZoKjfn5rKWSh5iuf+aNzL2sKOvjxSVrU6W7W9mZXkrJySZOTktnGSzXMX6SNLu8lBvdVNvdQf4ewJkRuqot7n516pyjFolE5PNDI0ODFFWqyFnmI/rHm3if6X7qLG5OO+eBGzqJOjD2mhxcROXf7SDqnYX8UYNr58zlBmZFvSiigtLJxFeNBS3IM1JV34cxlPv6ph/NuSN9vJdURN/XlxEi8PL7ZNSmDg8FtOWs7k3712suFEg8KBrBiM1vdYt9TMh2czYxKF4vCKvv6Jk8VNR3HRxCi37Fdw+VcO990oWPzLHB7m5kkjdPTpw+gyRarGNz9/T85unmtjtbiZZNHBV+DAmpxrIj+9aE51KFieTxtKOjZtRxHI5eZQ02XlufSWvbKwhy6LjioIEHl1eyqg4I+OSE/nz98WkR+i4dkwClfvc/LXlEhKTRVYLFZi2pPD0NYn+zMBQnsiZkXqeOjWLP56YikGjILrbOqi8vEtY7KS9XfK3l8XF4x/ZtGGQ2dNoZ1bm4UWggOST11sxl04SIlVs3u7l03+Z+L//DFwqcwpmtlJ7VMVFkCJ8Xn5ZMsntXqhApu/oUHMDYziZNGqxkko4OQSnYoQil2hyiR6we9leZ2XqKxups0kGH0pBYOG8UZyS0fMzIgiCX1gEyTPnuj/YeaRsM1F6NQUmA9d8vst/vYenZ9Ae3czcxxuY1xZJ8TITW2ua+blMGgkdHh+3fbuP/Dgj03p5X41KQWp48OI/I0Na/Hb38xkxQvL4kTl0fixr4aIPuip03/z1Xv5ycjour49/ra7A6vLy8PR0LvlwO0XNDvQqBTpVLb+fpGVdRTuvnTOMVRVttDg8pEXo+PPiIv55aja50aHDnRaXNHPam13vF65VseTK8UTFdb2+weYiTKNEp1IiiiJLipv57de72d1g5+zcaB6anuFfBEVESKmcB/pvdveMe+ghSfTrTlG3jJfOiLPvv5cEgM5qrofKFVdIz8tLL0lt9Kqr5H50MPD4fPx7dQX3L+2K6hqbYOSzS/ICikS5vD7WVLSxtLSZcJ2KqWkRjIgNnQc8jQw2cD173E2I9Qa+eDyGrAQVj/3Q9yqVfSXFrOM3HUWuttVpMKFFoxT58+KuFNhbvtnLfVPT+XJ3PY/NHMLIMSZqqlq4pVtxrAeWlxCmFRiZLM0jKiulVP3uuN3BEbc6XXCFV5n+0e7y4PFBhE5FeLhU3KX7ZkjOFBuzXt/i/35TjZU7JqXQ7PBw18btvH/eCO76sMifATE6LozXzhnGtjoruxvtTE0LZ23CtewWGjGjZRjRmI7BarftLg93LS7i1U2dlYIqOG9YNP87M1euZH2E2FTdzu+/28v3Rc3cOyWNzAgdRc3S7qxKIXDLuCTOfHsr3g6lIy5Mw5IF+QyL6er7CgrghvvbuH3DFn8b/N+uMqKi4eHkzB4zUQCKm+yc9942mjv84qrbXZz77jY2XD+GOpubOW9uwen1+e/nb8OkHbjERFhV3spZ73RFjf3fN3t5Zo5AXk0mf6u6Hm9KMyNiDUyKjA5pD9ETKoUClULy4NuxXWDHvV0ThU8/lSwguv9KXp/I2kppbNAoBaamRx521W2Zw6N7n9obMTHw+uvw/vvw9ddSVem8qTa21zoxndzM3du6JoG5kQby8ofzxuZ2djfYmJAUzsQUMx/qL2QH9XjxMZRoLF4DN/+0h+fWSR7h1e0uVle0cfeUNO5dUsKuBjuX5cVSkGDk9wv3+QuzxIVpeOn0XB64N5ySIimt0WgMbRkAksdzakSweB8bKwVUuLrZMqrV0nGZ4x9ZXBxk9jXaSQzhI9Zf6rFh7sPuXLJFxeLHoxl9ioOohBA5cYdIGuH8SNmAXa+vaDRw2mnw9NPw3/8e9bc/btCgIj9kYfejy5LiJr+wCOAVRf66rISJyeaAKLCeqLO6SBkmMgYHTy52c/XoBJ5Y0dUub52QxHPrKilq6gwr3M+TFwzlmTXBzt97Gu29ios9oVTCjTdKYuJ330kG+jNmdIlGdVYXm2raqbO6ybboGRVvRKMcTPvbXwYf7Qg2Q/92XyMnpoazqKiJVzfVsCA/jkvy4tCpFCzc18jy/S28taWWTy/OY/4nO6lud3FadhRqpcB5w2NYU9EaUlxsd3l44IfSgGMtTg8/lrQyOs5MabODVzdV8+qmaoZFh3H3lDTC1ArmvLUZV4fJ9kc762l1efjowpGYtCoiIqQCQ3PmSOlbIFUrz86WKpXPng2TJwf/3t2Nvzs58USwDIDlUmwszJsHl18euFCROXrY3V72Ntr5uSwwhXltVTtba60B4uIPxc2c+uZm/+LZolex7IrRPQqMWVjIUlsgAeY80ftnvLvBxtZaK0pBYFRcWL+iJk9MDefxmUP4yw8lXDwilkVFTQFRDJ18X9SEQa1izptbePe84Wypswa95rl1VVw9OoEog4a4OCmatqXbn0aplFIZFQopnUyphOeeCyyEJNN3HG4vi0uauf+HElpdXu6YlMJZudE89JCatWul9PPoaKjSNgWd+8nOemZkRvLutlqW7m8i2qD2j9+X5cdzxSc7/aKMVqlg6RUFzEjuIUf1KOP2+qixujBrlZi1XaLPrnp7N2FR4sMd9dwxKZXxybK4ONDUWV1c9ME2djVIIfx/+7mMx2Zm8srGatZVtXNZXiwfbK/3C4sANVYXS0ubA8TF9HTQVFsRtwde/7l1VdwyLplEc89Cdlmr0y8sdtLm8lJndfPW1hp/GwapsMZyexWPPx5Bfj48ti74ufj3mgq+vdyCslHB+9s8NNU4EFPaGJ9s7jEbpidmzJCqCHfn1FOD+/IVZS1Me22Tv/CHUaNk6RUF/jRVmaOH0+NlcXEzDywtpdnp4fcTkzlraHTIMbGTnByphsCdd0pj2yUflDAzNZp7visJeN2J6Wb+8NMuVld0VX1+ZHomfzgxhclC12SxuNXOixu6ChHFGzWclRtNZoSOSJ2KbXVWbh6XxEsbqwIqPtdYXSwtb+buZxQsfT2SiAipcnVBQf/+BtnZkoXJtddK47RCIRVgy83t33VkfpnI4uIg4vb6OkLwD3/CUoO11+qhnUR49VQtiea6Nwa2AEsaEbzCJtx4UXN0q/7NnSt1YI89Jpk0y/xy6S4sdlLZ5sbpFdH38pi0OT18srOePy8uxun1cduEZM4fHoNSIeD2do2cZq2qm7Ao8fCaffzf+CRWVwb64xxOoaXoaKmi+dlnBx6vt7m49Zu9vL21FpA8H967YDjnD5e38w5Goim4f4s2qKlud/n///a2Ov67thKAm8Yl0er0sqmmndIWB06Pj8dnDeG1TdUsLm5i9pBIbhgbokQq4PFBcwgD+TaXF7fXx8PLS3l+vbQjXNTkYElJE8+fnusXFjtZVNRMeauTYTHSUHviiVKa8549EBkJw4ZJEY0XXdTz7z12rFQ98D//kb6Pj5c85waycIUsLA4Om6rb+fPiYn4oaWZckoknZg3hru+L/YtZZ7f0wHaXh/uWlgR44zbaPSwtbe5RXOxOb5/xhqo2Zry+iUa71ObTwrV8fdmogMV7b0Tq1fxuYjLnDYum0eHho511Ic+NM2rYWW/D7vHR6HATqQ+egsaHadB2FDTIzJT8ay++WLKZUKmk52DGDOk5qqiQNm2GDeOwvaN/rayqaOO0t7oiEq/+bBeqswXmj41nzRqpqIDZDD96g+d10Qa1X5Qxa1VY3dKGdW6UgXWVbQGijNPr4+WNVYxPHnyfxT0NNh79aT/vb6tjWLSBx2cN4aS0CECKDg6Fs4fjModHcZPDLyxCV+bIkgX5RBvUGFRKpr++Kei8+hBzxWhjcH+SYNSgV/feOUQb1GiUQsD4rVIIRBvUNNiD5wEOwc0NN4iEhQnEhgVPTKMNahYVNbOjzoZaqeBfqyt5ZVMN90xJ4+KRMRjUfV96n3EGfPQRLO9wFBg3TrKD6o7H5+OpVeUBFYXbXV4+3Vkvi4uDwKqKNuZ261Ov+Xw3CkHgytEHt4HoHMfGJZvZb7MF9Tup4Tpe2hBYvfz+pSWcPyyarKiujfJGu5tInYo6m5tzhkaTZdHz2qYavtzTwM3jk1hR1sLo+LCQz5HV5WO3tZ3HHjv0rEqVStq0HjNGSpHuHKdVsur0q0Cejg0ipS0OYsM0qAcgaqkOa588Fze9FYsiuxH0wR3K4aBHTQxhR71qNEgh5QUF8M47R/2tZQaYaenBg9kt45MOmlawpKSZ+Z/spKzVSa3VzZ3fFzM2wcS6yjZOy+5K8fb6xKBzW5weRsUZMWm6Fk/nDotmbKI0KXN4vGyrtbKjzorLc3gLjC01Vr+wCFIRnRu/3EN5i6Pnk2QAOCs3GrO26zPSKAVOyYhgbaW0g3vrhCSMGgV3nZTK9WMSeW1TNWcPjeaJWZnEhqn599xs7lxUxPqqdtpcXj7YUc8/VpZjdQVHcEfoVPx+YmB+skKAaekR7G9xBuwIA9jcPlQhuvFInSogZR+kHd25c2HiRElYPBgxMZKYuHq1VEl69WooLDz4eTLHNpVtDs56Zwtf7pEM1ZeUNPPPVeVcXShFkEcb1AyP7VosuL1iyIXAgRE3B9LicLOr3kZNuzPkz0VR5L9rK/3CIkBpi5MvdgdXm+4NQRAwaBRolQJTUiMYEqknvFuUjkGtYEKyma21UrTi/hYnYxKMxHXzPVUIcM+UNIyarvPOOEOyEvjuO+nfK66QhPWCAilrIT9f9rM9HL7aE/w5/7OjX8zMlKKkJk2CkzMiiDF0CSlKQeCCETEsKmoi0aRheIwBm1saH40aJQlGDX8+KY3/m5Ds36irancFvdfRxury8ruF+3hpQzVtLi+rK9uY/cZmtne0y2yLnjEJgemkw6L15FgOw+BWpkdMWiXqAzy1PT4RtULB0OgwUiN03DwuKei8kzvE4O6ckGRiaLdMBIUAj80cQmRvO9NATpSef83J9hv8C8BTs4eQGqFj/qhg7/DfnJBIWJj06ukZFqIPeC7OGRbNXd8XEa5T8q/VFTTY3ZQ0O7j6s12sLG8Lul5vDBkiiYs//igJjF98Ic0huuMTpcX8HZNSuHNyKvkdxQVrQ4wXMkee7/YFr4OfXFlO20EqnnfnzJwoYsM0/Pe0HGYPsXQVnwhewuDw+HB1W9t4vD6eW1vFTeOSMKgVjIwN47Gfy6ixuihvdfLA0lIuGhHL6AQTN50Q/GylhGuxhNj46w8uj499LVbUiVamz/bK4/SvDFlDHkT2NtpJMg3M01aDlfyDFNBob1Cy5sMIkuftZV+NhsKMgZ0spRHOFmoYztE3P5o9G154QYpglPnlMj7JxKcXj+TuxcU0OTzcPjGZ84b13J5cXh8/lDTzTjfBrpMPdtTx1OwsGmxu8uLC+GhHPWnhOrRKRcBu4CUj43huXSXLrxxNWasDs1bFiJgwogxq9rc4+MuSYl7dVINCEPjNCYncOTk1IFWxPzTYgyd79TY3rSEELplARieY+Omq0awoa8XtE8mPC6PJ7ubZ07JJDddRY3Xy9KoKqttdJJm03D81g/w4A9vr7Fzy4Q5uGZ8cZBT/1Z5G9rc4QkZZnT1Uinz9x4pyYgxq7jopjROSTFS2uTBqlLQcMFGMMag5KzeKT3d1LdafOjWLtBB+NP3FaJQ80GSOH/Y1OihtCRT89rc4STHrmDcqjtsmJJMZ2bVQ7owOvPHLPf5jAjA1PaLH99hU3c5vv9rNT2WtpIZreeH0XGYMiQzwH3P7fGyobg86t1ME7Csry1q47KMdFDU7uGdKGo12N3+anIooipi1SmxuH48s3w/A3GwLa6vaeHZtJU+dOoS6jiIOk5LNTEgJjGxTKGDoUOlLZuAJtYiMCVNzoAvJ+GQzX12ax09lrdjcXkbFhbGrwcbdU9JQKSTx+IFp6fx3bSWX5sWwoqyVD3fUE65TcdO4JL4vauLawsEv4LK/xREknNs9PnY02BgeG0Z0mIY3zh3O8+sq+HJPI7MyLdx4QiLxhzjmy/ROdpSeh6dncMd3Xb5yN45NZFhMV9934YgYPD6RJ1eWE6lT8dD0DMYlBUfkZUbq+fLSPNZVttHk8DAqLowxfYjcUykULMiPY2yiifIWJ0lmLSNiDGiUCk5KC+fTi0fyyPJSPKLInyanBfS5I2LDeP/84XxX3ITLKxKlV/P0qgpOTI3gjS3B89KFext79RAPRXS09NUTbU4vwzsEJLdX5OKRsZyQZOK8YQPnhy7Td0KJ2TEGNaoeClMeiMvrY2N1Ow8sLaXG6mJGRiT/PDWLFeWtzB4SyVOrygM2Fc8bFk16eNc80+7x8VN5C8ZaJf89PcefzdOdZaUtXF2YyCUjY3F4fPxnbSUROhXz8+NYUtzE06dmB53TV6ranDzy436eWVOBKMKC/Djun5ZBarhcFOvXgiwuDiJ7G+0kGAdmwlLfh2rRS1+ykDXBRngK7Kp0Dbi4mEEEG6nhIkYO6HX7wrhx8OSTsHOnvAj5JaNTKzkzN5opaRG4vb5eq/kCbK5pZ95HO7hgRHBacVaknvHJJlQKBbOGRHJmThTFTXbeOHco/10r+S7OzY7CK4pcnBdHfryR/AMMsD/dWc8rHf5LXlHkX6srGJdk4vJRh+ZPmW3RoxSEAP8gqRKlvHDpCyNjjYyMDTYp31jdxsUfbKetQ6StaHPy4LISXj5zKDd9LYkxB0ZHAJi1yh5TpqIMaq4oSOD8YTGolAI6lbTaTg3X8eiMjACRZ2SMgawoA/89PYfrxrRTa3WRE2Vg9AHtye31saayjWWlzZi0Kk5ODWdknGy6/mvEqAltH3JKRgS3T0wOmdEwMdnM32Zk8r/1VUTp1fxlapo/wvpAGmwu5n+8g83dIgXPeGcL668bw4huz5BGqeSKgnjWVAZG1JyR27eiXiAtJi78YDtlrZJY+uCyUkbE6HnrvOFkRuoRgI3VVv4+U43D42NpaTPvbZM8VL/b18Q/52QFRCvKHD1mZ0Xx8I/7aXVKfadSEPjjialolMHtc2ySmUyLni017Zz97jZanR5EUQqmCdeq2HjDGK4vTOCh5fv5YEc9IEXW/nVZKe9fMJzh0Qbe2VrD9jobJySamJhsJvogY/xAo1crMGuV/t+3E3O353FotIHHZ2Xxl5M9GDUqlH0UBWT6j0qh4PoxiYxLNFPUbCfJpKUwwYS5W9RzvFHL7yamcHleHBqlQEQvkYiZkXoy++EX24lWpaQwwRSURmxQqzgzN5oZHYU3D8xEAEiP1PHSh9W0OD3+DcxxiSZSTFp21tsCXmvSqnh3aw2FCSayo0IXk+svy0qbA4qBvb65hr/NyODElPABub7MwSlusvNjWQt7G+3kxxkDNpoVAtx1UlqffONB2hS84P3t/iDFRcVN6NQCb54zDLNOzXfzRvHw8lLWVbVz8chYritMwNCt/zJpVVyRH88fFhXxxM/lZEYGawOdx+JNWv40OZU5WRaq2104vD4uGBZD+iE8Q518V9TEv1ZX+L9/ZZPU3m8en9zLWTLHE/JsbhDZUWcjyXz4EysvIs04evVcdLQp+OnNSM67vxqMaj5e04bXZx7QSdMQIvmEnfgQUXB0J2NKpeTD9NJL8Pe/H9W3ljkCHCwNupNdDXZqbW4STBqi9Gp/ZKBOpeDaMQm8uaUGrw9yo/R8srMerUpJSbMdrVLgpbNyWV/VRkG8ifEhdsG9Pl9ACnMnn+1qOGRxcWSskU8vHsn1X+ymos3JKekRPD0nO2AiLdN/Gu0ev7DYnbVVXYLJ3kY7k1LM/qrgAH+fOYT0iN4nUUatinanh5VVzdRY3ejVSmZlRrLw8lGsqWgl0azlpNRw/67s3Oye++Glpc3MfmOz30A7Uqdi2ZUFIQVTmeOb3Gg9t45P4p+ruibht45PwqxRsq/RTmakHo/oY12lVNglM1LP5R/vwCeKzBpioc3pRUDosRhUeavLLyx24vKK7G10BIiLIFkOFDfZ+eeqCtRKgbtPSus1IjL4vZx+YbGTbXV2ylqcjIqT+tYTU8M5MTWcyjYnsWFqsi16RieYmJRsloXFQaQg3sjyK0bzQ2kzVpeXqekRnBBiPOzEolfj9YlB6fgtTg8lzQ5yowy8GSJiq6zFyeubq/lsV1fK4D1TJAuLepuH2DD1IWcEAFS2OllT1SZ53EYbGJtoCjmupkfoeXzmEK77Yrf/2PSMCEbFBUavKwSBcLk69FHBpFUxJT2CKUT0+rrYHnywN9e0s7ayDYUAYxNNR2Q8DSUqdpIeoefDi0Zw3ee72FZnIz8ujLunpLKh2soPpc24Owb8eKMGs1bJC+ur2d9SzLeX5/ercFYnrU4Ppc0OwtRKMiJ1fBnC2uDdbXXcMk4Wc44Gla3S5trabht0j07P4OzcKCrb3VKf2sMm4IF4fSJba61B2c9f7G6kos2FWadmbKKZt88bTpvLS5RejRDCUPnikbHsb3Xw1pZariyI55u9jX7hO1Kn4uyhXRlhgiBQMIDenKEsVd7eWstvTkhEKZsj/yqQZ3SDyM4GGzMzD90wtZMmHIShQdWLheaKdyJIGWknPM4DKAnTKthX4yInYeAipiLQo0dNKc1kcPi/V3+ZORPuvhsefVQ2d/+1EN2R0vXI8v3cPkmaSAnAKemRXPP5Lqnic3o45w6L4cUN1TQ5PIyICePqwnhe21jNS2cP6/HaSoWCk1LDWVHeGnB8fJKJ4iY7K8pbKWtxMDbRxLikvlUBVCoETsuJYu11Y2h1eEgwafpdPVAmmBiDOigi1OnxkRre1b+9vrmGawoTuGB4DFqlguExBn/UV7vTw9ZaK1XtLtIidIyMCUPTzUTxu6ImPtlVz5ubaxERuWRkHJeOjEWlVJAWriO+D8V/bC4vDy4rDajM1+TwsLi4WRYXj3NcHilidWVFKxadihNTwsmJNnD3lDROzbJQ3OQg2axlX5OdEc+uQUDgxrEJnJpl4fS3t6JXKbh5fJLfc7HTBqLe5uLEFDPGEH2IVAU3OEIrUhe8SE4ya3lkRiY3jE1CKUBahC7kgqUnLHoVJo0ySOCPC/FcJJq0nD889pCKWImiyI56G7sb7ETolOTFGokyyALQ4TIq3siojihrj8/HtlobRU12YsPU5MUaMR+w2Rdn1KBWCH7RBLoKYBg1SoZG6/mpLNACxKJX8Xk3YTFSpyLeqGXCixsob3WSbNby/Ok5zMnue8RsJ/U2F9d/uTtgUfuPWUO4dUJygAVAJ5fmxZIbZWB7vZV4o5axiUbiBiiLSObosqailWmvbvIXEzJrlSxZ0LcqyaIosqK8lVXlrXh8IiemhjMh2RyyzRyMSSnhLL2igHqbhxiDGotBzU9lrdw3NR2r24taocDt87G51kpxs52iJgfL97egEIR+WafsrLdywxe7WVraQphayb/mDGFECFuXwgRjwBxG5sixubY9QFgEeGBZKVtuPIHMSD11VhcrylsRRZGh0YYe+5omu5vn1lXh9QV7u6eYteyst5IbbUAhCGhVSrSqngVvo0bJrEwL0Xo1sWFqFl4+ij2NdlQKgTGJJob3sVjboTA+ycT72+sCjk1JC5eFxV8R8qp2ENnTYOeqgkOLgOpOLe1Y6Hn3y+uGH/5nYfat9f5jQ5O0/LDNOqDiIkjRi5upGRRxMSNDqhb9ww9wyilH/e1lBoGCeBPnDI3i450NPLC0FJUg8PHFI/mprJk9jVIFwjNzo7nl673+ncBtdVZe2VjNObnR+ESx14nk/Pw43t1W6/dGGxZtYGamhfPf28b6bj5lz5+ew7VjQlceDkW8UdMnQUqmb+RGGfjH7CHc+s1eQBKYH581BAEYERPGtjopguvtLbUsmjeKCd3ShWxuD0+uLOfeH0r857569lAuHxWHIAiUNtvZVNPOax3p8QBvbqkh3qjh5Y1VNNo9vHRWLlcW9O4n5vb5qLMGe242hahGKXN8sbCokTPf3urvg1LMWhbNzycnysCpWZKY8vy6Sm77dl/HK0T+vaaSCL2aMLUStVLA7g5ecNTbPDi9PkJJ0xmRev49J5v5n+z0H7tgeAzb6m3kxxuDorJUCgVDDrFoxRCLgefOyOHyj3b4xfMHpqYzPGZg0v46WVrSzJy3tuDoiMC4aEQMT5+a3WNEk0z/+Xx3Axe8t92/UXPbhGTuOzk9QGDMiTLwz1Oz+M1XXdYQT80eQm6UAbVSwSPTM5n1xmb/5zQ63ohOpQyIxrlqdILfWxmk6NdLPtzBd/NGcUJS/ypKb62xBkXL/HlxMafnRIVMPQ3TdETK9SM6V+bY5MUNVX5hEaDV6eXdrbV9EhcXFzdz3nvb/P7JaoXAwnmjmBqisGBfiDJoiDJ09UWnZERy7rtb2VFvQxSlTZwbxiZS1CQV8NvbaOeO7/bx1aWjGNOHyDanx8t9P5SwtLQFAKvby1Wf7WbpFQVkROgobpauG6lT8ZuxSYckksr0n1Bjs93tw+X1sa/RxuUf72RlR5DC6Hgj75w3nJzo4H5pZXkrd35fxCUjYzklI4LFxc2AZFVxy/hkbvhiDyNjjQdNp/f6RP69usI/pwU4McXMM3OzyY8/8tXDz8iJ5sUN1ezosARIC9cyL0RhJJnjF1lcHCQcHi81VteACAw1WInsxW9x45dmwuM8xGZ2VeobmqRhfbGdXZVOchMHTmDMIJL1VHEWg2N8OG0avPGGLC7+WogzavjvabncOLadRruHnCg9eXFhfLC9Ky2r3uYJSjHYXGPlganptDu9QVEZnZS3OChpdvDCGbm0OiXvpZGxBjZWWwOERYA/LCri1CwLKbJh8aCgUSm4tjCBSSlmylulohgVbQ7OfmcbN4xN5IIRMXh9IkMs+qBJ/OrytoBJWGcF7wnJZrKjDHh9sK4quODFz2UtFMQbWVzczB0Li5iVaSGpF+/McJ2a2yYmc93nXel4ApLHXl9paYFt26CxUaoYmZvb51NlBolmh5s/LSoK6IPKWp2srmglp9siIVRRqjUVrZyeE8W722pJMmsRCCwWeduEpIDFbHesVsj1RfPOnHxq3HaceFi2v4XffLmHETFhTAlRbfVwOG9YDMOuM1DS7CDBqGFkbBgGdWDfuqPOypZaK2qlwOh440EtCbrTYHPx26/3+AUrkFL/rsiP59RDiHaTCaasxcF1n+8OiAB/cmU5U9LC8fhERsUayYmWBMQrC+IZl2SirCPqcERMmN8n9KS0CD68cAQry1tRKQTKW52sqWwjLkxDjVWahyYY1X5hsZMWp4dtddYAcdHh9oKA3/MWoKgIdu0CgwFGjCAgYjbBqCHBpGFbrS2ogJfM8YUoiuzr2ETuTlGHyNYTRY12KtsdfLqrPqAwm9sn8s+V5UxODUcVIsrK5fHhEcVeU6S7kxNl4NvLR7G11kZxs53dDXbu75hraJUKNEoFQ6MNbNhvw1FipLFBYMgQyTc+VJBXrdXNJzuDU073NNhYvCCfzTVWPD6RkbFhAWOLzJFleExYUOT+xSNjSY/Q8c3Gdi4Ny+HyHAWaeDs/NFazsKgpSFz0iSI/dwiQb2+t5eKRsTwwLR1RhNRwLQ8sLaXW5u5Tn7avyc5DHcXTOvmprJU1lW1HRVzMiTbw3Typ3ftEkRExUtV3mV8Psrg4SOxusJNs1g6I52EtVsJ7EBdFERY/F8XoMwJTO9VKgWkjwnh9WTMXTTIzIkU3ILtcWVj4ij2IiAhH2XcRJFHx2mvh2WdBK2e5/CqINWqYabQEHDt/eAyvdkSaRRuCu7l4owa9Xc+Oeivjk4NNr0uaHZz37la/iKhRCixbUIDN7aMxRMXnVqcnYNErc/TRq5WMTTQztiOA9IPv6xCBZ7tVysuM1HFGdhQWgzRz/2l/C4tLmoOuZXV7aeyIKIzrEEoOjIzJjTawrFQ6t9nhYUedFbNW2Wua+9m50YgiPP5zGRa9ivumpjO+j1E69fVw113wwgvS9wYDfPUVnHxyn06XGSQcHpE6W+gq8XsbbGRE6lEqBCanhrPkgLaYFxtGk8PLYzOH8ENxE0/MHsL72+qos7n53YRkzhsWE3RdkITFp56Cu+9WAZGoVJE8+B8ba1rKASlKbKDRKBUUxJso6GHxsqailVNe20R7xwIsM0LHV5eNIjdEBEcoWp1edtTZgo53ilUyh0+Tw+NPve/O2sp2HlpeikWv4vv5+RTEm9CplYxJNNNTwP7i4iaeWFHu/16nUvCPWUNYVtrCz+UtDInUo1IIeA5Ire6sqFrT7mRDdTvbam38VNbCVaPjOSUjgh2bVcyeDQ0d3fGpp8Kj/zQTrVdxy4RkKttclDY7uGhaLBEhLABkjh8EQeDaMYks6ojw6uSyvJ4tF7bXWZn1+iZ+NzE5ZL9c1e7C4xPpnlHsE0V+3t/C337aT3mbi5tOSOLM3Ch/wcE1Fa28vbWW6nYn80bFMyUtnLAOH9kks44ks46yFgcNtmoW5MeRFxdGWriedqebsZYIfn49kmv/I7V7rRY+/RRmzw6+d7NWyai4sKDiW7FhGtIj9P3arJEZOHKjDXw/P5+HlpeysdrKZaNiuXp0AsVF8OANJtavUzB1tofTfmPD5YHdDTbWVbYFbHQvK2lG2W3J3LnZODMzEofHR3Gzg2npEaT3QaRzeHw4vcHrkQa7B7fXF7JYXH8oarJjdXlJDdf26Evb2e5lfp3ICfCDxI46G2kDFOVUTTsRPRRz2bfKgKNdQVpB8O5earSamaPC+GJdO/e9V8dna1uxOoOLIvQHC3rUKNhPy2Fd51CJiYEhQ+Cbbwbl7WWOEaakRfDq2UPJtuiINqi5eGTXZFOlEPjbyVlcd46RT1dZ2XVANT+X18eW2nbmZEdx/9R0LsuLY2amhXe315H37FqKmx3oD/CymTcqLsDfT+bIUdbi4LOd9by9pYbNNe2I4oFxqRLjEk3kROmJ7BaZekZOFOEd39vdXu5fWgJIC9/uJJu1/s8zTKPktCwLWd1SRpNMWrIten9602WjYvnT98Us7RAbeyImTMN1YxJZdU0hi+blc2pWVJ99kTZs6BIWAWw2uOGGrkW2zLFJvFHDLeOSAo4pBLB7fIx8di2P/rSfJru7I9Khqw9Jj9ARplHx4oYq7vhuH6flRDEm3si3l49i9TWF3HhCUo/pwNu2Sf7DnXg88Lc/GLgsJRWAbIuOTdXtvL2lhs921VPW0nukz+Hi9vp4YkWZX1gEKbpoUVFjL2cFEhem4fSc4AjFLIscoTNQJJk0jDwglV0pCGhVAuFaFdEGNa9tqg557t4GGx9ur+X9bbXsqrdxYmrgpp3D42Nvk52Xz85l3bVjmJNl4a/T0gNec9uEZCL1KrbWtHP621uZ8+YWHlhWQn68kbsXl7ChzMaDDwb2ed98A7s3afjskjyeXVPJf9dW8vXeRv64qIjXNtXg62F8kDk+mJERyX/mZpNo0pBi1vK/M3J6LUb12a56KtpcfL23iZNSgzeWrylMCIiSBdhQ1cYpr23iiz2NbKxu55rPd/nFn/VVbZz8ykaeXFnO21vrmPvWFpbvb+HH/c28vqmaJcVNNDvcpITrOHdYDLsa7Nz6zT4u+2gH2xvs5KgtvPyfrn7c6YSrr4aqquB7D9ep+cfsIRjUXXOGs3Oj/N7RMoPHCUlm3jl/OGuvLeSv0zLIjNTz+Vci69cpMJth6vX13LFhKx/srONfqyuY+upGNtdIwQstDje/W7iPepsnoPBLhE7Fgvw4Zg2J5IlZQ7h2TAINIQTxA8mI0DHjgIyYSJ2KYR1R54eKze3hxfVV5P93LaP+u5Y5b25he5314CfK/OqQIxcHiW11VpJ7SaHrD9W0M4zokD9b8j8LebPaEHroT5Isai6YqKax3cvmUgePf97Ab2ZZiDEfetMYgoWNVJN2kMpvR4qTT5ZSo886a1DeXuYYwKxVMT8/niyLjtu+3UeETsUD09JxekQ0SoE9VS7a20Gwqdla2x4QPfPF7gbOf2+bP/1wWnoEV42OZ97HknfZv1dX8MiMTD7eUcfuBjvzRsVx/djEXs2VZQaG4iY7Z7+7lc010oRGq1Tw3bxRnHRAimeT3Y1PhHGJZqLD1Ji1ShYVNUkFKzoiY9pdXjIjdWiVAq+dM5Tff7uP/a1OhkTqeOPcYf7KpfU2F7/9ajezh1i4LE+NSgF5sUaeXlVOglHD3OwoTkoN59OdDbywrorTc0L3xd2J1Pe/CEV1iDX9zp1SqnSUnBV6THNFQQIqhYJ/r6kgLkzNpXlxPLu2EqfXx92Lixkdb2RudhSfX5zHyopWHB4fexrtPLS81H+NL/c0cP3YxD5lGNTUBB9rboYwj5a3zx1Go93Lme9sxOWVermC+DA+unDkIVUu7QsOj49tB0QdZln0hOtU/H7hXjRKgbNyYzghydTj72fQKHl0Rib1NjcrylsxaZT8Y/YQChPkYkgDRZRBw6vnDGP+xzvYVmcjSq/mjkkpeEWRawoTKG1xkGjSUtPuDChKsLW2nRmvbfZHkVr0Kj65aCTPn57D7xfuo9XlZWKyievHJKJTKf3iTYJJw5vnDmNvox2zVkmYWsm2mnb+8XO5v0BCq1PymfvrKRmsLbazenVwpPeePaAa6aKqPTCK9eHl+7k8L470I9SuZQYfi0HNjSckce6waBSC4I8m7InO6Ofvi5q4ZEQs/5g1hBfWV+Hw+LhpXBKnhbBYWF3ZFlC8CODvP5dx8YhYlpY0B6SqDos2sKS4mb//XOY/dvvEZP58Uir3/VDC8v1dfokPL9/PqzODBc6KCsn6JCGEjfPk1AjWXTeGXfXSM5MXF0Z0D9YYMkcXnUqJRqmgtNmBSgHr1knzvDMudPF6WWnAa9tdXlaWtzIqzki7y8e+JjsbqttZkB/PaTlR+ESRk9MicHp83PjlHn/KdVq4lm8uH8XQ6J4Lspi0Kp6YNYSnVlXw1Z5GhscYuKYwgdHxhzdWbqiycs3nu/zfryhv5U+Linj3/OHo+2gVIPPrQBYXB4lttdYBMzuvwcpEkoOO15eqKV5rYPK8poNew2JUMnVEGJtLHbzwfRN3nBl1yDscmUSyjspB8108+WSYNw/a28Eorzt+1SgQcHtFFu5rYuG+JgTgioJ4JiZE8KdnmzEmetEou7rByjYnv/lyT4Cv2ZKSZi4a0RX5WGdz87tv93JpXiwvn5VLWoReNs4+Svxc1uoXFgGcXh/3LCnmi0vzqG53U9xkJ1KnYludjSs+7SpkkRau5evLRjG0m4hc0uxgdUUbz62rYli0gUdnZLKvycEpGeFM6JYqX9nqYnOtjc21XeKIQoDnTs/FoFZwz5JiLHoVbp/viBbpycwMPnbKKRDb/6K7MkeZJLOWP05O5aIRMfx1WSl/WlQUsFhdWd7C3OwoogxqmuweNlS38/YBHowen4goQl/cRtLTQaWSIhY7SUsTOWucic/Lq/hyb6NfWATYWG1lVUXbERMXTVoVVxXE87uF+1ApBK4pTGBispl9jQ7KW128u62Wx38uZ9mVBQHP3oEMjwnj68vy2N/iJEyjJFMWjQacwgQTS68ooKLVRY3VxcJ9TXy2u55og5rZQyy0OL38UNLMecNj/L50H26vD0hPb7R7eH59FfubHbxy9lDSw7WkReqxHLCpsq/RwTNrKpmbbcHh8fHF7gb+eWpWSKsKu9uHR2HnnHPgP/854J4LwRoiQtEnEuS3LHN80tdq32cNjea1zTWIwLVf7OKiETH857QcEk1qcqJCCzbaEGuhMLUClUII8CcFOG94DH/7MdDv7okV5ZyVG80nu+o5kDqvHUGQLKw6KSiAxF7qAw6NDutVXJIZHGqtLp5bV8kjy/czMzOSiZOyeO8NFUoVQe0E8Bc/izOqmTcqjmfWVPJqR2T4H09M5e8/lqJTqwK8HEtbnHyzt9H/+bu9PnY12KhodZJs1pEbrUelUDAq3sR/5mazt8mBWiFlQhxuAMSexmBbki92N1DT7pI3cGQCGLS0aEEQLIIgfCcIwp6Of0OW5xIEoUQQhC2CIGwUBGFtf88/VtleZyVtgAxOa7GGrBa97GULw6a2o9b2fXo1Kk2HWa/gu02HHuqchYVN1CAO0rQuPBxGjoTPPx+Ut5c5hvCKIucN7/Ile3xmJgXxYZS227HHNVNit5LSLYK43ekN6eHl8QX6l/hEcHpEEk1aWVg8ilS0BXvF7W6ws7XWypjn1zLrjc2c8L/1/Li/hekZXUNCaYuTXQ3SxGhbrZXXNlWzcF8Tp2ZZ0KkU7Ki38duv9jA6Poy82MAdiQi9lA7YHZ8IdVYXuxvs1NvcmLUqvD5YkB9/BH5ridGj4b//lbwWAUaNgieflDdQfkkkmDQ0Oz1BUTC5HYvaBJOUjn9GTlSQhrggP57KEO2/O5tr2nljczW7FLV8vdJOTEfXl5oKb74Fj23cS7XVTWmIggdVB7n24XLBiBhun5jM/VPT+b6oiQWf7OS+pSWUtTq4aVwSbp/Ie9vqDnqdcJ2avDijLCweQaIMGkbFGymINzI02kBquI6h0Qb+8kMJf11WynVf7OaHbj53W2qDC16VNju4JC+WzTVWNlRbqQjh83lmbjQOj4/XN9fw/vY63D6RJLOGzBBzY51KYHZ2JLfeKhXuA1Cr4b77YNw4GBVnDOqnb5+YTKpcZO24YX+LnY931PH6pmrWVbbh9fV/jXFyWgSPzczEqFGiVijIiNCTG6XvUVhssLkxa5VY9IGxOH89JYNIg5qT0yLQKAN76wP7d4Amu4cRMcHvISp83PdPO6aObNicHPjf/yDyF7WilQFYVNTEvUtKsHt8fLW3kfTRDi6/1sWX72v8liSd6FUKxidJH7pKoeC2CSnMGxWHQoDYMDVZFj1qlZKSEGP17gbJ5szrE3l7ay2jn1vHqW9uoeC5tby7tQ6vT8Tu9rKuqp21la3sa3QEFc86FOJCRAUPjzFg7sVnXObXyWC2iD8B34ui+KggCH/q+P6PPbx2miiKB2759Of8YwqXVzJnHQiPtnZcePERRuBD72hTsOajcC58JIRxx0E4MdfAeytamTLcgPEQDLEt6NGgooRmMhicEXLKFCk1+pJLBuXtZQYZp8eLWintLH+7t5FHpmeyp9GGRqXg5q/3+l83JS2cgjgjBQnSIJ9k1jI328JXe7q8wJSCQEG8kUnJZn81t0idij+dmNrrTmBps50ttTZEUSQvLkw22x4ATgjhLbQgP54/f19Ea4dfbIRORVmrk9+ckMDJ6eE4PSI6lQKVILC2spVTXt3k3wmOC9Nw10mp3LukhCaHB50quCBLariO50/P4YL3t/t3n68rTCAvNoyNNe08e1oOm2us3Dc1nT7aJx4SBgNcd50UrdjWBmlpcjr0Lw2tSsmdk9NYXNzsn+xPSjYzuZv312nZUWyva2fR/FF8tbuRHQ02LhoRy1Mry2hyePjNCUkhr/1zWQvTX9vkLyyVHqHl69X50GAgMRHsWgfvfVdPdpSe03KieGVjYJ59eoSOz3fVkxcbdkSiEJLNOh6ensEfvitiT7cKrz+XtXJyWgQGtSLAk1Fm8IkJ0xAbJgko9ywp9h9vdXq5+Zs9LL9iNNFhGi4aEcuHOwKn6GfnRvOPFeX+z9qgVvD9/PyAyNQxiSY+vmgE3+xrxO0VSTJruX3hPu6bms4d3+2jIN5ERZuT4dEGZg+xMCbRhEKATz6RKkbrdJCVJUXpWjCwaF4+r26qZn1VGwvy45mTZRmQookyg09ps4Oz3tnKpo7MBZVC4OvL8piRaTnImYFEGdTcPjGFC4bH4hNFUsN1QW3E6xPxij40SiU/lbVwxSe7+OPkFOptbprsHmZmRjInS3rfsYkmflhQwHPrKnF4fMzIjOD1TTWUdvOxjdCpMOuULCiIZ9f3RdjcUh89KzOSMQlG1o2p5bMfYtF5tAzJUPg3hWR+WXTPNvD4RB5cv4e//DaDmRdqsYSbeCVjKG9sqSYtQsd1hYmMTuiazw6x6HnhjBz+cnI6erWCpaXNrChr5crR8WyqCdy8mZwaTnWbk0aHh2s/3+UviuXxiVzz+S7GJ5n4sayVKzuyd5JMWq4tjOemcYlEGQ5ddyhMMHHRiBje7dgE1KkU/HtuNhZD/21+ZI5vBlNcPAuY2vH/V4Ef6J84eLjnDxo7620kmbVoDrNiE0AV7URhCIpyWPleOKmj7Jii+j9ZN+kVZMap+XGnjVMLDs0oOItINlI9aOLi5MlS6kxTk7wDeDzS6vCwu9GG1yeSHWXwp1tVtTn5dFcDL26oYlRsGFcXJqAS4J4lxTx3Wg5/WFQUcJ1lpS2cO7RrJhemUfLErCxUwj4+291AariWZ0/LYUJyOB9eNIKtNVbsHh/DYsICCnwcyPZaK3Pe2sz+FilaI9mk5ZvL8xgRK4eZHQ7jkky8ctZQbl+4jxanh6tHx3PBiBge/UlKQ5qfH0eCUcv3xU18uL2ewkQTj/9cQrvLy6cXj+Q/ayoDUkxqrC5qrW5iDGrqbe6A4i8A22vb2dNox6xRsnhBPvVWN3FGNYlGDdNe20S7y+ef2LU4Pbx8Vi7jeknrPFwEAbKzj9jlZY4CYxJNrL52DDvqrOhVCkbGhRHfLaWvut3Fllo7L26oIi5MzblDo/nz98WUtzlRKWu4fkxi0GLY4fHy8PLSgIr1Jc1O1tY3cf1YKdS1pl1BslnL7gY7Z+REc8HwGD7aUY9Fr+L/JiTz6E/7WV3RRqJRw7eXj2Jk3MD3VW4vLD6gsivAviY7iSYtl4yUc/yPNUbEBFenBdhZb6fR7iE6TEOCUcP/jU/mhfVV+ESR68YkEG1QB4jINrePx38u4+3zTAGWO6vKW2lzekkxa3F4fMQbtexqsPGnyam8uaWWExJN3DA2kROSurwWzWYpdfRA8uON/CM+C1EUEeSMguOK1RWtfmERJCHljoX7WLLARIRejcPjZVe9nXaXlyEWfa8WJYIg9Jg5trKshadXV1Dc+72aeAABAABJREFU5ODGExKxujxY3V7uXVKCRa/CpFGxuqINpUIgQqtieIyBZLOWF88cyk/7mznrnW385eR03thcw7qqNkbGhHHnSalUt7l4aFkpt09MQQTUCoGttVZSI/RM66dAKnNs4fL42FTTTo5FT7JZy9lDo/H4RD7cXsfXJQ3sabCxr9GBSgnLriggIzK0JZpWpWRIx7piVKwRp9dHo93NVaPjeXNzLVqVwPVjEnltUw3/WVPBo9MzcXlFlILAvPw4UsxSJlW9zc3/fSMFUfzxxFRanR4+291Aq8vLtYUJh5xSH2fU8MzcHG4cm0iTw0tOlJ5h0XJBNZlgBlNcjBNFsQpAFMUqQRB6mlWKwEJBEETgOVEUn+/n+QiCcB1wHUBqampPLztqbKmxhkz7OBQ6xcXu+LxSSvQp1x16GdG8VC1frW9nZp4RpbL/kzTJd7GKcxh2yPdwOBiNMGYMfPwxXHXVoNxCnznW2uexzv5mB//37V4+3ilFSkxJDefFs4aSEaHjX6sreKTD72ZtZRsf7qjnwwuH89WeRiwGFQ324EprB6a0DI028M75w6lsc2HUKPxePvFGbYAI0El1u5MddTZ8osjwmDASTFre317rFxYBytucvLOtlgd/oeLisdJGwzQqFhTEMyMzEqfXR4pZS5vLy+h4I1a3F61Swd9+6vr8v9jTwK3jk3lwWSkvb6iivC045b2qzUW0Qc11YxIYGtMlGK8ub+Gaz3expcNrcUF+HNcVJjApNYIGm4swjZLSlsBUv1BpIzJHnmOlffaVLIs+5ObExqo2Pt5VzwNLuxdyaeSBaRnc+X0Rk1LCQ0ZiOTw+f6pUd4q7pVTFGbU8c1o2Z7+zlSdWlDE20cR/5mZhUCu5beE+6juqUFa2u3hzSw2PdBMXG2xuttS20+zwkhulZ2i0oV/ijd3tZUe9jWaHhzNyothSG2i7Uhhv4trCBCalBBfrOF74pbXRTjIt+oDI2k7GJhiJNaqpbHXwh+/2UWV1c8PYRBSCJBg6Pb6gc3Y12HF6fAHi4glJJt7dXscLHW3ilvGJNDu8PLS8qx//eGc9K68uDCi81huysNh/jvX2GWruVtLixOr24RXd/O2n/Tz+cxkiMCRSx0cXjWRUPzdINlS1Ma1b9PfKilYemZ5BbJiaWqubRruHRruHM3Oj+HB7HRNSzNzyzV6q2108MSuT/62votnh4fcL93FGbhSnZlmYlGxCREqDrbO5eXBZV98+OcVMTJicUtpXjtU2uqi4idPf2sKrZw9FEODljdWoFQpuGJtIeoTOnyWQZNIGVCIvbrSzoaYdREg2axgaE+ZPMR4RG8aS+fk8vbqCjAgtj83MpLjZwdtbaynvsJgobnZg1ij54+RU3txSwysbpblqeriWFqeHc4ZGs3x/Mz+XSRlX66va+XZvI4vm5RNvOrQIxiiDmpPT5Ygdmd45op6LgiAsEgRha4iv/tTxPVEUxUJgDvBbQRCm9Pc+RFF8XhTFsaIojo05BuLNN9W0D5gPTBVtRBJ4re2LjWjDfMRlBy+k+0q0SYVJr2Bn5aH5MGVjYRPV+AbRTruzavSxzrHWPo91FhY1+oVFgGX7W3h3ay37Wxz8Y0V5wGtbnB5WlreysbqNokY7s4cEDopqhRCygppeLe0gHswkfE+DjblvbuGU1zYx4/XNzHx9E6XNdlZVBEd6rOwY4H+JHGttNMmsJTNSj1qpwKJX8/wZuVyeF8frmwPL5LY6vXSuMxcVN4f0RDwrN4r/npbDHZNSMKiliZ3b6+O59VV+YRHg1U017G6002x3E2XQ8O852QFG7+cNi6Yw4dAivWUOj2OtfR4KrU43H+yo483NgYVc3D6RepubbIuuR0/PCJ2a+flxQcdHHuDxNSfLwheX5HH3lDTOzI2ivNXFO9vq/MJiJyvKWxE7LABq2l3c+OUupr26iXPe3Urh8+tYWtrc59/L7vby7NpKxj6/jumvbUIQJDuKTq4siOeSvBhmZFoO23D+WOaX3EZPTDHz1KlZ/o241HAt/z09F5NGRWmLgx0NdkqaHTyxoozHfi5j+f4WxoawsLi2MAFjN9sJr0/k0131bO0mNhvUKl5YXxlwXrPDE9LXUWbgONbb54FeyCD1HfFGDWsr23isQ1gE2Nfk4N4lxdjd/cvcWlfVFhD9DfD0qgpuGNNVXcWiVzElLYKxSSb++F0R+5rsWN1eXF6R7fXSfMErinyys56HlpfyU3krZ7y9lVc3VfOXk9NQd2wOpUfoeHh6JmatnFLaV47FNtricHPn90UY1Ep2N9p5YkU5jXYPNVYXz6ypIEKr5O4paTwwLZ03zhlKQoeot7G6jYkvbeC897Zx3vvbuHNxMR9ur6XN2eWNODbJzMtnDeXawkQe/nE/T64s9wuLIBWg/OzSkWyqbmd7Xddc9aOd9UxJCycvLswvLHayrc7GzobgwiwyMgPJERUXRVGcIYriyBBfnwI1giAkAHT8W9vDNSo7/q0FPgbGdfyoT+cfi6yraus1pbI/VNBG5AHFXJa8EEXerDYOd/M2O17Dyj2H1glFoMeAhmIOXqn6SDFhAqxdC9XVB3+tzC+HRUXBbeqz3ZLYeGAUIoBHhLw4afd4RmYkZ+ZEoRAgx6LnwwtHMCzagNNzaF5fn+6qZ0N116JnW52Nt7fUcuGI4InPxXnBi3+ZgWFsookzcqP8E/dO1AqBtHAdc7IsDIs2MC0tnN9PTCZMrZR8MyenkmPRMSU9gnBd1yS/2eHhu33B7WxtZRv1NmnTZmp6BOuvG8NHF45g8fx8njs9h7gjWC1a5vim3uZhd4MdrSq4DxsSqWPh5fnk9DJvSDFruaYwAZ1KQYxBzb0np/Hlnkaauxm5qxQKmhwe/rqslHuXlKBQ4DeV786leXH+6K/1VW28v71rM8fh8XHzV3totAVHEoViR52N3y/c51/4P7R8PylmLUuvKGDj9WP595xsUsNlP9pjmTCNit+ekMjG68ey4qrRrLy6kDGJJr7a28DNX+1lZmbgpl3nZ333lDSiDWr0KgV/mJTCecMCx8UWh4dv9kr9bGGCkbOHRmNQK1ArgpcmqhDHZH49jE008sEFI0gyaVErBG4cm8jN45JQKgT2NgVHbX9f3Bwy2rE3QrW7OKOG+flxLL+ygNfPGcp1YxK5/4cS2pxefzs/b1gMxc0OZg0JTm8OUysRgdc216JSCNwzJY27p6QxMzOSlzdU4fYGR/jK/HJwen3UWd1MSDYHrE1UCoF7T07nik93+cfb59dXUdPuwunx8uDS0oDikYuLm9nf6grYaAFQKgQSTFouGRHDiSnhnJkb5bfvKUwwMTw6zO8F38kXuxv4v/HJJPYwHw3VzmVkBpLBbGGfAQs6/r8A+PTAFwiCECYIgqnz/8AsYGtfzz8WEUWRTdXtAyYultFCTDdxsWK7lrpiDUPGH/7ORFa8ht1VLhyuQxv8sohkA4On7Ol0cOKJ8N57g3YLMkeAqekRQcdOzbKQEann/qnpAceTTFpsbi8apSQM3fFdEQ12D384MZUrRsdT3Oxg6mubOOudrSwpbgqqCn0wlpa2BB37em8js4dYuH1iMmqFgEohcNuEZOaEmHjKDBwqQeC6bhEG+XFG/jYjk5c3VlFvc3PL+GSqrW4+3FHP9WMTuHxUHK9srOamr/fSfMAiJEKnYnJqcIpmnFHjX1AIgsDw2DDOGRbDtIxIogyysChz6Fh0KqrbnFw+KnAT4urR8Ti9Ps58ZysXf7idFWXBfQ5InnbLS1u4aVwSF4yI4ZnVlbQ4PegOECtHxIShVSqINqhpdnjZ1WDnyoJ4f191bWECp2V3VQrqvgDqZHu9jVZX36pPVrU7g/IX3txSi16lID/eiEFz/EYrHk+oFAqGxYQxISWcBJOWshYHV3+6i3XV7QyLMTA9QxIYTRolf5qcyiubanhubSUXjYjl8VlDWJAfR5I5MBPArFNyWraFx2cNITVcx54GO402Ny+ckRPwurRwLflxh+YTJnN8oFUpOW94DOuvL2TPzeP556lZZHQUngplM3VSajgWXf+iAk9IMgX4Ll+WF8elI2M5591t/GNFOclmLd/sbqDN5UXbrXpbQbyRJ1eWMzre6J+fmrVK/nxSGp/t6rKnWlbawue7G/jrslJeWF/Fz+VtWOUiVr9oYsO03Do+mTqbi0RT1xzwjI6iad09vt/eWsv6qjZaHF5WVgRnMtVaXTSFEMQVApyeG41ZK1WPvn5sIu+dN5zxyWYidCqmZ0QEvF4EvCJcMDyGS/MCHeOmpYczNFrezJM5sgym2cOjwHuCIFwN7AcuABAEIRH4nyiKc4E44OOOHXQV8JYoit/0dv6xTkWbNNGONgzMn76SdmLomnQteT6KkTPbUA7A5XUaBYmRaraVOxmT2f/OSPJdrOR8hh/+zRwi06bB66/DLbcM2i3IDDCzh1iYmRnJdx27hGMSjFzWERW4ID+ejEgdn+1qYFi0geExYZz73lbOzo1iW520u/1TWQtlLQ6uHZPIrd90VY5eVNTM8isLmJjS94Icp+dE8cXuQG/T84bHkGDS8sj0TK4fk4gIZEToAnymZAaeOKOGJrubB6dlsLG6nTNyLVzxyS7/zy/7aAevnJVLeaszIH2+zurG5vZi0ipRduzoqpUKrilMYGV5m9+37rTsKHRKYcAsLWRkuhOhV/PYrCzuXVLMozMy2VDVTl6sHqdH5LZv9wGwpdbK13sbWXH1aAriAyMOp2VE8PByyXcMQKtUcNdJqQEeTwCj4sL4bt4o3thcw54GG1/tbSQvNozfT0pBEKCi1UlCt0VSdoiN0DNyovrsL5oWoUelEPyFjwASjBoSD+L5JIoiPlH0P5Myxw4erw+by0tdR/TqA0tLmZkZyV+nZZAZqeOPi4oo60jfe2ZNBY9OzwgSFkESLOfnxzP7jc3+6unb6qwsyPfw3bxRvLWllhExBuZmR/mFJJlfN7Fhwe1obKKJG8cm8OzaKgASTRoeOiWj3xsXw2PCWLKggM921WPtEBA7iwB29r3fXj6Kf6+uwOX1MTzGwPY6Gw6PjxiDmmWlLSSbtDwwNZ2MSB1/WlRMRVtXGuvoBCPPrulK+b94ZAwRejkt+pfO/Pw4lAoBnUrg271NWN1esqP0QWsDgKp2FxaDitOzo3h+fVXAzxKN2pDzy0017cx9cwvOjijXzTVWHpme6fdnvH1iCj/ub2FfkzRXvTQvlkkpZiwGDX+bkcnsIRa+L2piUmo4szLljXCZI8+giYuiKDYA00McrwTmdvy/CMjvz/nHOuur2smJ6p8Zek+48dGIHUtH5GJLjYpti41c9o/Kg5zZdzJi1WwssR+SuJhNFB+zEy8+lIMUJDtmDDz2GOzZI1dZPV7IiNTz7vnD2VFvw+cTyY02ENOx0LUY1Jw9NIazOypAV7Q6uWFMIp/uauCMHEvHwiWeKWnh/P2nsoDrekWRZaXN/RIX52ZZuDwvlje2SK4MFwyP4axcKepHrVSQHSVXUjtaxIRpuPGEJK78ZAcp4Tq+3tMY9JpXN1UzKSXc7xkXF6bh0RkZ3Pl9MaUtDm4cm8jMTAsWg5rCBBOPTM9ge70NAYGadienZkUd175wMoPL+GQzL5yZy75GO2dkWwjTKBn137UBr3F4fGyusQaJi0Ojw1i8IJ/1Ve04PD7y48PID1HQQBAETkqLoDDBxNtba/lqbyO7G+w8saIMl1fk6VOzUHSbn4xOMPK/M3K57du9tLm8TE4x8/D0TPTqvj0HQ6P1vH3eMK7+bBetTi/xRg3vnj88pNjUyeqKVp5bW8meRjvXjUng1CEWouViSYNOdbuTr/Y08uKGKvJiw3hmbjZ/WlREm8vLd0VNfF/cxMcXjqQg3khZqxMBmJcfx9lDYwJsJ7pT2eb0C4udvL65hjsnp/HSWUOPwm8l80snJkzD32dmcfXoRNqcHrKi9CSbD20TMD/eSH68kYpWJyOfXRPwM4fHR3GTg9fPGUqzw8PVoxPYUN2OWaOk3eVlVUUrOrUCEWnTclKKmfe31wGS4DMqNgyn14eANFec34OHrswviwSTlt9PSqHB5uLElHC21lmJ1qvZVW/j012BAuOQSD0qhYLbJiazpdbKivJWlILAVaPjGZ9kYlhMcIT2+qp2v7DYyWM/72d+fhyJJi15cUaWXzma3Q129GoFQ6MMmDsicJPNOubnx8ttTeaoIpepOsqsrmjt1TepP1TRhgU9SqSFwLKXI8k50YrOOHAeHhmxan7aZcPl8aFR9U8gNKMlHC17aSSX6AG7p/6gVHZFLz7wwKDcgswRIFKvZlIfRMAks5bHZg7hxrGJWN1eBEHE4RGpanNi1AS3Z1GEbbXtjOhjVeeUcB3PnZ7LHZNSERHJshgIk9P8Bo2xiSaWLCigzubmfwfsCgNY9GrOHx7D6opW7B4f956cxo1f7vGbuC8tbeG984cTY1CzvrqdeKOGs3Ki8SGSEaEL2PH1+kSKmux4fCIZkbqgCDEZmUMhNVznj16oaXcRplbS6gxMndP2EAWdHWXo84ZGmEZKSX3t7KFsq7MhCDA0Su9Pb+3EoFZxdWEC0zIisLq8pIZrexSKQqFSKDh/eCyFCSbqbW6STNogYbGk2U6700tKuJb9LU6mvboRm1t6Jpfvb+Gfp2Zxy/jkPr+nTO8UN9mxub2khOv80S8H0uxws6q8jS217WRE6BmfbOKlDdX85YcSAH4uayVcq+LuKWn8cVER4VoVT8/J4qQ0M9MyItjXaEehEMi26HsVokNF9GuVCvo53ZT5lWPUKBkToohQTxzsGVApJL/E5gOEb61KQKtSEmeU2nRsmJr5H+/g452SiLSyvJUsi54PLhjOdWMS+fNJqQiCQFakHo1K4IQkM26vSGakrs8bNKFosLlZVdHK9jorWRY945PM/mIhMoNDlEFDlEHD6I7CfmnhOirbXKypbEOvUvDojEwKE6S1xdDoML66LI8ddTbEjmrRqRHB2kBJsz1IWASpvXf3GE8waQf88291eihrcWBQK+XIcZl+IYuLR5mV5a1MC+EZdyiU0UoM0kLC0aZgxTuRnHf/wHoc6jUKYs0qdlW6yEvt/05gFhbWUTVo4iLAzJnw4INw330gZ1gdP1hdXspbnRg1yl6jYDQqBUM7dgONGiVf7WnknW21XDwyjnVVXcVYwrUqPCJMemkDPywo8E8QDoZBo2RUiIrTMoNDdJiG6DANF42M5Zk1lf6JmVIQuHlcMielhTMmwYTV5eWnspaA6pCxYWp2Ndi58IPt/mOj4418dsnIAGGx3ubimdUVPPzjfgrijVxXmMC4JBN5cXK1aJlDw+PzUdrsRBCkSqIKQSDOqOGRGZlc8clO/+sSjRpGJwxMf1PW6uT6L3Zj73gGwtRKfrgin+QQqVmZh7m4yIzUB13D4fbywY46bv56L80OD5NSzNw7JR27O3Ax9fDy/Vw4IoZ4o7x4Phzsbi9vb63ltm/30ur0MjUtgv+clh0ULeP1iTy7ppK7Fhf7j83JsgTZ+bQ4PSSbtay7dgyRelXAArTggPGzp/F6VJyRkTEGtnardvrnKWnyYlbmiGBze3hna91Bn4E4o5ZHpmcwv1vfG2/UUHhAu97baPcLi92P1dvc5McryT8gwjynh82f0mYHLq+P1HDtQbMjnB4vj/28n791y765ZGQsz56W3a+NH5kjy/DYML69fBQlzQ6MGiVDLPqArIAInbrXTKnyFgcfba8j3qQlLkwT4H9824Rkf8bWkWBnvZXffLmHJSXNmLVKnpg1hEvzYjGoZdlI5uDIreQoIooi66rauXFs4sFf3AdKaSa2w2/x57cjSBlpJzyubybr/SEtRs2W/Y5DFhfXUMGl5A34ffX5HrJAr4elS6UoRplfPjvrrfx+YRFf7mkgNkzNv+dmc1ZO9EGjaz0+kTe31LKuqg2Hx8czc7PZUtOOWqkg3qjhbz/tp9Xp5ZOd9X0WF2WOTU5INPHjVQV8vacRl9fHnOwoxiWZUAiCP7prTWVbwDkXjYjlqZXlAcc2VLezsbqdZLOOZrubvY129jTauW9pKbeOT6aizcn1X+zBoFbw8PQM5o2KJ1wnD60yfaey1cmTK8v456oKVAqBO09K5YYxicSEaTh3aDQJl43i630NpIfrmDnE0uMCtb+8uL7KLywCWN1e3tpSy9jE4GJGvWFze9jTIC2Osyx6IvvoI7apxsq8j7sW7z+XtfLYz/uZNcTCt/u6bA1UCgHF4TvJ/OpZX9XO1Z91+dD+UNrM3YuLeePcYQFRVHsb7dy3tCTg3K/3NvLXUzKCrqlRKig8SMTYrnort3eM1zGGjvE6V7KYSDZr+fiikXxf3MS2OhvTMyOZnBIesAiXkRkoNvTwDLx53rCg7IOzh0bz7eWj+GZvA2kROmZmBve9CkFAgKCiVX1tv61OD29sruHO74tod3mZnx/PvT2I6/sabdRY3SgEeOznQFuft7fWcvO4pH7Z+sgceSL16j6Ph26vj90NNtqcXsJ1Sp5cUcHLm6qJ0Kl4+tQsttZaqWxzMiUtgpr24EJrA4XD4+XeJSUsKWkGoNXp5drPdzM02sDk1Igj9r4yxw/yCugosrvBjkGtIMowMDtLRTQTRxhup8AP/4tizu9qB+S6B5IRq+GjVa14fSLKfs7ws7DwFltw4UXD4KQNCoIUvfjCC7K4eDxgd3u5e3ExX+6RdotrrW4uen87K68uZFxyz4viNRWt/FzWwroqSVDaXGPlwx11mLVKlpW20GjvEuY7zehlfrkIgsDYRHOvQsmEZDMmjdJf0c+kDU6DAmh3ednbaOP6L3ZT1ORgUoqZtHAdDo+PDzo8ldpcXm7+ei/ZFj2zs6KCriEj0xOf72ng8Y4iQ26fyL1LSsiNMnDhiFhMWhWzsizMyhr4avOlLY7gY83Bx3qjqs3J/UtLeH5dFSJwYoqZF88cSm70wQXQPY22oGPfFzdz/9T0AHHxgWnpIQs5yPSPUH/vT3bVU2N1kd4tJc/m9uLyHiiXQPwBkTJxYRoK4nuv4nzgeF1nc3PxB9tZcXUh4zvG66woA1myP7HMUWB3oz3o2Ce76qlud5MeEbhGMWlVzBpiYdaQnvveLIuOKwrieXljV9bY2AQjw2P61p5Xlbfy26/2+L9/ZWM1SSYND07L8Hvzi6LI57sbmPfxDlqdXh6Ymo4v+PHE5pYrT/9SaXV6eGZ1Bff+UEK0Qc0lI2N5YYNk7VNvc3PpRzt4bOYQ3tlaS5JZy5wjOMesaXfx8c76oOO7G+yyuCjTJ+Qk0aPIj/tbyIvtfSLWH0poJg4jq98PJyrVRUx6cAn7gSDcoMCgVVBa1//r61GTiJkt1ByBO+s7M2fCF19AU9Og3obMAFDd7uKjHYEDnwjsCrFw6qTR5ua6L3azq8FOgrFrgbSirJX8OFOAsAiS2bbM8U9enJEfrijgzsmpzBsVx8yMSBbkxwW8RqtUMCImjDc317K4uJk6q5tks5YTU80s3BdcNObnstajdfsyxwEer49XNwbbmXyys+6Iv/e1hcFZFAsK+mf8/uP+Fp7rEBYBfipr5cUNVfjEEKvfAwhVcTozQsdZudHcMyWVy/Ji+fTikZw3bPBsVY4nYkP8vYdHGzBrAuMMtEqBiQds1EXqVBQmGPngguFcPCKGB6ams2j+KLIsvYso1e0uPgwxXu9u6Hm8lpE5UsSFqJQ7PNpAuPbQgh8MahUPTEvn5bNyuWB4DE+dmsXb5w8nro8WDivLg+cLr2+uod7Wtd7a02jnkg+3+713dzfaGXnAWjLeqCH7IM+izLHL+qo27lpcjMcnMinFzDd7g+eWm2vaOS0ninOGRjOhl0CKw8WsVTE8xOZgXJicci/TN+TIxaPI0tJmhvVhN78v+IByWolyGXn1P9FMvzF4l2EgSe9Ijc6M67/HQzYWVlPBGAYmHfxQCA+HCRPgtdfg1lsH7TZkBgCjRklGhI6iAyJsontJPai1udhY3U5Js4NHpmdw89d78fhE7B4fRo3As3OzefSnMjRKgfunpTM5VU4tOR4oabazudqKiEherJHMEMW0ChNMAT5KaRE6LAY1r22qJsei56HpmQyJ1PHJLqmPtbq9iCIoBclHrviAdpgReWhVKmV+naiUCsYmGllxwCJzVIhKzwPN9MwIXjozlweXlaIQBP5ychonp0Uc9Lxd9Va21dnQKRVSoSwC0wI/3VXPn09KPaj/V0G8kcvyYnlzi5R1oVEK/Pf0HH/FVpmBZUyCiXOHRvNRR1SKVqngX3OysRyQTVPS4uTULAvJZi1LSpoZERPG2UOj8Yo+Zg2xEG/UUt7qoN3lxeryEKbpeSlh0irJjNSxrymwnxyoDB4Zmf5QmGgMeAZ0KgX/mpsdMnW1zelhc42V8lYHaRF68mINIdt6slnHFQUJXFGQ0O/7SQ8xXxgRY8DYrTBgeavTX+AK4O0ttTx4Sjpba6wsLGpicoqZv0xNJzVCnnv8UinpNo8sb3EyNNrAjvrADZjcaAOXjYwl/Qj70Ubq1Tw9J4tT39zi9yQ/OzeKMbJVlEwfkcXFo8jS0mbuOzltQK5VQSsmNGx+P4rweDfx2UfOfwEgPVbN4q02zhwr+kP1+8pQovmEHdzICUfo7vrG3Lnw73/DzTfLhV1+ycSEaXjmtGzOeHsrno7ckNOyLYzuZTEapVeTFamnIMGITqXghTNy0KmU1Fid5Fj0zMmO5vwRsSggaKEl88tke52VU9/Y7E9xjw/TsHDeKPJ6EG1q2p0UNTkIUyt5YGoat09IJkyjxKRVIYoi0zMi2VgtFQB6/Ocyrh+TyP9NiGVVRSvtHWnVo2LDmNIHcUZGpjtXj07grS11NNilaJXUcC1n5h75aL0InZorRydwZm4UggAW/cE3D9dWtDL99U3+KJpRcWH8bmIKT6zo8gA7JT0CYw+Ck08U2dNgp87mItms5V9zsrmmMIFGu4ecKD3DYwYuu0MmkDijhudOz+GmcUk0OzzkRhtCbngnmzWsqYApaeFMSjbz+Z4GHlhawrrrxvCv1RX8uVuhl3+emsWNYxNDVn0GiDZoeGZuDqe/vcU/Xs/NsvirpsrIHE3ijVqeO+Pgz4Dd7eXpVeXcvaTEf+xgbf1QODElnIL4MDZWWwEwqBXcOTmNzR1e4DlRBuKNGjRKwW9V4BVFHlxayuYbxvLE7CGEa1WHVXlaZvBJ6lbpeVpGJOkRWr4vbvKPs7lRei4YHn1YwmKd1cXeRjsGtZKcKH2vbWZKWgTrrh3DrgYb4ToVo+LCiA4R9SsjEwpZXDxKFDfZsbq8pA/QztIeGkh0RLLw6Whm3XxkoxYBYs1K3B6R6mYPCZH9E19SMFOPnTqsxDB4C4f8fMl/cdEimDVr0G5DZgCYmWlhzbWF7GqwE6lTkR9nJM7Y88AXE6bh5bOHUt7q4Ldf7aHR7kGnUvCnyan8ZUkJw2OMpMm7vscVH26vC/DOrLa6eHNLDY+GEBe31LRzwfvb2NVgRyHAHZNSuGNSKiatNEQKgsDVo+P5cnc9Oxvs/rS+O+NSWH1NIdvqrOhVSvLjw0g2y+1Ipn/kx5tYcfVottZaUQgC+XFhRzw6oTtRfVw0OD1eHv5xv3/BA5J37UUjYtCpFDg8PjIjdfx2XFJIf2aX18e7W2u57ovdODw+LHoV718wglMyIgfsd5HpnegwDdMyev68S5vt/GVJCZ/skjwSJ6eGc2KKmVvGJdPi9HLPkuKA1/9+4T6mZ0QyohfLnxmZkf0ar2VkjiTRht6fAYBdDTbu6SYsgtTWZ2RGDugGSGakns8vzmNTjRWb20tquI77fijim33NACzIj+PBaRk8f0Yu13y2C49PRK0Q+N+ZuWQeUH1Y5pdLYYKJm05I8nt3/mlRMbeOT0YQpOJAcQY1mRGHPifYVmvl4g+2sbXOhgDcOiGJuyan9VhxWhAEhseGMXwArdxkfj3I4uJRYnFxM4UJpn5H/fXEbhpxvjKCmAwXcVlHNmoRpI4mM1bNplJHv8VFJQqGEcMKyjmT3CN0hwdHEOCss+Dxx2Vx8ZeOUiFQEG+iIL7vYfrpEVrOf2+b31/R4fHx4NJS7j05jRbnwFdZlxlcOgv3dGdleSuiGBh97fB4ue+HEnY1SEbvPhH+9lMZ09Ijmd2tiMawmDAWLyhgV70NlVLB0Gi9fyd3mBxtJXOYZEcZ/FXMj1WsLh+ba6xBx9tdXpYtKKDN7SU3ykCSObTf2M46G1d8utNfjKDR7uGyj3aw9toxPZ4jc3T5Zl+TX1gEyVNzbraFM3Kj+KG4OaiQhNsn0uTo3Y/7UMZrGZnBpMHmCaoA7faJNNoH3ts+OVxHcrgOURT50/ddwiLAq5tqmD3EwqUjYxkTb6Sy3UWSSUNutEEWFo8jogxqHpmRwTWF8bywvooWp4cHl5X6fz4s2sClo2IxH0LUrMvj428/7WdrnZRmLQJPraxgekYkp+fIfsYyA4+cHHqU+GpPw0Gr6vWHrY2tlDyXzfgLmwfsmgcjM07NxuL+VZLsZBjRLGf/AN9R/5k5EzZsgM2bB/tOZI421e0uaqyBQrxXFFEpBFLkhe1xx/khivLMGxUXtMHTYPPwXVFwpae9TcFVJRNMWqZmRDI5NVxOEZH51WExqLl8VGzQ8ZNSIzgh2cwpGZG9ioT7Wx1B4lR1u4uqdmfoE2SOOt/saQg69sVu6Vh6hI4IXWBMQlyYhvRwOVpb5vgiI0JHuPbotvV2l5fPdwU/fz+XtaJWKhgZZ2TWEAsjYo2oZG+n4w6jRkV+vInZIaqTz8+Pw6w9NMumRrs7ZIGY7XVyUS2ZI4PcOx0F3F4f3xc3DVh1Jy8iOx/PJWuilcjEoxdxFR+pot3lo7q5/zt3w4hmG7VYOfJRlr2h0cC558Jf/zqotyEzCMQYNEQdYNotACemmEOaecv8spmZaeHOyalolAJqhcDvJiYzJysq6HWROhWTU4P75gx5wSwjE8QV+fEsyI9DIUCYWskTs4YwMaVvc5skk5YDY22i9GpiZKH+mCFUivqpQywoBIFMi55PLx7JkI4iFMOiDXx80QiS5b5S5jgj06Lns0uOblsP0yiZkRn8/J2QJEf8/pqYnBrO32ZkolcpUAhw9eh4LhkZvKnXVyL1Kk5Ojwg6nhN19KxXZH5dyGnRR4Hl+1tIMmmxDJCAsWSzDd+3mUz425H3WuyOQhDIitewrsjBaYX9+130qBlCJCspZzqZR+gO+8ZZZ8H8+bBtG4wYMai3InMUSYvQ8do5Qzn/vW3YPT6UgsBTs4cwPql/on+t1cWWDn+cYdEGso7xVMZfK3FGDQ9MS+eq0QmIokh6hC6kEbtBo+ShUzLZWL2FqnZp82NBfhwROiXNDjcRB6l4KyPzS6Ooyc72WitalYK82DDiTX2P3E6P1PPc6TncNTkNtVIgPULXZ7uXYdEG/jUni1u/2YdXFNGrFLx2zlDZ7/YY4rScKN7fXsfy/S0AjI43MjvL4reTmJIWwc9XF9JgcxNjUBPdg2eXjMwvnSlpEay4upB6m5uYMHWfshVEUWR7nY09jTai9GpGxob1efNaIQjcMDaR7/Y1srPDpuX0bAvTQghDMscvkXo1d0xK4fzhMXh8IskmDXsbHXyyo45Yo4aRsWGYtX2Xb7QqJXeflMaq8la/D/n8UXFM6OfaR0amr8ji4lHgvW21nJgaPiDX8rjhv3+IJOHiEnTGoy9q5MZrWLjZypzRxn77feQRxyKKBl1cNBjgggvgT3+Czz8f1FuROcrMybKw8fqx7G91Eq5TkhmhQ9ePKnv7Wxxc+elOFhc3A/w/e2cd39T1/+HnxtOk7k4plOLOhgydAHNj7v6d/ebu7sKEuRsTJoxtTJCNDXeHlhbq7k2aJvf3x6FN06RQoEY5z+uVrffkJjmXnJx7zvtjBBp1/H7RIEbKm3SXRKfR0Ctk/9bZodH+LLtyGMuzK9hcWMPCzDLGfrCWK4dF8fSUZEI7sIJ4fpUds15z0CEwEsm+WJNbyfGfrqeoRkQgjIr158sz+5HUigIyhdV1GLQKgSY9KT4qrO4Pk17LVcNiOCYxiIJqBwkBRnpL74kuRc9gM5+e3pdf00rIrrSTWWZn/Adr+Om8gRy3N1wvwmIgQoqKkm5GrcNJua2eMIu+Mew43GJoseiFL/5IL+WkLzY0Vna+bEgUzx3X+jVEv3ALCy4VuZ0NWg19wvzazDFF0rWx1Tspq60n1E+PXquh59578pythZw9ezNOVYyp20fH8cCEHgckMA6OsvLfFcPYXlyDRa8lNcyPAJOUgCTtgwyLbmfqXS6+21LEhMS2ERc/ftWE3VJL33He+cA6grAALXqdwvacAw9vHkQk68innIPL29iWnH46rF4NCxd2dk8kHYmiKASadGwsqOLMrzYx+eN1/LS9CHu9c/8vRhQEaRAWAcrt9Ty8KINaR+teL+m6GLQKt/yWxoMLMxq/43dX57Euv6pDPj+rwsYTf2cy7O1VHPvxeuanlVDvcnXIZ0uODOqdLl5amtUoLAIsz65kYUbZPl+XV2nnhX/3MOztVUz4cC1ztxdRV39wY9Og0zAo0sqxPYNJCfNrsyJ3krZjR0kt18zdzqOLMvloXR52p8o1c7eTWylzY0q6JyuyKzhr9iYGv7WSG3/ZwfbiA89HV1BVx9U/bW8UFgE+WJvH2jzv4nL7IspqZEKPYEbHB0ph8QhhTW4l532zmcFvreSaudvZUigKp+0ut3HVj9sbhUWA5//LYqOPwmr7IzbAyKSkYEbFBUhhUdKudJq4qChKiKIovyuKsmPv/70STSiK0kdRlLVNHhWKovzf3uceVhQlu8lz0zv8IlrBLztKiPE3tEklxPXLdfzwmRH7lctJVDrHU0pRFPrGGvhn64FPbCZ09CeC30hrh54dGAYDXH01XHst1HVuGkhJBzN7UwG3/JbGngo76/OrOeWLjSzLat3iL91HkY9VOVWy2nQ3oMzmbAwZaUp+VftPEKqq8t7qXO7/axc5lXWsyKlk2mfrWZXTMcKm5Migqs7JsuwKr/aNBfu+n3+5qYDbf08jq8LOur1z5nIf7yPpHjQvfAawq8xGemnnG4YlkrZmZ0kNx3+6nnk7SyiodjBrZS7Xzd1OxQGu68rs9WSUe/9G8qvbvsK0pPuQWWZj+ucb+H5bMQXVDj5Ym8dFc7ZQXFNHWW09xT4qlPuaoyWSrkJnei7eDfypqmpv4M+9xx6oqrpNVdUhqqoOAYYDNcCcJqe81PC8qqrzOqLTB8qsVTkcl+ydoPdAKcpXeORGC2OuKiA0WIOZzrNm9Ykxkp7voLD8wAWV0cTxA1txoe7/5HZm/HgID4dHH+3snkg6ijKbg5nLs73aF+zHc6eBoVHeibXP7h8uCxJ0A6L99YzzUZiiNWHVh0peVR2vrcjxaHOpsOYAPR4kkn0RZNZzro/E8MfsI7KiuKbOa85UoTEnn6T7kRDobQwfHRdAtg/ji0RyuLO1qIYym+d+5q+MMjLKDkxMj7YafEapdcQaQnL4sqOkhrxmRuxVuVWkl9qIDTDQt1kKEq2iNIZMSyRdkc4UF08FPtr790fAafs5fwqQpqpqZnt2qi3ZXlzD0qwKpviovncg1FTBPZdbOXqSg4Kh6STjXaa+IzHoFAYkGPl13YF71SQRhA4N/7GnHXp2YCgK/N//wVtvweLFnd0bSUdg0GiI9vcWAsMtrRPrj4rz55lje2LSialzWq9gbhoVi1YjQ/sOdwKMel4/MYWhUVZA5NP85PS+DIq0tPtnm3Qawn3kZAo8gJw6EklruGRwFGf1DQNEKoAHxicyLr5lcdGo0xDlI+dYR+YhlXQsqaFmnpqSRIBR5CMeFGnhzH7h2J0y/Yek+2HxkXfbqNU0rvNai79Rx8xpvRkeLdYQAUYtH5+WyqCI9l9DSA5f/HTe40+jgFmvIdTPwCen920UGEPNemaf3Y/+EbKQpKTr0pk7l0hVVXMBVFXNVRRlf3XWzwW+aNZ2g6IoFwMrgdtUVS319UJFUa4GrgZISEg4tF4fAI8tyuCUlNADvkE1pbZaCIsRMS7GnlrDYxRwDgPasJcHx5BEI5/9U8Ge4jriQ1vnteV0qbhUlUnaJD5gLaOJR0PnijKhoXDHHTBjBixbBomJHd+HzhqfRyJ+Bi0Pju/BCZ+ub8xhEmHRM7GV1fiCTHpuHxPP6alh2OpdJAWbsBq6vwB0pIzRQZFW/rh4MFkVdgKMWnoEdYx1ONis55njenLqFxsbfbp7BBkZGePtKSvx5kgZn21Bz2AzH53Wl4cm1mLQaOgZYmosXuALq0HHI5PEnOnaOzgjLQaOaaMidUcKh9MYDbMYGRUbwJXDovHTa9leXMNry7L57cJBnd01STtxOI3PtmZAhIUTkoP5Lc29hXxoQiLJB+EdNjDSyvyLxBrC36BtVaEsSevormO0b7gfM/qFM3tzYWPbHWPiSQkRAuLwGH8WXzqE7Mo6gkw6EoNMndVViaRVKKrafuGpiqL8AUT5eOo+4CNVVYOanFuqqqpPFz9FUQxADtBfVdX8vW2RQBEiQucxIFpV1cv316cRI0aoK1euPNBLOWBW51Zy/Cfr+Oi0VCyG1lejbUpJocK9V1oJDnVx9pV2Fmoy2EYRx3VyteUGtmTb2Zxl55YTQzG0IKDW1btYtqOWFWm1ZJfWgwoWowY1oYwL+kVxbmBKB/faN999B/PmCQ/GmJh2/ah9qqkdNT6PZOpdLlbnVrEsqwKrUcvo2ABSw6VleS/7VfvlGG0f6updrMypZFl2BaF+ekbHBdA7VFqnfSDn0A6m3uViZY6YMwNMWkbHBZAaJufMFugWc2id08WqnEqWZ1cSZNYyOjbwoCqES7okcg5tRlaFjWVZFaSV2hgSZWVUrD9BJumd3Ul0izn0QMittLMsu5LtxTUMjLAwKjZARgd0bWS42j5oV5cbVVWPbek5RVHyFUWJ3uu1GA0U7OOtpgGrG4TFve/d+LeiKO8Ac9uiz22Brd7Jpd9v5cph0QctLK5dquPxmy2MOMbBCWfWYVMc/MUuTqR3G/f24EmNMZBVXM8ni8u4eEIweq37t+Zwuvh3Ww2/b6gmKlDHkEQT04bq0GmgvMbF6lyFt36uZFfSLm4cHt/p3l9nnAF2O4wZAz//DP37d2p3JO2ITqNhVGwAo2I7pyiSROILg07DmIRAxkiPMEkXQ6fRcHRcAEfHyTnzSMGg1TA6PpDR+wiZl0i6C3EBJuL6SY8wSecQ7W/ktNRDL/wqkXQFOlPR+RG4BHh67/9/2Me559EsJLpBmNx7eDqwsT06eaA4XSqXfr+VCIueEw6ikEt+tsL7L5pZsVjP2VfY6DvEiQp8zWaSCCKcrmM5VhSFyf39+HNjDc//WMS4VD8sJg27ixysSq8lzF/HiUOthAd4DrMgi5bJvQIwJ+SyZEcuy+dU8b8RsRzbMxhF6TxjwHnnQViYKPTywANwww2g6/4RrxKJRCKRSCQSiUQikUgkB01nSidPA7MVRbkC2A2cDaAoSgzwrqqq0/ce+wHHAdc0e/2ziqIMQYRFZ/h4vsPJr6rj8h+2Uljj4NFJPVotlNXZhafiL7ONrPhbx+jJDu58phqTHzhxMYdtZFHJ6aS28xUcOFqtwnGD/MgoqmdLth1HPQRbNZw83J8Q6769No82RFPXP5PssgI+WK/wzeZCLhsaxajYADSdJDIedxz06QOvvQYzZ4p8jOecA8GHXvBbIpFIJBKJRCKRSCQSiaTb0WnioqqqxYgK0M3bc4DpTY5rgFAf513Urh1sBfZ6F9mVdjbkVzNvRzFfbSrgxN6h/N/Rsei17hyELhfYa6G6SqGyTKGkUENelobMNC3b1mvZsVFHTKKTQaPquefFajTWeoqoIY0S/mEPVvScQgr6Ti3u3TKKopAUricp/MDyQyjAeBLZFFTAsqN3osuN5LkVNtT/NIxLCGRohD89gkyEW/RY9NoO82pMSIBnnoF162D2bCEwDhwIY8fCgAHQsydERUFICAQEgF6mxZBIJBKJRCKRSCQSiURyhNKuBV26IoqiFAKZPp/sWTyc9JCO7RCAzgmm+o7/3K6K2sXypNZrwH4AOvypG1R+GLS6hWeLVFWd2tJL9zk+244wRDGkI4Ej6Vrh0K93n+MT2mWMdufvqDtfG3TO9ck5tGM4Eq4R2v46O2MOPRC60vcq+9Iy7dmfjp5Du9q/bXsgr7Ht6EpzaHf9XrvjdXXkNe13jB7JHHHiYluhKMpKVVVHdHY/OoIj5VrldXY/jqRrhcPzeg/HPreW7nxt0P2vryWOhOs+Eq4RjpzrbKArXa/sS8t0tf4cCt3pWlpCXmP3pLtec3e8ru54TYcrXTPOViKRSCQSiUQikUgkEolEIpF0eaS4KJFIJBKJRCKRSCQSiUQikUgOCikuHjxvd3YHOpAj5VrldXY/jqRrhcPzeg/HPreW7nxt0P2vryWOhOs+Eq4RjpzrbKArXa/sS8t0tf4cCt3pWlpCXmP3pLtec3e8ru54TYclMueiRCKRSCQSiUQikUgkEolEIjkopOeiRCKRSCQSiUQikUgkEolEIjkopLgokUgkEolEIpFIJBKJRCKRSA4KKS5KJBKJRCKRSCQSiUQikUgkkoNCiosSiUQikUgkEolEIpFIJBKJ5KCQ4qJEIpFIJBKJRCKRSCQSiUQiOSikuCiRSCQSiUQikUgkEolEIpFIDoojTlycOnWqCsiHfHTWY5/I8SkfnfzYL3KMykcnP/aJHJ/y0cmP/SLHqHx08mOfyPEpH5382C9yjMpHJz8k++CIExeLioo6uwsSSYvI8Snp6sgxKunKyPEp6erIMSrpysjxKenqyDEqkXRdjjhxUSKRSCQSiUQikUgkEolEIpG0DVJclEgkEolEIpFIJBKJRCKRSCQHha6zOyDpfmRlwebNoNNBv34QFdXZPZJIJJLOxeGALVtg924xJ/bvD2ZzZ/dKIulYKirE+qC4GJKToU8fUJTO7pVkX2RliblLq5VrOolkX+zaBdu2gZ+fuMeHhnZ2jyQS35SWwqZN4p7cu7d4SCRtgRQXJW3Kpk1w8sniBgswfDh89ZXYREgkEsmRiKrCt9/ChReC0ynaXnwRrrsOTKbO7ZtE0lGUlsLDD8Orr4pjsxnmzoXJkzu1W5J9sGkTnHIKpKeLY7mmk0h8s2oVnHCCMJwAnHQSzJoFsbGd2y+JpDkFBXDbbfDpp+I4IAB+/RVGj+7cfkm6BzIsWtJmqCp88IFbWARxs/31187rk0QikXQ2aWlw1VVuYRHEwm7Lls7rk0TS0axb5xYWAWpr4corIT+/8/ok2TcffugWFkGs6ebN67TuSCRdktpaeOght7AIwnCybFnn9UkiaYmVK93CIgjvxVtvhfLyzuuTpPsgxUVJm1FXB4sWebfLm6ukK1Fhr6ek1tHZ3ZAcQRQVQVWVZ5uqQl5e5/RHIukMcnO923btgrKyDu+KpBW0tKZburTj+yKRdGXKy2HFCu/2nTs7vi8Syf7IyfFuW71aiouStkGKi5I2w2iEs8/2bj/hhI7vi0TSEnfMTyP1teVkltk6uyuSI4S4OIiM9GwzGqFHj07pjkTSKfTs6d121FEyh19XxWCAGTO826dO7fi+SCRdmdBQOPVU7/bBgzu+LxLJ/ujVy7vtxBMhPLzj+yLpfnS6uKgoylRFUbYpirJTUZS7fTx/h6Ioa/c+NiqK4lQUJWTvcxmKomzY+9zKju/9kUV2NixcKKxzlZW+zzn7bDjzTPG3RiNyik2a1GFdlEj2idOlMmdrETH+Bn7dWdLZ3ZEcIcTFwezZEBMjctvcey98/LEIpaqt7ezeSSRtQ20trF0Lf/3lmR6lgUGD4N13wWIRx6mp8OabEBjYod2UtJK6OjjmGHj5ZbjnHiECX3stTJnS2T2TSLoWer1IdTJunPv44Ydh1KhDf++dO+HPP2HDBvGblEhKS+G//+Dvvw8urcjw4fDCC8LIDTBsGDz2mCwyKGkbOrWgi6IoWuB14DggC1ihKMqPqqpubjhHVdXngOf2nn8ycIuqqk1VgUmqqhZ1YLePSNatE0m9d+8Wx1dcAU884e2Nk5QEH30EDz4oxMXevd2Tl0TS2azOrSTAqGVyUjBL9pRzzYiYzu6S5Ahh/HhYvlzkWTz3XJGbSVHgvvvg9tulwCI5vKmsFPkUH3hAhPwHBcFPP7k32yA2LpdfDhMmiPCrhATpKdFVsdlEDu2bboL6eiEIf/opTJsm13QSiS/69BF5FtPTRaG2Xr2EyHgozJ8vHDaqqkS19pdfFvsvKQIduezeDddfL8YawIABwnjdt2/r38PfH26+WXgrVlWJvXtISPv0V3Lk0dmei6OAnaqqpquqWgd8CfhwLG/kPOCLDumZpBGbDR591C0sArz3ntgoN6ekRAiRlZXCyi0XoZKuxJaiGpJDzPQL92NZVkVnd0fShXE6YetW4YW1dSu4XIf+nmazEBIbkr6rKjz+uJgzJZLDmfXr4f77xZgGkUfxiiugsNDzPLtdbGYqKqC6usO7KWmB/HzhBbNsmfjutmwRG9j6evF8dbUoSiWL70iOROx2cZ/+6y/PAkfNCQyEoUOF0HOowmJWFlx8sTtfs9MpxH5ZCO7IZsECt7AIsHGj2JM33Htbi1YrBPGgIBFxID1jJW1FZ4uLscCeJsdZe9u8UBTFD5gKfNukWQXmK4qySlGUq9utl0c4paW+k3pv2+Z5nJ4OZ50FY8cKb4VTToEdOzqmjxJJa9hWVEOM1UhSkImMMhv2+jZQjCTdDpcLvvkGhgwRIYBDhojjQxUYi4t9C4lNDTcSyeHInj3ebdu3e1ZPraiAZ54RIViTJ8OIESK0S9K5bNkCxx8vvKuPPhouvVSs55pvVouKvMViiaS7U1UFL74o5q0pU8S89c8/7f+5BQXeYr6qCtFRcuTy77/ebfPnH5yxbsEC97geOhTeeANqag69j5Ijm84WFxUfbS1p7ycDS5qFRI9VVXUYMA24XlGU8T4/RFGuVhRlpaIoKwvlyuiACQkRC8/mNHfBnjtXTFQN/PcffPstkv0gx2fHsbWohrgAA1qNQrhFz54KWdSlNRxpY3T7drHBttvFsd0ujg/VWBIeDiNHerfLwi6HxpE2PrsiiYnebf37e4Y9r10r8pA1iFbFxXDNNZ4CZHelq45RVRVeL+vXu9t++EEUc9E02yFERnqnwpF0D7rq+OwKrF8v8iQ3GBdLS317Zbc1UVEiT3NTFAXi49v3c7sqcowKjjnGu+2kk9y5jFtLbq7wjK3YG8TldMIttwhPSInkUOhscTELaDpNxgE+CqQDcC7NQqJVVc3Z+/8CYA4izNoLVVXfVlV1hKqqI8Jlgp8DxmgUN9bUVHGsKCJXQ/NExb//7v3aefMO3FX7SEOOz45jW3ENcYEiVj/a30Bmmb2Te3R4cKSN0bw8kQ6iKbW1YjF2KAQFCctww4ZBq4Unn5QVJQ+VI218dkUGDRLePbq9mbwjI0XxltBQ9zm+vBs3bDgyxMWuOkarq+HXX73bV6wQomNDapvgYPjsM1GcStL96KrjsyvQkld2UTtn+4+JEb+5hlx4RiO8/Tb069e+n9tVkWNUMHEinH+++7jB21zx5a61DwoKfHvBSs9YyaHSqQVdgBVAb0VRkoBshIB4fvOTFEUJBCYAFzZpswAaVVUr9/59PPBoh/T6CGTAAFEpOi0N/PxEnobmCYWnTvXMAwEiNPpAJzyJpD1QVZVdZTZi/cVuKcJiIKNMei5KvImJEfNc0/AQPz9vL4KDYcQIka921y6Rnyk19dBzM0kknY3FAjfcIKIcSkuFN25zIcqXd+PQoRAW1iFdlPjAYhFeL5s2ebanpMB554mNa2Gh+C6TkjqnjxJJZ5KQ4N2WmtoxxagmToTVq0XqlLAw8bvUatv/cyVdl7g4mDULbr0VHA5ROLWpEa+1REaKe3Jmpme7r/EukRwIneq5qKpqPXAD8BuwBZitquomRVGuVRTl2ianng7MV1W1aUaBSOAfRVHWAcuBn1VV9WF/lbQVkZEwZozIP+arUtmJJ8L06e7jyZPh9NM7rHsSyT6psDtRAKtBrMzC/fRklNV2bqckXZLevUVl1IYwk4ZKqb17t837x8aKvLQDB0phUdJ90OtFKPS4cb493AYPhqefdm+Oo6LEJklWqew8FAUuu8wzEuW884SoodUKEeWYY6SwKDlyGTQIXnjB7ZUdEQHvv99xRpHERPEb7NtXCosSgb8/DB8ujD8HIyyCuP9+/LH79Xo9vP66uIdLJIdCZ3suoqrqPGBes7ZZzY4/BD5s1pYOyGCyLkSPHsKFf8cOkZskJUWE0kgkXYGcSjsRFgOLf9VTUqgQebSBdOm5KPGBosBpp8GaNSIUOjoaevWSXtgSyaHg7y+8LU48UXg3JiXJMNuuQJ8+IoXN9u0i12JKiviuJBKJMC7eeKPbKzsxUXp3SboH48fDypWenrG6TleGJIc7cgh1A3Iq7CzIKOO3tBKOjgtgaq8Qegb7cC3sAIKCfBcskEg6m5zKOgJVEy/c64dWC2cHWNkTUNHZ3ZK0EbvLbPyxq5SFGWVM7BHEsUnBJASZDvr9FEV4KraVt6JE0lWpc7pYllXB15sLMes0nNE3jFGxASjtoKbr9SLNiqRrERoKo0e3/vz1+VX8sLWI3RU2Tk8N55iEQPyNcksh6Z7sb97KLKvlj/RSFmWWM7FHEMf1DCY+8ODXHxLJoWBzOFmaVcHXWwoJNOo4o28YI2ICfJ7bo4csKihpW+RK4DCn1uHkkcUZvL1KVBr4ZH0+R8X688O5A4i0Gju5dxJJ1yGn0o5zWzA9ejtJSHaxfYmVgvF1nd0tSRtQZnNwwy87+Gm7qAzxyfp8TkkJ5ePTUwk0ybhjiWRf/J1ZznGfrKOh9tpLS7NYfNkQjo4L7NR+SbommwurmfjhWkpt9QC8uzqPj09L5aLBUZ3cM4mk4ymtdXDdzzv4ZWcJINYfZ/QN4/1T+sj1h6RTWJhZxrTPNjQev7Q0i78vG8qIGOmSLml/OrtatOQQ2VFSyzurPEuYLsuuZHNhTQuvkEiOTHIq66jZ6U/PPk76Da1n4z8mCqodnd0tSRuwrai2UVhs4MftxWwrljk1JZJ9Ue9y8fLSrEZhEcDhUvl2SzuXQpUctqzMqWwUFht4YEEGhdXSWCc58thWXNMoLDbw3ZYitsv1h6QTsDmcPPX3bs+2ehe/7ixu4RUSSdsixcXDHJdL9dgUNOB0+WrtOtTUgE2mu5N0IHsqbBRvsdIz1UlUnIvaKoXyYoV6l6uzuyY5RFqa7+o7YR6srIT6+v2fJ5F0BVQVahxOr3Zfbe1BTQ3Y7R3yUZKDpPl6zeFjXrU7XbjUrr3ulByZuFxQUSH+3x60tM7o6vswSffEBdTWew92m482EGuAykpwdswtX3IEIMXFw5zkEDOnpHiWiuoVbKJvuKWTerRvysth9myYNAmmTYPffpMbC0nHsKfYQWWBnphEF4oCsYkurGUBFErvxcOelDAzo5qFexwV609KaMflnt29G55/XuQtu/hikSRbIunq6LUabj7as6qKApzTL6JdP7e0VFRgP+YYOPlk+OsvcMipuEtRVgZffgkTJsD06TB/PtTVwfBof0w6z+3DveMSZCoeSZdj2za4+25RVfe222DLlrb/jD6hfgyLtnq0jY0PoHcHrj8kkgb89FpuHxPv0aZRYHov77LSO3fCgw+K38cNN8DGjR3VS0l3RuZcPMzxN+p4eWovRscH8M3mIiYlBXHZkChiA7rmIu/33+Gcc9zHCxfCokWiYpVE0p5kpmsICq9HqxXHkbEuSooCyK+uI9q/a/5eJK0jzM/AJ6f35bMN+fy8o4QTe4dwwcBIwvwMHfL5Dge88AK8+qo43rQJfv4Zli2D1NQO6YJEctBM7hHEj+cO4OWlWZj1Gm45Op6j43wnf28rfvoJLrnEffznn/D33zBmTLt+rOQAmD8fzjvPfdywXjvmGCsLLhnMq8uy2VVq47qRMUzrFdJp/ZRIfFFUBBde6Db0bdkCf/wh5pqINrSdhFsMfH5GPz5dn8cvO0s5KUWsP0I7aP0hkTRnaq8Qvp3Rn1eWZhFs1nHL0fGMivM0wFdUiCrov/4qjjdvhnnzYMkSiIvz8aYSSSuR4mI3ICnYzN3jEvm/o+MwajXtUuGxLbDb4eWXvdu/+06Ki5L2pyBDR1iMOywgKs7Fls0W8quku0x3ICXMj0cmJXHPMQmYdNoO/ezdu+GNNzzbKiqEFViKi5KujtWo4+Q+YUztFYIC6LTtG9RSWQnPPefZ5nIJ46MUF7sGNhu8+KJnm6rCjz8Kb9Oj4wIZGROAU3Vh0HbsfCuRtIYdO7wjCDZuFN6MbSkuAvQJ8+OxyT25b7yzw9cfEklzAow6zugbzkkpoWgU0Gm87+lpaW5hsYHdu4UIL8VFyaEgw6K7ESadtssKiwAaDQT6KD7pq00iaWtKsw1ERLnFxchYF458M/kyCX23ojMW9lotmEze7QbpuCA5jNBrNe0uLIL4vQT4cIz0l4Usuwwtrdeafm9ajSKFRUmXRd9Coeb2vC9LYVHSlTBoNT6FRQCdTszzXq+R61bJISLFxcOAunoXe8ptlNkObw8rvR5uv91zMjObRb4liaQ9cbpUbLkmYmLcbaERLmxFRgqkuNhtKbc52F1uw17fvpmqExPh0Uc923r3hsGD2/VjJZI2I6/STm5lxyRA9vODBx7wbPP3h8mTO+TjJa3AYIA774Sm9mo/P5F70RdOl0pWhY0ieT+VdBH69IHzz/dsO+209o0mcLpU9pTbKK6RvwNJ2+JSxRxb2EZzbO/ecP31nm0TJkC/fm3y9pIjGBkW3cXZXlTD439n8vXmQlLD/HjphGQmJAbt00NxyxZYu1YsCgcPhr59O66/+2PcOFi8WOQj8/MTRV2GD+/sXkm6OyW1DrTlZsKj3NX7AoJVHDUacktkad/uyN+ZZdz62042FNRwWmooD0/sQWpY+xS6UhS49FLo1UvkdOrTB449VoiO+yI7G1atEsUtUlNh6FBpNZZ0LCW1Dr7aWMDDizJwuuCB8QlcMKj985VOmiRy+v38s1tY7EprFYkIf160SOThMplg5EjIyBBG4aYb0MwyG68uz+KtlblEWfW8cHwvpvUKwaCT/guSjiM7G1avhpIScQ8eOhSeeQZOPBH++w9GjYKJE9svWmpXaS0vL8vi3dW5xFiNvDS1F8cnB2PoAG9wSfdmT7mN11dk8/qKHELNel44vicn9g7FpD94T1mTCe69V8zzixeL38vkyRAeDuvXi4fRCMOGQXJyG16MpNsjxcUuTHWdk1vnp/HzjmIA1uZVMfXTDay8ejgDInxvktetE4v20lJxHBwsqjAOGdJBnd4Pej2MHSseEklHUVjjgAoTwWFui59GA9ZQJ7syOq9fkvZhS2E1Uz9bT41DhMF/tamQgmoH3587gABj+9z2goOFF3ZrPbFzcuCCC8TmHYRA+c03cMYZ7dI9icQnCzPK+N+8HY3H//dbGhFWA+cNiGzXzy0pERv/bdtEfr/HH4evvoIZM9r1YyUHgMEgNp5hYWJeevhh0R4QINaVw4cLb5o3V2bz4n9ZAKSVOjn9q438c/lQxsTLnDeSjiE3Fy6+WIxLEPfT2bPhrLOE92JzD8a2xulSeXVZFq8uywZgZ2ktp3yxgf+uGMZR7VwcS9L9+WBtHs8s2QNAVZ2Ts77ezOJLh3BMYtAhvW9UFJx9tng08N9/QmS02cRxYiL89psQ7CWS1iDNKV2YPRW2RmGxAbvTxdaimhZf89lnbmERxN+fftpePZRIDg9yyx04q3QEBqse7YFhTnJ2y2mwu7GtuLZRWGxgQUYZu8ttndQjb9ascQuLIIol3Hgj5OV1Xp8kRx6frc/3antvdS6qqvo4u+1Yu1ZUbc3KElVdQYz/nJx2/VjJQfDll7B1q/u4ogLee0/8nVdVxzurcz3OV4F1+VUd10HJEc/atW5hEcT99IYbOm4+ya2y8+4az5u3CmwsrO6YDki6LflVdt5a5T2Ql2VXtPln2e3w1FNuYREgM9PztyWR7I9O31UrijJVUZRtiqLsVBTlbh/PT1QUpVxRlLV7Hw+29rWHO356LUEmby+bQGPLbtCbN3u3bdrUlr2SSA4/tmfUo7c40Tb7OQWFuijIlgm4uxu+5kirQYvfIYSQtDVlZd5teXlQ07LtSCJpc/qEmb3bQv3avTicr/FfWCjHf1ekqbDYwKZN4HSCWachPsDo9XyIqYVqGhJJO+BrPiko6Lj5xKzTEOvvnUoiaB/7NYmkNfjptSQEeFcMjLS0feoSm01UWW9ORkabf5SkG9Op4qKiKFrgdWAa0A84T1EUX6lE/1ZVdcjex6MH+NrDloRAEy+d0Muj7fjkYAZFWlt8zUUXebddcklb96xt2LMHFiyAlSuhShq5Je3Izl0q5iDv3IpBISrl+XLx190YGGnh1D6hHm3PHdeTpCAfJZ07ib59RdXcppxzDsTGep9bWAh//w1LlkBxsffzEsnBck7/CA8jpr9By+VDo9v9c/v2FdUqExLg/vvhvvvgrbdEmJak61BXB5ddJr6fe+6ByL3R8ldcIeavYLOeZ49LRttEjO4b5seoWFn6W9JxpKaK+aQpZ54JcXHe59bWityMf/0Fu3a1zeeH+hl48YReaJrYZAZFWhgRI0OiJYeGv1HHE1OS0DUZXL2CTYyJb/uxFRgoPBfvv1+kwTjqKNE+ZUqbf5SkG9PZORdHATtVVU0HUBTlS+BUwIf/XZu+9rBhRv8wkoOHsL2klgg/PcNj/Im0tmytmDIFXnxRVC5VVXjwQVFYoKuxejWcdJLIkwJw3XXwyCMikaxE0tbs3q3gF+RdMTg0DKozpIdFdyPMz8CbJ6Zw5dBK8qvr6B3qx/Boa7t7Yx0IgwbB3LkiFDQjQ+RfvP9+kUC7KTt2wIUXwvLl4nj8eHj/fZlgW9I2DI7yZ8nlQ1mbV4VLVRkS5d9iTue2ZOBA+P13sRa4914RjqXXi/F//vneQoGk46mthXffhVtuEV6KFovIjanRwNSp7vMmJwXx3xVD2VhQjb9Ry/Bof5KCvT1iJZL2ouF+evPNkJYG554r9j+mZvbE8nKxR3rsMbFHCg6Gn35qmzzwx/UM5r/Lh7GpsJoAo47hMf4kdiGDpuTwZUJiEEuvGMb6/CqsBi3DY/zp2Q5z7H//CcNRSYnIW3rVVXD33TB6dJt/lKQbo7R3Xp19friinAVMVVX1yr3HFwFHqap6Q5NzJgLfAllADnC7qqqbWvPaJu9xNXA1QEJCwvDMzMx2va6uQLbIKezTC6azqa6G008XG4umzJsnqkd3c7zUjSNxfHY0464oIm+HgRv+5/nPv2W9wjufOXFmBHQp4akT8fmPIMdo+1FUJObE6GjflaKfekqIL015+WWxiTpCkXNoN2HHDlGhsrpJWjK9XuQj7d+/8/p1iHSbOXTVKlEhuuk2ISJCtPvyCJMcNnTbObS4WERCRUV5G+pARACMH+/Z1r8/LFwoChdJugTdZg49nCgtFdXU16/3bF+wQLRLPJAbxn3Q2TkXfX05zdXO1UCiqqqDgZnA9wfwWtGoqm+rqjpCVdUR4UeIa1xsbNcUFkFYRP75x7t99+6O70tX4Egcnx1NUZ6Cf6D39BAaDlQYqXZ4ezVK3Mgx2n6EhYlqfL6ERVUVRpfm/PFH+/frcEKOz8OT/HxPYRHA4eieRY0OxzGaleUpLILIY1dQ0Dn9kbQfh+P49EVoqLif+hIWQaRjas6mTWJfIunadJcx2lUpLvYWFkHcBySSA6GzA0+ygPgmx3EI78RGVFWtaPL3PEVR3lAUJaw1rz3c2VJYzTebC1meU8lZ/cI5vmcw0f4t3DEPI8LCRPj23Lme7UlJndMfSfenrEBLVF9vcTEwWEWtMlBUXYfV0NnToaSrsTq3ki82FpBWUsNFg6KYlBREUAcWKlAUOPVUb2PMEeDhLelG7Ciu4futRSzKLOeUPqFM6xVCfKCJ6Gjw94fKSve5RmPXNYweaSQmijmoqcAYE7PvvJg2h5Mleyr4cG0eJr3CJYOjGB0XiFYjHT0knU9ionfb0KFt77VYV+/i36xyPlybh0ZRuHRIFKPjAtBrO9unR9IVqLTXsyizjI/W5RPjb+DCgZGMjO3c/JxhYcJTfcUKz3ZfvxmJZF909iy3AuitKEqSoigG4Fzgx6YnKIoSpeyNV1QUZRSiz8Wtee3hTGaZjWmfrefBhRnM3V7Mpd9v5cX/9uBwujq7a4eM2QxPPAG99taq0WhEovARIzq3X5LuS3WpjpAQb3HRaAKNzkV6jqMTeiXpymwsqGbSR2t5/t89zNlazBmzN/H15sIO78cZZ8AJJ7iPTzkFpk/v8G5IJAdFbqWds7/exJ1/pPPzjmKumbud+/7aRU2dk+Rk+OILCNi7p7JY4NNPoXfvzu2zRNCvH7z3ntsLLDQUPvtMCIwtsXh3Ocd+so5PN+Tz7uo8Jn64jmXZFS2/QCLpQAYPhuefd+d0jYmBWbMgJKRtP2fJnnImf7SOj9bl88HaPCZ9tJb/suTvQCKYu6OYk7/YyDebC3l1WTYTP1rLmtzK/b+wHQkKgjfecKe80Ong6adhyJDO7JXkcKRTXXVUVa1XFOUG4DdAC7y/N5/itXufnwWcBVynKEo9UAucq4pEkT5f2ykX0g5sKKgis9zu0fbKsmyuGh5DSqhfJ/Wq7Rg0SHjjpKWB1QopKd6JlyWStsJWpiM01LeAqPevJy1TZfLhm+NL0g6syq2gwu4ZLv/IwkxOSQkl0tpxHuQ9e8JXX8H27cKLKCXFLcZIJF2dLUU1rMv3jH3+ZH0+t4+JZ1CklRNPFEVdcnNFJeJevcQ4l3Q+BgNcfLFI5l9UBPHx+/ZiqXe5eHmpZwydU1X5elMBY+ID27m3Esn+sVrhpptEQaKyMjGe2yN/6JsrczzydLlU+GhtHuMTg9r+wySHFWU2B48u8swXWeNwsWRPBUOj/TupV4IRI2DpUlFkMDAQ+vQReZAlkgOh0+MAVVWdB8xr1jaryd+vAa+19rXdBV91dtTG/4DTpZJdacOg0RDlI1S6uq6elTmVrM+vJspq4Kg4fxICu1b1vshI8ZBI2pv6Cj3h4bU+nzNYnezOPvw9giVuVFVlTW4VK3Mr0WsVjooNoF/4gVXA9TUHu5o0FtXUUVXnJNpqwKjTHmqX90lgoAhXkRy+OJwucirrMOk0RFp9JNg8TMmttLMip5JdpTZSw/wYGetPiNm9G2mxaGCT5uRkWf28q6LVQp8+KtUBVfyaU4mpVGFUbAB9W5hPfX3fzn0UjnSpKlkVdnSKQkzA4Z/2R9L10es9C0ZlV9hxqSpxAcY2K+zna8Tv63fQEg6ni9W5lazOrSLAqGVUbAC9u4GDyZGMqrawx2/jArs1dU4KqusINOkINrdeIWxtzQZ7vZOVOVWszask2Kzn6LiAdqlgLTn86HRxUeKbgZEWYv0NZFfWNbb9b2QMScEmdpfbmLksi5nLcwg0aXn+uGTO6BuGpUnOuG82F3HpD1sbj4+K9efbGf2JDZDugZIji4oKFVQI8fctAJn8nWTltO1NXdK5/JdVwaSP1lLnFN9riFnHgkuGMCjS2ur3GB7tj9WgparO7b34wPhEQv30/LKjmBvm7SCz3M65A8J5aEIPueCXtEhGaS3P/7eHd1bnEuan56UTenFySihmffuK0u1NWa2DW39L48tN7gofD01I5L5jEhtzi/ULt9Av3I/NhTWN55zTP5zkELkJOVz4d08Fkz92z6ehZj0LLhnMwGbzqU6j4aaj4vg1rbSxTaPAjP4RPt83p8LOrFU5PP/vHvz0Wp6aksSM/hEEmuTWRNL+lNkcfLGhgPsX7MJW7+LOMfFcPTymTXLbXzs8mm+apFFRgEuH7CNZaQss2FXGtM/X49q7RE0MNDL/osHdIoLtSCXYrOf+8QlcNMe9RzfpNIxNaDvv7k0FVdz1xy7m7ShmQIQfr01LYXyPoDZ7f4Bfd5Zy+lcbG4X01DA/5p0/kCQpMB7xyDt4B1PrcLI+v5r00lpi/I0MjrL4LBDQI8jMrxcO4vMNBfy3p4LzB0ZwYkooeq2GT9bl8fx/IvSkoNrFxd9vJTZgMJOTggHIKrdx6/ydHu+3LLuSdfnVUlyUHHHs3O1EsdSj0/q2SJutrm5ZnfRIpd7p4sX/9jRuhAFKauuZt6N4v+Kiw+liQ0E1O4prCTXrWHDJYD5Yk8eOklquHBbNsUnBrM+r5uQvNjZ6IXy2oQCHU+Wj01MxtbMHo+Tww6WqvLEyh9dXiHpzOZV1nPPNZhZfOoRjOilEbmtRNRsLqjFqNQyOspIQeHDrgs1FNR7CIsATf+9mRv+IRk/haH8j383oz1ebCvlrVyln9Qvn5JRQLAb5W+nKFFTXsS6vClWF11dke8ynxbUOft1Z4iUuAkzsEcRvFw5i1spszDot14yI4agWChV8t7WQxxaL8MDaehdXz91ObICR6b1D2+eiJJIm/L27nP/N29F4/PCiTKKsRq4ZsY+kovuh3uViQ341hTUOvjm7HzuKa1mTV8W1I2IOODVAhd3BPX+mNwqLAJnldpZmlUtx8TDn5JRQ5pzTn7dW5hIXYOSKYdEMayEk2l4vdIOdpbVEWgwMjrQS6teyJ2KZzcFlP2xjRY7I4bihoIZpn69n1dXDSQ07sAieliiqruO2+Ts9PHS3FtWwMqdSiosSKS52JKqq8tmGfK76aXtj2/8dFcujk5LwN3p/FQMirDw5xXPxVlxTx7trvJWQf3aXN4qLtnoXZbZ6r3Mqm+UPk0iOBHbucaL1a3ns+wWqFObJJF/dBYdLJaPM7tWeVeHd1px5O0o4Y/bGxsX82f3CeH16CuEWdxjrr2klXuFNX28u5MkpPaU3lsSL/Ko63vdxz16bV9Up4uLKnAqmfLyuMZ9o3zA/fjh3wEF53jb16m2g3qVS4/Bs7xNm4cEJFh6c0OOg+izpWAqq6rhu3na+21LESSmhPufO7Erf86lZr+X45BCOT953hYyqunreXpXr1f7bzhIpLko6hJ+2FXu1vbM6l0sGR2I6SK/yX3aWcPqXmxrXCGf0DePN6SlEHEQqDFu9i9yqOq/24hrv/Z3k8CLQpOe01HBOSw3f77nfbiniwu+2NAp5Vw6L4tljk1sMdc4sszcKiw3UOFxsL65tM3HR5nSRX+2dx77Sx5pAcuTR2dWijyh2ltRy86+eHoUvL8tmc2F1C6/wxk+vpZcPq0Bck1w18YFGzhvgGYZi1GroFy4tXZIjj8xsFwZLyze8gEAXxQVyKuwumPVarh/p7XlwSkrYPl+XW2nnup+3e3gJfL25iHV5VR7nBfkI2YuyGvDTyzEk8cZi0JAU7O0ZGGHp+LyLDqeL55bs8ShUtKWohoUZZQf1fr1DzIQ186AYEW2lZ5AU2Q9n1uZX8d2WIgAWZ5Yxvbe3UHjiIQqAJq2G1DDvcdLTx29FImkPevkwBvYL92tM6XCg5FXVcd3cHR7Gx++2FLEuv2ofr2qZCIvRay2jAKNa8ASWdD8yymr53887PDwE312dx6aClnUDq0GDxYc47mvterBEW41cOzzao02rKAyMaBvxUnJ4I3dDHUiF3UmNw7twRFErrVAlNQ7+SC/limFRGJvc/HqHmDimSa4Go07Lo5N68H9HxRJi1jE6LoD5Fw1igPzRS45A9uTsW1wMDFapKJYhet2Jk1JCeXVqL2L8DSQHm/nizL6MTdj3grzS7vTpJVBUW09xjYMftxVx+/ydOF0qk3q451sFeG167zbJ0yTpfgQY9TxzbE/0Grd39MAIC05V5cX/9rD+IDeeB0ONw+lVuRlgW3GNj7P3T1KwmV8vGMi0XsEEm3RcODCCj07vS8g+QrYkXZ8ym9sjpcLuZHtxLbePiSfG30CvEDNfndWPMfGHJnDotBpuGx3vsQmO8zdyfHII5TYHv+wo5s7f03hrZTY7DnJ8SiT74sTeocQ08Si0GrTcOCoWrebgIlkq7fU+PXqLasXvqbqunj/SSrj7jzReX57FllY4llw6JIrHJvUgwqJnQIQfP503kJExnVtRWNJxVNidlNu9NYKGMeWLnsFmXjjes0La+QMi2kQD2FZUw5srsrn3z3ROSgnl3nEJhPnpGRplYd4FAxka3fq85pLuiwyL7kASAo30DjGzo8Rdtdas05Acsn9LrUtVeWdNLnf/kU6U1cD94xPRKJAcbGJUbIBXjoOewX48f3wv7hibgL9B6zPsuin1LhebC2vYVVpLlNVA/3AL1v28pjPYtAkWLICSEpg0CY46Cgzdp/CmpB3IyVMxWVuuBh0cDDWlXW+sSw6ecIuBG4+K45wB4WgVhVC//U8SMQEGjusZzO/pnsUI+of58dryLB5eJHKDvfBfFg+MT+DGUXFU1tWTGmphSFTrF1T19bBihZjH/PzEPDZ48IFfo+TwYWKPIJZdOYxNhdWoKqzIqeSiOVtwqcKbYPGlQ3zmr2trAk16Lhkcyb1/7fJon9Qj+KDfc3hMAN+cPYAyu4PqQgN/fqthdh5MmABHHw3mJksTVVXZUlTDzuJaQv10DIiwEOgj57Sk46mthWXLYOFCCA4P46H+fXl66zbsThffbC7k/AHhLL1iGBaDhhBz2yy6jooLZOkVQ1lfUI1BqzA0ykpyiB+vL8/ihl/cUT69Q0z8ftEQEoOkV6Ok7egfYWHRZUNYlllFRbVKqMNK+TYz/9ZWUuK00yfM74DSRcT4G5maHOxR0EhBeHgDzNla5FHEI9bfwIJLhuzzM2IDTNw/vgdXDovGpNP4zNEv6VrsKbexubAGRYH+4RZiAw7e8BwXYGRYtJXVuW4jpF6j+PS6bUBRFC4cFMGACD92lNQSZTEwLMafkAOoGO2L9NJapn62rjHt0LP/7uGrs/qy/toROG0a1i7T89D70LcvHHMMJCYe0sdJDmPkjroDCbcY+OqsflwzdzsrcipJCjLx7il96NOKm1dGWS2PLMwAhOv9AwvE5mBflZm0GoWYVnrT/LitmBlfb250579/fAJ3jUnoUgLj5s1iw1K8N03Kww/Dzz/DtGmd2i1JF6cgH/z8W64GHRoKdeVdZ5xL2o4IS+sXdVaDjpen9uLmX3fwR3oZUVYDs05MQa9TePKf3R7nPrZ4N3PPG8jFg6NbeLeWWbIEpkwB515nWn9/WLQIhg494LeSHCZoFIWh0f70DjFz7CfrWJbtzodUZqtnUWZZh4iLAOcPjCCz3Ma7q/Mw6zU8NqnHfr1694efQUt2ppZJkyA7293+9ddw1lnu49/TSznli43YncLYc92IGJ6YnNRi7ihJx/HLL3DmmQ1HWmJiIrj/NYUH1m9mUo8g7jkmkfiDLPyzLwZEWhnQZOzvKbd5id87SmyszauS4qKkzdFV+PHwDD92NslY9dBLOt5wrMfudDH/wsEcFde6+dFi0PLiCb1w/baT+WmlRFoMvHlSbwZHWsmvsnPXH+ke52dX1rE6r6pVAmaUVUZGHA5sLqzm5C82kF5qA0RO4znnDKBP2MGlJQsx6/ng1FT+9/N2luypID7AyDsn92ksmNYSFoOOsQlBjE0IOqjP9cWa3CqvfObXz9vJmqtG8Nareh5/3N0+cSLMng3h+08pKemGyB11BzM02p/5Fw4iv9pBsEnX6iS/DqfauCBvSpmtnqf/yaTcVs+03qEcHRuAQXdg0e4ZZbVc+eM2jzwhjy/ezYm9Qzk67sCqmwHs2QMbNoDLBQMGQI8eB/wWPvn7b7ewCKCq8OCDMG6c2KBLJL4oKtBgiWnZczEoQIPLoWCzgUnuXQ5b9pTbWJhZxvKsSsbEBzA+MeiALcb9wi3MOWcA2RV1+Bu1xPgbWZtX6VEptYFSW8thKS1RVwfPPusWFgEqK8XGvrm4qKrCoLJ9OwQFwcCBELbvtJGSLk69qlJm807R0JHF1hKDzMyc1pvbRsej1yokBppQlEMvaLVsuUp2tuf73Hmn2GSEhUF+lZ2rf9rusY55c2UOM/qHM/EgPCfr62HjRkhLg4gI8fsICjrEizhCKSmBu+/2bMvJUQjKC+P9gUez21RMXb3nHJhdYWNhZjlL91RwdFwAE3oEEhdw6DdQh0ul1kf6IF/rX4nkUFm9Gg9hEeCNJ83MeC2W17dkcO+f6fxw3gCshv1vl/PzIXuDhWssA3nsdCcJSfVkVTp4+p/d9A41+yyAZa+X47o78cWG/EZhEURO4x+2FXFnWMJBv+egSCvzLhhIbqWDIJOWyE4Smm0+xmpVnZPiMpVnnvFsX7gQ5i2xkRaYw7ReoYyKDTjodAOSww8pLnYCQWY9QQdoqe8RZOLCgZF8vD7f/T4mHduKa3lkUQYAzyzZwy8XDOKEXvuu0tec0tp6Sn1Ul873kX9sf2zbBqecIjbEADEx8OuvYuHfWqqrYetWKC+Hnj3d4mRFhfe5JSXgOPA9vuQIoqxYoUdqy56LfgYNil89BQUGEg7+/i/pRMpsDm76dSffbxVFCF5bkc2FgyJ588TerdoUNMVq0NEnzP2aIKOO6b1DmLejpLEt1Kwn9CC8rZxOKCz0bi/2LlrJwoXCK9u+11B8xhnwxhsQGSmOc3LEPGs2Q2oqBB64HUjSwQSZ9Nw2Jo6rf9re2KZRRNh0R6LXag6qOnRT0tIgI0N4fif1cpJT6gQ8jaXl5UJQByizOckst3m9j688p63hp5/g7LPdQv0NN8Bjj0mB8WCw26GszLs9Z4+G524yccyUSCY+Z4e9tSUqbPXcNj+NnQV2Tg5JYMsKLVX5NZx3jI6AQywaEB9g5LoRMby63O0Ca9FrZc5wSbtQW+vdVlEBFo24v6/Oq6Lc5mxxHVFXJ/Yre/aIOfHJJyE/X4PVqmHOzwqn/L2C2noXSUEmLhgYyZsrcxpfa9RqGCTHdbdBVVUWZZZ7tS/ZXQ5jD+29A4x6Aoyd6+GfYLRg1mmobSIy3jQyDn2t0ec+PL3QzmPrdvPk33tYcMlgjkkMAtxrVz8/sXYNkPWJuh2yoMthglGn5ZGJPXh0Yg9SQs3M6B/O+6f24cX/9jSeowJP/pNJrePAvCBi/Y0kN6vQp1WUFsOt98VPP7mFRRCTyIcftv71paXw6KMwYoQIHRw5UuQBAuGhqGk2Ym+9FUIOTEuVHGFUlWr3KbyYdRpUs4PcvJYFSEnXZltRbaOw2MCn6/PZVuRj59CM4po6lmVVsD6/Clu999wZaTUwvVcI1wyPISXUzCl9QrnnmAQCjAdeBMhshptv9m4/+WTP45ISIZbYm0SgfPed8LIA4Rk+bpzI13j00XDddWKulXR9Tu8Txnun9GFAhIVJPQKZf+FgRhxmCfoXL4bhw+HYY2HYMHj5JQX/ODv6Znuf629yEbNXkIqy6jk6zvs6ex3EOmPPHrj6ak8P4NdeE56MkgMnOhpuucWzTacTESH19bDgNx15O41U2MUOcntxDbnFTkZl9OeR88N44spg7p4Rwn//Hnpf9FoNt4+J5+lje9In1I8z+obx58WD9xsGKJG0lqo6J6tzK1mZXUFqf5dXxMp5VziYmyfE7dNTw4iw+BZ1bDZ45x0xB550Etx7L9xxh9iTVFXB449oOCZaeGXvKrNR43DxwPhEUsP8OLF3CH9ePJjBB5CvWdK1URSFc/p7xwGfltq6kJOC6jqW7ilnY34VdV3Mo7WuDj56zsqTqYM5Lj6U1DA//q9vMuPNMSTEK17pycLDoTZQ5Il0qiqvr8hGVVXWr4exY901E66/HnJzO+GCJO2KFBcPI3oEmxkTH8jRsQFU2OqZv7OEymZu9mW19ThdByaSRFgNfH5mv8ZFfohZx+yz+9Ev/MA9G1au9G7791+xQG0Na9aIsMEGiorgxhuFVX3ECPjtN5EoNiVFePGcffYBd1FyhFFbriUouOXfhFajoDHXsyur40ITJW1LXQshcy21N7ClsJoTPl3P0e+tZsisldz5ezoF1Z45Zcx6LRN7BJFbaWdwpJXimjrMOs0BFXFpyvTp8MEHIun1qFEwd64QCJtSUQFbtni/Nj9feGo//zzsapKW7Isv4L//Dqo7kg4mzGLg8qHR/Hv5UH4+fxBTegaj1x4+S7GCArj8cuGVCCJ8/+EHNdS5nDz7SSXjJjjp1QtuecjGlDPcnor51Q6uGhbTuK4IMGp575Q+DDwIz52yMrE2aE5+vnebpHVceim89BL06iU2f889B7NmuZ/fvKuec7/ZQnppLXanyvHWeN58zkhDNp2yMrj5f1qf38uBEh9o4q6xCSy9Yiifn9G31TnvJJL9safcxjU/bWP426sY+e5qnt6xmZ9/czJ1qoiUuvfheqzH5LC5uIaJiUHcNTa+xfl582axP2kwclRXwzPPwIUXiuNN6zX0MLvXCR+ty2NTQRVLLh/CtzP6MzYhsE1SUki6Dif3CeOyIVEoiKiE60bEcHzy/j1gNhZUMfHDtYx+fw1D3lrFo4szKNlHReiOpqpKFCG85axAaj/ux4ClQ/n8xnj+mWfCaoVXXxXCeo8ecNrZTu6aVcrrO9MaX19SW4/dDk8/LSIeGvj0U1i6tMMvR9LOdHpYtKIoU4FXAC3wrqqqTzd7/gLgrr2HVcB1qqqu2/tcBlAJOIF6VVVHdFS/O4OM0hoWZZaREGSizqXSM9iMRoGmWuLtY+MPqgjLqNgAllw+lOxKOyFmfasSZ9fUiHCAykqxII2NFSHRX33led4FFwgreGvYs8e7bcUK4ckTFCQ8JcaMEVYUGf4k2R8OB9TbNYQE7nvzrrc4yczuWpZCiW/qXS52ltRiq3fRM9hEgFFPSqiZ/uF+bCqsaTxvZIw/KaEte0XVu1y8siyLVXur8KnAzOXZTOkZzKl9PHPa9I+w8uFpqewut2E1aOkZbD7oTUFwsNjIn346aLVg9aFRRkbCqafC9997tvfuLTbxf/3l/ZrVq8X8KMOjDw/8O7lYWrnNwa4yG2adluQQE7rmYQEtUFQkwv+aYysyMse1lavvSULn1JGjVJG8N5m8qqp8sCaXF/7LYkb/CM7oG46t3kWoWYdJf+AewLGxIkfpmjXuNq1WrEMkrSMnR+Sas1pFaFp0NPzf/4n12ptvwm23ibzZDRgjbfyys4Q5W4q4eHAkC8o9LcZHj3Ny3Dm1bC8DS6AZ80F8r8050PRBEsn++HNXKZ9vLGg8/mZzEUOjspgzJ5HaWggM0pJWEs6VE0NJCjbuMxQ1OxvUZnbrwkKRY/a6O20Mn1BHjp9CyB4dJbXi93Lx4Og2q7beEnV1IkVVcbGo2JuU1K4fJ2lCQqCJN07szW2j41AUheRgE0bdvudCW72TBxfsYkuRWL86VZUn/t7N+MQgjk8OobrOSVppLTqNQq9g8wHXVfDFnj2Qni7Wi6mp+883HxwM558Pjz8O/yzSIiQbEUED4t779NNw112wtaKacR+v83j9DaNiKS9XWLDA+703bxbrYUn3oVNXt4qiaIHXgeOALGCFoig/qqq6uclpu4AJqqqWKooyDXgbOKrJ85NUVW0DW2nXpspezzdbinj+3z3U1rsYHGllXEIAzx+fzNxtxZTZ6rl1dDzTeh94jHBWFqxdC5WVBvr1M5AwaP+vKS6GJ54Qlm6AuDj48UeYPFlYL15+WVjzrrxSbJKb4nQKK4i/v3eYs6/iL2PHehYy8PMTD4lkfxQVgdZcj59hP+Kin4usXBkW3dUpqXXwxopsHl2UicOlMjExiFknpdAnzI+vz+7PW6tymJ9WyvTeoVw1LJpQv5YX8aW19fzcJI9iA2vzKjm1j3cYS7BZ36ZVbZuLgA6HMNgEBorw6SefFGkiFi0SOWleflmEX+l0cN99woPM4QCjUYRmGY1w0UUwc6bYUEgOLzIyYN06kQNs4EDo37/9PmtbUTXXzN3OosxyDFqFhyf04LqRMQSZ9j++IyLEZmTrVs/2kX2M5P48kCv/p0NVITLSypSfIG6kCEP8cXsxDpfKZxvc7oW2kbGcmnrg5SQNBvjkE7jkEli1SqwP3n67ff/NugOqKryis7KEB/Xu3aL9xhtFcbywMBHONmOGmHf++kus0256wMaPFRkAzNlaxC2j4xg9wL1hvuwmO9UjMng8PZfHP4MLBkbw+OQkEoNaH/K+datI96DVwpAhwotMImlr/kgv9Wr7fmsRt4+JI9ikBZRW56NNSBB7GJcLFEVEVQ0YAL2nlPHW0i28ucJOmJ+exycl8dP2Iq4YFsPkpKA2uY6NG8XDbBa/l4Z7fnW18Di+6y6x1woOFkbK8ePb5GMlrcCk09I/ovWRLUU1Dn5PL/NqTyupZVdILbfN38mcrcVoFYWbjorlrrEJRDYrBltRIdaAxlbUelmxQqTiyc8X4/a++4QxaV8OO4oCl10m0p59/TVcdZWIvCkpEUa+QYPE3B0aCsMCLMw9fyDP/LObOqeLO8cmMKlHECYNTJ3qnSrtQGoySA4POttzcRSwU1XVdABFUb4ETgUaxUVVVZtmcVkKxHVoD7sIq/OquOP39MbjdflVfL+1GK2i8uaJvYkNMGExHLilODMTzjxTLNBBLNrnz4cJE/b9upUr3cIiiMXqPffAt98K0fHKK8UNNynJc7LbtAlef10sWk86SeRNSklxPz90qLCMPPSQuDHGxwt3a5nwVXIwFBYCpnrM+n2Li2ari+wcKS52dZZnV/DAgozG44WZZbyyLItXp/Wib7iFF0/oRVVdPVaDDs1+PAsDjTomJAby2YYCj/b+nZDba9UqePFF4X14wQUirKpvX2Gw2bMHLBa34WXPHvj4Y3cYtE4nhJW//hI5b085Rcy/ksOHHTvE/bAhX7HFAn/84R0u3xY4nC6e/3dPY+L5OqfKvX/tYkSMP8e1InwrLAzef194GuTng14vwvSNOg2vPOeeZ/PzhWj1229g9dcyOSmYzU08iwFGxh5YrsmiIjHGX35ZiGBPPSW8GP39xVpB0jLp6fDRRyKyZNAgkdP1mWeEJ/TMmUJsnDpVnJuaKgSJ31dX829+KR9l7yGrQKSLOCE5GI2icPRwLS+9pPLwwwrR40p4crM7cdanGwoYFRvAjUe1brm+erXIsd1QVCY2VqxD+/Vru+uXSADGJXjf849PDsagPfD9U9++Yi586CHh9Tt3LkSl2LhpySYKa0RIa1GNg9vmp7HiquH0b6PiLUuXit9Lzd7pNDVVrBV69xYC/e23u88tLRWi0L//uovBSboWwSY9o+MC+L2Z8J0S6scXGwuYs1VU/HOqKi8tzeLouABm9I8AhAf6N9/AW28J78G77hLRfS1RXi7uyw0pRFRV7LmnTIGJE/fdz549hTB4zz1ivL/9tmjXasXYb7h/mPVaTuwdypQeQbgAvyZe7HfcIfSDjRuFYHn99e2zzpF0Lp0tLsYCTQNhs/D0SmzOFcAvTY5VYL6iKCrwlqqqb7d9F7sGaSXehQkW7Cpj3gUDSA7xO+gS78uXu4VFEO70d94Jv/++b0EvM9O7bfFiYcWIj/cUDBvIyYHTThOhOCDc9v/9F2bPFvnF1q0TG+gLLhAb5IoKIU42JISXSA6UwkJwGeux7CdEy+zvIi+vgzolOWjW5lV5tX23pYgHJyQSZTWiUZRWV9Qz6DTcOSaBvzPL2V0hNs5n9QtjdFwgtbViAbRypRAxRo+G5OQ2vZRGtm8X4cwNG+sHHhBz5FtviTm4uTfWypWe+RXr64W402D9XbRIiouHGwsXehZCq64WIUZffdU6T4QDoajG0bhZacr6gupWiYsgfg8rVwpvy5AQcb//7DPv85YtE1EOgYEK142IYf7OErbvXcuckBzMxL3VI1tCVYVXxNKlQkRvKDzSkO9xwQL4+28pQu2P6mrhmdKQZsFsFhu6G24QRmCbzTOHKwjBdvBAhRfSCsjaOz8OibJwzgCxqbVY4PrrFU4+GW5b7h089NWmQq4fFbtfI4+qCk+rptWqs7PFZlV+r5K25oTkEMYnBrJ4r3FlQISFCwdFHdR7GQwiWqBnT3EPr6uDo8+2U1jmmSuvtt5FdqW9TcRFu104cNQ0sdNs3Sru+717+04tlZ4uDDNSXOyaWAxanprSk3X56ymoFmPniqFR9A3z45bfdnqd/+euUmb0j8DlEuvERx8V7Zs3C6PMsmXCgOSL4mJ3kdSmNHix+yIjQ7wmK0tEz1RUiPHWgNMpBMulS4XnYgO+Up706ycM4Tt3ivtQnz7i/5LuRWeLi75WHT7dhxRFmYQQF8c1aR6rqmqOoigRwO+KomxVVXWxj9deDVwNkJCQcOi97gSirN7hfUOiLAyP8m8UFlVVJb20lgq7k8QgY6vyevgSVHbuFGHLAQFQWuugtt5FlNXgsUj0FXY3YYLnxNKcbdvcwmIDRUXCmn7//e62Y48VG5UjxVW6O4zPrkpOngtM9fu1Svv5uyjeJhNrt0RXGaPJId6rkFGx/gQ2y1+XX2Unu7KOELOOHvsIzRsUZWXJFUPZXlyLWaehb7gfQSY933zjWSwqNRXmzWu73EW1DifFtQ5CTHo2bdJ6bKxBhHzec49YeDWnxDuSm4wMOOEE8ff+vM67I11lfB4s6enebZs3ixDpthYXA406hsdYmZ/m6SWR1Io8y02JixOPBnz9s48e7V4T9Au3sPDSIWwrqkGvFb+1ELOecpuDjDIbfnotySFmj3XG0qWiqmRD1fSAAPG7uOcecexyCWF2X54aXYXOHKPp6W5hMSBAhD3fdpv7+eRkePdd79clh/jx47kD2LlXEE4MNFJic7KxoIrkYJFXMTkZxuUH8sN2T8F6Uo/A/QqLIFI7rFvn3b5pU2uvTtIWHO5zaGtJCjbz7Yz+bC2qwelS6RPqR5S/mGSzK+zkV9cRaTEQG9C6iVejEfuaurq9xzY9Zp2G2ibVfjUKWFQ92RV2AozaQ8q3W1Pju9hbQ5EMX19dcrIwkh7udIUxaqt3UlQj1m5+BxEt2BLDY/xZfuVwdpbU4m/Qkhruh0EDY+MD2FBQ7XHusGjh8Z+dLSJePPpnE/NpS+JiaKgwLDUvotLSP2d2trhfrFol1r8lJfDII97n7doldIN9aQANhId3j/EoaZnOLlGYBTQNZokDcpqfpCjKIOBd4FRVVRtXMKqq5uz9fwEwBxFm7YWqqm+rqjpCVdUR4V18RNvrnWSW2SiqqfNoHx7tzwUDIxqPrQYtr0ztRahFCIi1DicfrM1jyFurGPb2KiZ/tI71+W4vn7Iy4W1Y7TlHMXiwdx8uvhhCw1z8sqOYYz5Yw8A3V/DAX7vYXeau/DhihFicNqwdExNFiFJDLsQNG0TIzY03Ci/I6mrfm6QzzxQu2U354w/hMn2kcDiNz8ON3blO9H7O/RbfsAaolJd09nTYdekqY3R0XABTewU3HoeYdTw0IdGjeMCyrArGvLeG4W+vYthbq/huSyH1TSsTNCMuwMTkpGBGxwcSZNJTUAC33up5ztatInSvLdiQX8WF322h3+srOPubTTg13lXKjcaWi2D17evddtJJwhp8yilw3HH7/vziYnEvaBBs0tNFeMvVVwtPucPRg7erjM+DxVc40mWXtX3RssLqOt5encMlA6MJNrkH2PReIfQNO7RExsOGibx9DXmUo6NFSpOmuUWj/Y1MTApmbEIgIWY9Wwqrmf75Boa8tYpBs1byytIsKuyi8IHLBW+84R6nIDwm0tNF2GwD/gcWWd1pdOYY1evd88lZZ3lWgQZRoCc/X2xMMzLcBoy8SjtLsyp4Z3UuszcV8MCCTAa+uYJBb67k6p+2kVkmRMdT+oQxINw9fpKDTUyJiOSmm4QH7vr1LffNYBDVx5vTPFe3pH053OdQX+TlCY+s5rf/MD8D4xKCmNAjuFFY/GtXKSPfWcXwt1cx4p1V/J7mw4rXAk2LYVRkmLl/cApNg8lu6ZfMjvV6+r2xnOmfreffPeUHdB01NfDnn3DTTaK67kUXeZ/TkFNx4ECRsqrh9x4aKkJZIyK8X3O40dljdEN+FRd8K9ZuZ329iTW5lW36/olBJqb0DGZUXABVdU7u+D2dnsFmYvzdjkKjYv05rqdYA+v1vgsC7qs4S2CgSIMRHS2ONRpx3x461PO80lKxTlyzRtxjH3sMRo4U+kBEhHvv38B557nfUyLpbM/FFUBvRVGSgGzgXOD8picoipIAfAdcpKrq9ibtFkCjqmrl3r+PBx7tsJ63A9uLa3h4YQazNxWSHGJi5rTeHNtT5LeJsBqYOa031wyPodzuJCXETEqTzcDavCqu+HFb4/G6/Gpu/mUnP543gA2rdNx8s9gcn3CCEP0avAJHjhReMrfcIiaTiy8WguCa/EpO+mJDYyXqJ//ZjQuVJyb3RKMohIaKyeaCC4S1IjnZHb68ebPYLDUsUF97Db74Qnz23XcLAdJuFxNgz55iQduc5iKoRHIwZOWq6M37z6VoDVSpLJXiYlcnLsDEJ6f3ZVNBDdUOJ6mhfvRs4s2YX2Xn/G83k77XEFJqq+ecbzaz6urhDIpsXYLt/DIHRUXeodVtMSflV9m54LvNDAsM5cYe4RQrNTgDa3jqKSu1tQpVVWK+fPBBsaDbvVvMq02FxmHD4MsvxUajqAjOPVfkrdHphKdjS9WinU6xQbnxRiEmnHeeyM9z5ZXuMJl33oGbbxb3iLb2mJO4KSsTBri8POENMHy4qNB7zz1inF13nci72db8vKOYWStymZ4/hKv9h6FPqkXn0lK43o/3jPnExZVw0aAoQv0OvHCR1SqiDvz9hQgYE7PvyuX2eic/ri9jkiWWEalhfL57D7fOT2NIlJVJScG4XL5DtUSYtfCoCAzcf54oiVif3XGHMAAHBYl5ozkVFWLMff+9SMUw88tqnlmdxq9pJfQPt3Df+ARumLezcU2YX+ZkzQYXajyk9PBj/kWD2VhQjaqCpsSP44aaqN9bUPqZZ0T4+oAB7s9at849/qdPh3vvhRdeEBvmhx46Mj2wJW1DVRV8951I8VRRIfLD/e9/wtM6N1d4y8bFuQ0h6aW1nDl7E2U2MWDzquqY8fUmll80iroyIwEB+87pOny4EFZyc8FZrzDn8QgeusyK3WzD5DDw/XMWTGfXUVXn5J89FZzw6XpWXjWMPmGtC5P+7Tc44wz38S23wLXXqbz3roLFAv93v52Q3vWABT8/sR449lh3tWhfhTIlB0Z+lZ2zv97EtmJhUPllZwlr86pYduUw4gMPzOu/Nfy5q5S5O0r4v6NiuWpYDKqqEmExMDw0EFOtGYIhKkoYby691P26uDixRtwXI0aIlGgN1aL79PEUJP/+W6wD160TBsLRo0XRlwbWrhUi9223CaPU2WeLNauhjYqg19YKYdNgEPeHVjjAS7oYrRYXFUWJBJ4EYlRVnaYoSj9gtKqq7x3sh6uqWq8oyg3Ab4i65u+rqrpJUZRr9z4/C3gQCAXe2Ot9VK+q6gggEpizt00HfK6q6q8H25fOptbh5O4/0hpzIW0vruXEzzew8qphDI4Spvlgs55jWshRlF7qnZNxYWYZ23eoTJsmbrAAv/wi8ib89ZdIzG42iwXl5MlC5IuLEz/oP1ZVNy4iG5i1MpcbR8YRszdcwGz2tnaA2Kg2D9174AExQTXkkgIxYXz5pdgcLFzoPjcwUIQhSjoOFZWtFNGX7mGxbiA7V8Vs9fYMa05goEptlQaXy7uCuaRrEeZnYEIP36uY7Mq6RmGxgXqXSkaprVXioq3eyftpmcy4NJGP3nQLLDqde2N8KGSU2bgiPJXX7vVn5w6FHj1UBt4Ozz+vUFwsPLJ+/llsfoYOFSLANdcIT8qGDYLJBOecIypT2mziNc2FQFUVuZcUxb0p2rABTjyRxg3/p5/CUUd559+ZOVN4Mcp8Z+1DZaUwzDWEMymKKNBz7bXu7yc+vmXP1YPFVu/kjRU5nB4Vx0t3GLDbDYDbQPnQ24Hcsmk1sf5Gzu5/4G4uGzaIdUTD+AJR9OWFF8TGu7k3xfr18M190axcpiEsDG59IoyPDBvZUVLL+IRg/vxTGCQXN0t0c+65YtNzxRViA32kpE85FPR6IUiMGiVCKi+/XBgxGtDpxPf27bfiuO8wBzct2MK6QhH9sqGgmit+2M6to+N4bHEmDw5IZcm74Zz+qxZ/f3j2WbjwQiPHJRspLYUxJ3uOg7IyWLJEzKFVVSJn3LPPup//8EMRanfVVeL+242jciUdwNKlopJ8Aw2ieny8MMpVVwvB8frrxf0zq8LeKCyCCGO+L7UfF59tYOl/Yq/0+usiZ7wvASUlRRju/vhDeBlOMmt46ForINYcWi2ceUstrr3+H1V1TrYW1foUF2trhUgZECA+t7xc7J+a8tJL8Mp7ddw5pYQqp4O39mTx6tcuVlw1nJ7BZvT6tlmvSNykl9oahcUGcqvq2FFS22pxsa5OGMX8/Paf/3Le9mKuGBrNbfPTcaruzfi0xDBilvXlvju1JCUJ0TkmRkQIJiaKe3Br8oM3T2vSwNatojBLQ07P8HB4+GHPcxYtEmL9Qw8JPWHrVpH2rEcPca85FNLShKHp66+FxvDYY+J+1dZRHJL25UCWrx8CHwAN+vV24CvgoMVFAFVV5wHzmrXNavL3lYBXevq9FaZ9BPUenmRV2Pl+r7CoAKemhjEgwkJ+lQNVVfcb1ukrJ2PvEDOZO7SNwmIDGzaI0JewMHdbg9ehwyE2pUlGf6+8IdFWw36r7oJnGFMDNTXifWfOdLepqtjEzpolLDB//iksLo8+KhITSzqOc/mWOWxhJtO4hhGd3Z02o6AQzNb9ey5ajBp0RhelpdpW5QyRdE1CzDqCTTpK924UhkZZmd47lGCzDlu9E5Nu3zlysivqeGV5Fv87xsDVpih+/MJAbLyLex92MmTIIa6aAF2liaduMDZW6svIULj3XuGp9swzYuH5119CbMrZmyBk5kyxGH3qKU8LbkuFrvLzRf60p54SG/WHHhKW7a1bPTf8sLeaejNcLu/zHA6x4bFYWpdTR9IyGzd65klSVbHJHTNGePL7otzmILPcjkWvoWeweb/rAV/oNAo9g01oXRocDu/n1b02mM825B+UuOhrfDV4wW3fLjYJDYXeKirg1ps1rFwmrqOoCO671o9Hv0gm2qqyfr0QWqdOFR4Tn30mNi2PPCLamuZDlbSO8HAhjpx2mvAIDQkR6RASE4XXyf/+5z639wgbXxV6Fs+qdjgJMxoYEu7P5u9D+fNXMZdWVor5q08fkR/T6fQsNtHArl3w3nsirUNTYRHEmm/whFoUk5O4AAPQeheYykoRdRMaKuYniaRpsYkG3nlHeBg2eO0+9ZTYA916K4T56TFoFeqcYq04PSGC714MYtlShdPOcTBgnJ1VuzSkbjUzaJDvubdvX3fKkqws4cH9xhsQH69y2W21FFpsXNY7nm9351Bhd+Jv9F6LbNkihJUffhAC0RtvCCOjr99TZpbKW3k7qXa4jedpJbX0DJbVMdoDi16LRsHL6ca/lXkX09LEPfDTT4WwOHOmSGfTkrffUXEBFNfWNwqLSUEmZvSPwKBV6BFkY8kSC0lJYpwdd9z+0+G0lh07PMfbhg1C8G7Onj2eVcnnzBGi/siRB//ZLpe4J82eLY5raoR3ZP/+7pziksODA/HRCVNVdTbgAuF1COzfJUjSKix6LeEWsXl9fHISuZV1PL44k9Nnb+SNFdlU2ev3+fohUf5cNcyd8MCk0/DeKX1w1Hl/xUaj7zxFaWnCFXrQIHjrYSuzBozkwtQoxsUGoVXgueOSCTbvf4M9YID3hHnBBbB9u7fIU14uNlubNol8X999J6zrko5jC4X8STozmc59/EVdN/pZlxQp+Pm3nG+vAT+9Fp2lnoKCDuiUpN3oEWTm3VP6oNMoXDAwkoGRFp7+ZzcTPlzLtXO3e+SN9YWfXkOk1cDr29P5PXE1J72YTsz/tmLuXdEmHq3VRfpGYbGBigpPL7XVq4VFedAgES4YEgLvv4/X61ril19EgazqarHxvv12IVj6ClFt8JJrymmneVq+09JEKHVqqvCW/O03ISBIDo6WQlKbF/VpYEthNSd/sYHBs1YyeNYq3lyZs9/1gC90Gg03HxVHplLGjAs9Xx8aCnWhQkzq1yR33oHgy7MgPFwIP7Nni5QoDZuUnBz45x/PTbqqgrHcjxEx/mzZIsbYzz+LcPHx40Uo19ixwgtIcvA4HGJz+/DDIrxt/nzhpdx0/DmqtZh13hNeqN7IuNAwfv/Jex24efPec0JV7rzTc62n1wtPrCuv9K5K3aefi8tfyGfSV6sY8tZKJn20ttW5zNasEXlme/cWgrOvwjCSwxOny4VL3b9h2Be+QpiTkrzvoe+8I/YgKaFmXpvWG4NGYXJ8CCfHRbH8Xy2Pz6omd9IGHi9eyWvOlfxWsv+9GIj79wMPiN/XV19B+jojz58fw5/3JHN38HD+NziWgc0qR1dWCq/K778Xc+HOncLAkp8v5s6m6PUQkVrrISwCBJra2N1d0khKmJk7xngOrKuHRZPailzFDocwqHz0kbiv5eSI3Le+8ng7nGK/cmLvUAL3CtDjEgI5u384M5dn8djiTD4o3o5fYtvnDsvL816HfP65pxcwCGN3TY2Y00eMEGtUrVYYqxsKGx0MxcUihVpzfFW3lnRtDmS7VK0oSih7qzkrinI0cGBZaSUtEhNgZOa03oyJD2DJnnKWZQt3wxqHixt+2cmq3Kp9vj7UT8+D4xNZdMlgfjx3AKuvHs6QkED+/FOEJjXlrrugVy/PNodDuFX37StCVs4+W6Eq28yumX2wfDuIOaPGMTEhmNbQrx+88orwMBg+XGx009NBa3Z6iY59+ggLyIYNwivH7+D2NZJD4FPWczzJ9CGUeAL4lZ37f9FhQlmJgjVg/wtUs06DYpbiYnfglD6hrL56OOMSAvh4XT5OVUUFPlqXz3dbfbjqNSHa38hr03qhALvKbLy7fTfVmjqGRHmHVKuqSmF1HbWO1ittYaEarzlQo/EMJTnjDBH23KuXWOxddpnwDGrN3OhywQcfeLfPni08II49Vsy5jz4qPMKGDxebmRtuEGLm44+LMNb0dOHNdMYZMHeu2ODU1grPihNPlJv4QyE52dv41qeP54bY6RT/xvP/cnL/nxn8vVusB6odTq6ft4PV+1kPtIReq6DTq0y9uJo77lAZPBhmXFTPXW+V8OqOnYSYdfRzRbJo0YFvEoYMEeOrKTffLFKfgBhnDd64FqvLZ/L3wT2NRPsbCW6y1CgpEe8xYgQ895wQGJ980lukkuybujoRHXLqqeJ7+u47EXYWECDC2V56yX2f/PptM3cO8XSjPSk+gt7+Vs4bHkrfvt731OhoWJ1bybVzt5Meu5s33nYyfLjwOHn2WeGFBWK+axoif+Gt1TywbktjWOqGghqu/HEb63c4vDxhQRg7Xn5ZiIqzZwuvlro6YVQ588zWG2EkXZMym4OvNxcw5eN1nP/tZpbsLj9gkXHiRCEmNmA0intoc4/GhlxzOo2Gs3pF8umAcTg/HsjXzwTz8edOlpkyWJbn3ovduWj/e7GmGI3wzz9g1Gi5807hWXvvtX6cFpBMuMXAypXCc3LGDCHyN59zHQ5xzz3zTOHpNmIEHH88zJypUmP2FJeuHxlzyEW5JC1j0mm5Y0wCv14wiNem9+bn8wby2OQe+6z8XWmvp7TWQW6uiEZpiqq6DTIgUps99Xcm4z5Yw9v/FLBjtZEBagQTE4OY1iuEZ5fsocYhhMd/ssqZnZuJvb5trbxz5sA334g1XmO/0kWo9ZtvijXkeecJAzOIVC6hoSJX9Jtvinn+xBPF875qKewPf3/fVa5bE+Yt6VociJnjVuBHIFlRlCVAOHBWu/Sqm+J0qWwqrCatpJZwPz0DIi0Emdy7ylP7hBIfYGTSR947t+0lNUzoEeTzfQuq6pi9uYCXlmYR6afnwYlJJIeY0agKFRVCtHvsMfFjN5vFQrK59X/zZhGevGWL8BKYPr2hYqoCKMz/TcOiRcJzZX+EhAhLclWVsJC/8gqMGlfPck0WT74Tzov3WMjJEbmSLr9ciJ1nn+2ZO6m6WmxE/P1FyLSk/fiDXcxAJFgbRyI/sJVT6NPJvWobqso1+LdGXNRrUY31cmPSDdBpNAyMtPLUP97VIL7YWMD/RsZi0Lrtak6XSlppLbUOF0nBJk5OCePfK4ayraiGYLOe4dH+RPt7JjXcVVrLj9sKsdWrJAdY6GHwp0+sEbN537nyUlJEvqTrr3e33XaHys8/K+j1cP2NLlL7q5xyopbyvaa71auFR0NrxEWNRizOmuep69dPzKOffAK//irm3Yb92rXXihAxvV5sfrZvhylT3CHTc+aI/FQJCSKc0ukU94v9JQ2X+CY1VYS9XXGFuMcNGSLCRf39RVh8aCgsWCDEk/97yMFcvF0dd5TUML6F9UBLbCqoZvJH66iscxKXEsbHHyuMHw/HnFCHEu7gDjUVV46Fa0+3UFMjvF2bFkvZUVzDjpJaDBqFgZEWIq2ev4moKOGZsXq1GCelpUIULC52P+/nBxsLqnhzXTZ3PBXPHVf4NXrBXnSJi779XYCGocNUXvm0lqJyJ5uWmEiI0PPKK+4CL2vXwsqVYjzLUNjWsXy5CJ1r+N3/8w/M/lrlpFNdbM62EdLXycc/GCjN1ZEYraHGFsXjPa3UmmsxOgz0NFkZ1stIpcPBo085Oe1EXWP43JQpkNTfzsSP1lJpdxLmp2dYZDnTTxrAX39ouO02d8Veu12M/8svF+OdkFrULM++rs6r4utf6jiqp56TTnK3FxUJL5olS8TxTz+Ja5o2TYiLaWniERIi5i9//8OnmrhE8NP2Yi6esxWNIsKVf9xWzKJLhzAyNqDV75GSIvIfrl4t9j0DB4q5JyFBFIqIi4MLrq9l2ilO7BgxouefvzXMOMPtTT3oGAfztcVe772tSOzFqqqEx3l4uLh35lXaya2qI9SsJyHIRF6Rk4cfVHjrTbHWaNiHvfYarFquISJUFC1q+A19/bVw7FizRngxgtg/9egh7glnnCHe46uv4OabFZ57NYrfzrOQXW0jNsDI8Gj/fQpdkkMn1E/PCb1C2F+Err3eyR/ppTy0MINyu5Pnx/WhR49Atm719NYPCRH/L7c5eGVZFuF+Bq7oG8+id0L5/CMtoOWqW/tiTvH2evhpZxEF1T2JD9y3K39+vhifDZ/VElVVIp3Otm1CIHz0UTFX9+qlMmxcHUmRRi6+WBhGa2uFoe/HH4WWMHmy8Epv4I8/xGPy5AMrxmIyCaP233+760QcdZQwKEoOL1o9E6mqulpRlAlAH4TitE1VVR+ZeyQt8cvOEk7/aiP1e5M2/G9EDDeMimVZVgUbC6oYFhPA/LQS+ob7sTbP0zoW4WdgS2E1qqrSM8TskTvs6y2FbCqs4dIhUWhRqK6CX/6rxWGq4+r/BXDKdC3ffSfOTUwUHooFBWIj2pB3cc0aCA4W+bmKi8UNrCmqKtyjo6O9vR5BTEIlJeI9amrEJHHPPaA3uhh3biVrXfm8sTWHQGMW570Qx+kJ0YQYjGzeLDwahg1z5/LaskWE8s2bJ5Itv/iimGC2bRMTZa9eYkNmbpJaZONG+O8/0Y/Ro8X7yQpT+6eKOjZSwCNMBGAIkTzJP53bqTbC5QJblYaAfVQsbcBPr8FpcPjMQSc5PMivspNZZifQpKN3qJkRMf58sdFzUTYyxp/P1ueTEurHyFh/ahxOZq3M5eGFGdidLk5PDeOJsb2oSw9EyQjEPx4szRJv19W7+GFbIbtK7cxalYPDqfL08H78+VMY33+nYeRIkUtWpxNzaU2NmJdSU8VGNzkZvvpKpbjMhSbUxj/2bI4b7s99sQE8uXEbAetSKC/39JScNQsuuki8T3Lyvg0ul18u8vqUlYnPO+88EYIDwjPittvcAkPDe590kvD06N1beMw1/x28954ottCQK3BfVYAl+0ajEV79K1YIAS46WogsF1wgBL0HHxQCtJ8fRAZp6a+zsCbfcz2g02j4fEM+I2L8SQn1Vp3z8sQ9vbhYjLvERNi+UcstPfvwa2k2Dm09FRViQ7t1qx+9e/s1rhEaeOcdt7i4brudbTu0bN/ujznEQVm/Gv7IzyDcT89pqeEMj/FnQ34VC/eUUR3kZFKvCB682cSGDeImrCiiIILG384ZH2xiYIQfqSmlzF2sYC8xUlzmpC6kmmv+yuTJKT1ZsKuMezN2Yat3MXVqCGPMA3j5Zc9AmzlzhJDky9NB4klJifBIae4A9uKLEBgMTz/hh8mkMH06mGOqsSdVk+LnT95yDfasQJL6qOiDaliRa2N3uY2wAH8++FghOEBDVRWUliqkpytc2T+OkCANmWU2EoNMDBtaiX5EDScASo4/37xhZfRo4TG2fLkY/3lGA6z37Fe01UBxtpZrnxW/kwYv1y1b3MJiA7//Ltatv/ziLsZ2003Cq3HAAGE4GTNGtGdmivmtvl4815ADtL2orhZht6Gh3kW3JN6U2ep56u/dnNE3jKFR/mSW24jxN5BRVtsoLhYXi3vr/u5BPXt657D96y/YmlbPTlMh9/+zk2e+djI+IZD3TunD66+bEFtbwa4tWvpPtLAqzzNEX1NjYMECIRSuXSu8Ci/9Xx23rtzIYGswGh2cOiQQV0Ygb73p3qepKsz5QeW5D2owBznJLDJRX+/pwv7xx0LY+f57YajZtUtEFRx1lHDAGDdOCJJGI2g0OtavD8Zsh5gBEOoHa/MqWZVbhV6jMDLGn77h0vLSGSzLquSkLzYCEOGn582Nmbz4Wj9OPkHfaEwbPVpEjoAwVqPCAwt28UiPIXuFRcE7Lxp5aqx3Hs1BEVaW51Sys6SWhEAjhTX1RFn1JAaaycsTa725c0VqkaoqYSCeNs29b95VWss/u8vZUVLDmLhA4uqDmTFDQ36+ELU//VTsq2952M4Dn6/h2eN6MjY4jECrlrQ0ISwGB4s8yLW1Is1GVZVwJnI44K23hAfjqaeKa21tWqFRo4ThcPdu8VtPSNh/8RtJ1+NAqkVfD3ymquqmvcfBiqKcp6rqG+3Wu25ETqWNq37a1igsAsT6G5mfVsLGwhr6hvmxOreSzzcU8Nzxydz3567GfBqn9gklr8rOayuyGRnjT6y/gZJaB0Oj/Rke5U9mmY1VOZXMynHfBB8Y1Idt30bQ/6xCfvw1nPw9WoxG4cHyxx/CQqbTCevEqaeCRqNy5pkKN94oknK3tBC69VZxA2yaX2njRhGmNH++WOT9+KP4DIBBgxTOebiO2etFPFS5vZ5Z2zKYONCP4/tHMKJZ7ZDqarewCGLT9fnnoophQ5JXEDnILrtM/L1unbjhNnj66PXC80NaO/bPanLpRTCmvVNBEsGUUEMOlcRweJv8y8pAa3Dhb9p/ki6zXkO93i49Fw9TVuVUMuPrTaSX2TDpNLx0QjJHx/qTEmpm+94Kf7H+RmL8jVz+4zYUYNZJKSQGGnlrVQ79I/yY0T+CWpvKJ+/qeOoR93vfdZfKrXc7iQgSv5FdZTYseh2vLk8HYFCYlU1zg/j4LbF6stvFgmjpUiHqvPyyELr9/cXC6+abobJSITJSy/9uNZAZXEOpxc6fm3NZnlvBtEhvT1uDQRh8nn9eiIvffdeyqDJ0qDC0pKeL+fPZZ8V8/PzzYjNdWur9mp07RfqMxx7zXanV6XQba0aPFp8hOTRiYsQjN1fkuUwXw6mxavg118B7r+u58ble3FmyoXE9cFzPYH5PL+WzDfnEWA38eclgUsMsVNnr+Xt3ORt3Ofj1+XD++l3Me4oixuBLL5mIijIx7OggKkdnc+N9Np6934RG4zuHZkPRl0WLVe6718D27QonnABxcQZW/60nc6SNJXm5vLg0ix/OHcBZszdTvjcfmUbZxaKXRnFzhl+jwDl4MCzLreW+YxL5aF0eH63JZ2JRKC89osHl0mC1BvHImzoeWrALu1PFVu8ixKzjOKUn1VXeOxONRuZfbA02mwhJ9hWm5meGpx7XsnChOP7tN5g504JfSh3f7MqhLkRlTEoof35qJWRiGZf9lcaTfQdz47V+9OwpBLr3Gks6GrjzgTi+jlzHuqIqXpnaixlz11NVJwaX1aBl3neD6NNTqEIN4z+6xsKNo2KZuTwbAL1G4dZefXjicRNlZfDzhjL++jebq4bFoHEF4iubU4Noeu+9Yp6ctbck5OLFIox0xQoxXk48UQjSIDbGf/zRfh7YK1eK1BPLl4tIoPvuE+tvSctoFJXBURZMOi0PLHDnPTi2ZzBHhYSwJsvG92n55NfVMqNPFCf0CSI6aP954FVVZXVuJQvyyoiLMnLzd9san1u8u5w3V+ZgNCd5vOanb/S8f20y1xW7596pySHEBhg4dbzbu/DddyEiWsexhkF88JAePz8Y+EgtKVFKYxX2vn1h4nH1WCfkcsXKdOqcKr1DzDz9YX9uv9Da6NXrdMLd97q4+L5K/kwvQ+2p4eQrg3nudivffivSpWzcKPZvM2a4U0NYLPDDL/WctHgNtr1FOINNOhZeOoRBkd4pXSTtQ5mtHqNGYW1+JVOTgzm7fwQuVeWHrcV8Xr6TeRuiqdgciNGgMGyYuM8DlNmdjfNfXaWPQj9/+jN1VCi/ZghPWqtBy7kDIzjn6804VZW7x8bzxcZCTo6KJnBLHG+/qeWSG+zEHFNCvbWQ/sYA9tjD+CutnpVFZQSbxVr27j/Sqa13cWdqCl/drZCZIT5PUeDpp8U8Gtivgql1UfzzSRC3fqwlOloYQHv3FqkG7r1XiIogUrvcdZdIr2MyCZH85ZeFQag1hV6cTnFPz8kRnrpNIy9vukl6oR9OHIgP9VWqqr7ecKCqaqmiKFcBUlxsBWW1TvKq3Ak1egabsDtd3Peb+wY6IMLCZUOjeGxRJreMFjXiB0da+COtlGt/3gHAH+mlXDgokpOSw3htSS5XHuUi2mpgRY6ndW3m9jQu7RVM1ZZAVqSWctKgMPr3F8lSG6oCRkQIIc/hdPHBexr69RM/4ieeEI+//3Yv2kwm4dkya5a4oTVsLgsKhEVt61awWkWVtAZhEWD9eoXJ/4bQI9ZERrlY3Yb76Rnawg2vqMjbMj1smBAtm/J//+fOq/Ljj25hEcSm6OWXRX6SlSuFBbC6WoiRY8d6ejwe6awnnyTcCa40KAwggqVkcQZ9O7Fnh05hIWj9nPi1osK5XqOAqZ6cPBGWJzl8KLM5uHbuNtL3Fmux1bu47ucdfHhqH6YkBXPegEhiAwxsLarhkUUZgEgc/Py/ezgq1p+bRsVic7q4+490Hkztx7OPe94Wn31WYciUOoxxpfQLM7M2v5qFmWWNz58YEctz77k9EM49F+64QxhuHnzQ/T6VleL4ggvE3FtcDP/8oeeUkwbg36uMu5ZtBaAqpJzJk604nQorV4q566qrhOcRCO+bl14SQmVAC5FiqamQkSE8KI87TohXr78u5ug33hBCY8MmW6cTnpAOh0gcv2iR2Hg3FSFvv13kNvvuOzGvxsUd4JckaZGdO93CIoh71iWXiMV9djY8dFkQtz4wjJ6jaihT7fyzp5zPNggrSE5VHYszy0kNszA/vZQzZ2/i0bhhjcIiiHv400+7E8qbFQNH+8XyWthWvvgphYIsHVHhGn74wXPeu+YaUWht2lSlsRDLp58KL9fycgPHHhvLkrxSahwuFmd4pt92qfDIqh38dN4AjyiLYJOWspp6imocnB3ckwevdyffq6qC5+6wcuZLAQTFuPgtrYRLeiTyxHVWXn1ViJNNc32ef77vIjIST3bsEOunJ58U67gGkVFRhEfzjTe6z3W5wD+1grN/2NAoUszUZPPphQM4Z+4OTusRySfP+FNYKFI7PPKI52e98KSe+z9LoH9UEduLahqFRYCqOidfbMnnmL3iYl2dCM2eOVNP6uAezDk5nM2ZDuoLzMy82UJZGYwZ7+T7nGxWFJWxYFc5c04eyvDhZlatcn/m8ceLNeIffwgPl6bpdUDMn1u3Ck+YhjkPxPz22mvCQ7etReqMDOEl1FC86fPPRR/mz3dH6Ei8CTDquXRwFNM/3+DRvjSrgnV7bFz051oq946pX3YV82p9CjeOjUFVVVZkV/LphnwKquu4ZHAU4xMDsRjEvXx5dgUTPlwn9lzHJHp97svLsvjk8jjm/qBtFPoUBSo3BXGLZRgBSTUYI+z8vaeczE1GKitFOLRWK7y2XA4NTz+mITBQ7E1W/G5mfqnKY48JAennn6HflCpuXOMegDtKaplt2MH00wcy91vRz3vugUJzOSd9vq6xKrG/QcvdLw7lviusWK3w77/w+x8qu3a5vSyrq+HlFxWGTvPnv1wxF5fa6vlxW5EUFzuA/Ko6vtlSyF9pJVw2NBqHU+XMvuFoFLjnz12cnRCLwanl+t+38ekZfTkqznPhVlLhosGk7B9dh1brafDbuNTIWSl9GJ1UTWSvOrLsNTy6KKOxkvTM5TncOTaegl+ieOIZLVOOd1HbP5tbF4s8Ir9TQkJALmeEhPPyUpGDItxPz+1j4nl8cSbmwiAyM9zjSVXhvfdVHnqngqe2ZDA5cwhvPC/WuHl5wlt39mz48EO3sAiifkJtrZjjpk8XxpzXXxdryn2Ji5s3i7XFwoVirXn77e6waFUVdRsmTXJ7oEu6PgciLmoURVFUVW0o6KIFWiiiLmlOtL+BkTH+jSLgWf3Cef6/PR7nbCyo5qx+4RTXOnh8cSYBRi0zp/XmrdW5Hud9saGAKfEhnGxIpqK6EovBe2VUbqvHEuhi1V9GThlZze7dYsP51lviZnfTTUIkVBRQVA0mk1hkxceLHFzvvQfPPCMWpiaTyLWYliYqoFmtwjsHRNtWsScmPl7k62rO4r+0fPhVX95dn0NKqJnTUsNIaZZ4eMMGsfH57z8hfhYXi89pKediRYWYyL7+WvRRqxXhf0lJ4pp27RKbtIkTaUwK/vnnIt+YLGnvZg259CDIoy2ZEFaR0y3ERcVUj0W//52DoigYrU6ycg6uOqGk48iusONSVeICjCiKQn6Vg5U+kqwXVDt4c2UOGgXuHpfAi/95JvbKr64jxE/PlqKaRu9Gl03r5cWlqrBpt50n/9vEV2f25fl/9zClpzuBjQu10UMhLMztTdAwRzYlKwvOONvJdddoSNspFnO//67j8quDufnsOF5euYdonYWSnio7tglRIDVVIT1diJK9eonF25o1YmE3frxIEaGqYoNhsbg9DH/6SRiLXC53vrVdu8Tv4r77xNz9/fdw6aXCe66BzEwRPvbRR0LMufRSEcYbEdH670jSeoKD3YYwEEJJVZW45yYnC/HxsZst3PGgge9CV5NWWuvx+vyqOnbn1fPk4kwuT04koMw7FC4vT9ynG3IhHbNSz40vxlGtK+W2NdtJcfjxwmep/PW1H3pFww3XK4wbJ8ZQrefH8fPPwlvBVefejNicLnQazzwkAy1BLPxLQ1mJ8JiNjnWy6g8/5rxnJSUqij4zxJhyOmHG5Xb8g1zM/dxErNbK9koRl2+uNVNSIu7xxx4rhKT0dOEBVlgIxbY6YuUydJ/U1oo54MUXRehaWppoO/UsJz98p+B0ur+3pCT4p7SgUVgEcLhUMmpE8YgRIcHETHJw3GkukmJNqKrnd+50Qk+zP717uPh8vXeOkfQSGwsWuFBCa6jOM3HyVB2qCsoPetRHgvjqK7jpYZH+ZvRYF1c9WImfrTd9t+hQFZXM9Drefx8++0yILKNGiXntqKPEenHPHvH/qma3A6tVCOXNWbNGzNNtXUhw+3bvqvCrV4v5V4qLvql1OMnf64DharYMGx8bxLqCqkZhsYFnlmVyzpBQ9pTbmfDR2sZx+9WmQr6d0Z8z+oYD8On6fOx7q/Aadd75kvqF+7Fcs4dnPosgbbE/dXYNqakipD4ry0JAgIWL387km62FnDkymVmzxDxUXy/G3pw5cP1ddsaN0HHNFdq9wojCjz+K3HQrVkDyGd6uw0vzyvng4jrCA7SccILCtjQnn/292+P6K+uc5FqKufJKK4mJwkC5e7f3NaRt09LvDDNN66xmltlYvVr0z2JxC/GStuXT9fmsyKnk7AERLM+pxKjTkFNUTU2lwpWu4bx9vQmbDS65PoItuyoZFauiNMnbFaIxYdZpqK138V1xBm98ZOXB24zk54vQ6fPOg7tuM+B0Gnjgs0Ie35Hp8fnVDifxfmZ+XmDkmWegoBBqV8Ty9PAgFtqzGBJtJa+qjnA/t5dvYY0Dp6pi0mmoq2l27x4I0dEKK4tLmRQWwTePet5jGwT4TM9uiL5UC0P4/PlCLJw8WUTZbNgg1gFGo1iPbN4s1q2xscJLcdteZ+KGebI52dmt/z4knc+BiIu/AbMVRZmFcPy4Fvi1XXrVDQk263n75D5c9sNW1uZVYdVrqXW4vM5z7r2raBS4Y0wCLUkdccF6ViUWkVflZHCkBZNO47EgPLF3KEHVCv1H1VGTayR6oAgLSUoSieLvvtsd9qTXi43qMceIxeHAgUJcfPBBmDPHxbx5GmbMEOdaLGKyu+EGYXm+4QZxs1q9WlhrTztNbFibcsopMKFXIBN6+U6SsmOHEPxyc8XGeNAg4aVQWyusy8XFYkJqulkfNUpsft9/X3hlPP64WHB++qkQGh96SNxQm1cbfOklMdk1rc56JLOWfC5liEdbL0JYjI+7xmFGURG4jPX4tUJcBDBbVfKz9n+epHMorXXw+YZ87l+QQZ3Txd1jE7hqWDTBZh3JwSbSSj0X771ChIuySxVhJBrFc9NyVr9wftlRwuSkIKrrxERRYqwiLi6UrCbjIDQU6gNruD85kZwqB+cPiiQ52My4+AD+2VPB93lZXHFjGK8/Z6Cmxu1N2LQiagM9e6oUFCiNwmIDH76r5d/r4ogcFMg95wU3Wm3/+Vt4Fg0dKvLn7N4tilg0MHasqNLXUKjl+OOF4FhUBEcfLRZwzz3ntoLPnCmEocpKseGeNUukkGi6mDOZxPMPPSTyWsncte1DTo64V77zjsgNdtllEBhn44OZBv78w+1FeM454nvOy9BxzvhwnmxWqGhEeBAPPwwjjg0i+/MEKodp0WjcGwAQIe9RUcI4qNOJzWlmupY1ulLqXSqbS6q5vWQVw6f7Myk+iPHjkxsL/DQnIAAMRpXderGJ1Soiv9dz/7qNpTN6xJD+WTzTvhODJywM7r1P4dZbGq5Lx89z4IWXXBRGFvBGWhrlNicXPBDD6EER/LtaLE6SIw0EBrqroDscYp1iMsGAIU7+WluLyeIiJcrHj00CiLE1dKgQ0u6+W4Qix8fDv/Ychh4bwqcfu7/kkBDoFxDAQ/FDUZ1QHVjJa9vTMRs0jI0PRB9cx9ehK7mwRwLpm+IIC/MU0WJjISFMz+IMJ2MtUczDsyDGWL9oTjhBwyOPWuiRpHLiWQ6OPqOSGoMNvzoTG9KsrF1roLQUFhbnE1YRyRmnaxrXqroXzMybJ/o5fbrwVNy6VaxVIyOFx8vMmSqXXeaetCZNEmvKqirxW2vKFVeIOXXJEpFG5eijhYdN82ruB4rVh7OYTtf2ImZ3YUN+FQ/8tYtxiYEszarkqNgAlmVXND6v0fgulKaioqrw164yj/0PwBN/Z3J8z2CsRh351e7yAFuLahpTSwCYdBr+NyKWGoeTZzZt5IGJg3j2Nn/ef9/9XuMnqCQGmBgd549Fq+PSm937Ea0WfvjJxZq6Mqpqgqio8FxvvvKKuO9uqjEyIMTKuRFJ1BYYiYkCf4uCpsLI+PEK77wDJ50BpXXepQx6BVj4aZcIwQ4Kghde8P63OPciJ6/ne/7ejosPZ9RI9/3/scdERJoUGNuOgqo6thbVUFVXz3nfbmlsf3N6b5TyYK69y31v+vYjI+MnqmwqqGJApDvGN0rnxxMDB/BS2jYm9gxCDSritm9c9Amw8u8Xgdx1lwadbu89vcgPi17bGKoPMCExiIxSG5ddrnLD9QpOpwYwYjIZef0bA1ctWUlCoImJiUEkB5sbDZT2ehVFAWtCLVqtmagokfpsyRKorlZJqomgJKSEyCgVrVahqEjsqSMjxT39kkvEnNuU4cPFfWbZMnH833/i/zExQmwcNkyM3zlzRHtqqoj2afCC371biJDNHZV8peuRdF0ORFy8C7gGuA6R9XY+8G57dKq7MiTKyp8XDSar0k6gUcu24ho+2+AuOBBg1DImPoB3Tk7BatBSUO0gq9xGQoCR3RVuZe2yIZG8uTKb77aKG0mUxcBXZ/XjicWZbCuu5bTUME5KCWFlWgHHpkZiqzFREVTGz9udXHFNEK++qG1crIFYsP/8s1h8btkiPClmve3CEFZHThnMnOmeHKurxQ3qmmuE1WLFCiHsff+9sEwUFXkKjOPHi/ClfbFsmdtz5pZbhMWjIWdOYKAI0X76abGJ3r5d5Fe8/XZhOfT3F32urRW5SEDcSN97T4iazfGVW+pIRUVlG0X0wFP0TSGUV1jWSb1qOwoLwWlwtMpzEcDP3yULunRhFmeWc8MvOxuPH1yYQZTVwFXDY3j3lD6c9PnGxgXXHWPiCTbr+ei0VD5Zn8+mgmo+Pb0vT/69m5wqO2f0Dceo1ZBWWsvzxycTbtGzMreKD9IyefKVQD59NoBVy0TV2nuetFMcCgXVCnf+no7d6cKi1/LVWX33LiqdTJrooF9vLfPnw9BRcM01GmpqFB5/XIRH19UJL61bb1XYuc23WleSq8NaHNgoLDbwwQcit9no0WIubMqSJcK70GAQ82JkpAihLth7W+nZUxiOrrpKhEO+9JIwCD31lNhsP/648FCfO1fM31OmCCPNDz+IdBevvrrv4jGSg8PlElEEjz4qjteuhdOurQS73kNYBBF+9NBDMPBoBz9U2rlxVCxfbiwg0KTj1qPjWPadlS8/1vHFqT04bY6WLevFdzpzpvDkOu00cQ++9FK3R9fIkXD2uVbq1EBADBYVWJlTSbBeT3a2So8eCoMHi3HXsEEAuPNOleHj6/h9Wxmn9wnj2iFxbM6s45OT+vPyqt1U1Tk50T+RS75zX8f06fBKs4IsNhvU2FWe3LSNOqdQ/d/fmoV/oEqUVc8H0/uy+jMr994rBPLbbhP9Dw5WuesuBVXVAoFUPunkjlt8i/kSsQn89FPxbzhvHhx1tMqNt9dTEWwiJVDD4D9UPvlEwc9PjJW77w5nzWoxR0VFBfDIa2asdoVLBkZx9TzhXuJfHsCLLyo89piYn9atE5vKe+6BN2dqOPoyHYveD+K+GSl8lJmJqsIlPRL579MgHA6Y+arCAw/C8MtyuX+5OyfAVQNiWV0ZzJDoAOJ3RfL5pxqPteq0aWJt11BwUKMRa8XTThMiz19/wZgpdbz4eR2Ve0z07qFl3GgNkZFiLfrUU2L9WlcnImTGjBHG5ob1p6KIf6MR4+tYkVPJloJakoPMDIu0UrTbiNMpvMf3F47ft6/YMH/5pbvtvvvEOvWpp4SxfNIkmbsWoLimjt/SShiXGIheo6G23skJvYLpHWrm78xyRsX6c98xiZSVgnWN1iPU/u7RiURajbiaVypCOGo0tF46JIqvN4vF3ecbCjirXzgfn9iP2jqVPEctjyzKpN6lcv7ACAYkwDnnqrz0ooLLJcSQy++qZmulk/MGRFJeYcNqtTaKi04nvD1LISsrkvAIlaeeEt91g3HH5RLOF0FRVi7WDObOc92eDTfcIMbe3LliXDz9qJb/+yCONXlbPa4ltCqIP/8Uf0+bBn/+KYyEb74p9mTnnw9HHaUSZEnkqX92Y9RqeHRiD5Z9Feix56mpEXs9KS62HQadQmqYmXfXeEYY3rdgF08luSNcLv6fndCJBdy5NZuoLD2PTUpiUlIQOo2G5GQF878BPN5/AA9u3EhmuRhcOo3CjxcPInQYFFCNv2Kkj9XK7PgBPPLPLraWVnNqShi9Qs38uqOYiF8TPL5vmw3++cVAXC8jGWU2rvhxGw9P7MEDC3ahVUS/IywG6iMr+OBrCxW5Bm75P2XvnKvw229+/L3EyIhHxd5erxf7flUV3ob33y9S73z0kUg3dtddYrw3CIvHHiuMpq+95l5D+PmJ+9Gvv4r5cOtW4ckYFycie77+Wuz3n35atBsMQoxsmu6ipkZEL4aF+TY6SDqfA6kW7QLe3PuQHCQhfnpC9romPzYpiZ7BZj7bkE/fMAvTeoVw7jdbiLDoOTkllBeXZqHXKDw4oQclNQ7W5VcxtVcIPYJNzPh6c+N72pwuah1OegQZGRUXwOLMMr7bUsiTk5OI9NeytMDO2mUO5hbvISY4B8U+gKZV0UBYbRusqqWl8Pln4H9hBiNKPcutBQeL8Ly77xYVCC0WsflcuVIUL5gxQ1giHnhAWDhSUrwXYvn54hEeLjbcDYKOySTaGkRCELkUf/9d9G/GDGH9+P578XlvvAFXXikmqLlzPT8jM1N4OLz+uqcHxy23SK/FBvKowoAWfzyr94TjhwMnBVQTweFbbS4v34VTX4+plV+4JcBFVql00+qq/LCtyKvt3TW5XDIkkok9gll9zXC2FlaDouB0uTjuk3WoKoyJDyDG34BZpzDrpN4UVDuYt6OYvCo7H5+Wyi2/7WRsfCC3jY7nx21F/FCxi7vfSCRM8WPWpkwuWJ6Hw6USZTVw59h4HlucSbXDyUfr8jl/YAQOp8qd/21ld4WdCWcGs7skkYWLzNTWwHXXu/htPqxfp0FvULn7LoVLLxVzZdOQkrPPFpbb88/XcM45opqqogjjzb//ijlZUTznsgbS0oToOHas2DQXuO1VpKcLo9GLL4qNTFWV54Z261Yx386dC3feKebl558Xz339NVx8scixJ2lbsrLc/84Ak6eo7NQWQ0Eg4KmSqSr0GVbHZnMOHy/NJz7AyJn9wqm0O9mSZeO3T3SYTFBWKIwoaWlCtJwxQ4jNEyeKfHtNQ0VXrIB//9ay5L9obr0CXty6o/G54wJjsdvFPBgTI/I0//2vi4x0hegohX//VXjsMSNTjhvCJVcoPHcTLP1PYewEJ3fd5ocSWU3BMs951OXyvQlwKS6vCsY/bCtmXEIgabvg9ZkaoqKEMbO6WoSPX3ih0viaoCAoK9Ly558iKiMlRW42fNGvH7z9togCCQpS0Gr1bN8eTtFO4RFiNoviJ/7+NAqLAHl5Ctt+DWbYRU5+KyhrbFcU4d18xx2iIOBJJwkj7/r1sHa1hlFXOendR2XW1TG88m4YCxaovP2UsdHLsaoKcrIV9gR4emm9szGbcclWVmSqPPqAhpgYz+sYMcIz/7bLJdaaZ54pxPobb4QvPzAw5Nh6vvJbyxiTP2dGpwAaQkPF5vecc4QglJgoNrlNU0KoKnz+tZNf1d28stztvn5Bn2hyPkxmwW86jjtOCJrNKxGD8GZLTxei5/PPC9EnI0PM51ar8IxsiKaxWEQusoaKsUcqK3IqeXhhZqNh8JQ+oWwqqGFTYTXjEgIZGWNlcJQVNRJ+PGMwX27JI7PSxkUDopieKvKFT04KxqDNaDRSANwzLhF/o5gMxicE8slJ/XlheSYuVAbrwnn3nhB0qpYLb6viylB/qv1q+GBdJmPiAzjtVH+sFjG+UiZUcslid65HP72GR2YO4Y7z3XnzKiuFOP/brwqVFUIA/Pln8dwll4jf3ksv6bnqKs9rf+01ka5p7VphyJs+Hf55P5QX/9eHd7fsIcCg4/ZRiRQtcxtmnE7xO1uwQNyfTSaRvmLoUB033RTHjH7haDUK/loDby70/vcuKzu070viSZBJT7DJ+6ZTUltPQLj4scfEQNCEfF7aLAwpmeU2pn62ngUXDya7so5fd5YwY3Q4WwptjcIiQKTFwMqCch7cnNHYdlRkIDfa+xHx+yCOSnVy/WAtNouN8dHBPPq5Zx969IDRw3T0NvalNKaaDzIzUFWViYmBPDC+B0fH+TM1OZibf03jzT0ruaBsFA6He7/05ZfwwnPaRmehceNEEZcLLhDHa9aICIyb93ryajTiGIQxs7RURCY2NU7+P3tnHR5Xlf7xzxmNTNylsSaV1F2pAEWKFIfiDruLOyy72A93VlhYfBeHsnhLkUILtFB3TdM00kjjnpm5vz9OJslkJtokM0nO53nmSebMvXfeSc6ce873vFJdLcfGe++VIjzIOejQoXJeVFsr5zC//iqfh4XJ4jGO+/pvv8kxf8MGmTP4ppvkfV/hXXS6coEQYpYQYrkQYrcQIkMIsV8IkdHxmYq2SA7x5YF5Sfx4yXjOGxXB7cv3UVZnJSnYh/PHRPL4sSmMi7aw4VAFc5KCmJsYzKOrsliX65xQJjHIzJd7ivlgexF//y2HzflVVNbbiKgI5fSFBv54loWHzo9gwu5RNFTpOPqsGhdb5s6VX1YHG9br8LEZiYl07iIXXywXKsXF8nlVlVyUnnKKdHO++mq5aB45UoYutxYWV62SE6xx46T3xLp1ctBITJQDsGNgasnWrfJ69fVyt+7wYbkoLiyUE7QdO2TesdYEBUk37AsukGFhS5fK3WKFZA/FDGnltQggEKQQwlYK3JzVfziYp2Hys6PrZFynJQBqqoSTp4TCe0gLda3ENCrCH4NOjlFJQT58n1nKZ7sOc/OyDKx2DZumsTKrjCd/yWZzQTX7Dtdg1yDS38jpIyO46JOdZJTU8p/N+by16RDT4wM5d3Qkm0pLeWDTDt7fl0dDYyz1ocp66mz2pgJBeZX1CKueiu1BpPwylrNKJrJQn8qdV/uxa6cgKwvuvlPHuvVybH3qSUF5udwUufxyOVbOm6fxxDM2AgPlbm9UlFycPvigFBsdxalsNrkAmTPH+fPHxzcvFnx9nQteONi3TwqUxx8vx8KdO6XnDMgx+Lff5AL79tvlmNlSiz940PV6iiPHYHD2tDvpwhqeWp3FYb9ykpKd1baZMzU+Ls0guHEBc7C8jn+tzeXtLfkEGs2ccIL0Lty1UzB6tDynokJ6eH36qcxV5Mhn1JLiYvh9jY6gfdGclhbOnCHBPDZ2NLaMIJJbFE6ts1TzYvVGdmdaufJKmYqkthZSU3Rcc43g2+WCykpY9qWeP1/rR06enXHpMjTbweefwzXXOH+ugABIHlPf9P1yMCTQ3FT8Tgg5J3j4YTnvWL++OQekxSKFpjfekOLW+PEybNBdVWSF/F5HR0sB7eWX5SbDnDnSe2/UKPm3dpdDa+0aHfWaHVNNc4ct8C9h+Eg7DQ0yv9bDD8sxZelSOPtcO0XfR5M8RHDfw1YqDhl5+2WzU/j0RRfB4RI7OyvKXN7PbNCxf5eejRvlBnFL6utdDic/X25622xyrBw6VHDLxf5cGJ7K6xvzOdgi6kcIKUKnpsq/hzuhZeKCGl5oISwCvL0rj7lnVgNys9vhOdmSrCy5gTN6tHw884wUQ6+/XnpHPvWUc5qeqir5/RzMFNc0cMfyfU4hnp/tOsy4aH92FlXz3tYCpsRJEU8ImD88kJdOG8bSi8ZywYRIQnzlzWpSbAA/XDKeS8ZFcWJqKJ+eN5oT05q9xixmA8a9ESSuGE/KjxN49MJIKosNTJksuGZRAA9fHsZ/rxvCQ6njGB9lob5e3n/fegu+yy9wyvVY3WBnrS2PkS1Skh93HKxeLX//5Rc4/Uw7xx2n8fDDUggcO06jwWqnqsr1b7B9O1x4oRShY2Phq4+NjKyL4ZfLJ/DtxeOYFhpGQ52uKT3JV1/J+3hengy5fvxx+b0dNUq+Hh1gJsLfhI+PFF5as2hR5/43GzZIL98bb5RCZuvcu4pmJsYGyKKQLZg5JJD4cANRUXDiWXV8lO08ptg1WHGgjCs+28Vbm/N5bm02hxucE3WfO9q1NsOa/DIOG6r44mMDf3vYTEGugQ/+ZuHkiUEce2yzDWlp0mvwhj/puOfCYF6+Oo67Y8YxNtzCl+ePZX5yCFs3GvjXkz6ErhrOPcljsIvm3ev0dOk52DLN2e7dcr7o4Ouv5ebIE0/Ivmi1ynnqggUy9cY33+ASiQNyPpLYoq7SaafJzdCzzpL38zvvlMLo7Nly7e8QFnfvltf+5hu5/n/xRdk/HZXbFd5DV8qivgo8A8wGpgCTG38qjgAhBPFBPpw/NopN105m87WT+ficURTXWHlkZRZTYgO4ckI0Kw+UcbC8jpJaK8E+Bie/wwCzgZwK50HpuCHhvPioDwcOyCPtdnjleTOzjfG8V7mbl1+1M3myXFz+5z8an3zi7BVz2502phliqCjS8c47zTeuqCjXRNUNDc2Tpv37Ydky+eVvTVaWdKXOzJTPc3LkTTk1Ve7uXXaZ3OFtzQknNFeaOv10ubv3wQdysjF0qJwk3nqrtM3B4sUyz85RR8nd6SVL5OJaVYpuZi/FxBHg9rVEgvu9uJibp+Fj6XwcvJ9Rj69FhUZ7K6cMDyPKvzkZVoBJz9npEfxrbS4rD5Syt6Sav/+WS7TFxIEyV4Uh1NfAwmFhnDEynAfnpxDqY3DKaVtY3cB/Nufjb9Rz0bhositcV7LldbamHJ4LUkLIXhPAFeeYefd1I1+848Pyz129ZN99W8eQIXLiBHKsvO8+uUi4+S4bP63U+Pe/Zb6yn36SXmUOli+Xu7cFBdLD6KijpLf28OFyZ/i666RYCXKjpvViHKTo8tFHzYVb7Ha5SE9NleNkS6/vVaucN2rUjnDvEBsrxTIHZVV2qhvsvLwnk6ufPMy5lzYwfDhcd6OdG++wEqwzY94fzj+TZvLI6NHEBZi4MC2WqrVhWCyy7+zaJfvYxRfLCflFF8kCHv/+t5yQtyYmRk78V3yr5/LgdKbuGktESTgXnWdwyjm38VAlv+dWkDLe+fsQECCFnZbs2S2Y5BPJ1Il6liyR7wEwabKd8UfV8cQbVSw628r1t1h58u1ytotCUkKaRSuzXsetM4egaRoB0fVcdY2zq67BoDXd588/X4b5OzY6GxpkqKu7wh2KZrZuleOGYxOtqEgKYTNn4iSYODj1LBvFpkpCK4JICpT/q5d2Z3LOgwX836M2Fi3S+MtfpFg+arSdshIdjz2s409XGfm/vxpIn1bDf5fUc8IJGunpMqWNTq9xyilwUlQcZn3zMiQ+0IxRLwgNk+PURx/J8Lhp0+Rm9fTpOInWIAW87c2BPNTWynPLMn0J8zNg0re9uThtmmtO2SFDbW5zndv0zXOJTz5xFTo//VSK23a7HOOfeUaGaYNsczevaD2XHmyU11rZWlDt0m4QgvNHR/LDJeOYFhfo5kxndEKQGOTDI8ek8LcTU6lusPPOlnx+PVhGfWMhl8RE+PQDA/9730B1tVyLPP648/fg+bsshGr+jBwpHSBCQ6GwwXUuUWSrZc5cjalT5Tj+5ZfNa6DQUIgb2kB8vODXXyFjv8ap15eSPr2eoUOde5aPj+zPjsIwW7bA5VdozJgBQT5G/E164uPl+P3yyzLSq7IS8vJtvPFuA6edY+Wy6+p58z2rW4/t44+XnpETJkgHkq+/lu/TEZs2yY2Hv/5VelQefbQsBKZwz9goC18sHkNKsBwfT0oL5cljU7jku028tKSSBSfZCPVxnRvW27SmzbVvM0qd5rcg1yTlda5rmMJy2bZwoexD770nNyu++UYKwpMma1x/g6xW7thsKyuDR26y4F/nx6qDZazd1sBRR8HDDwte+buRm84JZPZ0fdPG8znnyPlgS8rL5UaOg6IiWXDu//5Pfl/27JE2HXOM3BR0VI5uzUknSccfkHOWs86SHpF//atco2/Z4uxR7mDXLlexculS95tiCs/SlQCSMk3Tvu5pA4QQJwDPA3rgFU3THmv1umh8fSFQDVyqadr6zpzbn9AJQVpYc7Znq12jrM7Ki2tz2VpQhb9Jz7ykYCwmPf/ZfIjHF6Tw8ro88ivrmRIbwLgof77fX9p0/rjAEJ75yVU7riky4ResY8mnGhdfLHMW/Pqr4KijpFdAfb10Vf59tZ5335X2OPLaFBbK3YigIDlQNdmuc/Z20evlomb+/ObiBiDFxdYTrLIy6YEwb55c5CYmyt22l16SbtannionlAaD3Mn4+GPpiQMyGfcnn8ifJ54oPX/27JHejCNHdpwXZ7Czm8PE4CbzOJBIEJs41McW9SyHCjT8Ijpf/dnXqMMcYKewUO8SjqXwPKMjLay8bDwbD1VSY7VTWmvl/CU7KK2Vs/o3TxtBkI+etbkVzEkM4qcDzl4xU+MCCW+cvOkFJAX7uBTCivI3MT8pmPggH66bEsdNy/Y6XSMt1BcB3DpjCNEGXx74a/PAV1Ehx8bWREfLxcHWrXIz5Ztv5Hh58SV2Vn5r4IvGxNajRjnvCjvYtEmOgR9+KCdfo0bJcXXLFmdPxpIS6ZV01VXSu8xgkJs227fLxcXHH8ud3zvukBPAzZulGNMyR09cnBxDzWbpPTl5cif+MYpucd550vP0q69gZKwPCwJCWL6/hHu2bmXUZH8mLwgiuXYIlQ2C9S8k8MpvUtT28Qnng49D2LVTUFCtY9xk+b+PH6Kx+DxBTIzsI5mZGpmZgmOPlV4I5eVSAPH3lxN5x2Jx4UI4ZaGOUxa6t9Nqlwug3ZY8LrwqgXdeNWG3Q2ycRuv0KjodBAXqMBohZXoF5zxfTIAw83t5Ead/X8y7Z6YzbW49I8P9+GBHBQ99fYAbpsUR5GPAZteYHh/IiamhnDosDCEEOUNh2hTZd2fOhDPPlJ/n/PPl3CXLubYNmibbBnuoaXu0/puB3BQeMUJ6X112mSwQZbXC2WdrnHISvLmnnCGhVv4vbgz5tir8wqwU1dbydv46ks42YwqM5MwTg3j777683qIQRkEBrP/Rl8uutTJvqmD3bvjlF42DBwWnLxKEhkby3Cs+3LJhE1PiAjhvVCS55fVMHWNl+nQDq1fL8e+YY6QgOmaMFByvvVZee/Jk+T26887m93R4BJv9bDw0M5khQW0n45w8WQouf/6znJvecAOMifNxKnoAUvQs2dc8Pz/uOOeiL1arFHFa89ln0svMZJIejK0X63PmyE32uLg2TRzQRPibOCE1hK/3lji1z4gP5O6jEts4y5nCqnre2pTP/608wJ+mxPLBtkL2FMv/nQA+XzyGk4aFMW6cFH9vvFEKMW7SNLJvn6CgQHqefvih/P8ZoyP5MtN54XJybAyhMwQXXgAvvKCxalXzOPjgw3Zu+6OZoxfYmHdKGcFVh1lSVEl8YgLPv2DmjtvlPTkuThbPeOYZuPVWjetv1MgoqeXsE00EBTkvzYcPl4+FCyG3yMr3+QXcuG4fc04NYnrkEF56OojXX3X9PMHBcgPx1FPluqyzeWlXrHCtuP7QQ3JN565Y0WBHJwTHpYay+sqJVNTbiPY3UVzbQLCPgQ31RTzxexYPzk/mjuX7mjYuYi1yALG28Nz/z+Z8Xj91OA/8dICSGitRfkZOTgvliz3FTcf4GnT4V/vx2mtyLXzNNXIcueQSOWf77Td46/Ma9h/UsFqd01odPgwrtlbz8P6t3DIpkRGjEtm8QeoEdjsseV/Hp8sa+PxDA4GBggkT5HjroLZWrsNbFlz5+mvZj6dPl+nKzGYZSbBmjYyeWLpUplH7xz/kHHnhQjnv9PWVY3pqqpyTrFghxXDHps28efI+FB/f/P7uCsz5+Kh8y95IV8TFH4QQTwJLgCY3OYfQ1x2EEHrgH8ACIBv4XQjxmaZpLfYhORFIa3xMQ+Z8nNbJc/stoyL9iQ8wk11Rx8qsMh4+OplVB0q5a3YCmqZRWmvl/+Yns6Wgkk92FDEmwo+7Zyfwn835MlHrECPTZtj5eaWzwBgebePx2SmYpuh56y05mQI5sbzrLjlpqq52Lhxgt8v8CFddJRekTz4pJ0p1dfKGdccd8kYMMnfYihXy5t26eEpYmJxktdzx1enkQnncOHnjOnBA5qG5/np57MGDcgKYnCy9JIKD5STQYJAeCtOmNXs1JiY6u1or2mcPh0knwu1ryQTzDlvdvtZfOFwk8Et2k6SuDfyMOox+VgoKVFJObyUtzI+0MD8+21XEJf9zTnp+x/IMHpiXxA1f7+WxY1Ootdr5LaeCUF8D/1iYxrgo55nJ8HA/Pjx7FJd9upOi6gbiA828c8ZI4hsXo+eMiqDOZueZX7MJ8tFz3ZQ4hob48MSCFAoqGxgXGugUKlRUJD21goObw+2MRjmBmj9fjofHHSfzxYwZA2+9peOSS+Su7yefyAlZRoacoLUkPV3mvfvDH6T3TliYnOR98omcpL34olwoOXJ6zZsnH1u2yMVRcbEUGxsapFeio+qeTicngo7xOCBAhmr/6U9yEpeaKsd3Re8QFCR38E86CcDAhKI0HluZxae7iwg0GJlijiJ3u5HYyXbW/9b8j6ithYfu1xMVJX/fulVO8CMiBG99WM+2DCsN2IlMqueX94J4+y157rx5UlzcvVsWezlwQIYdnXZa+3aOi7JgMel5NzObyRPKueedOEaFW5g/2o+NG6RHjYO77mr2dv1gWyHPb3dWsv63s4hXF40AYNHwMLYXVvHCmhx0Am6dGc+kmABEC1eyuDjpcXvppc3XGDZM9v0DB9ynUmm5GFG44u7vk5Ago0LmzpXjwG23yYXgqlWCo2boiYhI5ob7anhVv4cFwwL5fEcJKzLl5s2Oomq+poQH5yaxa2eSy7Xr6gRBPkbwkR5eDz/c/P/NyxNs+8GPu05OYFdRNTZNY3SkP+MTTLz6qhQ78/KkfQkJcnN7yBA55ysrk/3/pJPk2CqEHL++/x4SEjUmTtaI9XfjNtMCk0kuaGfMkPPZiAgAM68uGMVjvx5gdWEJM2KCOCM0mevvkS49Y8ZIr+CWGAwy560jNNaBY24Kcux/7TVZnMBslrkfn3xSbuo/8sjgzBXqb9LzxIKh5FftZH1eJb4GHU8dN5Qpce4jatyxPKOE25ZLjwOjXtckLIIsUnX78n3MHBJIiK+Ryy+XfbyszH2Yb1KSow/IsNJbb4Wy2mB8w4fx8MosbJrGxYmJLH8phMwdsvjZ9dcLTjtNIyNDIAS8/KIOTdOImVPMbZu2MT0+gJdPHk7+HjOXXSN4+mm5dsnPl//3+x+0cyDuIP/OzufWCUmMSI5s87PGxkJsrIGIAzGkEsrvv+rI3GbiuWfaF6jdiTLt4S61RGWlKojZERH+JiIa/9Z+Jj0fnjOKzYcq+eOUWN7YeIhHj00ht6KOIYFmjh8aylO/OIc8F1Q2cPCnEL44NYxqQx2Xfrad00dGEmA2sHRvMcPCfHnsmBRSjf5cdFGz99+GDVJ8vvxyGamweZWZaUc3oNc7/89CQqDWR/5zX9iQxV2XRrJ5Q3PnyMvRccwsHcfPlc9//FFu6v3yi3yeni7nhcuWyfDnmhopxDuKrRxzTPN7BQXJe8onn8hoxbvvltcaMcI5yhDkfPnWW521gRUrZDROy/vV6NGySExLL9oHH3Sf/1bhWbpyO3M4U7f0ZdCAo4/g/acCezVNywAQQrwHLAJaCoSLgLc0TdOA1UKIYCFEDJDUiXP7LQlBPnx9wRhe3ZBHVlkdKSFmFo9Oo6i6gZzyOi76386mqml+Rh1bi6p5a1M+pw4Pw2aH23/czYO3+rBvTyCHGh3Qrr/RzulH+5AYY2D/frnAGDZMev0VF8tJz+jR7nf0CgrkIqS8XAp+X38tB5aICJmXoapKTpa2bpWDwiuvOLtPg3yvF16Qu84OnnhCDjYOEhNlbppdu+RieNgwGQoAcvJ32WXy54QJ0nPhzTelwDh0aI/96QcN+ynlGNyPyokEs4siNDREK++U/kJpsSAhsGuei8LX5lQQQ+GdtMyB5KCouoE5iUE8c/xQdhZWc9PUOBJDfIkPNJPgxntFJwQnDwtj3VWTKKxuIDbARExAc3GjmAAzd8xK4MTUUB5dlcXd3+2nqsFGsI+B6gYbn5zjz223m/nzPc0bOM89Jyd36xu33E44Qe4kOyZ433wjHxMmyEnTuefKidutt8qFhsUiJ2pbtsjj58+Xni8339zsFVlcLD3VliyR4+9998mx0m6X4uRZZ8mxesQIuXAeOlQuyFszaZJ873Xr5MJ84kSacvYpjpzDh+U9MjbWNZTTHcPD/Xj51GHcmp1E4SEdPj7wH/1+1m91/eft2yc33SIimitOFxbCeYtMnH8VnHV5HcMSfDlhuJ4TFshQ+/BwWdnXbJZeBCEhckHiLmypJaMi/fnhknH88/dcthVWkZhmY94wA1EWWQ39tNPk4iE1VdrkCKvKKHVdoe4rqcFm19DrBPGBPjx7XCo3TI1HJyA5xKcpf2p7ZGXJOUtdnYyQuO8+KYTp9XLuoPpw+4weLf9Od9whx4ygIDmPSkqSD5Dtd90lxS+QAu5d1/iy9LtRjB7XwGsb812uW1pn5aI/1LL+quaxVq+XYo6D1hsnALu3GLj82iiOiZAh76mNETzp6TB8hIa1QWAyOYcvx8c3LzrXrIHftzSgAQey7ESOsDF3SAVnf7eTp4dPYnSSucN0OC0jbABmD7PwuH0E2cVWRK2BpHg9y5fLcdzd4hikAP7ee9ITEeR365RTml8PDm7+zjU0SC/0ujo5Z7722sG7QB4daWH5heM4UFaLxaQnNdTXaYOhPTRN47XGKr16Iaizum4m51XWU9NgJ8RX9qHUVNleVSX7t6PCbVCQHB8d/1vHOBXkY+T8EbH4ZYUTEQnrV5o4fg6EnS6LYN52G2zZIrjzTilWn346xMcLZowK4aSxM0iK0xHoYyR3k0Z2tozMuuwyOe7+6U8Qk2TlUKWZqyNGMDuyc26BiYmCxEQfFh4lx9tO/rk6zdy5uAhTd9zhPjJD0TYpIb6khPgyPzmEU4aFk1VWy+whQYyO9CfIx8CfZyUTiT8/FBaSbglkRHUMD/zJhyhfiJ5bzvaiGravPMCYSH8Wj4nkQGkdoX5G8rKahUUHu3bJ+WRkJJx5mp6RI/X8/Z92brhOR0OD7Kt/ea6aRxtjiK12DWF2nkffeKPzJsesWXLNnpkp5zAjRzav1x33CpD339JSOcdoOdb6+8t7tGNu+o9/OBd3cVBZ6T4vdOu0K5GRcoNmzRp5vfHj5YZ7Z+ZXir6lK9Wie6MURhzQUrrPplnEbO+YuE6e268ZHWXh4nHRvLohj3/8lkfVeDsnpYUhBE3CIsgEw5F+Jg5XN/DahuZQ1r3WEq64IpDx46Xn38iROvz85LdQp5M3trIy+WWNjJST9MBAeXNtfWM56igp9LWehIE8LjRU3qhzc2WOwxNOcD1Or5eu25MmSYEyLk5OdM1m5+OCg93nBamtlZMxcC4+U+2askXRCQ5STlQb1aCD8UGHIJ8qotsInfZ2KssEQcGdP97PqEczW5W42A9ID/fDqBNOxSAuHR9FmK+RQLOBbYXV6PWCERH+boXFliQE+5AQ3PYxAWY9X+0pbko67wjDNhkEV1yuIzxMpnFITZWehA0NcnI+apRcADt2fVvi8Bi02+Wkato06VH2wQcyZ97pp8sFg6bJ6wohQ++++06GoNjtUtR5/nnnBfvSpfKnn58UCzvCUXxA0XPU1cn/w223yU25P/xB9guHx2h7GPU64qP07Kgs4cW1OYT4GDn6pGqi/2Hm0KHmFeSJJ8r8nGecIe/ljnzJdXXw+t9NXHCaidQJQJgUIAMC5P3ZERI9d65zWGdHTI4N5JVTA2iw2TEbmr0oIyKkLe44b1Qk72xxHkyvmRSLvkXie5NBx/Bwv9antsu+fc3pVR55RH4eo1F6Tcya1bXPNRjx95fe1AsWSG/rxETXzdn8fDkvbM2e7XqOP1rPn6bEcvOyfU6vnTIsjPRJRiJ85YI0MlJ+B1p67y1eLL1eWnLySYIzF/hSWSkFt8WLod5Ux5d7DvPGxnwmxli4YkI046LbyA+dCJlaFfPe3IifUUe9TcO6VcPPqKOqRC6su5prW6+HsaP0jKXzrtujR8PPP0uvNJ1OPnfnJbpkifNzk0l5iIf6GQn163rEiBCCkRF+fLe/FJumEWDWoxOyWIaDP0yOJTrAdVDw95cRUscdJ78HSUlS4P0tp5yX1uayr6SGaybHclxKKEXZRi48Q14jIECuOWw2uVHo6yvH+Vtvldc9+2z52l0zDZjNBh56SFYzT0kWBARIT1xHvt2EBPjPMSZm66IZNcr9JmB79FY46JQpcq7x1FPN6QLcrekUnSPIx8DcpGCX9lCdDz8+mkB4ZByrd+v4zx55bywtheHm5kFhS0EVWwqq8Dfq8TfqsLWh3EyYIL2nHQXZrrhMx4TJVrZlNlBmribXWMI1Q2J5ZOUBxkZZOH6qD6sXyE3QW291LXhqMMj1entpRtaskcUA166Vc4EHH5Rz3/37pRbQmowM134eFSXTW7zaKrQ/Pd31fIf3usK76ZIjvhDiJGAU0DSkaZr24BG8v7v9ltauRm0d05lz5QWEuBq4GiChMzN8L2FXUTXH/mcTxTVyMbvqYBl/mZPIHTPjuXFaHM+vyWk61scg+OCM0dy7IoOimgbOToyl8tconn1aLm5bDw5DhsiFq2MCWVEhd/C+/VZOipYskbupeXkynOrvf3cvLIKcGM2aJRfIVmv7NzwfH+nd0J1cXsnJcvD6ukXmz+Dg/h8K7Yn+WU0DFdQRQtuz7mRC2E5hvxQXHTl1Avw7v6XlZ9RjMzU0efoqmvG2MXRctIWlF47ljuX72FdSyyXjorh5ejz/Xp/HAz/KndlVB8t4d0sBv14xgeHhXYwLakFSsC/PnjCUyz9t3lo9KS2UsZEWIi0yFO+ii6TA4S60bcECOeFqGYZ15pmy8j0054a96CJZhfTNN5uPe/fd5t83bmwOAQQ5Fp53nhQk/f3lgmX69G5/zH6NN/XPtWulOOyIAHj8cdk3Hnywcx4m/9tZxGUt+tqXe4p5c8lYrl0YTFkZnHGGRkKC4O23pYh8/vnOk/hJk5oLsIFcDC9aJBeHQnRffNMJ4SQsdsScxGBeXzSc+1ZkYrVr3DM7geOGhnZ8Yge09J4pKpLRDzqd9NrwZmHRm/qo2SwL3rWFn5+cbx0+7NzuCBk9Z1QkNQ12nl2dTbCPgceOTWFafCC+Rj0XXCDHN73eORc3SE/qe+6RUTIgN7hXr5YLUZBiT1Kyxi8+OTy6SobU/3ywjLe35PPrFRMZFuZeiB4d6c/pw8L5ZHdzhZQbRgwlodaXwEAZXbNrlxyfR4zo2Fu3u3SUmmfcOPl6ywIE993XuY2H3sab+mdXuHRcDG9tyqe8zsYbGw/x9IKhvLoxj9yKeq6dFMs1k2PRtTHwtv4ebDpUwbw3NlLT6AH544Ey/rkwjUVxcYSHy/GmZWVaTZObG19+2ZyaZPhwmerEwRVXSPHkpJPg88/l8337pNfVyy87i+/egsPjeOZMOd/wlpx2/bWPtkV4uIxMuemm5vuqEDJdyfBIC2enh/Ph9uYx7eF5KcT5+RKVJs97++3max1zjHQCajm2VdsauG/7Dpbta87ZOCrCn2eOG8qxKSGMijTxxRey77Z28ukMGRlyHlrSmDJ1yRI5ln/7rdQLHBvkLT+bOx3BaJT6Q3GxrE4dHCyLtancyf2XTouLQoh/AX7AfOAV4CzATfr5LpENtNSg44HcTh5j6sS5AGia9jLwMsDkyZM7HyfpYbYUVDYJiw6e/OUgl4+P5i9zkliYFkZ2eR1pob4UVNVTVtvAk0cNY/33Prxxl5nMTMHVVzsvNBzk58tkqS3RNNixQ1YGO/VUKQBWVMiwroBOpEAxGHo3b4zFIj11UlNlktn0dJmn7KKL5CDbXz1wPNE/D1BKNBZ07YQ8JxDIdgo5muS+MKlHKSwEk8WGxdj5xbCfUYfVVKfERTd42xiqE4Kjk0P47uJxVNXbibKYyC6v5YmfnXPYlNRa2ZRf1SQu5lXUsTa3goLqBoaH+TIxxoKfseNB69xREaSF+rL7cA2R/kYmxQQQaWlWMtrzjJk0SXqZffaZ9HAYOlSOvQ0NclPG4WE4Z44UEx98UG7SnH++PC4gQC5Mtm939iZ/9ll5/tq10nO8v2+yHAne1D/Xr3dNLfLyy1JIiY52bi+ubmBdXgVZZbUkBPkwPMyPh1c65ymss9nJtJXx6U9mDHVGln1uwG6X98GqKtlPTjhBeppMniw9cVq/D3Rv8XAkBPkYuHR8DCelhWHXNKIsPWPAiBHSU8IRsgvS483bK5t7Ux/tiKAgKYoff3xzFdyxY2UIGkBsgJm7j0rk0vHRmA2CUF9nVbctMSI6Wo5vl18unz/3nGshlMySWp7JzHZqK66xsiW/sk1xMczPyAsnDOPs1Bj2HKrHv86XGJuFY48V7NwpK586Uk0cfTS8/JqVPFHJrsM1RPoZmRwb4JQSo7dITpab419/Lcfzk0+WgkBPh7V2h/7UP1syKTaAXy6fwMb8KnQCJkRZuGR8NLVWO9EWU6dDrAHW5VU2CYsOHl6ZxZnXhPO3v5lZvLi5feFCKRYfOiQ3+L76Sq5JWhbAiI7W+NNDlewwVVG1TcfE0RZ++cWP4mLp2Rt65HstvYrR6LpB4En6ax9tj3PPlfOF556TfcJRSM9kMvLCiWmckRLD9gP1mKt9ef8eC7UnCq67Dh57TN7rV6yQOWMXLHDdNNlTXMOyfcWE+Bi4aXo8Nk2muRofHcCoxhD8I9mQ2727WVh0sGGDFB1Hj5aFsloK7Xfd5ZwGrSVpaXIdf/CgnE8r78T+TVekoJmapo0VQmzWNO0BIcTTyOIuR8LvQJoQIhnIAc4Dzm91zGfAdY05Fachq1bnCSEKO3Fuv8bdbpteyKTBYX5GjhsaSnZ5Led8uJ1fs2V9dgG8dfIoHo72ITxcLlzd7RT4+sqQjdY70y1vdt5YMTctTQ6olZVyALv5Ztn+3HOysIE33Qi9mcxGcbE9hhDENjofI/w6G3iBNTzAPE6ljTtIH1FQAHo/G/5dFBfrjPUueT4U3kuQjxFH1LMQwink0oG+cRwtqKznys928dXe5l3c104dzmUTYjp8Hz+jgdkJwcxOCO6WnQ5v7YYG6YEYFSV3ZydNak7EHhAgJ15jxkhPrGeflTlu16yReRHdjeNZWfJ8R15ahedx5xUVH+8qQNc02Hj85yyeaJHU/bYZ8UyKsbC3RVECgACzgUkpvpx1lgy5Dg6Wi9uGBtlXLrhAPryRCP+edSf085OLlOOPlwuRxEQ5z+lr8XSgM2+eHHu2bZMbuxMnum5gdEeQ0+ubw7D93GiFgQGg1wGt0urq3IztLYkPMbF4Shjl5fJ74fgePvdcs7AIsHMnfHmgkBt/aPYOXpgayuunjSCyh/uqO0aOlA9FzzEq0tIklhwJ7rqYQScQCE4/XeaL271b9q2JE6WIfs01MqfthRdKkdixbhIC7vx7OXft2ERdjhQs4wPMLL94LCNGdD+SQjGwiI6WeTgd0S8t53lahZnbFpmb8rgC/PqjHJunTZMpdC6+uO1rOzSEP89J5P4VmU3p1P7xu5HvLh7H2Kgj+864m5OaTDKSxsenOdQ6K0t6Z0+c2P5GvK+v928SKjpHV8RFx2y3WggRCxyGI3Np0jTNKoS4DlgG6IHXNE3bJoS4tvH1fwFfAQuBvUA1cFl75x6JPd7GuCh/Yiwm8iqbSyj9ZW6iUw6xDXmVTcIiyLjwO3/cw/qrg4iytD1RCg6GF17Q+GJVLXoDfPG2mYY6wbRpXrCF2gG//CITL7fk++/lQry3wl0GGlmUEdlGvkUHiQTxP9xk2XXDVgq4jeVcwyQu5zN2kkA4Xcul1ZMUFgI+ViymzodF+xoaxcXstrIuKLyZIYFm/jo3kTuWZzS1RfmbmBQbQEZJDZvzK52ERYCbl+1jfnIwScG+ZJfXUtNgZ0iQGZ8uhH92BaNRhkG1FQqVnS29IFpy+LDsz47cN+vWyXYhmnObKbyHadPkBHn3bvlcr5deBq2T4e86XM2TrapFPv1rNp8tHsP72wqb2vyNemYNCaKkROZ0A5mTyeHxNW9e+/aU1TaQV1FPsK+B6B7yHuxpquptHCirxawXpIQ4F3TIr6yjrNZGTICJALOcsoaGOlemVPQ8Op1cDEal1lHdYCMy0AzoKatt4GB5HRaTnqTgtleKNQ02ssrq8DHoSGwjp+1558l8tWWy8DQWC0xI8eGe0ETu/X5/03GxFhPjOiketVzw1tbKvHgtOfeaGv76i3O+yK/2FrP5UCXH9kDYvqJ3cfS/AJPBqV+5Gye6ypTYQILMBsrqmiPG7p+X2LRBMn26c+qRzExZsE3T4K23ZNvTT8u8opOn2fi89AB1tmZPyOyKOn7YX8qII0jT0hZ2TSOztBa7XSMx2AejXlW56E+4W7seqq7jstutrPvBzNLPDE0RES3FxvZIC/Xllulx/Hqw3KlOQ1F1A//bWcSIMD/2NxZeSwnpuM/YNY0DpbVoaCQG+ZKeLrjoIucoyAcekE5AIHWGo4+k5K+i39KVEfgLIUQw8CSwHqljvXKkBmia9hVSQGzZ9q8Wv2vAnzp77kBiaKgfyy8ay5IdRWwpqOKs9AiOTgpumngXVdeTUVLjcl5+ZQPVjcUHiqrq8THqsJic/9WHqxv4RZfHP8ikoU7jygdjmRkdykGdnhSCO2Xf4cMyj43dLvOMOPLx9DaTJslJ6BVXSG8fvV7uljhylyk6JosywtrJtwiyYvROito9xsFf+YHFjOY4hrKZfF5jA3cwqydM7RYFBWA3N+Bv6rxIZNTr0PvaKCjs+FiFZ5FFVTSCfZpdlYUQXDY+muRgHz7YVijzcI0I59OdRdz7w35umu6aXb+szkpFnY3/bj7ETUv3UVzTwNnpETx8TDKpoR2L4+Xlcgysq5MTKndVRLvCkCHOBTpA7mzHxEgPxSVLZBh0SYkMwepuTpqiIunBI0Tv5iAbjAwdKr0L162T/WPMGJlovTXldTaXJNEa0oP6q/PH8PaWAuIDTZydHsm4aAu1tXDssfDJJ87nOKqfumPjoUqu/WIXa3IqiA808+9ThsmIh4OC/ful4OnnJz0Ao6OlKHqk3v8VdVYa7HaXUNm22HO4mlu+2ccXuw/jZ9Tx8NHJXDY+BotJzzcZxVz9+W6yy+uYmxjE309MY/QRelsoOkdNg41PdhZxw9d7Ka5p4Mz0CO6YOYTXNx6iusHO5kMV3DsnieGEUZCvIzZWjoEHD0JWZQ0vbM3g452FBJoNPLkghcWjI7G0En0mTJCbxY5UAhMmwOjRguiqGNJCffloeyHjoiycPjKclNAuVmVBes8sWtS8IQPgH2ynrMTqcmxZnc2lTeFdbC2o5KrPd7E6u4IQHwP/WJjG6SPCWHGgjKt6YJwYFenPD5eM46MdhWSW1LJ4TCRHtROtEBYmcxO2rNz76KMyt2KN3c5tu2pdzskqc21rTWUlbNtup7JaI324npgOgiuKqut5aW0e/7fyAFa7xrWTY7hjZgJDOihmp/BO6q12PttdxB+/3ENhdQNHnRTCwyen8vqTfsw8toHUEXroRLGpALOBW2YM4ZwPt7u8tvtwDU//msVffjiAEHD91DhumzmE2Da80Qur6nlpXS6PrMzCpmncPD2eG6bF8+STZs47T26Mp6bKOam79GgOvUCnk3pBSIichzqqRA8fLnNQKgYGXREXn9A0rQ74WAjxBbKoS8ejpOKIaM/d/6cDZVTU29ELga1FkqcLx0Zi1gme+uUgf/8th9gAEw/NT2JuUjCGxprtPx4o5e7vmj18XtyYg2Wmjr+uLuS7i8eRHNL+RC4jQ+bN+fFH+XzaNLl74dix6E1mzYJ//xtuvFGKSELIMKnKSjmZrKtru/iMQpJFeYdh0WH4UoeVIqrb9UIsoppvyeAdzgTgaJJ5i00eFxcbDFb8TV1bKfsH2ikqlAsdb8iDpHCmos7KV3uKeeinTKx2uHdOAqcMCyOoUWQM9zNxVnokZ6VLd77l+4q5adleQIY3mfU6J0+C44eGUGu1cdEnO5vaPtheSLCPgb8vTGt3JzcnB+64o9mDLD0dPvzQfYW79qirk5WjAwJkuNwbb8hQq5oauXh5553m0OmEhCNP/r93rwyl+fVX+fyoo6QneOuKsYruk5zcXLGxLYaG+BIfaCa7vK6pLS7AzPAwP+ICzZyY5qz4+vhIr4AdO6QwrNPJJOiti6NZrTIXo81Uz8WfbGdLQTUA2eV1nPXBNr6YO4tzTtdTWCjHuGuukRP8lSulF9mFF3YvD1Od1ca3GaXct2I/JbVWbpsxhLPSI9oNi7ba7bywJpsvdsv8LNUNdm5eto/Rkf5E+Zs49d2tWBtLv/54oIyrvtjF0gvGNn3fFb3H+rxKLliyo+n5R9sL8dHr8DUKvttfwnnpERz6LYgL/qCjtlaGtL3yCnz4sYb/Gdl8tFfu0pXVWbn6i92khfoyLznE5X3S0+WYZjA052qM8DdxzqhIzhl15G7ZixfLyBaHAGSuNXN8SgjLMpqThZn1OkZ0sWq5om+pqLNyw9d7WZ0tK6qU1Fo5f8kOfrx0PKe4GSe+Pn8swb4djxOVldJBwRGuOSEmgAkxnUgyj7xnP/OMLGC0f7+8zk03yU2b2682svCuGHYWOXvJHpPi+h1oSW6exj1/1njzdTn3GJqq8d6HdiaPb1tMWnmgjHt/aPb0/ftvuaSF+nLDNJW4rj+y4VAl53y4vWnzcWVuCX7J+7jsn6H8c/NBCjf6c19gElPjO17oxgX6cNWkGH5pEeEIcGxKMFd+tltqBxo8uzqbsVH+XDrevZL9Q2Ypf/khs+n54z8fZGiIL1dNimXhwvZt2LsXLr20OfJiwQJZiO1Pf5KbSyCL2LzxhpqHDhS64jf9q+MXTdPqNE0ra9mm6Hu+2nOY1zbk8cSCFIaH+eFj0LF4dCT3zE7gzc353L58HwfKavk1u5zj/7uF9XmVTed+vvuwy/VWZJYyLMyPA53YWfvyy2ZhEWRunpaJjHsTHx95Qy9oTAeoaXK38KefZOLuGTNkuGCu2/I+CnCERbc/mRYIUghhawd5Fz9kG9OJx4JcRI4jigOUkU15u+f1JofyNaymenwNXQsNCfAX6PTS40jhfazMKuO8j7ezrbCaXYerueiTnXy/v7TN41e3mFD9a20ujy9IYXSkPya9YPHoSJ4/IZUNh6pczntnawH5VfUu7S35+WfnYgTbt8Pf/95cAKEj7HY5Zp1+uqzK+NJL0iPxggtkUuxVq6THzfz5nbteZ1mypFlYBCkqffZZz76HomPiAs18dt5ojk0JxqgTHJsSzOeLRxMX2Hbo8pgx8r77668yd+d99znnSd64UXr0T5sGS3+tbxIWHZw0JIqbr9fJtBHIe+e//iVDl6xWWfl8V+cyYbiwJqeCk9/dwrq8SjJKavnjV3v4ZGf7nu9F1Q1OIeAONh2qYk9xTZNg4GB1dgUHW4ixit5j5+Fql7aPdxQSaDaSXV6HuczCLdeaqG2cLtbUwFVXwWln2lia4zpn2JTvOs6WlMhK50cdJYsSLV8uN1p6ktRU6e3722/SQ/KmPxp4/sQ0LhgTiUkvGB9tYdmFY0iPUOKiN5NXWc8PmaUu7bsPV7sdJ7Ir2h8niotlKPPs2TJ/7bffdq/vObxvf/5Zjr833giPPCL7WvHKSK4dkUiASU9cgJn/nj6CGR0IQj+usjcJiwD79gqeeBzq6tquX/LFHtf13Jub8qltUN64/Y2NG+GnbdVNwqJeCASwbH8xVaKB7PI6vtpTzLH/2cSuItcx2h0L08J4YkEKIT4GIv2NvHTyMDbnVzo5JQF8uL3tsK2Pd7i+9samQ9hahtm0wUcfNQuLIMf5r76Sc2YHq1bBp592/FkU/YMOPReFENFAHOArhJhAczKyQPBgUrUBRm2DjXV5FWwpqCLM18iUuECS2shT42B0pD+vbjjEvd/v54yREZwyPIwAk45gXyPPr3FOymDTNNblVTA1Tt7YRruZSKWE+LK98f07Yvly6f4cHS1vrCUlcrC4667e9/g6fFgmUG7NmjXNi+Qbb5STh/vuUx5o7sihnIgOci4CJBHMFvKZR1Kbx3zEDubQ7E6lR8d4oviJA5zPmJ4wt8tk5dgx+tvdFvhoD3+TnroQO4cO6V1ypCk8z1ubXEt5/2ttLqeNCHdbFTI5pHkMPVRZzx3L93HHzCFMik3ihNRQfAx6truZoA0L8+2w0vjata5ty5ZJYbozVSDXr5dhrg0N8vm110J1tSxSNXy4fHQFu10u8P07+FovXeratnx5c3EsRd8xISaAT84dTXG1lfK6Bn7LrWRzQSWTYwIZFen+HxkZ6T7P5r590iOgqFHPW/+LnkCznvIW4Z5pvoF8sMH1e+IQiGw26ZE7phvD9nf7S1zanludzbmjIlw8DW026bEbYDIwNsqf71ptECQEmQnzdZ2ehvoaCDR1L5+aovNUV+P2758S4svB8sbOUmGmrs71vJIiHalBvhyuaWhqHxdlITHYzL/W5jjNb7/8UhYyAOntddppcnw66qie/TzBwc55bodb/Hj11OE8cnQKgT56p/QaCu8kyKwnIchMVplzpwv3c/3fhfoaCOhgnPjiC7jkkubnP/4oN/tmz+66bdHR8gGQl9fsePHG38ykfJnEFRfGcNREwRlj3W8c7Tlcze+5FTTY7Ozd7jq4r1yhJ+tQA2mJ7vvpmMZ7RYzFxKTwIDIqqpgcE4Cpi5vrCs+yf78sVHbds0ZiA0z8aUocVQ029ELgZ9Q59f2KehvbC6sYHu5Hfb2c//m0IRlE+pu4fWYC54+OQi8gOsDMvd9nuBw3La5t4XtspIUPWm0ETo4JQK/rIE+jXX7XWvPzz5CSIufBDpYtg1tuafdyin5CZ0ae44GngHjg6RaPW4B7es+0wcUXew4z+/WN/OHLPZzz0XbOfH8rB0pr+C2nnKd+yeIfv+ewOb/S6ZwTU8NIDfGhxmrn7S35vLYhj1OGhWPUCULdTAwDWuSfW5gWRlJw840uxMfApFgLl0+M7lR4yJVXyqpoOTlyt/rii2Vum84IednZcrD58EPnnYvOEh4OU6e6treuQvX00/K9FM5oaORS0WFBF5B5FzfRdvnkcur4jRymEOfUPopIVpB5pKZ2m9xDGpaAtnd628LPoMc30K4qRnsp7vLBxAea3QqLALOGBDEhujn836jTERNgJjnYt6loy5SYAI5KaFaSTXrBUwuG4m/S88vBMp74OYuX1uWyvdDZ88ZdUZYZ8xvYnlfNxx83F/Roi99/bxYWHTz5JN3qe1u2wHXXSQ/Ihx+Wk9S2OOUU17YTT+z6eyp6BovJwKGqeqa+soErPtvFpf/bxezXN/Dl7iL2FbftmbB7N3z8sdztz8yU4dJFLRwF3/mnL7eNdC69GBMpmDzZdVx0LEqMRlnZuju4E6OiLUZMrVILrF8v5wwzZ8JLf9fzf5NGYGkxN5mbGMT0+EDGRFm4eGxzElMB/GNhGgkdbLoquk9BAbz2mhT39q8KYE6LcdGoE1w5MYZPdzZ6SQXUuSxm/f2hMF/H+ZEpTVEDwT4GLhkXxenvb3Oa32YU1fLMM5A6zM5jb5dxyctZXPdGDnlWVw/H3sBs0JMQ7NMjwmJVlfQmfvddKVA5CtQoeo4oi5mXTx6OscWG8YVjIpkQ7TpOPHXcUKL82/6/VlTI9UFLNM21+E93CA2V91jHeiQjQ/Dcgz742JrnLhUVzZEPK7dXc/Sbm7hgyQ4u+3QX5ljXPPoz5loJbiea+sTUMO4bN5wzDk8i65lRjFs/gYuiU5qqBSv6Bzt2yDF4xw8BPDQ3mQd+zOSRlVk89NMBnl2dTVyAc4oRUWXi449h4UKNBQs0Xnmnnvc3FLnMVR3EBZqJbpxDLx4dRUJQc59MCjZzVnrbhRNOHxFOfIv5d5ivkcsnRHf4mXQ693PO2bPlhmhLTjqpw8sp+gkdbgFrmvYm8KYQ4kxN0z7uA5sGHfmV9dzw9V6ntvWHKvn5YDmX/G9nk8t/oFnPj5eOZ3y0zAcyPNyPby8ez+b8Sqx2jdGR/qSFSWHwsWNTWPTe1qbrxQWYmrwWAUZG+PP9xePZeKiSinobMRYjIT5G0iP9OqwYtXUrnH++nFCBdOO+7TYZktwR+/fLMMBNm+RzPz8ZjjBjRsfnOggKkuGHp54Khw7JweuOO2RenZZYLEeenH4gUkItenT40fEfJ4Vg3mZLm69/z35GEeFyrTFE8jxrjtjW7lJQAJYh3RAXjXoq/G3k56uO440sHh3JS+tyqW6QoRhmvY6rJrWd7Tw5xJfPF4/m99wKSmqsDAkykxriS1KLnLLxQT68d1Y6mw5VUl5nY2SEH2Mi/VmeUcIJ/93cFJ4S7mdkxSXjmzzKpk63c+Z5dj5+T95GR46yM3JhKV9sb+CFS/wwm+G772TFVXf4udnDCQjo+piVlSXFQUcFwc2bpYDzhz9IAXP0aFnh0lFwy+Ed5FhInXginHxy195T0XNomsaLv+dQY20OLyqttfLZ7sM8+ctB3jxtpEu13fXrZbXk0lL5fOhQ18VyXh4seSicH9+dRF5dNVH+JsZFW5jyD8Gpp0oRW6eT/eS775pz5o0Y0b3PcXRyCKG+BoprZF4AnYA/H5WEbwsP4N27pbduSaOT4623wp8yfFh/32S2Ha7E36hnbJQ/URYzGzfCyaahLFgQRb2hgbHxvoztZMVgRcdkZcHq1XKBN2GCnIO9+67MGQew/iofrrw5nRsuqcSqt5EUbOaBFZlNOWvfyt7P3/4dxg1XG6mpkePZK6/AU0/BwVeCufP+SYSPqGJMkonzljjvIq8/VMnmwkrCwny48K+l3L55M47I1lBfA+np4/tN4R6bTeasvf765ra774Y//7ljL3JF11gwNIR1V09iT3ENob4GxkZZCPU1cuesBEZF+lNeZ8PXqOOpXw4SbDZw+kj3YonBIAtKtOZIc7VrmlwfjR4t8yQ7UjhdfHHzZmR9PfzznzLKy2yGW/5T2hTCrQFbjXksviSZd9+U84rUNI0/3mQjop10GUP8/Nj2ji8ffSjFxM2bjfy4TEaVJSYe2WdS9B2OXMfZe/W8v7WQelvzGqagqoHDNdamaubjwwMo3R7AZZeAI6B01SoTj77iy83fb+Lbi8eRHtH2ADQq0p8fLx3PtoIqhBCMjvBvd+MuPdKfpeeNZ+3BSqprIS3An6hOBq+edZaMbPzpJ/n8hBNkKoIff5TeiiA9Nt2JkIr+SVfiS+KFEIFABfBvYCJwl6ZpPbDXM7ipsdoprG5waT9YVueUS6S8zsZXe4qbxEWAxGAfl4UHyEIFKy8dz88Hywj3MzI7IZhhYc4DQXKIb4eFW9yxeXOzsOjgH/+As8/uuNjAqlXNwiLIMJoHHpA5cVp7HrbHlCly4ZyRIUNe/P1lQZmWPPZYc6iCopkcyjvltQgwlFC2U4gNO3o3js5fsYdJuIo7yYSwn1JqaMC3EyJmT1NcJIgP6o64KCtGK89F72RKXCA/Xz6BVVllWO0acxKDnTwT3REX6ENcYPveTrEBZievyMp6K/evyHSq5ltU3cBPWaVN4mJguA2/0/Zz3ymh2K2CbEMp923N5qphifj7Sy+yv/9dFp/Su4mwnjpVemG39DZ75JHOhVS3ZPv2ZmHRwZIlMGoUPPSQfH7LLdKj0cdHFhp5/30p9AghKwSrFACew65pZJS65jl2LCY2HKpwusfbbLJfOYRFkAKRzSaLu7QM1190sp6ZyQEYDM1zhqlT5b1z/35577RYZP+JjJQF2TqIcmqT0ZEWfrp0AqsOllFRZ2V2QhCTY50LI2zb1iwsOnjpJbjpJl9OG9E8AVi7VlZhra42AaH4+MiCHKbY7tmmcKagQBbk++675rYPPoDHH3c+7pVnzUwdaeaqq+Tzf540jJ8PlpNZWsvUuACmxgnmTJVCdkyM7D9z5si+FRjoz7Bh/uTV1Lid31Y2WHnwURu3rj9Ay5R5xTVWvs8s7Tfi4u7dUiRvyaOPyiIfkyZ5xqaBik4IxkRZGNOqb2zKr+TOb53DPO9YnsGcxCDC/FwLSvn6SvH3p5+kIAhyY+/YY4/MvtWrYd685tyNFouM0po6tXl9s2uXfG+HHRWa83fj/QM5nHOcja8uT6aozE5aGowf2n6FrYwMmoRFB7m5cm6gxMX+g2MjOCxSY2+1a85Qm6Zx35xEKvJN6LKCWfqV68166du+pF3ox08HStsVFwGSgn1JCu7cwru2Fl550pfnnms+fvFiePHFjuePQ4fKNf7u3XJ+MWyYnHu8917zPDQtTbYpBgZdERcv1zTteSHE8UAkcBnwOqDExSMkLsDE5eOjeXl9XlObQSfwN7kOHAUdFBlwYDbomZ0YzOzE4J4yswl3njW+vrL4wKRJ7hfSDjIzXdu2b5diZVfERZDhWy1DuJYvl96LBw7I3FPTp3fteoOFHCqI6OSOkwUTofiym8OMxHkXWENjKXt5gHku55nQk0gQWylwCZnubTQNykt13bpR+Rl1aGYrh1xT+ym8hPHRAU4bLL1Bg01zuyAurWmu1hLia2RCgi+3fLPV6ZgkEdQkGG7cKBca7sa2kSOlYPLtt3Jxfvzx3Ruz3I3Hen3zognguedkfqmxY+Xz4GD3qSUUfY9ep+OaSbH8dMA5lnJqXACf7Spq8tJ1UFcnC/605ptvpED0ww/Se2buXJg1S3rptGbIEPlwkJLSE59EekO0lSsS3PdVk8l1zvDuu3Lj0UFtrRTpp03rGTsHO1u3OguLAF9/7X6cMrdwmEpq5fUNEDRMLhYdxMbKh4M4g9nt/HZUhD+JgRola1zH2eIa1zZvpazMfSGQw641NhS9RKWbwiXFtQ1Onl+tmTtXiovLlkmPxQULYPz47ttgt8Pf/ubcFyor5bpk7tzmtpISuREEcoNoiOaqzExJ8ufEmW17KrbGYJCP1sXk3I39Cu8lOlqGyv/6q4HM8Fj+XLDH6fVThoWRZA9l5FzpGeuu2J+fRaPYZqe0tpOVBTvJ7t3w/PPObe++Czfc0Ll5a2io63FqHjpw6crQ49gWWQi8rmnaJtFWoitFlzDqddw1OwF/k57XNx4iKcjMU8cNpbTW9YZ56vBwD1jozIQJcvLYshrzNdfIULsrrmhfXHQX/nzRRRAWduR2jRwpH4r2yaWCsC7UYhpGGOvIcxEXd3OYemwkEez2vFRCWU9en4uLJSVgMGoE+nXdBcffpEfzaSAvr+NjFQOXEF8jN02P57qvmid3ApjTarPmvNGRVFvtPLc6m2CzgSsSU/jgkebYqksvbX/TZNQo+TgSRo1q9uR2cOGFMgzFgaPYi8I7OW5oCH87MZWHV2Zh1AkumxDNtxklGHU6RrfyPvDzk/3KEb7q4PjjpVdqcnKfmd1lxo6V1Xv3tsgC85e/QFKS83EHD7qem50tBXM16zxyWkeegMzf+cILsm85CAtzn1u2Kxj1Ou6cnYCfUccbm/Kb5rfjoi3ohOCmafFc+2VzgloBHJPcToI5LyMxUUbsZGU1twUG9pxgr+iYCdEWDDrhFOl107R4oi1te/yZTDLvW3cKuLjDbnef473lOgnk+BwZKb2HAd55MoAn7x/Fy/szKK6xcuP0OM4f46ZiVzsMHSoLsj35ZHPbxInSE07Rv3Dcww9VhmO02Hjy54NYTHoePSZZVhpvkB62S5fKuZ/J1Cxo63Rw7OJqbt9dztPHD+1Ru2pqnDesHbTcBFQoHHRFXFwnhPgGSAbuFkIEAB3XIFd0iuQQX55cMJRbZwzB3ygrPh+ubuCVU4bxeOPgcv+8JDm4eJjUVBmC/NlnMm/T6NHSA+fyy5tzRrTFtGnSjfquu2RS40sukcVh1IKh78ihnFA6nxR/KKH8Rg4XMtapfRn7mEIsAvf/vKQOisH0Fvn5YAywOhUJ6Cz+Rh0N5gaXCaFi8HH2yAjQNJ5dnUO4n4H75yUzJc7ZYzImwMyfj0rk8vHRNNQKlrxtYudW6aH1xz/CGWf0vp3R0TK8ZPly6T0+bx6sXOlchW/CBLkAUXgn4X4mrpsaz7EpIWzIq+TRVVlE+Zv47uKxjIly9QQ880wZevrPf8q+dt99MhzV20lIgC+/lN5C27ZJQXTOHNf7/8UXy4JvLbn6ajVP6ClGjpReIy1D62fNkgn1ly2Dzz+XUSELF/bMhm1KiC9PHZfKbTMTmua3Ds4YGY6GxlO/ZBPqq+eBeclMjetdz/SeJCZGCrNXXy09iocNk162qametmzwMCE6gG8uHMt9KzI5WF7HHyfHcsHYqDYLvfUGBoO8569c6dzeOhf9kCGyCNdVV0kPYmutntnhEVx+TBC1Vs1t0bqOMBpl3vspU+Q8YOJE6YkZ03Y6aoWXE20xc/vMBC4cE4VRJwj3b1xcm2R+Zbtd5vN8/HHIO6RRXWdn2KxqVjQc4KsLxrrMVY+UoUNh3DjntGZDhjh7rSsUDoTmTop2d6AQOmA8kKFpWqkQIgyI0zRtcy/a1+NMnjxZW9syKVE/oKSmAb0OAs3eU2SiqkrexF56SeZ7uvNOWails/nCsrLkbsuQIc5hN4OAdmc7fdE/r+Jz/DByOp3L3L+FfF5lAxu51qn9GN5iDgnMJcnteWvJ5VN2sZLLjtTkLrFiBZx6aTVn31zudmHeHllltbz/YxWRO5Lchh4OAjqcjffHMfRIOFxdj1mvw2LueC9O02RaBptNCimeKii1aZPMsbhypSzYctttkJ7uGVt6AY+Pob1NUVU9fkY9fu1skDQ0yPuoXi+9pwaS8FZRIXOVPfCAXETde68s4NZPcjL1izF07Vq4/365IXH22bIgSVqa5+wprm7AqBcEdGKc9UZKSqQ3Wmhoc/EsL2ZAjqFV9TZqrDbC3eRZ7AuKi6Vw+PDD0tHi/vulQG9xkz708GGZbzk8vGcitwYY/WIM9SSVldIr1mJpTkPRlblqd9i+XRbs+vpruSl4zz1ScBykDKAZV8/TYQ8UQozQNG0nUlgESFHR0H1LiK/3iIoO/P1l1dF58+Tkv6tFCDoq/KLoPbIpYxad/wcMJ5w9FFNKLcGNHo/l1PEbOdxK22W+EwliJ0Vtvt5b5OeD5mMlwNx1z0WLSU+1vk4VdFE04S4hfFsI4Rri6QnGjZPe5aWlcmz2lMip6B5NXgrtYDQOXG/UgACZLP6EE6Rg39X5haJjJk+W3qHl5VLgaC+dTV8Q6te/B6mQEPcViBV9h79Jj383IlZ6itBQuOwyWLRIhqi2txkSFqZERUX3sVhcvQa7MlftDunp0qGouFj27UHmGKToAp2Rt28BrgaedvOaBhzdnTcWQoQC7wNJQCZwjqZpJa2OGQK8BUQjQ7Bf1jTt+cbX7geuAgobD79H07SvUPQp/cSTQNGCXCoJ70LORRN6RhHBTxzgVIYD8CW7GUsUfu1Ugg7Hj1qsFFHdpfc7UvLzocHUQGA3xcVKfS1VRRp2u+h25VSFwtOYzRAV5WkrFIruo8Sa3sXXt+uF9BQKRfuozRDFQPXBJ5sAAQAASURBVMVoVPNKRcd0uHTWNO3qxp/z3Ty6JSw2chfwnaZpacB3jc9bYwVu1TRtJDAd+JMQomVw17Oapo1vfChhUaHoBIe6KC4CTCOOD9jW9Px1NjK/jXBoBwJBCsHsaNL/+4a8PI16Qz2B3djBNul1GAyyaIKq9qhQKBQKhUKhUCgUCkXHdNovRwhxdmMRF4QQ9wohlgghJhzBey8C3mz8/U3gtNYHaJqWp2na+sbfK4Ad0MelZxWKAYQVOyXUENKFgi4A80jic3ZTST27KGItuczuRGh1HIHsobi75naLfVl2TBYbBn333A4DzAaCQjQOHephwxQKhUKhUCgUCoVCoRiAdGX1/RdN0yqEELOB45GC4L+O4L2jNE3LAykiApHtHSyESAImAGtaNF8nhNgshHhNCKECaBSKDsinkmB80Hfpqw9h+DGTeG5iKX/gS84mHZ9OZFWIJYBdfZx3MeugRkBw9wvZB5j1WILt5OX1oFEKhUKhUCgUCoVCoVAMULqiMNgaf54EvKhp2qdAu9lDhRDfCiG2unks6oqRQggL8DFwk6Zp5Y3NLwJDkYVm8nCfE9Jx/tVCiLVCiLWFhX0botmX5JTX8W1GMT9mllJQVe9pcxSdpC/7Zy4VRNC1CsoOrmEyeykmBB/OonOlZ+MJZEcfi4t5hyAwWOv2+RaTHnOATYmLLRgoY2hmaQ3f7Ctm1YFSimsaPG2OoocYKP1zILH7cDVf7znMmuxyKuutnjbH4wyGPrq/pIZle4v5OauMEjW+9iu8vX9mldXyzb5iVh4o5XC1Wt8MRry9j/ZXnL9batxWHDldqVeeI4R4CTgWeFwIYaYDcVLTtGPbek0IkS+EiNE0LU8IEQMUtHGcESksvq1p2pIW185vccy/gS/aseNl4GWQ5evbs7m/sq2gkkXvbWVfSS0As4YE8tbpI0kJUdm6vZ2+7J+5VBBG9/pEMD7cz7wunRNPoFOuxr6guFAwLKT7f0Z/ox6rv42cnB40qp8zEMbQDXkVnPD2Zgqq5OTp9BHh/O3ENOICVcm7/s5A6J8DiRWZJZz8zlaqGuSe9M3T4/nLnERCfPt3ReAjYaD30bW55Zz49haKGhen546K4NnjU4kJUONrf8Cb++emQ5Wc+PZm8iqlqHhiaigvnTyMIUFdS++j6N94cx/tr2w8VMHCt7c0fbdOTgvlxZOHER+ovluK7tMVz8VzgGXACZqmlQKhwO1H8N6fAZc0/n4J8GnrA4QQAngV2KFp2jOtXotp8fR0YOsR2NKv0TSNVzbkNQmLAD8fLGf5vr7NdafwfnKpILSb4mJ3iCOADEqw0zfzAKsVqit0BAeLbl/D36hH52slO7sHDVN4lFqrjYd+ymwSFgE+2VnE6uzyds5SKBRdpbCqnqs+290kLAI8uzqbTflVHrRK0ZtU1dv4y/f7m4RFgPe3FfJ7boUHrVIMBOqtdp74JatJ/AD4em8xvxxU926F4kiot9p5bJXzd+uLPeq7pThyOi0uappWjfQunN3YZAX2HMF7PwYsEELsARY0PkcIESuEcFR+ngVcBBwthNjY+FjY+NoTQogtQojNwHzg5iOwpV9Ta7WzIrPMpX1NjprYKZzJoaLLxVyOBF+MBGIml77pi/n5YPSzEWbpilO2MxazDptvvRIXBxBltVZ+OejaB/cUV3vAGoVi4FJSa2VvSY1Le25FnQesUfQFZXVWVruZb+5vseGtUHSH8norP2e5ih1bCyo9YI1CMXAoq7O6FRK3F6qNQMWR0ZVq0fcBdwJ3NzYZgf929401TTusadoxmqalNf4sbmzP1TRtYePvqzRNE5qmjdU0bXzj46vG1y7SNG1M42unOorDDEZ8jXrOGBHu0n5Miqpxo3Amm3LC8evT94wjkH19VDE6Lw/0/lZCfPTdvkaQ2UCduY7c3B40TOFRQn2NnDo8zKV9bJTFA9YoFAOXKH8jU+MCXNqTg1WY1UAl3NfIyWmhLu0jw/t2rqEYeIT4GFnk5t49JS7QA9YoFAOHUF8ji4a7ageTYlzv3wpFV+hKWPTpwKlAFUgREFA90Es4f0wUCxsndwK4elIM85OCPWqTwvvIoYKwPhYXY7CQQUmfvFduLth9648ot1eQ2UCloVaJiwMIo17HLTPimR4vb1l6IfjzUQlMUwsUhaJHCfIx8q+ThpHamO/Z16DjxZPSGBfdvUJiCu/HZNBx91GJTImV46tBJ7h/biJT3IjMCkVX0OsEf5wSy5yEIPlcCG6bOYQZ8ererVAcCXqd4LqpccweIr9LeiG4Y+YQpqvvluII6UrsYL2maZoQQgMQQqiZohcxNNSX985MZ29xDQadIC3MFx9D9723FAOTPCr63HMxGgt7+8hzMTtbo95cT7DPEYiLPnrKRS3lRWCzgV59jQYEI8L9+er8sWSU1OBj0DMszBejviv7awqFojNMiAlg1eXjOVBWR7CPgdRQX3Si+3lwFd5PeoQ/Sy+Q46ufSU9aqBpfFT3D8HB/Pj1vNPtKavExCNJC/TAZVN9SKI6U4eF+fL54jPpuKXqUTomLjYVVvmisFh0shLgKuBz4d28ap+gaAWYDEwahO3NDA2RmgqZBcjIYB29Byg7Jo7Lb1aK7SwwB7OFwn7zX7v129P5WfAzdr1AZYNJTZm0gOEijoEAQE9PxOYr+QYivkUleXrG2qEjmDg0Lg+hoT1uj8DSaJu9vdXWQkAB+/STSNMpiJsqiKgUPJkL9jIT6dW98tdth/35ZlC0xEXxUFL2iBcH94N7tjvx8eU+PjISICE9boxiMlJdDdjZYLHIO0Zr++t1SeC+dkqc1TdOA04CPgI+B4cBfNU37W++ZplB0zKFD8Oc/w6hR8nH77ZCT42mrvJM6rFRQR1AfFnQBiMXCvj4Ki96dYccSYuv4wHYw6nWY9XpCwzUOHuwhwxSKTrBmDRx1FIweDdOmwfffe9oihSepqIB//hPGjoWRI+GCC2DPkZTRUyi8kJISePJJGDNG9vOrrpJCo0LRn/nxR5gxQ97PZ86EX37xtEWKwca2bXDyyXJ9PGECvPee3KhUKHqTrvi+/gqUapp2u6Zpt2matry3jFIoOsvy5XJS2tAgd7yffx6+/trTVnknh6gkHD909G14WgwBZFLaJ+91IEsj2DWvfJcJ9tETEGJXFaMVfUZODpxxBuzcKZ9nZcGiRUpMGsz8/jtcdx1UNhZG/d//4IUX5L1OoRgo/Pwz3HUX1NRIT93//hfeesvTVikU3ScjQ96/HSL53r1w2mmoDWtFn1FVBbfcAitXyufFxXD++bBpk2ftUgx8uiIuzgd+FULsE0Jsdjx6yzCFojN8+KFr23+7XcN8YJPXKC72NSH4UEMDFfT+dll+niA8XDvi6wSZDZgDbEpcVPQZWVm4FBGqrFQePIMZd4uA996DwsK+t0Wh6C1++sm17e23obS0z01RKHqEzEwoK3NuKyyU7QpFX5CXB99849ymaWrDWtH7dKWgy4m9ZoVC0U2mTIHPP3dumznTM7Z4OzmUE9rH+RYBBII4AthPKWOJ6rX30TQoK9ITHXXknpkhvgbwa+DAAZUzTNE3hISA2ewcsiIEhId7ziaFZxkyxLVtzBgIGHyplRUDmOHDXdsmTgR/VTZS0U8JCwOdTuYSdWA0QmgPRNYoFJ0hMBCSklwF7chIT1ijGEx02nNR07QD7h69aZxC0RFnnSWTfzuIjZV5qRSu5FDR58VcHEQTQEYv510sKQFNaMSEHHl55yAfA1bferKyesAwhaITpKXJtA4tuf9+mYNMMTiZNk3m4HTg7w//938yMbtCMVCYNw/GjWt+Hhws82er4nyK/sqIEfDII85tTz8Nw4Z5xh7F4CMyEl58EQwt3MjOOw/Gj/eYSYpBQlc8FxUKr2PkSJk0ecsW6bk2ZozcqVG4Ij0XPVNqNBr/XhcXDxwAEVBPmK/piK8V6mMg21hH1r4eMEyh6AR6PVx8sfTYycyEuDg5nvl6Zj9A4QUMGQIffACbN8sQ+ZEjldisGHgMHQpffinncbW1svhAWpqnrVIouo/ZLPPlzpkjK/UmJMj7uRLMFX3JccfBunUyFDo0VBaHCwvztFWKgY4SFxX9nsREZ+9FhXsOUk4CQR5576g+qBi9b78dm18dob5H7rkY6mukzFhOoUq+rehDfH1lqocpUzxticJbiI6WD4ViIBMXJx8KxUDB319Wi1YoPIVOJwXFsWM9bYliMNGVgi4KhaIfk0MFER7zXLSwj+JefY/1OxrwCbRh0B/5sBbqa6BYVFNUBPX1PWCcQqFQKBQKhUKhUCgUAxQlLioUg4RcKjxSLRogBguZlPbqe2zZbSMw1N7xgZ0gwKyn1m4nPEJTFaMVCoVCoVAoFAqFQqFoB4+Ji0KIUCHEciHEnsafIW0clymE2CKE2CiEWNvV8xUKhSSPCsI8Ji4GcIAyNLRee4+MDAiP6Jnr64Qg2mIkKMzOAVW2SqFQKBQKhUKhUCgUijbxpOfiXcB3mqalAd81Pm+L+Zqmjdc0bXI3z1coBjXl1KEB/ngmm7QfRnwxkE9Vr71HbpaOmJieu16kvwlToJXMzJ67pkKhUCgUCoVCoVAoFAMNT4qLi4A3G39/Ezitj89XKAYN2ZQTjT8C4TEbYglgfy8VddE0KM83kjyk5z5fuJ8Ju189+/f32CUVCoVCoVAoFAqFQqEYcHhSXIzSNC0PoPFnZBvHacA3Qoh1Qoiru3G+QjHoyaaccPw9akMMAWT0krhYUKhhRyM52tRj14z0N1DjU8O+fT12SYVCoVAoFAqFQqFQKAYcht68uBDiWyDazUt/7sJlZmmaliuEiASWCyF2apr2UxftuBq4GiAhIaErp/ZrdlLEJvIRwHiiGEa4p01SuKEv+qcUFz2Tb9FBFP69Ji6u2liHPtiOr7Hn9ktiA8wUG8rZu7fHLtlvGaxjqLdgw85GDrGdIoIwM5Fo4gnytFlew0Dqn1bsbGr8XwdjZiIxxBHoabMUR8hA6qOdpYxaNnCIA5QyhCAmEkMwPp42S+GGwdg/+wuFVLGePA5RRSohTCAGPw+lOPIkqo8eOdspZBP5GNExnmhSCfW0SYoBSK+Ki5qmHdvWa0KIfCFEjKZpeUKIGKCgjWvkNv4sEEJ8AkwFfgI6dX7juS8DLwNMnjy59ypKeBEbyONo3qKUWgDC8eM7LmYsUR62TNGavuifBykj1MOT+mgs7KW4V6798+YGLKEa9GDYd6S/kWqfWjL29+x1+yODcQz1Jr4lg5N4B1tjQaSpxPEhZ5OgBEZgYPXPb9jHKbyLvfF/PZN43ucsJSb3cwZSH+0MdVh5njXcx4qmtruYxV+YOyiFEW9nsPXP/kIx1dzCMv7Llqa2lzmZK5no0TRHnkD10SNjLbkczZtUUA9ALBa+4SJGqcBPRQ/jybDoz4BLGn+/BPi09QFCCH8hRIDjd+A4YGtnzx/MvMHGJmERoIhqPmCbBy1SeJIsyonwgrDofb3kubhxm5WQCHuPXlMnBAnROsrKoKr36tAoFO1STDU3s6xJWAT4jRzWketBqxS9QRFV3MDXTcIiwC9ks45DHrRKoeg6uyjiAX50anucn9lFkYcsUij6H1spdBIWAW5mWa9FASkGJjbs/I01TcIiQC6VfMUeD1qlGKj0qudiBzwGfCCEuALIAs4GEELEAq9omrYQiAI+EUKAtPUdTdOWtne+AjQ0trhx5NzWtnMnW8nnXbbxOzmczxiOZygxBPSmmYo+5CBlDCXJozbEYmE/pb1y7d07dSQm96y4CDAkyExhmJWMDCNjxvT45RX9mBoaWEUWL7MeXwxcxURmMARDD+/ZVdHAAcpc2otbbB4pBgZVNJDl5n9dSo0HrPFO8qjgG/bxNluYQhyLGcVoFZHRY2RTzlfs4UO2MZsEzmU0I7qRUqeMOieRHGQC9TI1bikGARvI479sYTsFXMx4FpDcrbznpW6+L1U0UNlCJFIoAPZwmE/YyTL2cSrDOJXhJBMCQAN2tlHocs4uDve1mYpBgMfERU3TDgPHuGnPBRY2/p4BjOvK+QoQCC5lPD+Q6dS+GPfqSAYlHMd/yaMSgOVkcCez+D+O7vGFssIzHKSMSA97LkbiTxFV1GHF3MNDT8EBA8cc3dCj1wQYFubLb5Za9u5V4qLCmZ84wAm83fT8bbbwE5cyi57NBRSNhYsZy79Y19QmgHSVQ3fAEYOFCxnL62xsahPQLXFnIGLFzvOs4XF+BuRc5XU2sIrLSWlcRCm6Ty1W7mcFr7IBgG/Zz3/ZzA9cSnwX836mEEIcAeRQ0dQWiX/TYlehGKjsoNApLdVS9vE0x3ELM7p8rTRC8cVADdamtinEkkhwT5mrGAAUUsXF/I/VZAPwPfv5hn28w5kE4YMPBq5kIuv40um8UxnuCXMVAxylHA1QTiCVRzkGCyYCMfM0x3EMyW6P3UJ+k7Do4FlWk9lFL7McytlCPoep7q7Zil5AQ+Mg5UR5WFzUoyMKS5f7VUeU1VhpKPRhWHLPD2cpIT7U+tSwaZutx6+t6L9YsfMcq53a7Gh8wPYefy8jem5nFpczHj2COAL4mHOYRAyHqWYL+WRT3uPvq+h7TBi4h6O4hHHoEQwhkE84lwnEeNq0LlNNPdspZB/FLh5s3eUApTzb6nuXRyVbyO+R6w929lHMa43CooO9lJBBMbsoYidF1LcQOdojjkA+5TxmMwSAacTxOYuVKKIY8Gwi38Xj8EF+JKcb9+mRRLCCS3mKBdzOTC5hHK+zSBVGUjixk6ImYdHBV+xlT4s894sYzl+Zgx9GgvHh75zIHBJdrlVEFVvI71Z/VSjAs2HRil4kEn/uZBYXMAaB6PKuM3S+hIUNO1+zlyv5jHyqGE0Eb3Aak4jt8nsqep4y6gCwYPKwJRDbmHdxeA964ny7qQq9jx/+lp5Pbm3U64iO1Vi+po77PVxtW+H99NZuXQoh/IuT+Qtz8cVAFBbWkctlfMoWCojEn1c4hYWkoVd7hv2aVEJ5mZO5n7n4YfK4x3l32Ecxt7OcT9iJLwYeZD5XMrHXFsSDrbBBbyIQaC3E4AsYw0fs4EXWoqFxDZO5m9mdmlNOIpYvuYDDVBOKL0FKEFEMUro7Ru2iiPv4gaXsw4KJxzhGFXJTdIsYAriPeVzBBPToiHMzhv9ODpfwP3ZQRDQWXuNUjicVnbrHKrqAWoUMYASCIQR1OAkcSxSxWJzabmUGSZ3cYd5OIWfwPvnIqhdbKeQCllCkPBi9gizKiMbiFQuwGCzs6+GK0d+uricgsudDoh2MTTOyaZsdTVPF6RQSAzpuYrpTmx7BWaT32nsa0ZNEMFFYKKSKC/mkKbduAVWcwQdsd5NTR9H/MGEgiZB+KSza0XiRtXzCTgBqsHI7y1nTyquiOyQRzK2tQgtjsTBaVbvsEVIJ5QomND33xcAwwvgbv2HFjg2Nf/I7X7C709cMxEwyIUpYVAwaxhFFSKv+/lfmuBVz2qMBG4/zM0vZB0Al9VzH16wjr8dsVQwMRhLODOKd2k4mjWGEObXpECQQ7LYv5lPJeXzEjsaiW4eo5HTeZ6cqwqXoIspzcYBykDJ+J7fJk3ASsfhhdHtsMiEs4yI+YBtryeVcRnM8KS4eMAcoJaex6nAqoU1iVQYlNOBcTGMXhzlIGeHK28vjZFHm8ZBoB9FYnNz0e4I1G+xExPVe2PKkEUaWvmZm2b5iTkgN6/gEhcfZRzFryaWMOsYRxSRiezx/7BwSWc5FvMoGfDFwORNIJ4J15KJDkEZYr3kL51DuMuGzYieDEsao4hYKD5JDOe+x1aX9N3I4ntROXyeDEvKoIAYLKYQCMrXGDUxjJOG8y1YmE8u5jFL5FnsIMwb+ylxmEE8R1aQTwcusdznuHbawkFQSVIizYhBQTDXbKeQgFUTixxgiiWzlkNGSkUTwPZfwLlvYRiEXMZZjSOny++ZTxUduUq3soJB5Hi7QqPAuwvHnLU7nU3aynAxOIo2TGUYg5k5fYztFZLRKW1WHjQxKSCfC5fjme3SAugcrnFDi4gAklwoW8zE/c7Cp7Q1O4xL3tXEAGE1ku7v/P7Cfc/iIIqrxx8i/OZWzGIkRPRFuhKsgzConiJeQRZnb/5EniCOQVWT16DX3bdczbWSPXtKJkFAwaHqufi+DzbcFEuzjXqRXeAd7KeYE/ss+SgC5U/s153NcF4SNzuCLkWNJ4djGRcN+SriAJXzNXgDOJp2nOK5XQpiC8SUYH5e8Tt7yPVcMTuxorCKL4YQ7FfIAGNooEHaEhsZX7OECllBGHYGY+Q+ncwrDEAiisXAR47ionfmMovvEE8g4ojmD96nF6tYbO40wzuFD3uB0VWxIMaCpxcoPZHIby8mkFCM67mAWNzCtXc/y8UQznugjeu9AzIwmkl9beX3HEnBE11UMTFIJ5VZmciszu3zuVgr4gf1YMLlUIm/dzzU0vmAPFzXeo4Mw81/O4CTSvCJCTuF5VFj0AGQTh5yERYBbWEY2Zd26XjZlnMfHTWHOVTRwEUuaPGdGE8ltLUKVdAhe4mRVFdBL2E+J13iQxhHA3h70XKyqt1GR7cPwob13QxMC4hPtDLWHccq7W6mqV8VdvJnVZDcJiyAFjzv5lrJWQlxP8zE7moRFgA/ZzrIWz3uSJIJ5iZOd8uDcwnQVHqrwKPso5go+4xSGEdTCY2I6ccxqLOzRmWucx8dNuYLLqWMxH/e4x7vCPWXU8ie+4gBl5FNFOH5OKXLiGr1U1pDLCjI9ZqdC0Rfs5TCP8XNTIcIG7DzMStaS2+vvHYiZJ1ngFHV2PEOZrPLZK3qYpezlNTZwB7Oc5MG7mU16qw2kvRSzmI+a7tFl1HEeH/Xo2k7Rv1GeiwOQila7DgAl1FDTySp/rcmlkoLGfIoObGgcpIwxRBGImXuZw2mM4BCVDCWEdLXI9Rracmn3BHEEcpByrNh7JEx17cFKOGwhPrF383tGxdoZr4tit7mSBf/ZxDcXjcViUsOnN1JCjUtbLpXUYO21NOg27E055lryNXu5ikm98p6nMYJ1XMU+SojCwlgiuxQCo1D0NDVYqcHKfazgeqaiQ2BAxxwSOl0lOJdKF8+JahrIodwlf5Si5ymi2qnq6MOs5I9MYTSR5FBOBfU8yI+AzMmlUAxkKqh3KyQe7KazRleZRQLruIqdFBGAmTFE9ctcvArvJptycqjgXbbwIPOpxUo4flzAGCyt5pU5VFCFc577KhrIpYI0dY9WoDwXByQjCceM3qntXEYxpJtL6wj8XJITC2TlKQdB+DCLBM4knfHEYGr1/grPkUGp0//Kk5jQE4YvB1rl9eguX/1ai2+IDXMvR+BHxtrJ3K3n1hnxhPkaOe29rVjt9o5PVPQ5k4hxCcz4A5N7Ne+oHh3Hucmp1Jt5kUzoGU8MZ5LObBIIVGkoFB4miWDmkUg5dTzMSh7iJ57ily6F8UXij0+rfW8TeqLayXGm6DlC8GFMi81hK3ZeYA1+GHiAH3mGX6lHeu/PI9FTZioUfUIsAYxysznflxWbRxDBaYzkGFKUsKjoFRaSBsAOivgLP/AwK/HD6DbVThT+LhqDGb3qm4omlLg4ABlNJMu4kCnEEoSZPzCZhzjaZcLeWZIJ4Q1Oazpfh+AFTmSkyrXTLzhAKdFetDAbQlCPhbitWG0lckjvVYp2EB1vZ98OPTohuGl6HBX1Nu77IbPX31fRdSYTy6csZgRhhOLLX5jDlUzo9Vww5zGa8S2KqcxiCCc1TtgUisFAIGZe5GQuZhwBmDiKBJZxIcO6MFcYRhivcCrGxumpER3/5hSGK4+IPiEUP17kJKec2bcxgxnE8zBHE44fqYTwIWczrVV1UoVioJFIMM9xgtP34UamMV31fcUAYibxvMuZJBFMJP48xQIWMdztse7u0a9wqoosUDSh4voGIALBXJJYzkVUUk8UliMOQT2ZYWzgmqbKwyMJx6S6j9dTQR21WF08Tz1JHAHs5jAn9ECBjZ2bdExM7gGjOiA20U7GLj2aBnqd4I6ZQ7j6i92cNzqSMVHeI9wqwISBUxjGLOKpxUYMlj5JMj2ccJZyITspQodgBOGqwIpi0DGCcP7NyTzM0QRhJqCLofo6BOcyivFEkUMFcQQwnHD0ai+8z3CEYu6jhBB8GEkE/pi4h6O4jPGNEQjekcdZoehtjiWF37iSXRwmAj9GE4k/Jk+bpVD0GBbMnMdojiWFBmztRrvp0XEeo5lAtLpHK9yi1KEBTBA+BPWQqORYLKvKgP2LTEqJJcCrKnjFEch2Co/4OiU1DVTutzBy3pHb1BGBwRp6PRTmCSJjNcL8jFw4Joqbl+3l24vH974Bii4T6oHFbxQWFb6pGPSYMBBPYLfPN6BjFJGMUrmbPUYKoaS4qfDtLSlWFIq+JI0wlU9OMeDpbPFPdY9WtIeSmRWKAcxeiok7gkVeb5DQQ+LiL/sr0Ar8SRzaN7kP45Pt7NvRvB9z8rBQthdW8+vBvknsrVAoFAqFQqFQKBQKhTfiMXFRCBEqhFguhNjT+DPEzTHDhRAbWzzKhRA3Nb52vxAip8VrC/v8QygUXs4eir0q3yLIRNi7OXzE1/nsp1os4Q29XszFQcwQG7u3NicxNup1nDMqgkdXZfWNAQqFQqFQKBQKhUKhUHghnvRcvAv4TtO0NOC7xudOaJq2S9O08ZqmjQcmAdXAJy0OedbxuqZpX/WF0QpFf2InRcR5WRhTBP5UUE8ZtUd0nZ9+1ohNsfaQVR0zJMXO9g3OFdKOHxrCqqwy9pfU9JkdCoVCoVAoFAqFQqFQeBOeFBcXAW82/v4mcFoHxx8D7NM07UBvGqVQDCR2c9jrwqJ1CJIIPqLQaE3T2LfBTHp6DxrWAQlDbezeYkDTmtt8jXoWDA3h5XV5fWeIQqFQKBQKhUKhUCgUXoQnxcUoTdPyABp/dpQV9Dzg3VZt1wkhNgshXnMXVu1ACHG1EGKtEGJtYeGR53pTKHqS3uyf+yj2Os9FgCSC2HYE4uKewzXYDgQyeozW8cE9RHCYhqZBQa5zcZyFqaG8tjGPBlvf5H70BGoMVXgzqn8qvB3VRxXejOqfCm9H9VGFon/Qq+KiEOJbIcRWN49FXbyOCTgV+LBF84vAUGA8kAc83db5mqa9rGnaZE3TJkdERHT9gygUvUhv9c9y6iinnkj8e+yaPUUCQWwmv9vnL/m5EoNOEBLed+KiEJA83Ma29Qan9sRgH2IsJpbuLe4zW/oaNYYqvBnVPxXejuqjCm9G9U+Ft6P6qELRP+hVcVHTtGM1TRvt5vEpkC+EiAFo/FnQzqVOBNZrmtakRmialq9pmk3TNDvwb2Bqb34WhaK/sYNCkghGh+j44D4mmRA2HYG4+PkyGzFp9Yg+/miJqTY2rTG4tC9ICeHf61VotEKhUCgUCoVCoVAoBh+eDIv+DLik8fdLgE/bOXYxrUKiHcJkI6cDW3vUOoWin7OdQhK8LN+igxRC2EoBGt3zPNz0q4kRo2w9bFXHJA+3sfk3o0v7vKRgVmSWUlhV3+c2KRQKhUKhUCgUCoVC4Uk8KS4+BiwQQuwBFjQ+RwgRK4RoqvwshPBrfH1Jq/OfEEJsEUJsBuYDN/eN2QpF/2ArhcQT5Gkz3BKGLwLIoaLL5+aU1VG9O5DJk/reIzM+yU5Bro6SIuf39jfpmTkkkP9u7r43pkKhUCgUCoVCoVAoFP0Rj4mLmqYd1jTtGE3T0hp/Fje252qatrDFcdWapoVpmlbW6vyLNE0bo2naWE3TTnUUh1EoFJLN5JPkpeKiQDCMMNbT9a/tG19XYva3E95RCaheQG+A1FFW1v/iGhp9/NBQXtmQh6b1XR5IhUKhUCgUCoVCoVAoPI0nPRcVCkUvspl80gjztBltkkII68jt8nkff24nbkRdL1jUOVLTbaz5wTU0ely0P+V1Nn7P7bo3pkKhUCgUCoVCoVAoFP0VV/cbRb/HjsYG8thIPn4YmUQMw1qJTJmUsoy9fE8mx5LMcQwlkWDPGKzocQ5RST1WIvDztCltMpwwVpLVpXM0TWPrT76ccba9l6zqmFETrTz3Vz9sVunJ6EAnBCemhvLi7zlMjfPOXJeKrlGPlbXkspVCQvFlMrEkEcweDvMle1hDNicxjGNIJoYAT5urUDRRRT2/k8tOiojGwhRiifNQDt5tFPA/drKdIs5gBPNIIsyL702KzrObw3zBbn4np92xsB4r68hjCwWE4MMUYkkixAMWKxRdYy25fMR2CqniPEYziwT8cN1gbkkFdawll10cJpYAJhNDrJfmQFf0f7ZTyDrysGFnAtGMI7pb19lJIZ+xm40cYhHDmU8ykfj3sLWKgY4SFwcgq8jiWN6iASnAxBHAt1zMCMIBKKGGa/icb8gAYAk7WEgqb3E6Qfh4zG5Fz7GRQwwjHOGFlaIdpBPBM6xGQ+u0nT9tq8Fa6MPkCdW9bF3bhEZoBIdqbFlrYPx0q9NrJ6aGcOmnu3iquoEwv/Ynnwrv5yv2cgbvN5UdGkcU73AG5/ExWylAh+A9tnE9U3mSBZjVLVXhJbzNFq7hi6bnC0jhP5xOFJY+tWMfxSzgP+RRCcA7bOFpjuMWZvSpHYqeJ4dyTud9tlMIwHts41Zm8CjHYETvdOwy9rGI95rG0jFE8jmL1aa2wqvZQB5zeYNqGtAheINNLOFcFjG8zXM0NN5gEzfwdVPbKQzjNRYRrjZVFD3MZg4xjzcpoRYAP4z8wMVMJb5L1zlAKQt5h0xK0aPjXbbyF+ZwH3PRq0BXRRdQK6EBRg0NPMSPTcIiyKIZK8hsEhd3UsQ3ZPBHphCJPzU0EIQP+yhmIrGeMl3Rg/xGDkO93CsgDD/8MLKHYhfP2rZ49o0awofZMBg9K5qOnWrl2/+ZXMTFEF8jRyUE8eLaHO6dk+QZ4xQ9QiFV3MhSp3rmm8hnPXmcwnDOYCQN2LFg4iXW8gcmM5IIj9mrUDjIpJTb+MapbTkZbKGgz8TFGhpYRx6ryeZaJlNINf/gNzTgflZwFukkeGlOYEXn2EoB2ylEANczjVB8qcXKCjI5igR8Gr27iqjiFpY5jaVbKGAteUpcVHg1P5CJER0PMK9pXZVFKZXUYcHs9px9lHAX3zq1fc5utpDPfJJ73WbF4OITdjYJiwDVNPBP1jKFuC45mGylgDNJxx8j9dgIxMw7bOYSxjGU0N4wXTFAUeLiAKMOGwcoc2nPa1GV14bGVUzkVw6ygUNN7WH4so1C6rExlTjGENUnNit6npVkMZdET5vRIaOIYCUHOi0ufveZkVlzbdDKK6KvmTy7gafv8eP6+8Hcytn3rPQI7liewc3Th+Bv8qydiu5Tg5VDjd5WLamigdfZ0OSJZUDHkywgkzJ8MZKkFssKD1NDAxXUu7SX03e5aj9lF4v5uOn5GCK5hsn8i7VYsWNHFb7q79ga/4d/ZArfkcG2Rg/Gx1jFK5yCET3jiSYEn6bxsiXlLRbECoU30oCNeziKh1nZNH76Y2QKsUxniMvx2ZSxl2KqaXB5zd2YrFAcKfspdWnbw2Gs2F08yNvDBwNL2EEGJQDoEDzJAjR1r1Z0EeXnOsAIxoc/MsWlfR5JTb8PJ4zhhDkJiwD38B2/k8uVfM4sXuNHMnvZWkVvYEfjd3JI7wdeVGOJ4lv2d+rYX7fXUJnlx7xZnh+2gsM0kobZWP6JyeW1pGAfxkX588yvBz1gmaKniMHClUx0atMjiMDfaaFsxc4SdrCMvZzGezzLr3zDPmrcLC4Uir4ggSAWkubU5ouhKXqht8mjgptY6tS2hQKiG70mb2Om8locAIwigngCiMC/SVh08FdW8DMHmcmr5FPJ1Uxyel2HYDSRfWmuQtFljieVHRQ5bcxU0cBbbHY6bh25/JPfuJj/8SJrmdEqJNWCieFeXGBR0X85i3SXtmuZ0iVhESCLsiZhEeRa8lXWE6zSpSm6iOdX6Yoe51xG8RDzCcWXZIL5gLOY3uJGF4E/EW4StJZQS0Cjm38F9fyHzexvMdAo+gfbKSQQM6H4etqUDplANCvI7NTO2L3PVRMzqhofH+/IIzn3xAbe/ZcPVjca0uUTonlmdTaZpTV9b5iiRzCi5zZmcBPTCMTMGCL5igs44GaXOI9K8qliE/lUUM/x/Fdtzig8hj8mnuV4LmM8FkxMI45lXNhnG061WCnCNS+uCR13M5uzGInOi/MBKzpHIsF8zQUE4rrJVkgVIfhQRQP/Yh1/Ygq3MINAzKQTwReczwRiPGC1QtF5xhJFmRsP270UN/2+lQLm8yZF1PADmXzOLk5iGIsYjj9GZjKEZVzI8D7a3FEMLo4igddZRDyBROLPcxzPiQzt8nXcReocooo6bD1hpmIQocKiByAxBHAvc7icCZjQu00gPJ5oTOipbzFonMwwVrRYEAdgoogqkr08d5/CmeXsY2I/mbTHEoABHVsoYGw7YfjV9TZ+XOLHOZfX4emQaAep6TaCQjU+ecvM2Vc4hxvGBJg5d1QEFyzZwYpLxmPUq32c/kgyITzFcdzGTPwwEoIvJnQkEkQaYWziEIVUcyrD+Q+bAOnJCPA8aziWoRjUHp7CAwwjjJc4mQeZRyA+BLaRH+xIsWFHIJzEwjgCuJhxvM7GpjYjOoLx4VFWtVsMQdG/GE0U9dgxoGsa+0Lx5Wams4YcALIpR4fgCY7lFqY3jaUKhbejQ3Ax4/iEnU7t1zOVBmwY0bORQ1RQ39T/NeBevmcqcVzJRK5iIqOUl66ilwjCh0sZz0LSsKM1RQh0hWKqiSGAo0mmgCq2UgDAeYwmQhUhUnQRteoZwMQS0GZlsjFE8g0XMoVYgjA33vwi+IWDJBDE0xxHJqXcyXcsYUef5mpSHBlL2dtvPAIEgunE8Rm72j3uzpdKMOoFUyZ4h7Do4LSLa/nP33zYtcXVrrPTIxDA5Z/uxGbvXM4SqxXKy8Fu7/hYRd+gR0ccgU2L4TD8OJN0KqjjQsbwOosooppCqjGhbwpFMSjfLIWHMaInnqBeERaraeAr9nAS73AG7/MdGU2blSYM3Msc/sgUgvFhHFE8wQIeZRXDCSeewB63R+E5xhHFUi5gIjHcxHT+xBS+YDdh+PIwRzOfJOqwuYylCkV/YB5JvMIpxBNIHAF8zmJ+I4fZvM4jrGxaZ9nQnMba38hhKwUqBYSiT4jEv1vCIkAZdVRQRx1W0gnnOY7nRqZxPCmYlB+aoouoHjNIEQjmksRyLqKSenww8DobGUIgtzKDW/mmaRfuBzJ5n7M4h1EetlrRERXU8QvZXM80T5vSaaYzhP+wiXuZ4/b10toGXnrOyPwT6hHCu+SayBiNc66s5Y6LLVxzdw3zT6rH5ANFhwQHMwxMzEnjf1+WMfKjXP40L5KJI40kJkJ4OGga5OTAhg2wYgX89BPs3QsGAwgB8+fD3XfDzJme/pQKB7lUcBYfsLsxJGoNOZxMGmYMTCKGixjHE/wMwA1MQ6/27xQDlBVkchLvND3/jF2s4FLmNBYSSyGE65nCcaSwmhweZSUzSeBaJhGnxMUBhR4dx5DCMi7gNr7hOVYDcnyMI4C/s5ChKgJG0U8JxocrmMgpDKOSBs7ig6ac9b+Rw3ySuIix/JPfeZD5fMg2tlPImYzkNmY2pZtSKLyVj9nB7Sxvev4Ve3mTRSQqYVzRDZS4OMgJwoegxmStlzKOycSwlH1NwqKDx/mZhaRiUTdJr+ZL9jCWKCxuciB5K+OJ4nEq2EEhI1vlBNM0jUWPZKMvjee4Bd5ZWXL0ZBuBITV8+a6Z5/7ih9UKQSEakbF2wiLspPpFkHGojtueqiTE6odWaaKiXCCEFBlTUiA9Ha6/Xv5uMknvxR9/hDPPhNNOg2efBR+VU9njbKewSVh08AV7+JXLMaDjVTawgBQuYRwz3VSSVCgGAjbs/I01Tm0a8A5bmsRFgBFEUEUD8ZRzL3MYTSSTiO1jaxV9RQHVLoUucqhAQJeLCygU3kYkFnZxwKUY5g9kspyLiCeQn8niAeaRRDAJBKl+r/B6cinnEVY6tVVSTwm1jOsnUXAK78Jj4qIQ4mzgfmAkMFXTtLVtHHcC8Dwy0dormqY91tgeCrwPJAGZwDmapqnqI0dAOP7MI7kpT05LTOjQKS8cr+dNNnEUCZ42o0tIr4dkXmUDT3FcU7umadzwxT5WvxzHORfWo/firZCEoXauurMGTZMeiTqXr4qgpEbjxwO5rM2tYHZ8IJeOiyY+yL1iGBgIp5wivRefekr+/PJLCA3t9Y+iaAe9m0BngSygMYYoJhPX90YpFH2MQGB2M3101zaJWCUoDhJ0yBx1tlYF2nyUH4NigNBWspMQfHiEY/rYGoXiyNEh3Irgfv3ISUXhXXhSLdoKnAH81NYBQgg98A/gRCAdWCyEcNRcvwv4TtO0NOC7xueKHuBYUlwmg/dwFH4YPWSRojMcoJTVZDO3hedIf+EUhvMaG6ikHoCyWitnfbCNt58IYFiinonT+0cSQiHcCYuSEF8jp40I589HJWLW6/jDl3t48fcc6m1tV2KzWOCvf4WkJJg1C/LyesduRedIJ4LJrXZyL2MCqSjVVzF40CG4gWlOy2wDOs5TqVMGNUMJ5YZWKVlGEs5oVcxCMUAYQTjzSXJqO5ORDCPMMwYpFEdINAE8yHyntjB8mUC0hyxS9Hc8tp2oadoOoKMcalOBvZqmZTQe+x6wCNje+HNe43FvAiuAO3vH2sHFJGL5iUv5iO0UUc1ixjBLhfh5PY/zMyeQim8/FIFjCWASMTzPauYcGMsF7+9C/00q/jlBnP/nGrws1eIR4WvUsWBoCNPiA/jfjsNc+dluHpiXRHKI+yT3Oh1ccw38978wezZ8/z0k9j/9eEAQhYV3OYuv2cMqDrKQVI4lpV9+5xSKI2E2CfzAJbzLVnwwcC6jmKo8dwc1RvTczkwmEcPn7GYqcZxMmsqxqRgwhOHHq5zKUvayggMcRwoLSFF5FRX9mnMZRTQWPmQbaYRyOiMZQbinzVL0U7w9ViEOONjieTY0bYtGaZqWB6BpWp4QQm2N9iBTiGOKWij0G3ZQyHts5TUWedqULtNQD3kZRsbvn8X93xai/7Uaw47JjBhl58x7a/B1X/C83xNoNnDRuEh+z6ngxqV7uWFaHMemtO0Bd+GF4OcnPRi//hrGjOlDYxVNpBLK9UzrV0WTFIqexoSeuSQxt5UXj2JwE0MAFzCWCxjraVMUil4hmRD+wBT+wBRPm6JQ9AjB+LCI4SxiuKdNUQwAelVcFEJ8C279av+sadqnnbmEmzbNTVtHdlwNXA2QkNC/8tEpBj5H2j+rqGcxH3Mp4wimf1T9yNlr4Lt3/fnlcz/2bzFiCbNRZahFFxiEaWQJV51ZzZBBoG0LIZgaH0hcoJl/r8tj46FKrpsah4/BfRLwM86AoCCYNw/+9S84++w+s1ONoQqvRfVPhbej+qjCm1H9U+HtqD6qUPQPhKZ1WavrWQOEWAHc5q6gixBiBnC/pmnHNz6/G0DTtEeFELuAeY1eizHACk3TOpTcJ0+erK1d67Z2jELRF7Qb4NvV/llENWfyAf4YuZ2ZiPYv71GqKwQ/fezP5y9byN5tZPy8WoZOqmGfvYTfD1QzLc2XEfEmfhCZ1GHlUsYTNIhCTWoa7CzZWUh2WT03TItjenxgm2kjdu2CRx6BadPg8cchObnHzOiwA6kxVOFhenQMVSh6GDWGKrwdNYYqvBk1hiq8He9dbHsB3h4W/TuQJoRIBnKA84DzG1/7DLgEeKzxZ2c8IRWKfk89NrZSwP/YyYus5ThSuJwJXiUsahpUlurI2mlkx28m1n7jy+aVPqSOr2PsMVVMu7KC7Xl1vLu/hpQoE+fMDMTiIyuhHE0ya8nlMVYxlTjGEUUcgZjdVDMbSPgadVwwJopthVX87bccXlmfxwmpoUyMCSAhyIxR31wpZvhweOkleO89mDhR5mI84wyYMQOGDgWjSgGoUCgUCoVCoVAoFIo+wmOei0KI04G/ARFAKbBR07TjhRCxwCuapi1sPG4h8BygB17TNO3hxvYw4AMgAcgCztY0rbgT71sIHOiBjxAOFPXAdfoDg+Wz9sXnLNI07YS2Xmy3f854OYVfrwrpLcN6nZBqMLeqjKyzg2hjDBIwoCq5dJWGNspOuxynh8NdSEyps0NI3CYOH7K6ebXd/gk9OoY6GMjjy0D+bOCZz9f9MbTnGOj/VxgcnxF6/nN6YgztCt70f1W2tE1v2tPXY6i3/W17A/UZew5vGkMH6v91IH6uvvxMHfbRwYzHw6L7K0KItZqmTfa0HX3BYPms6nMOPAbTZ4X++Xn7o82dZSB/Nhj4n68tBsPnHgyfEQbP53TgTZ9X2dI23mbPkTCQPktbqM84MBmon3kgfq6B+Jn6K510jVEoFAqFQqFQKBQKhUKhUCgUCmeUuKhQKBQKhUKhUCgUCoVCoVAouoUSF7vPy542oA8ZLJ9Vfc6Bx2D6rNA/P29/tLmzDOTPBgP/87XFYPjcg+EzwuD5nA686fMqW9rG2+w5EgbSZ2kL9RkHJgP1Mw/EzzUQP1O/ROVcVCgUCoVCoVAoFAqFQqFQKBTdQnkuKhQKhUKhUCgUCoVCoVAoFIpuocRFhUKhUCgUCoVCoVAoFAqFQtEtlLioUCgUCoVCoVAoFAqFQqFQKLqFx8VFIcRrQogCIcTWNl4XQogXhBB7hRCbhRATW7x2ghBiV+Nrd/Wd1QqFQqFQKBQKhUKhUCgUCoXC4+Ii8AZwQjuvnwikNT6uBl4EEELogX80vp4OLBZCpPeqpQqFQqFQKBQKhUKhUCgUCoWiCY+Li5qm/QQUt3PIIuAtTbIaCBZCxABTgb2apmVomlYPvNd4rEKhUCgUCoVCoVAoFAqFQqHoAzwuLnaCOOBgi+fZjW1ttbfLCSecoAHqoR6eerSL6p/q4eFHh6g+qh4efrSL6p/q4eFHh6g+qh4efrSL6p/q4eFHh6g+qh4efijaweBpAzqBcNOmtdPuegEhrkaGVJOQkNBzlikUPYDqnwpvR/VRhTej+qfC21F9VOHNqP6p8HZUH1Uo+gf9wXMxGxjS4nk8kNtOuwuapr2sadpkTdMmR0RE9JqhCkV3UP1T4e2oPqrwZlT/VHg7qo8qvBnVPxXejuqjCkX/oD+Ii58BFzdWjZ4OlGmalgf8DqQJIZKFECbgvMZjFQqFQqFQKBQKhUKhUCgUCkUf4PGwaCHEu8A8IFwIkQ3cBxgBNE37F/AVsBDYC1QDlzW+ZhVCXAcsA/TAa5qmbevzDzDAOHQI9u+HgAAYPhyMRk9bpFD0Hvn5kJEh+/uwYWAyedoihUKh6BuysuDgQQgPh7Q00PWH7WaFohFNg3375H08NhaSkz1tkULhSlER7N0Lvr5ynunr62mLFAr3FBfLvmo0yr7q7+9pixT9EY9PJTVNW6xpWoymaUZN0+I1TXtV07R/NQqLNFaJ/pOmaUM1TRujadraFud+pWnasMbXHvbcpxgYbNgAM2fKx4QJ8OSTUFbmaasUit5h0yaYNUv29/Hj4fHHVX9XKBSDg5UrYfJkmD1bjn9vvgn19Z62SqHoHHY7LFki56qzZ8PEifD11562SqFwZscOWLAAZsyQffXuu6GgwNNWKRSu7N4Np54K06bJ8fS66yDXbbI5haJ9PC4uKryDykq4+WbptQhgtcKf/ywFR4VioFFVBbffLr0eAGw2+OtfYd06z9qlUCgUvU1eHpx/PhQWyue1tXDFFbBNxX4o+gm7dsEFF8i5K0BpKSxeLCMRFApvoKEBnnkGNm6UzzUNnn8eVq/2qFkKhQuaBm+8AT//3Nz2xhvw44+eskjRn1HiogKQbvvuBpHMzD43RaHodYqK4PvvXdtVf1d0lVqsNGDztBkKRac5dAiys53bNE2GSSsU/YGcHKirc24rK5PCuULhDZSUwFdfubZv2tT3tigU7VFZCZ+5qVrRUmxUKDqLEhcVAISEwJQpru3x8X1vi0LR24SEwPTpru2qvyu6wpfsJownSOcfHETF1Cv6B+HhEBnp2h4b2/e2KBTdIToaDK2yxvv7u+/XCoUnCA6GuXNd29PT+9wUhaJd/P3hmGNc293pAgpFRyhxUQFAUBD87W8QFgYREbBokcy5OHGipy1TKHqewEAZnrJ4McyZIwsZXHcdTJrkacsU/YUK6riE//EExzKNeG7lG0+bpFB0iiFD4K23wM9PPtfp5Hg4bJgMkVYovBm7XW4EvvNOs8BoMskwvtRUj5qmUDRhMsFdd0FCQnPbuefK/IsO6upkmh6FwpPodHDNNbKQq4MTT4T589s+R9OgokKOxwpFSzxeLVrhPUybBj/8AF98ISdtfn4wbx6EhnraMoWiZykqgq1bYedOiIqCzz+XSeEDAz1tmaK/8CobGEc0o4gkhRAuYAn7KGYoasBUeD/HHSdzKh84IMfAzEw45RS5YLj9dunFoCpFKryN7dvh5Zfh229lf/35ZxkOHR8vxXEhPG2hQtHM2LGyj+7ZI6tEjxwpnTlsNli1Sjpx5OTADTfI/hwe7mmLFYOV9HSpAezaJYXxESPaXv/v3i03cz77DI49Fq6+WnnkKppR4qKiCasVXn8dnn1WPt+6VQqNa9bIG6JCMVBYskTu0jlYvlxOAKdN85xNiv7FG2zkEsYB4IuR+STxNlv4K27ioBQKL0MIKcYMGwZLl8poBQerVslcYSee6Dn7FIrWHDoEZ5whF78gCxD99JPcHFSb4ApvJT7eNeXOunVSlLFa5fPLL4cXX4Rrr+17+xQKBzEx8tEexcWyvzryMW7bBsuWyTz2HZ2rGByosGhFE1lZ8Pe/O7dVVEiRUaEYKBQXy93ilthssHKlZ+xR9D8OUEoWZYwlqqltHsm8jxosFf2P115zbfv3v/veDoWiPXbubBYWHfzyC+zd6xl7FIru8uuvzcKigyeegMOHPWOPQtFZ9u51LfTibmxWDF6UuDgIqaqSQmJlpXO7wSDd9ltjNPaNXQpFb1JUBAcPytwiFovr6yoEUNFZvmQP04lH3+IWmk442VRwiMp2zlQovI+gINe24GD3x+bnyzA+TetVkxR9jM0m749FRZ62pG1MJvftao6q6CwlJbKf19d71g53ay2LxbVIkUJxJFRXy/V+RUXPXbOt8bat8Vkx+FDi4iBj40Y46yxIS4NTT5Wu+Q4SEuChh5yPHzoUxo/vSwsVip6lvl7mBZk+XeYQefRRuPde52OCgmTORYWiM3xHhpPXIoAeHROI5lsyPGSVQtE9LrvMecFg+H/2zjs8iqqN4md207PpHZIQSgg99N6LgIBYEEGxoCB2sfdeUFGxixVR4RNFQKQJSu8QeqhJSCGN9J5N2fn+OAzbJslusqnM73nykJ2dnZ2wd++9bzuvHXDffcbnFBRQY6lXL6BbN+C991imqtD8iY+nzmbnzlwn165tfOeLHJ07A9dfb3zsnntY2q+gUB06Hcs2R4zgeHngAeogNhaDBwNeXsbH3nxTPtCjoFAbTpwAbr2V9v6UKcChQ7a5bseOnHcNuf562lcKCoCiuXhNkZoK3HQTN5IAhVsnTwYOHmT3SAC46y6gXTtg82Z2jRo7FggLa6w7VlCoO1FRwI036jNtPviAZdFbtwLr1wP+/sCECUD37o16mwrNBBEidiIRt6Kr2XPdEYDtiMcs9GiEO1NQqB0DB1IWYsMGzpOTJgH9+hmfs2cPnZASL75InTtD7VqF5odOB3z5pV5rOzaW6+WePcZdbZsCXl7Updu+nfvWIUPoLFKqDhRq4uRJ7vPKy/l4yRIgPx/45Rf5LML6pls3juNNm4DLl+mcaWrfN4XmS3o6MH26vlR5xw6OsUOH6m7Tu7oC77zDpnB79nCvMGqUonuroEdxLl5DxMbqHYsSaWnUT5Cci56edDhOntzQd6egUD+cPGlewvf22xQhHjWqce5JofkSixzYQ4VAmNfWd4EvvoSNwsMKCg2ESsVmVtU1tFq/3vzY4sXAnXcCLi71d28K9UtqKvD998bHRJFZL03R2REayiD4XXc19p0oNCfOnNE7FiVWrWIlS3h449xTjx78UVCwNRcvmmsgZmbS3rdFwlCrVsDMmfxRUDBFcS5eQ7i704jQ6cyP25qCAgq8FhVx4W7d2vbvoaBgCaalJwA79xkaxOXlXIjT0/lcx47spqqgYMohJKMTfGWf6wBvxCEHhSiDBooAjULTRQo2+viwnMnJqfrz5QyS8HBFZ6m54+LCNS831/h4XbNQkpNZdurqyiqY+thnKihYily5sY9P8wmMpKQA58/zfjt3BtzcGvuOFJoyGg2gVlNL15DazsOJidwzuLtz/DWX741C46BoLrZARJHRie3bgdOn9R3JOnYEnn/e+NzHH7e9TsLly8BTTwH9+zMzbNAgaj0qKDQGffuyBEXittuoF3b2LDtHl5WxNKZXL8oA9OoFrFvXePer0LQ5iBR0gLzlbQ81wuGNKKQ08F0pKFRNejrLng8fZuBvxw6gTx/Od336AJ9+ykBgdUyYAAQYyIw6OwNPPqk0IGjueHkBH37IwLNEt25cN+UoLeV+bscONsaQ48QJliyPGsV94Pz5ij6nQuPSsycwcqTxsU8/rb/EB8M5Nz+/btcy/D4NGAA8+qjyfWppVFYyu3bbNjqR69owLSICePVV42MPPEDHoLUcPszS59GjuS688gptJwWFqmj0baEgCBMAfApADeB7URTfM3n+GQB3XHloB6AzAD9RFLMFQYgHUACgEkCFKIpVbIeuLTZupAOlsJBZBYsXA7NmMTPh6ae5QCUksBS6Tx/r9GrS0jipBAQw6ifHwYPAd9/pHyclAW+8ASxf3jjaJgrXNm3bAsuWcew6OgKvv05xY4CbzXffBe6/Xx/hKylhqd/Ro3ytQsvh68PJ+C8uB88PDUXfVrUL4R5EMm5G1RGZdvDGcaRjBMJqeZcKCrYjOppN3M6e5eMvv6TmbF4eH+t0DDqOGEHtxaro2hXYuRM4coQBmZ49WdKXng5kZVG71lc+oVehiTNmDLB/P3DqFDNT+vSRz1TNywM+/piN/0SR+8C1a+lAlNBq+XxCgv7YkiXA1Kn8aS6UlDBbx8GB/xdKJUPzJiiIQeQjR4CMDCZV9O5t3TVKSzmuaxoTp09zzj1zho9nzQLef5+lpNai1bJ021DSaulS6qLeeKP111NoelRWAn/8QU3j0lJmBS5bxvmytvOOvT3w2GN0Sl+8yOz0Pn2sz3jNyGAS0uXL+mMff0z9xjFjandvCi2fRs1cFARBDeBLABMBdAEwUxCELobniKK4UBTFnqIo9gTwAoAdoiga+sxHXXlecSyCC9CsWXQsAjQC5s7VL3JeXsxWuO8+irFW5SA0RRSB//5j1KxrVzplDh6UP1euA9uOHUBOjrV/jYJC3SgqoqN72DBg/Hg2cxk2TP+8lN1rWjqQl0ejWaHl8L+T6XhvdyKC3Bww/tcTuJBVbPU1RIg4ifQqMxcBoC08lcxFhSZBeTkbdUiORYDldabay0DVWWiGdOwIzJhBvbsePTh/DhrEPcHw4XRQKTQ/7OyYmTJ7NnDLLVVrch09yo62UlZNejqzqAxLqnNyOC5MOX/exjddj8TFAffeyyyf7t2Z4SY54xWaL8HBwA030P4ZMsS6ZIe4OGDOHP2Y+OQTcykBgJVin32mt7kA4Ndfgb17a3fPubnMZjPl9OnaXU+h6XH+PHD33XQsAkBxMRMcYmPrdl1PTzoA58xh5YGfn3Wvj4kB1qyRX9cTE+t2bwotm8Yui+4PIEYUxThRFMsA/AagutjmTAD/a5A7a6akpZk78SorqX9TFy5c4KIsTSinTjEyl5pqfq5cmfXYsZY7MhUUbMWhQ8xKlMpSNmygoR0ZqT9HqzUv7fP2BgIDG+4+FeqXCp0OL269iCcHBuOWzn6Y2dUfD6yz3tpNRB6cYQ8PVC1Q1wHeOAqlZkmh8cnLAzZvNj6WnAy0b29+bps21l07JoZ7gosX+fjMGeCmm+q+11BousgZlAcPGpfIeXszkGdKbcrxGgOdDvj2W+C33+hELSoCnngCOHCgse9MobEQRTY9WrZMPyaefFLe6ZKXB/zzj/nxo0dr997e3nQMmWIo9aPQvElJYSKQIYWF8vZ1Q1FRwaDKmjXyFQ3W7hcUri0a27nYGoBhvPzSlWNmCILgAmACgD8NDosANguCECUIwv1VvYkgCPcLgnBYEITDGRkZNrjtpktgoLkQt1rNiF1diItjNMWQ0lIaEqbaH/36ceGV0rk7dqRGg6Nj3e6hpXItjc/6oLwcuHRJPoosF9395x9m2UjY27PMRIpie3iwhN8WHdVaCs19jG69mAtXexUiA9nh+cbOvjifXYKdCblWXecE0tEBMh2CDGgHL5xHFiqgq/Y8BdvR3MdnfeHhYe7oWbGCRoOUxWBvz+zGdu2su3Z8PPUbDUlLk8+KVGgZYzQ01PxY//7GgWOVihqLkjNREFieV10n8qZEVhbXf1NaelZuSxif9UVVY2LfPvNj0pwbHs5xf9ddbK7Rq1ft3tveHnjmGWaHA/w+PfJI9RIWLZWWOkZbtTK3jzUalvI3FhkZDLBs2sREImnuV6mo5WitpEBVpKUp+qEtkcZ2LsqpCVQlYzoFwB6Tkughoij2BsuqHxYEYbjcC0VR/FYUxb6iKPb1szYvuJkRFsYUfA1taDg6Aj/8YFnTluRk6jX++ac+pb+igg4alclImTCBGWFTprA86vPPuQAD1F165x1G6vbsoahx9+42+xNbHNfS+LQ1MTHcaHXqRIfhf/8Zd0OXyz7s1AkYPJibxS+/pPboxIkUqd+5k+NWLvPiWqa5j9EVpy5jeBvPq4/tVAJu7eKLD/ZYV9txAuloA89qz3GCHfzhijgoOhANRXMfnzodu9Vv385/y8uB48eB33/nnJaZWbvr2tsbO3oAljX36QNERQHr13PtXrKEBsShQ5Zf28fHXA/KwaHuXYZbKs19jAJ0kLz2mn4/GBgIfPGFvhNvXBz1ucaOpV7YX39xnL33nmUleZmZHO+//871WGpGaC3x8ZTiOXWK3yVr0Gi4pzVFLtu3JdESxmd9UdWYaNVKn7ktYWcHzJtHbfuffqIN9Omn3GeaZqdZSteuLI3es4eake+/T43ba42WOkY7dmSCg9OVgphp07gmHznCH2vnMInLlzlmatNUyM2N2bE6HfDii9RYfPllNrt88UWWXNeF7GzaX5GR/PnqK0U6rUUhimKj/QAYBOAfg8cvAHihinNXA7i9mmu9DuDpmt6zT58+4rVATIwobt8uiqdPi2JFRc3nx8WJYu/eosikf1HUaERx3z5R/O47UbS3F8WBA0Vx3jw+Z2cnim+9pT9X+lm+vP7/rhaAMj5tRGmpKN5xh/EYtLcXxaNH9eckJori6NH6552dRXH9elF85x1RFAT98YceEsXc3Eb7U5oSNc7bzW2M6nQ60e+D3eKyE6nitovZV382XsgUPd/bJcZlF1t8rdvEP8TnxC3iNvFitT9DxR/F1eKZevyrrmla2PgUxT//5NwEiKK/vyj+73+iqFbr56dp00QxPb3275GeLoq7doni4cOiWFDAYxkZotitm/H86esrihcvWnbNkhLzfcAXX4hieXnt77OF0OLmUENKSkTx+HFR3LFDFJOS9MfLykRxzhzj8aBWi2JUlGXXvXxZFGfMMH7t2rXW39/OnaLo7a2/xqJFolhUZN019u8XRTc3/b3062f596KZ0GLHZ31x4IAourvrx0T37qI4f74oenqK4rZt+vN0OlF87TVz++i99xQbyQpa9BwqR2WlKJ49K4p79oji3Xfrx40giOIff1h/veho4/V91ixRTE627hp79oiii4v+GkOHimJCgvX3Isfvv5t/R2rzdzYidfJ/tfSfxu4WfQhAuCAIbQEkA5gB4HbTkwRB8AAwAsAsg2OuAFSiKBZc+f06AG82yF03A9q310daS0qYfZiaSp2Ezp3NNeZ272aERKKwkB3/iospfDxqFKMqf/7JboJffWX+nj/8wKwIpaueQkNw6RLwPxMF1vJyZt327MnHISHUyTl1iuMyL4/p/gkJelF6gON5wgR2aevaVdFbbEmcyyqGvVpAKzfjuhMnOxXGtfPC4sMpeH+cZWkpp5GBEahZbCYE7ojGZdxYTVdpBQWAYu6zZnGdBqhj+Mwzxk2mVq5kY7brrpO/RlIS5z1HR85fpl2b/f3NM13i4jgvGpKZSX1lSyQhnJyYpTZ6NKse2rQB2rZl9mN2NvcfERHKfqC5oNNRjzgujlmGXbrIdxZ1cpLP4kpOZqaWIZWV3HtaUkJ3/DjL8Axf+9ZbzA5LT2dn6i5dqm/CkZ4O3HOPXgOyspJ6iYMGWVeWPWAAtSRPn+aeoEeP2nX6VWg8MjKA6GjKN3XqVHeZm/79OSYOH2bFTEoKMxJFkaXPBw6wjDU9nXPxG29wP1pRASxezPv55BOORUVyR8EUlYrr5fbtzGKUEEXgwQc5J4WEWHatigpmlBuu77/+yr3FrbfW/PqiIs59BQXMJE9O5lrQvbttSrXT0vj9efVVfi++/ZZz9dKlzNpUaP40qnNRFMUKQRAeAfAPADWAH0VRjBYE4YErzy++cupNADaLolhk8PIAAKsF7lztACwXRXFTw91986CkhGVPzz3Hx3Z2LAc1nWDi4sxfe+oUS5/PnGEpDMCN1sqVTJdevdr4/I4dFUNCoeFwdqYRffmy8XF3d+PHgYE0mqdM0euB9enDcsFPPtGfd+AAy/n79KGR06FDPd68QoOxPT4XPQM0ss9N7uiNpzfH4e3RbWGvrl4lRAcRMchGKDxqfM9QeOAULtd4noJCaqresQjQiXLpkvl5VUlMnTzJkiXpNaNHAz/+WLPguqsr9wOmpadyDqWqcHOjxATAkqbXXuN+A+D8vG4d70eh6fPPP2zIo9Xy8XPPAS+8oC95rglnZ45d04Y+lo4n0/Ht78/O1YMG6csC33uP3aldXKq+htxeNjHRes3HTp0skxNSaHokJLDb99atfBwQQO04KehcWyIi6HR5/XXj40lJ3IcGBbH0WRT1NpO7Ozus5+ZyfCpdxxWqQ04CJTPTurLm/Hz5pkKHD9fsXCwqAj76SD9+7e0pU1FVYNNaYmJ4D8eO8XGHDiy3fuMN+hAUWgaNrbkIURQ3iKLYURTF9qIovnPl2GIDxyJEUfxJFMUZJq+LE0Ux8spPV+m1CsacPq13LAI0JObMMd+ADRpk/toZM6ihtGyZ/lhxMbMVbrnFWHNBo+FirqDQULRqxcixIYMHmwtnS90fDRsNREVxbLu68rGDAxdR6bmNG+vtthUamJ0JeejiJ2+Nhno4obW7I/4+n1XjdRKRB3c4whUONZ4bCg+cRc3XVFBo1co4G+vQIWDECONzBEF+411RAXz2mbEzcutW6s3VRMeOzBww5N57mR1WG44d0zsWATpM77+/aqeoQtMhJQW47z69YxGgrptpZmt1BARwLBrSt6/lwv8REcba3nfcQWeiod7Y88/LN2mT8PeXb0wk14hGoeWya5fesQgwm/Cjj2qvXWeIXHPMkBB9ZviZM8Aff+ify89nRm/r1swqr2tzTYWWTXg4m7AaMmAAx4+luLvL68b36VPza0+d0jsWAX5n7ruPDntbsHat3rEI0NmYmsqKyjvusM17KDQ+je5cVKhf5Low5efrm69IDBzI0lCNhhu8WbPohJSLEF+4QGNozx7gl1+YyrxvHzeSCgoNyY03sqT/22+BVauYcWhavlRSIm9sJyby3NatgXffBb7/Xv+cXBdAhebJ4ZQCRPhWkeoC4PoO3vjqUHKVz0ucRWaNzVwkguGOWGRDrLI/mYIC6dCB66jkYNy9m5F8KePPz4+ZA5GR5q8tKmITKlMMN+9VYW/PLLB//wW++YbNXRYsMM/8tpTUVPNjsbGKSHtzIDtb/vNLSbHuOpMmcV/43XeU0Fm50vJSvu7d6ZSRnDShocz2MqW6zqL+/myE4OXFx2o1nUpKQ8Fri+ho82N795p3t68N3boxiCJJS3l6Aj//rC8XlfvOnDzJIPfSpcad1RUUTJGqAiVppiFDOJ9a00DFzo6NLqUO4wAdd0OH1vza9HTzY9nZeqmJurJrl/mx6GhWOdiqA7VC49PYmosK9UxYGI0Iw4id5FABmMq/axc7kUVG8ndnZ77O0VE+RXvAAEapPTxqn+WgoGALnJy4+A4ZUvU5rq7MtD1xwvj42LHUNrt4kRk7hmUHEyfWz/0qNCwF2gpcytcizNOpynNGhHlgcVQKLmQVI9ynaifkeWShFSyr8XOHI+ygQjqKEAj5kmwFBYDBvJtuokMwJYVGang419nkZAb8qsp2cXfn3LZggfHxIUP03aBLS4HJk4F+/fTZ2RKensCYMfypK3IZY4MHc6+g0LQJCqJRa5ipKAjWd0h2dORnLpXKV4coskxv/Xpm4E6axA7T/ftT89vJiY5Bw6xctbrmcv/hw6kfHh9PR06nTubjXqFlM3Cg+THTaqva4uzMjOyRI2kfSVqzEnLz4NixrPpKS6OD0xrpCYVrC7WaEk5RUSyhb9XKcmkKQ7p0oV5iTAzn5YgIy8ZdWBjvwVDzuW1b22nOTpoErFljfOymmxiU+ugjfq+GD1f2Dc0dJXOxhdOpE7BihT6SGxzMLIhWrehwXLSIAqpffskFc84cGiyOV3ofREZSjFjKqggPB77+unaTnS0oK+MCbVi+o6BQE3feST3F22/n2J05k450rZalAlIJgUpF8WRbGNsKjc+xtEJ08HaGnapqMVgHtQqTwn2waL+M0J0BZ5GJ1hY6FwGWRp9XSqMVLEClYpnyyJH68lA3N67f1ZXRCQIDI+PG8bGfHzOw27fnnPbaa3Q8DhvGzXt9EhnJDAtJaqJzZ+4rGmuvoGA5Pj7M+JOciW5uzMbq1q3+3vPAAWbSvPEG1+Zhw5hdFhysb8CxZg0bsoSFcV+6fDnHVU2EhfG71L274li8Fhk8mCX0UnbhddexIZbKRhavgwO/GyNH0vFSVMSMr8pKZl999JHehurShc7FJ55g0NqwZFpBoSpateJcV5f1MyCAgca+fS13aHfuzHlWet+wMD42dfZJzTGtZcIENt2S+jNMm0a7/q67WD05fTolOcrKrL+2QtNBEMVrq2yrb9++4uHDhxv7Nhqc+HiWQrdqpU/fP3uWmy9TQfctW7gYSuh0jH7k5TFKZ9p1siEoKOA9rF9PXZ+RI1k6Jte1sIlTbcuba3V81ie5uSwz+OQTbjKHDWPXv3feAR56iAZwURFL+FQqOtCljeE1SI0tmZrTGP3yYDK2xGVj/sDqhY6yistx39pzOPtIfwRq5D/8MfgZY9EOg2CZaNJC7MWNiMBcWCB0o2ANyhxqQn4+5Ur27gX276dBGxLCQOD+/TznhhtYqmpXj/Uqosh5NC+PZa1+fvX3Xk2YZjuHXr7M5hReXvIZWLbk8cfNNRpvu43SJjodpUxefJEZX88+yxK/ujbkULhKi59Dy8tpM2i1HMu1lXuoirQ0zncpKdS1P3WKOvWPPEKHY0wMbayVKzmmJTvL35+d0aWyVwVZmu0c2lK4eJGl0K1bG49VrRbYvJn2d04O8OSTTNooK2O2ua9vzdcuLub3QxT5u2mmu1rNSrMmXhmptK+tBiVz8RohLIwZWoZt5CsqzB2LgHnEQMqq6NdP3rF48SI3idddB3z8MY0LW3L4MMtlBg+mSPNzzwF//cVj1moCKVx7/Pcfx+eMGYwaz5pFZ+K8eXodEVdXOqq7dbumHYstjpOXCxHiUfMH6uNij3HtvPDmjqpVq2OQjRBYbqEEQYMY2EioRkGhGtzdachWVLCM9K23mMH4wgv6qoO8PG7mreXUKeCVV9iR+uefq9e8EwRqSPbpc806Fps1/v787Awdi0eOAE89xdL6lSttp70l1zVXGqPHjzPz1tub2V5PPsn95eefm+uFK1wblJTQqTF9Oius9uwxLt00xd6eWVg9e9rWsZibS43vnj2ZnPHbb7x+ejobDL7wAm2oiAgmRfz6q7GdVVwsb3cpKDQl2rblWhAYyDG/ahUDlCtW8N8TJxiIOnuW1RFdutBHsGoVpViqw8WF9lZkpHwX7MpK5TvS3FGci9cw7dpxoTYkIMC6aEFuLvDww4xCb9nCTejs2bbbACYmUqNh2zZOWNu2MRvjzjuZjXnhgm3eR6FlIoos658xg1kQCQnc8H3yCY3ukSNpyCi0TE6kF6FtNXqLhszqEYA/ojOwL8nc6tWiAmkotEo/sRXccE4pi1ZoAEpLGeRbtIgNXrRayj489BAdMwDXaGtLROPi6OR5+21g40bg7rvp4KnOqFdoOURHA6NGMWi8fj1w6600Lm3BrFnmxx56iA5qSftx4ECWyF2+zBK8xx5jsFDh2mP7ds5Ff/wB/PAD924HDzbOfcybR2diVhbw4Yd0MkpBnD//1HfW7dFDf1zi6aet6/yroNDY/PknNUv37TNudhkSwuSjTz6hXRUfz/MOHbL82p07m38fbrih/jPnFeoXxbl4DePiArz3HrMcunalJsk//zDL0RJycqib068f9RIkDYVduxjNqAtZWdxQxsfzX0NiY/UZmHLdrBVaNqWldAhu387xUR2CQP0mJydqKRp2I/vzT2b5WLMQKjQfRFHEmcziapu5GOLhZIcnBrXGzb+fwuGU/KvXuJRfis2X0+Bf6Qo7K5bM1nBTMhcVGgQ7O2okJSUZH09OZgbhypXGOrIZGfLN2kw5edK8OuCjj2qed21BdjYNmb17lWy1+qC8nE68bduqrjY5csQ8s+SNN6rPXrWUIUOADRuAESNYlbJ6NR2ZACsJevaU1wn98Ufjx5WV7HRti07ACk2TsjJg4ULjYxUVrGBqaOSc69u2sRERwLGbnU05ipAQVlvdeisdjZK2fVkZ59WSkoa9dwUFa8nIAN58k797ehprQI8dK/8dPHBA/3t2trkNb0hoKAOX8+bRD/H66wxmaZQ+iM0apVv0NcDx40xhdnCgcyU8XP9c27bUTnj8cTrq1GrLrpmQQGfNxo18HBkJvPQSMxzqQmEhI+QvvcTsiyefZCep1av156hUdBrNnk3HkcK1Q0EBS09ee426TD4+wNq11XenvOkm6iseO8Zo9003Aa++Sgf10aM0vn/8kRvBPn1YiqXQ/MkoLocoivBysnyZGxzigfJKERN+PQEPJzvklFRAJQBqBxE5uu44PV2LLsGW1c23ghvikQsRIgRFnkWhHrGzY8WBIJiXPkuC7gArDdasoYNIFFnuXF0XVbkyaktLqy9dYsfLrCxmJ/TubbnkRFwcg51bt+r/hp9+Ysm1Qt0pKWH21/z5dM65u7OczZJGZqJoXXn98eP8cXTk+ip9hs7OLHkeNYrXM8zw6t2b9ySnSxcRof89Lg744guW63fsyGD5sGH6QLdCy6YxWgbIzUFBQfps2/nzqUGXkMDmFV9/DSxbRntGowFOn6aG6IYNwKBBdNxIQe+SEs6Z589zX9qnj+269Co0LuXltDeioxkI7NuXjrXmgChyLp47l+PYz49Ox4wMjn3TAKSfH8v/N2ygLV9YCDzzDL8XctJq3bvT8V5crHRSbykozsUWzv793LxJGghBQcC//5qXPlv7hd68We9YBLh5HDCADpqQEOMNoDXs2UOn4ZQpjAB+8AFLrTdvpk4ewMV72DBOSMpEdG1x/DgNYomsLEaCd+ygo9GU2Fh2IysooCHj7c3N2y230GB97TWO28cf5/lPPslMXiUjtvlzPqsYbTycIFhpaY4I88TgEHckF5RB46CGj7MdVgtn8W9SCV76Xzp+fKg1vFxrjsK4wRH2UOEyihBgRTm1gkJtGDiQ89gnn+iPPfGE8Vp/7Biwbh0zvbRaapd5eQE33yx/zR49uGdITdUfe+qpmqsbUlOBO+5gibbEihXmMixVsX693rEIcF+wciU7wCrUneho4NFH9Y/z81l9cuiQsTOjVy86+QyzF6XAnCXs3QuMHs2xBrD87d9/jYPCTjKJ5WFhwDffsAJmzRq9PqO7O0vzi4pYOfPtt5QCAJjlet11LJVtho3+FKrBwYHzzrZt+mNqNXXXG5pp0+gIycnhY42G353oaDpOli7Vl0Vv2sRqsHnzKEmRmcnAjqcnEzLWr6em/IEDbJb5++/spCsxYQKv1xhNNBVsy+bNLPfV6fi4d28mzTR1B6OfH+f88+eZPFRRwdJ+SRdx7lzaU5JGYvv2TPbYv58ZuwADS7t2MbFp0iT591GrFXu+JaGURbdgystZSmAorpqaykmuruzYYX7syBE6A5cutaxjlBwHDjAt+tQpajjecQejI1u2cCP57790Lo0bp3RbuxZJTDQ/Fh1ddYnfuXN0Ui9cyLH/998cm/PmcVy98gozISU+/rjuJf0KTYPzWSVo7V677jz2ahXCPJ3g62IPQRBwCQUID7FDzzAnLNmWY/F1WsMdcbD8fAWF2uLqyvls82aulf/8w6wBFxfOfe+/z/VUMhI6d+brvv++6mu2a8frvfgi19yffqLuXU0VDkePGjsWAb7O0ElZHXJ7lA0bGidTqSVy6ZL5sZQU8/K1bt3o0Hn8cQbnfv8dmDnTsvfQaplJKDkWAZbpW6qZ2L49DdG9e9kU45dfuD/Uammk9+7N673wgvF7njlj2fUVmhejR9NZd/PNdMBt387AcEMTGclgx7JlzJjdt4/jdP584KuveF+GGNpK58/TubRqFbNrFy2io/zCBTok5883fu2mTYomeEsgK4ufreRYBGgvR0U12i1ZxS238LtWUMDs2rfeotboF18wC3P/flZ//fEH1+7wcI5dgBnl777L7tBz57KKLDm5cf8ehfpHyVxswWi1/EKbYgu9pFGjuLgaMnkyG2fUhQ4daABJfPQRnY39+rGEQOHapk0b82M9elTdmVSjAR54gGUo5eU89v33jKTdfz83iqbIdS9TaH6cyyxGKzcHm1zrEvLRHf7o3dUFC9dm4b7RXvBwqTl7MQhuiEMOBiHEJvehoFAd3t50Apryyy/6rL+UFM6H775Lx0zbttVfs1s3GgTWINcJOCODZU+WMGECMywNmTJFKXe1FSEh5iX0wcFs6GdK797GWsWWotXKazlKWV2W0qWLPvv2zBnqfEnj6Ndf6fQcOVLv1HF1tf5eFZo+zs5s6DJ+fGPfCQMzUnBGwsGBc5SphrekI5qby+zL/fv5eOtWylU9+CDHbEkJzzFFbi5VaF4UF8s71OQ+76aIl5e+67pkH5WV8V9fX2ol9ulj/Bopu/2ee1gSLTlWX36Z687LLzfEnSs0FkrmYgtGo2GGlikTJ9b92uPGUbtOYsgQ6inUluPHgeXLudia8ttv+pJohWubHj2YDSFlzgQEMEunKp3Erl1ZjiI5FiW++446ZaYlCUFBiq5XS+F0ZhFCapm5aEoaCuENZ3i4qBHRyhG7zljmJQmAq5K5qNCoZGQww8AQUWS3U39/ypDYms6dOb8aMn26sRh8dVx/vfE+Zfhwluiaajsp1I6uXZllJXUP9/KiA7q6cuf0dDp8v/ySlSQ5NUxr7u4M7Jki5/y2lNOnzR3UmzYBQ4fy9379aAQrKNQVqdLlyy9ZMWWJI2jGDP1YBJhhe911/D0uTu9YlMjMpKO/Sxf+e/31xs87Oiq68i2BoCDg3nuNj6lUnIebGufOMWjz3XfMrJScgu3bM6FIKl12dmYlQ1USaOPGUQYjJ8c4YxPgfsQWTcEUmi6NnrkoCMIEAJ8CUAP4XhTF90yeHwngLwAXrxxaJYrim5a8VoEOwKwspjC7uAALFlTf/MJSQkO56L70EiPgYWG1b4Rx8CCjeyqV/Ga0dWtGBRUU3NyoIzZpEo0Md/fq9T19fOT1wXx86Hxfs4ZZPDt20EH+4YeWG8AKTZvY7FJMjailPoMBIuhc9AGFOLuHOmLrqSJM7lOzQEwQNErHaIVGxdGREiKmnaTbtKGTqD706Xr0YBnz/PnMXrv9dpZWSw1d8vMp8u7vb+6EBJhNuWwZ7+/0aUpfjBvH6oglS2ovu6JAnJyotzl8OB0coaH6dVIUafg5OdHpCDB76umnaXRKvPEGM18lB6Uc06axW+hHH3G9fe+9ulWgyHUQ1WiYNbNiBUv3lPVboa7k5HDu+v13/bF33uFeUW6+kggPZ/fc8+cZAI+I4B4VoDPGzk6vTSfRpQtLSwHK8nh6Ul+2Uyfq51rjgCorYzDJw0PpttuUsLOjnrsosny4dWt+tr162eb6GRl04MllnlvDmTOUH5Acf/b2dKwPH87HkyeznDslhe8VHk67XY5u3bh+G2onS/j7y2vtKrQcGjVzURAENYAvAUwE0AXATEEQusicuksUxZ5Xft608rXXNEFBTD+OjqYO0j331F00tbKSujmTJrFE5ddfa19KKorsplZcTGNDozEucVWr6cA07CSocG0jCDSU772XDsGXX5bXYpRo1466H4Y8/DCNnl69KKp84QK7Tpum9is0T0RRRHxuqU3KonNQAkeo4QSmy3Zu7YjTyVqUlOlqeCXLomOVzEWFRsTdnRqLhkZA69bMDKyvxhcqFZ2BO3fS0P7mG31G+M6dfK5LFzYVkZNuARgUveceOrFWruSxdev0XVkV6obUYXz4cL1jMSmJup3dujEDa/16Oiyio40diwB1ty5cqP49goLYDOD0aRqld91VN6dHZCTXfEMWLABuvJGZsXKyKQoK1hIdbexYBCjPVNVcZYi3N5tr9eundywCnP9MS0FvvNHYwRQRQefThQvUOh01ynIpiLNnKfXTpQudQKZZkgqNS7t21Hc/d46fzeTJ1QdmLCE/n7qffftyHH3+edX685awdatxRmF5OfcOhn0bOnTgmhERUbVjUaJzZ2buGs7LgsAgk6dn7e9ToenT2JmL/QHEiKIYBwCCIPwGYCqA0/X82msKQbBtNHf3bmoiSRG4RYs4+Xz6qfWTpU7HcgGJ999nhNzVlT/9+ysOHwVjoqK4MEup9u++Sye1qQEtodUCDz3E57KyGG07dkyvZaPRKFHelkZaYRmc7VVwsa9ZF7EmUlAIX+iFvBztBYT62uNkohb9O1Qf9QiCBheRW+d7UGh5aLWcy6KjaZD27Vt/zpFRo9iE4OBBZrUMHFh9xret8PHhj8SpU9RMk4yVxYtpDP38s3kAsaSEP6Yomrj1gyiyFE7S18zOpobc3r0M/JpSUWGZXI0t95+BgZTP2b+fjtCePfnd+fFHVub07avImijUHbnxXl5eN3kme3sGUwYOBE6epLNpwABed8MGBsg7dOAYtraDcH4+tRsl3dEdOzjPHj7M/a5C00BOiqku7NoF3H23/vFjj3E+NOxbYA1yDdcSE7lXqS7TMD+fY+3CBaBVK45hSWIjPJxNXvbvZ0Zwv358XqFl09jOxdYADIt1LgGQ6/81SBCE4wBSADwtimK0Fa9VsCGHDzOiZpra//33LCMwzRCrCbWaupBSZ0mpE9Xff9OBpKBgyokT5hoeX38NPPIIFzZTpI6nUhZNSgo7Dla1yOfm8tw//+Rmb+rU+svwUagf4nJK0drNNnqLqSiAN4x3Vu38HRAVV1Kjc9EfrshEMcpQCQfU3dGp0HJYv55dGCX69GEWdUgIHT22bF5ib0+jduBA211TjuhoSk2cO0dJlhEjjOVSzpwxzoIAOM++/ba5s7NNGzpFt23TH3NzUzTIbEFeHoPEf/zBEvQbb2Sp2tdfG58niqx4mTiRpeiGWTE9e3JtbWhCQ/Vr944dDEBL+9GQEJbiNYTjXKHlEh5OSQBDXdF+/Swb79XN3d7exk1p8vLoEPr5Z/05b7/N8mtrEjXi4827VOfnc40JDGSpq7+/5ddTaB788Yf5sa+/ZhZ3deMnO5tz5+rVXE+nTmX5/Zgx5s3bHn5YX7Yvh04H/PADy74lbr6ZWvhSYLFjR+t9AwrNm8Zu6CI3BYsmj48AaCOKYiSAzwGsseK1PFEQ7hcE4bAgCIczMjJqe6/XHJmZwMWLxtkDS5fKd+b18+O5teG66yjwGhTEzeGSJcCwYbW7VnNEGZ/WIZdOHxQkXzqflcXM2KwslvPfcQdLR1atokC9HH/8wcX2559Z0jV6NEtOrmWa2xiNzSlBkI06RaegAN4wHlztA+xxKqm0ilfoUUMFP7ggCUrLx/qkuY3P9HTg8ceNj0VFMfixZAmdak8+yUZnEmlpNCJNm1M1FWJiaJy8/DKbg9x8M+dZQ+QkWTw85Odud3caSnffzdcNGwb880/zNVKa0hhds4bB26VLWe45ahQdKXINXTw9WTa9eTM1FN3caLz++qtxVqqliCKzDpOSjLtVW0txMe/dMNCdlKQPVNuK8nIa4rfdRifsP//IZ9Q2d5rS+Gxs2rfn5zx6NMf7zJlsXiFpkJpSUcExMnMm946bNpk3HpLIzaWtVFBAuQBDxyJAGQhLyq8NcXaWzyzLz+c9ffaZeUJIc0QZo8bI6cm3b1+9LiigX59/+YWyY+PGccwNGEA5gPBwJlQsXszS5urmvthY6ikbsmoVA43WUlbG70ZVtplC86GxnYuXAIQYPA4GsxOvIopiviiKhVd+3wDAXhAEX0tea3CNb0VR7CuKYl8/Oc+YghE6HaO/gwZxorrjDr1zxdWVmQddTNQtF2/MxYGI43iqcjP+wllkwvL6AV9fRkeOHqWBdc891UdKWhotYXweRSoWYg/ewHbsRRIqULMeXW3p29fYwBQEYOFC442fKDLj5YsvOH6//JIlBAsX0tE4eDA3d6akpVFzypCsLI7Na5nmNkZjs0sQ4Gob52LqlU7RhgT72CE2vRyVupqtY6U0uv5pbuOztNR8Ax0Rwc37vffSUF20iJrGZ8+yWUWvXtz0P/ZY7QN59cmxY/q/qXufCry/NwGHp2zDhxX7cQp8IjLSuJsqwGYfVWWRR0QwA+LMGZYO1qUZSGPTVMZoRob5GpeTw8/v/feNpUU6dAAGDhJxGCnY1GsXeqzYifUZl7D0Z7FWnU4zMrgGd+3KPeTChTxWG0pL5bWWbd2FdP9+Opl+/53NOiZM4PezpdFUxmdToV8/ft5nzjDgY2rzGCKNkd9+Y9XVxInmmYQAsG8fAzDt2rGTdEmJvsmVRHm5cVl2McqxFRfxIv7D5ziAMzD/wrRvb55xNmqU3sGzcGHTXDOsRRmjxtx0k3GyhbMzJaCqq3q4dIlJE4akpnL+d3EBRtxahHdPn8GYI5uBO49j7fHcaue+4mLzagTAevmSuDj6ATp2BHr3ps6yVmvdNRSaDo1dFn0IQLggCG0BJAOYAeB2wxMEQQgEkC6KoigIQn/QIZoFILem1yrUjpMn2axFypBYvZqL3apVNAxuuIEGzvTpXBx7jS/EO11W4YAdq9Q/xj68hGF4DSNgb0UpoNTpKi2NE11ODlO2u3evORKj0HhEIQUj8BOKwAHzJnbiP9yJkWhbL+/Xrh2wcSNw6BDHSGSkuS7nmTPA9dezvCQhwfi5VauAN9+U16LR6fTj3tWV2UV2dhzncXGNUwamYD1xuSUI0tguc7EtPI2OOTuo4K1R4+LlcnQIrP59AqBBvOJcVDCgVSs6Eb/5Rn/sxhupW2xIZiZw4AADbhKLF1Mj1tQR1JCUlVGe4vx5Vi307KnPjHF0BO78OQ7Pdvnf1fPfhRN2YTa6Bvlj+XLO3ampbBxSk/6SgwMb0CjYhspK+exXrZbO7D17uP/y9KSD5XJYMkbgJ2hRCagAe8cd2Ia7MQShuHyZ52Zm0ijs0YOfV1X8+y/w3HP6x889x2qVmTOt/zu8vakz98wzxsdtXfWyYoW5DMunn/L/StmXtmws0ePOzaVT0XSMLFrEjDCpPDU2lnvS3Fw+3r6djp65cxkElzCVG1iH87gNK68+DoArduIedITv1WMqFbu/R0aycVJpKferP/3E5ysrze9PofkTGUl5i6gozul9+nD8VIehjWNIRQVQhgp8iL1YaLeXB12AAQ+2wR1Jt2LZp9QdN537wsKYrLF3r/5abm7VS1OcOkXHt4sL7zcoiJ3Sv/+ez6ekALfeyrVo8GBL/icUmhqNujSKolghCMIjAP4BoAbwoyiK0YIgPHDl+cUApgF4UBCECgAlAGaIoigCkH1to/whzZykJGZmFRYyOpeYaD75bNnChdDfn1lhkhFkbw+8NOnyVceixAfYg1nogU4GC6AlpKYCs2czgwOgJqMUCVRomqzD+auORQDQQcRC7MUQhFrlXLaGdu2qd/SdP88NllwETxCAkSPlSwpataLBf/o0jZfiYpaUJCfTwN28ufoItkLT4GJOKXoF2qZLT5pM5iIAhPrY4VyKtkbnoj9cEad0jFYwwN6egQ8HBxqA7duzeYarKzf5arW+82NZmfnrlyxh2bRcGWtD8NdfLJWSylpvu43lVe7uwOipWizruM3o/ByUYhcS0RX+CAmhQ0mhcQgMBF54wbgs39mZ2SJy2pyf4RQdi1cohw7fIAqds0Px8MP6Tt6CwN9vvrnq9zYtAQVYmjdzJgOCJ07QaO3Zk9+Jmrj9djbZ+PRTOkM/+IClfbZELbOFUattq4mq0DzJz2fmtVwDGDs74zESG6t3LErExFCe4NQpNtuaMIFl0ZLcQCaK8Dz+NXpNOopwGKnQnvTFqVMsh+7Vi/vZMWOooTp4sHFm/P3387hCy6NrV1iVRR4czKDO66/rj3l40FEZgxx8jH1G5x9wScDEGzOAK85FaVynpNBvkJsLLFhA7eTvv+dYXLiw6kZCe/fSOSmVV0dGUmJj6VLzc6OjFedic6XR425XSp03mBxbbPD7FwC+MH1dVa9VsI74eIrKHznCx/b2nCTs7Y0djD4+NHykKPP/riQllJcDjh6VZtcthw7lMD9eE0eP6h2LACNuDz/M7A0lC75pkgPznPhslKISIqxsHm4zpBLpzEwaKbGx+uceeIAZGXLEx3OhNPw+fPABHQHJycziVZyLTZ/43FIE2iBzsRIiclAKL5OGLgAQ6GmHmDQZz4/pedAgFtl1vheFlkW7dozWP/ccM7BvukmvteXiwjK3Dz6QD4K0a8f1uDG4dImlV4Z6eStW0IDduhXYf64SH9uZ1zMVoubvikLDcPvtXCMXL+b6+PDDNPLkyIS5eFwmihETK2LlSr33RBSZSThwoHxjNYBVKJs2mR+LiqJjJO+KNG2rVgzk1WQ0t2rFEr+5c+mo97Uulm0Rt91GWZVKg+3s44/LOx0Vri1OnWIDloUL6XQx1DWcP984s1VOK9zenpIQa9dy7Pv6GmsnlkOHApl5M6e0HIMG6btXh4ezeUt4uL6B4fff026aNYtZ8dVlFCtcO6hUbKLaqhUbsURGcu3u3Bk4hkpUyrSuqLTXD+zHHmMS0KxZ+hJptZpj+NlnmbXo7i7/3kVFlOQw1G08fpx7irAwfp8MqY/5XKFhaGzNRYVGJDubGiCSIwWgs/CttyjKbsjnn9Ox6OoKvPcedZBuuIFRu/EhvvCHsaUzBR3RDlWoH1dDVpb5sfh4+cigQtPgRpi373wcA+DUiLGLbt2AGTNoPM2cyU7SN90ErFsHPPGEuc6NxMGD5t+Hn39mOQtAyQCFpk15pQ6Xi8rhbwPNxQwUwQ0OsJNZKoO87BCbbplzMV5p6KIgg50dM6L37jUW8S8uZgnpzp0sNzV0/NjbsyS6qg18fVNQYNw1WCIri2VZD810wVM6Y3FENQQMRRXCigoNjq8vcOedLM1curT6bL+70MPs2APoi4R489S9y5fltYwBHr/jDpZOS3h7s1Jl8WK9YxFgVsy6dZb9LYJAQ7m+DNEBA/g9nDePzYX++w8YPrx+3kuheZF9JWb4+ee0i2bM4D7z77+BESOMz+3ShY4ZQ955hw5BNzdmlJk2ZQmCG56C8VxqDxUcogOvOhYB4MIFYy28Hj2YzbtrF/Doo8xMNs2aVGi5ZGUZdzo3JTCQAZlduzj3SrJS7eCF8TBOGW8latC2xM9o7jtyxHi8VVZybDs6Vr8vKSoCzp0zP37qFAOths74fv2YTa/QPGn0zEUF68jNpcZNSgrT3Hv0sD6DIS+PZU3vvceIlimxsXTI9OtHse2ICGMdh9BQTkxz50pHvPAPZmER9mMfkjAdXTEbPeEK6437Tp24WTTMirj11sYr/1KomYFojQ24He9gFwpRhmcwGBPQodHu59w5ljXPm8cMjexsZkckJ9NpnpnJDItZs8wbCVy+bH69hAR9mdgtt9T//SvUjUv5Wvi62MNOVfe6tTQUwkemJBoAWnnZ4eLlcoiiCKGaGrlAaJCoaC5eU6SlscSzoIBGZefO1Z8vJ7Z//jwdLOPHMyvg6FFer2vXqrPMDMnOZlZAaiqzWSIj5bsyW0vr1pSVMGxYoFbrm2wJAnCr0AUOUOMT7EcAXPEShqEvqkhnU2g07C0oLRiKUKzBbViA3aiADs9jKEYhDLHh/NwNM/rGjTPXx9TpOFZefJGSO/fdx4zcvDyOSRcXfldMOX266nuSNLtcXbk3DQ625K+tHWo1S/OU8jwFUzp04JyamAg8/bTeVmrblhVYdna00UJCqN342mtMyrh0ief06sVzMjJo12VkmGuX3oNIaOCAr3AIbeCJZyuG4uXHzQ2i+Hjjx4LAsu0//mAwysWFiSMTJ/J3hYYhPp7rcHk57ZDqtAjrSk4OKw/ffZdz+xtvsOy+Kt1Q0/nfHY74HBPxI47iT5zBEIRgvjAQkWM9MHus8fuYkpDAfYxGI9+5HGD14V13sTrMkF69uKc4cIDzvrs7jynyKc0XxbnYjCgq4qSxcKH+2Oef01FiTYnGtm2MwAJ0sJhy990slalKM0GOngjED5iCQpTDA44QUDvDPjKSi+Ejj1Az5IYb2HyjqslKofFxgj0mIhwjEAYddNCgirTABuDgQep5SNkT/fqxZC8pCZg6Ve+0fuklGj0vvWSsi9PDPEkDkyczs+idd9iBT6FpY6uSaIDORa8qnItuzmqoBCCzoBJ+7lUvpT5wRjZKoEUFHC1Ycg8cYGb42bNscjVjBjBtWuM171CwjqQkrqs7d/Kxiws1i6tzTowcyWCfIRMm0Cj85Re+fupUy+8hP5/lR199pT/23Xd07NRVK87dnWWi8+fzvkJCgK+/Zra4hB9cMQe9MR1dYQ8VnBtNIEOhrrjCAVPRCWPRDiIAzZWgcbduwJo1lBlJTuZ4/egjc0P26FE6yKWS0bffZvncggWc4yZO5Bp78KDx66oa73KaXatXK5pyCg1PRAQDQPffz6QMX19KDIwape+CHh7OTMaICGbqjhljfI3MTJbZS1JTgsAGMdOn83Eg3PAI+uNO9IAj7OBkZ4fJk4C9e4yvI5dNu349JSwkpk1jRrzpPSjUD9L8Jjl+PT35/2/agNJW/POPYdIPk4Q2buTcbCnh8MG7GIPnMBSusJfVzZdLApo8mWP/wQfZgK4qvfu5c5nE8dNP3EtIOrlqNTMVlWzFloFirjQjTp82diwCjJZduCB/fhkqcBaZOIdMI/3DH37Qn7NqFaNZ/v78ct99Nx17tTFk7aCGJ5xq7VgEGK275RZq8Bw7xg5o58+z85lC08YF9lcdi1WNvfqkpISOaMOyrEOHaLTs3m28EAL8Hpw9a3ysXz9g+XL992HOHJZRr1lDEXxFA6Tpk5inRYCrbZwZqSiU1VuUCPS0Q2KmTOs9A9RQIQAaJNZQGl1RwdKSqVNZXjJtGrMY3nwTGDqUBrxC0+fAAb1jEWCJ80svVS/tMWgQHcre3lwD776bmQ5paSxBMiyZtoRTp4wdiwCdgYbas3WhSxfuHc6f5/w6aZJ5gDM7Gzi6wxEbV9njxAnjDDeF5ocrHK46FgFmXE2ezFK5NWvoYJHLhDx+qtJIiw6gczo5mVk20dGsxJk3j1lg7u7stDtypPm1ioqosWiq2WXYqVRBoaEQBGD0aI6/c+foyPntN71jEaB9tqGazgAnT+odiwD3qQ89xCCVIRmZIv7dpsMfqyoxfjzncwcHrhnffcc1xJCSEuMu1BJr11r9ZyrUko0bjTNKc3PN9VttRUWF+ZoPMLnCEO0V2+wCslAB+RbiAgR4wqnKhpy9enHubt2avoIbbmBW5qFDDDhu3Mj9walT5nZX27a8z3PnOHfPmVNzR3aF5oeSudiMkPQ9DNFqjbVqsrK44ReC8/Fz6134VhUFAQIeRX88g8EIgptRKWhUFCe/t97idUJCqu/Ca2tycpiVaFquVVjIyJ2kzxAQQJFiw8yyixeB/ftZ9tWnD9C3b+OJ3CvoSUY+3sUufAPzsVefFBbKl1fFxMjrgPj7w8zocXZmtG/kSHabDg62rHRMQqfjmHZzUwS0G4v43BL4utjmPz+lmrJoAPBzVyMxsxx92lVfbxoADeKRi3D4yD6v07GEPyGBQuyGm63rrgOWLWPm244d8g0+FJoOck7gkyeZTVjVJtrdnRH9yEhuytev14ubq1SAg0slUMVGXw65vUJREe/BlKQkrqMJCVxfBwxg98ia0Giqrm7IymLg86ef+NjOjlIsknatKRUV3H+4u5vPt6LIOTw5mfuAjh2VZhoNTVkZ11dPT33gOSWFQd/HHmN2IsAmMf/+q88+2YUEpLtqAJN5z8eH+75Dh/j4l1/4uT7yCCVw5s+Xv4+iInaVNsXUEaOgUBNaLceTt3fdr+Xvzx+t1jwDF2CiRFXI6cxnZemD5JXQ4b+Uy/j0KU9s+I37GgcHOvUff5zzpakUAaDX8z182Pi4IjHVcMhJOxw/zvnUUomS9HQGYJKS+NlFRnIdNEWlki8jNpSMSEAu3sAOLMVxqCHgaQzGfAyAP8w3JtnZrLqQqxp0cKC2qK8vHYnbt9OHMGMGx+6kSfrzNm6kA9709e3bm11WoQWhZC42I9q3p9PCkLZtgTZt+HtMDL/wEyYAa8ov4GvVYVRCRAV0WIT92AKm/91zj/HEVlLCRfaFF6ru8mdrUlIo4Nq/PzBlCjM9dAZBlHXrjIVf09OZaSZFQZKS+Lfefjvw1FN0Bq1a1TD3rlA963EBX8F47G1G/aee+vhwPJgydCg3foGB+mMqFdP3335bPisoKIjfLWscixcuAM88Qyf37NnVbygV6o/4XC38NbbJXExDAbxrcC4m1JC5CAABcEV8NbqLL7/M8fPWW+YOKJWKzRemTqWmmSLM3rTp3t382MyZnINqom3HSpyJLzfqmnj300UoD8+o+kUySFpghnTpYq4xm57O/cD06Zy7xo8HfvzRPNvAWo4d0zsWAToP581jINCUM2fooOrThyW2ph0jN26ks2rUKOqZ/forszoVGoajR6mT1acPO5vHxNBAHjOGGVmSYxFgYO3DD/n5xCMXN2EFMvvEol24cYbMRx9Rf+vWW/XHzp9nZU51DQH8/OTXeMOSfAWFmti/n5UBfftSly4hwTbXdXTkWm3K5MlVv6ZjR+NGFgAwbJjeKXQgPwMHT1Ziw296L09ZGXDPPSJcXeUdiwD3rk8/bbyH9fSsOsCjYHskJ5shpvZ3deTlMRNw+nS+7vrrmfkoFzxUqRicMWxW6eYG3Hyz/vFKnMYSHIMOIsqhwwLsxg4YD/6EBNpF/fqxinDfvqrvz88P+OQT/TldujAJSKKsjKXScg3gFFo2SuZiM6JDB72+x7lzdMx99ZXeafLnn+z+NGyYiH1h5m1t/8Rp3IVI9OvHNP6dO7kZtLfnhPX111xs6xtRpKPw1Vf5OCaG97J/vz7ibdixV2L/fm5aHRy4oT1+3Pj5J5/khrehHKQK8iyH/Ni7GxZ0IagDKhW/GwkJLAWQBKz79GFEbc4cOrBLS7ko/vsvhbVXrgSef75u752fT2flf//xcXw8sHUrx6zk/FdoGOLzStHZz/pO9XKko6ha56K/hx0OXCip8vmr51XjXNy6lfPh4sXVZ7vefDOdM7ffzsy2umrnKdQP/fpxXX7uOWaf3HQTnWemBqQcxZ4F8Pr4MN64rTsyzzvDv3sRDvc/iFOOoeiHwJovcAVTLbAhQ7jGm8o6nDrF8WfIyy+zzKkumQVyjbEuXeI8aZg5k5lJfUppvf/xR2bn7trF8+Lj+bxUUl5Wxnm8d295J66Cbbl4kQ5nqczzww+5hsbFcWynpJi/5vBhBqsTPfOQhRJ82nYTntqghuP+NihId8Do/g4Y25eOknHjqL+4aBH3hY8+So2yqqisZNBv2jTqLLq703hNS6uHP16hRXL6NDU7pW7Lr7/OcfzFF9YFk6vippton33zDef8556TL/GX6NqVpcrz5jFpYtw4fh8kJ/vJohyUXjaveIiNFZCfz71sVQweTFtv3z46nQYPVhzxDcmwYQyYvPEG165HHzV29tVETAxfK2W3VlbSphk+nGPYlIED+Xnv3cuxPHiwfp0sRTmW45TZazYiBreiKwDa1wsXcq8AcJ7fvp1SL3LjJiKCFQn33sv1XS4oee4c1wpFUuraQnEuNjOGD6d+XHY2MyE8PXlcp6NoMAAkJgoYmxmCnf7GEYkB0OdH9+zJn+JiOmNuv736cru8PBoGAQF1L/dMTeXiaUh5OZ2FknNxyhSWAhpyxx3695Y2Bobk5NBxpNC4DEGoWTRsAKoIr9qYdu1ooL72GjdTYWF0wkyYwIXd3p5jqKiIDsW//uLzzz5bt4YZsbF6x6JEWho1HRXnYsOSlFeKANe6l0VXQkQOSuFZTYMiP3c1LmVVVPm8hD9cEQfzFnslJWyyMX8+SwprYt48aoB+9hlLohSaHm5uDDRMmMAyudBQy7tzusERR1pdwM837YEGDihAGQDgftTQbtoEQaCTc8MGzmv+/vIZYXI6kMXFdV9Hw8PNBd9HjDAvyYuJMQ8kxsYyiy0oiHsF086UFRUskVaci/XPmTPG+nEAjeStWzl2OnQwf80dd3Bf6gNn2EOFcujwQYd1sOuggivsMRuz4XxFxzYwkI3S5szha8PCqnfwVFQAP//M/e5zz3Ed/+EH4MYbbfHXNgypqfxOypU2KtQ/0dHm9sMPP3APWNuASmUlP1cXF5amLlrE9VmlojO8OhkHtZoO9UOHaGe1amVcvaBLc4VzeAEAYy/ihIkiAgOrjzCqVEwYaYikEQVzfHxYWXfrrRwjoaGWBRkl8vPlK6uqkoEQhKqbojjADgMRjCMwLh/oZRC0vHSJTnFDSkq43np4UELAUHpMEOgMP3iQPgm5Ev8bbjCuGlO4NlDKopshvr5MpZcciwAXESn1PiEBaLunO1rr9DXU7eGFm2UMFBcXoHPnqh2LoshMgtGj2SFq3jxu/KsjMZGZNX//zci3KQ4O8ppOhqniI0fS+WNvz79t7lxGBCW6djVO/wZopCut6xuf29ENwQb6iu3giVvQpcHe39mZY7VtWy5+Fy5wYb/rLo7n0lL+npZGA2ny5Oodi6dPs+T+33/lM3IAjkW5DaSl5Q8KtkEURSQXlMHfBg1dMlAENzjArppl0stVjfySSmjL5YWxJQKhQbxMQ5eFCzlOTcXYq0LKhHjzzaobeSk0Ddq25TxkqWMRALzhjM8xEY6wu+pYvBmd0BvWpePv30+DtWdPVgikp8uf17mzudTKpEl11/Xs0YONsSQ9swEDgM8/N3dwyuk5GR4PCjJ3uktaYuXlrGBYuZKVD4pcgO0QRTphMjOZ2TVzpv656Gg6inU6ZrQ88gg/L0FghcBdd/G8jvDBIoy/+roK6PAuRqOTif6inR2d0eHhNWeOOTkxU/HQIeDdd4FPP6VTx3Bv2FS5fJmZn9270/hfskReA1WhfpHbk2k0tc9aTEigpETnzuyAvmIFs8Z1OjrfLdWHlfRkTWVR3BL9URR6GW/8kn3V5hs8VIf33xMUjflmgCAwwaBdO+sciwBL4+UCOLVxgqsgYB76IAD6QdMVfpgA/RvY2xuPP0dHzlkff8yxeccdzIJdswbYtEmfuR4URJu8Xz/KoUj2/bBhnKcVO+jaQ8lcbEHceiszFXbtAt6c4Y/3f7sXoRMvw8lJQDf4IxQWqLSbcPo0y2K0Wj7+6SdGJ/73P/nmKWfPMutQirYEBlKDwTDLwNcXeP994Lbb9MeCg1m+KhEQwPTv2bO5SLdta+xM7N6d133xRRrZzz/PFO3nnuNEN2GCktnQWHSFP3bhXkTjMgTUfuzZgpISjomkJOp5jRhB57afH0urhg7lv1WxcyfHv5TJM2ECo9ympffh4dxgvvee/tjYsdxwKjQcmcXlcFILcLave8eHtBqauQCAWiXAx02N1NwKhPlVnS0ZCA0STMqiL19mhoNch7/qCA6msT9nDktWlPLolsVwtMFhzMV5ZMETTuiOAPjCcg9lTAznqbw8jpHAQDqjx45lkNAwANexIzWdXn6ZlQPTpjEztq5Gq4MDHU2DB7MkKjhYPqDYsSMDlobZErfeSqcsQCfnr79yr1BYyOt++y2f//tvnitpNT/0ELPgDIOuCrVj506OIWndGzGCHcyXLuWaefPNbFK0bh0/w2+/Zdlcp056Q9IeatyLXuiP1khFITyOh2LfJke8mKHC5Ml0ONfG6Jw4kXPme+/x9W++yXHW1NmwgXsEiXvvZUaxnC6bQv0RGcmxaqjt+u675nq01XH0KLVgc3P1tsisWUzE+PBDnuPqyrnV0sBhVQzp4Yiob3rCb1wmvtoswqnIBR38HdC94eL1Co1EeDjnupkzaXer1dRDNLSVraEHArAP9yEal2EHNbrBH8HQR/yCg2mbz5vHx7NnMygodbweMYLzr9REtndvBvfatuVjZ2euEyNGMDvY35/rxA8/0KafOFGxy68VBLGuyt3NjL59+4qHTdtntSCys6lxoFJx02dJqV1VFBbqtRA3bdJ39gNYLiMZAIYsXMjyAkPmzzcvgy4u5nW3baOjZtQo+etZco9FRbzP6dP1x7296WTt0vQW4GpdAS19fDY0585xIX7pJTqiJby8OKYFgZlFfn50oAcF6aOLeXnU8IyKMr7m2rV0oJuSkcGo3oEDjOINHWrdhrWJUKOrqimP0aiUAsxafRpfT+pY52ttQgy2Ih63o3qRoh+25mLGEA8MiajaAVQJHSZiGQrxIhyudP194glmeT/6qPX3VlnJjKEXX5QXkG/hKHNoNaxfz2xsSbtu40b9c9OmsRu5qaOvqEivi2RtdkVdSUujRlRUFA3/IUP0TQqys7lXKCqiceXkRGeimxuDPqaNGHbuZLZEI9Nk51Ctls7nigpmv8h1L8/N5X7MtCHZt9/SUT1oEMdJaiqv5epac4buyZP8XPIMkrf/+oslc7UlM5PGdl32uA2FVktJI9NOwnPmAN991yi3dM3OoenpnHOiolhZNXQoteo8PDjXxMZyX9ihg3xm9dGj/CwlSQlBAD74gIFsSUdeYvRo7hfrGqzJyaHNVV5Om6Y6ncUWQpOdQxuD2FjqH/r7M2GhrtJk1VFQQNt81y7aMTNm8PigQfyObNpkfP533zFz3NnZ/LuzahWbwkh4eemv2wJQwvrVoJRFtzC8vTkJDBhQt01XXBx1GCdNYjZARIS+NMbTs+qIc1WNWCpMZMkSE7lZ/eknlk+Z6vpYiqsrU7lfecX4eHY2nTwK1x6GXcddXJj18vPP+mMqFTf1r73Gpkg9ejC78eWXqY8ilfLn5zNz15SqxOP9/GgsvfMOvzvN0LHY7EnKL4Wfi212Xmkogkc1eosSPho1krOrb1+rhgp+cMUlsA4uM5NlcdLGzVrUajoln3nG2GBXuDaoLiYsOQ779zd2LALMMjh3zvw1rq50HDW0YxHg+958M+fN6dPpWNTpmPUzfDiDpD/+yD3D6NEsvRo3Tj7DsirZCgWuW889x/WuZ0+uUXFx5ufl59ORYUplJYNqkjB/UBAdhr1711z6v2uX+Tz12mt1Kwv29bVuj9uYeRRS6bcpUsaPQv1TVEQd9z59mFmVlsYA3fjxnDMTEiit1KMHfx59lFpzpmzZYqxVK4pspilJQBhy4oRtSt+9vJidO2LENeFYVDChfXsmOkRG1q9jEWDgbtw4ZoR36qSvjGnTRl6KZ/9+OhjvuUf/3XnkEQaeXnvN+NycnOq7Tyu0HBTnYgunpIQaiZcuWfe6X3/VN4jR6fi4Y0c68j7+uOomFXKR6FmzjI0WqbPuihW8r9276WBcsYIdU3fskG/YIqHTMdPhrruYnXHihPz5SnOXa4ukJHY8HzGCGV3R0SwBvOcepur/8ANLqV56iZEz6Tuh09HJ3bYtX//JJ3SGBwQYR90kIiIa8I9SsIrEPC38XGzQ8hFAKgqq7RQt4e2mxqWs6p2LABAEzdWO0V99RcO8LoZCly50tLzxRu2vodC8yMriOjluHEuX5BI3pGyDqpwp5TUP1XqhooLVDz/8wL9BzrElceIEcP31nMNLShjMvPNOvYMqKQn46CMeu+EGzun336/MzdWxYwc1CqXMz8BABoNNnR8BAfIdTbVa4yBwairLohcvZgVKQUHV711cbH6ssJAOy/qmuJjZNjfcwEDjjh0N/x2QgkGGTlgfH/kKCIX6Yd8+2iLJyZxHXnqJmVVpaQy4HDgA/P47zxVFZnhv3mx+HTlbo7hY3tE9cyazzWxNZSXnfmkujY21/XsoNA0uXeIcO2IE5b+io617fUYGx3dtNIkjIvSViPv308FpSteufI8//uBjUWSQ78ABZjS+/TYwdar+fMUuvzZodOeiIAgTBEE4JwhCjCAIz8s8f4cgCCeu/OwVBCHS4Ll4QRBOCoJwTBCEayM/2gouXKAAa0QE0KsXdRIt+WIXFjLDwZTERGDPHuPyY1NGjwZeeIFOSLWaOkiGE4t0ne3b9Y9nzWLZzIwZdDqOHElnY1UcPsx7WLGC19m5kyLfhtjbM3NDoWlz5gwXpXXrqu6AZglaLTU6H3qIzuoFC7hxj4qiIP0LLzC7VaVi9Hr2bH43DBsMnDtHZ+T339NwcnBgNuP4K5r07u6M0NVW70Sh/knIK4WvzZyLhRY5F33c1EjJqbljdMAV52JZGZ2Lcga8tcyeTce4XDaaQssgOZl6bb//zvX3scfYmf733+kwOXHC+HwvL8qQjB3LDDVDevVikLAx2LaNVRVz5nCtv+46+U6YADPGDasdKirMqx9SUxlcTE1l1uPGjTTEDDPXFfRs28Z/Q0OZUbJxIzUrp0zh/kvC0ZGO6+HD+djdnWvnsmV6h3BWFrNTpkzhnm30aK6bVf3fDxtm3tji2WeNHTKJiQxor1wpnzlZW7Zt4zhZt47fmdGjaSg3NAMGMCj+00/8v9y9W9Efa0i2bDF+HBrKOaVvX2ZoffIJ9eYMx6mUYGHI+PHmDQDnzKHT8Z139FIDU6fSLtm7l/bMnj3GGY91YccO47l07NiaG20qND+0WjrnHnyQ88X771ML11QOpCq2b+c46dSJjsHqmlLK4eTEeXrzZtpQM2fyRxAY8Hv8cTrnDasEBw5kFu+sWbTJXn6Z34khQ2iXDxxo1X+BQjOlURu6CIKgBvAlgHEALgE4JAjCWlEUDYsRLwIYIYpijiAIEwF8C2CAwfOjRFHMbLCbriOx2cWIz9XCx8UenXyc4WSDxgNylJVxUlq9mo8zM1kGs2dPzeLXLi7cDBpuOAG+rl+/6l8bEMB0asNGLKZp3C4uLGeSIoDh4cyMNOTpp5mdYdq1MiuL9zVxIiPvnTvz3MGDGYlcv56itM89R0NKoely4AAXPGkcdO/O8VqbTmhxcYziSgwcSOPniSdYkgWws9kLL9CwevVVOnhuvVX/urAwbiZbt9ZnGERE0NhJTOSxunZRVahfEnJL0cnXiva81ZCOIsucixo1Ui1wLvrBBReRg1WraNjYoiTO25vZOE88QQeUQssiIYGBNEmrzcmJlQOFhQzG2NnxnB49jF8XGMif//2P89vGjTRK5sypfbZsSkEpzmeVwNlOjU6+zvBwstyJn5fHbHLDTLXYWDp55LphmmpCGjZzk7jzTmbtSlIsSUk06KOi2LBBwRgpKHbffcyAkZy1O3cCc+cyu09qhuPoyD3ayy8zK+vHH6lVJ3UWj45m1pchL75IrU+58t++fYGtW6lNl5pKo9SwkUlMDB3lklPRzY0O9Jr2m6acOkWDOj+fQepevcz1vnU6lrE2hjZnZCR/FBoew0ZWAOfCJ5/Ufw/27aPdNHWqfmwPHWp+nX796Kh8/33KL91wAx2S8fEcW0uW8HvUty/w2WfGpaEffsjgUG07UwOc+195xTjYEh9P266xAkcKtaesjOv7sRM6hPQshuBWjoggR4T7OOPiRcFMk/XSJc5zVVUPSly4wOCP5NA+coTZ/Y88wsSKgADL7s/bm7a4xIABlOP5+2/gl1/oAJ0wgeMPoPP9zTeNr7FsGavCFiygjIZCy6exu0X3BxAjimIcAAiC8BuAqQCuOhdFUdxrcP5+AMENeoc2ZEd8Lqb+dgp52goIAN4Z3RaP9m8NjaPtP4a0NOC338yPnztXs3NRpWKk5O+/9dlkgwYx4msJVenLSLRty0X2wQf5WK5EJT+f5VCGVFbSISSJJrdqxeyf1FRuFt3dGW0fP15+U6DQdNBq2aHPsMTk5Ek6AmvjXAT02iBeXnQ+nz2rdyxKVFZyMQf4HZH0o3r3ZlZvSQmNER8f/Ws0mibZGEhBhsQ8LUa0qXtn8kqIyEYJPCGj6G6Ct0aNjPwK6EQRqmpaNwdCgzjkYvuXLPm0FTfdRENpyxbjTaBC8+fAAeMmEKWl3Kh7e+uzar75hg4iuYzqTp1oBL/6Kp1Fphk3lnIyvRBTfzuFi7ksfZjZzR8fXtcerdxq1iSV7ltOmiUrS/78Xr24hu/ezcfr1tGgfustPrazY3OaJUuMX1dWRkeV4lw0Z8wY7uPKy82zQA8c4OcjORe7dAECW+nw9tv6AfPMy+Xwbg0A9rI6cqWl8uXPAJ0vw4fTMK2sNNdo3LbNOFuxoIDjdvlyyzXGTpxg6aBU/qdS0WEq55huDG1RhcZlzBgGjiUdRbnvQVQU946rVgGRkSKmTDFfz+3taQsNHcossMWLGfAxLE3+9FPuLV9/3fi1zz9PR0xdGlqUlspX+dRWt16hcfnvP+DJZ3S49a10PPHfeVToRDjbqfDbtC7oYucLlco8I9ySdTw21jxT9uJF6h4eO6avyLIWZ2euz/HxfA9R5PeqfXs+1unkJVk6dWoSzdYUGojGLotuDcBwmrx05VhV3AfAUKJcBLBZEIQoQRDur4f7sxnphVrc+9dZ5Gm5mokAXtx6EScuVyMuWAc0GnkHn+RMqYlu3bix37SJk9/q1UC7dra5t/x8pvEfPMhSmpEjzRvEXH+9eUOM2FhmY0q0amUsMJufTyPENKKu0PQoKjLPjAVqrx3Tvr2+NH76dG74cnLk9W4kY+WOu3QI7lOAb38rxZsLKtGjB6PXo0czG0jZrDU/LuVr4edad8XrTBTDDQ6wt2CJdLAT4OKoQlZB9QJigdDgdEwFTp9miYitcHBgRPrxx82NJYXmTUqK+bHz57n2SRQX6ysU5FCpmAlWW8dieaUOH+5LuupYBID/nbqMfUmWdyrw92eprSGCwOweOVq1omNpzRpmXi5axCyjgwfZfXXPHmZumGY4Apbvca412rVjWbBc5oi3t7FEiINLJdpPS8XbPxbgxQ9K8M7SPBwJOYdDaRS9jIjQZzFKDB1ac2a/o6N88xe5RgEnT1btrJRj61ZjXTGdjmWqL75ofJ6dnW0kKRSaF507M6v1t9+ApUvp6DbFxwfoOqgUr/2Qi9GvJMElQFvl9RwcWCXVpg33re7uzAp+7jlWu6SmmjtZKiq4L60Lvr76xAxDlHLT5kd+PgN/Mx4pxrsn6VgEgJIKHe5afRbwKsGjjxq/pkMH8+BZebm5zWKYICHh5MR5saqgnjVMmsSS/x9+oPzK33+zfHrCBPN1IDi4+oQjhZZHYzsX5dI8ZGXIBUEYBToXnzM4PEQUxd4AJgJ4WBCE4VW89n5BEA4LgnA4o5E8BpnFFYgz2JxLXMqvevGqC97ejJ4Zpt+PGWNdSnJoKKMbo0dbnkJdEwcO8JodOzKK16cP7+uff6iRqNGwpHrRIvNOkGVl+qwzgKnhchuEO++0zb02FE1hfDY03t5ssmJKbTNOHRy4qVu6lGVHeXksCXziCWOjevJkEefOAbPmlsFnXCoePR2F+8/ux151AmbP5oL86KNcwPv3p7O6sRogNCWawxit0OmQWVxuE83FNAv1FiV83WoujQ6EBjE/hWLMmLqVRckxZAiNdtMSmmuF5jA+a4OpZiLAUqcdO4yP1eefnFtaga0Xc82On7psuYCYINDwfuklZsd17EiHaHVlryEhLFG8915WW3h6stR7925mqN1+O7PbDJkzp+lmLTb2GI2OpibxTz8ZO9cEAfjyS+Ngbk5JBT45GY+XE6PwbvEBvHTxKP5LzcS5LHr7wsO5Zxs6lPu0228Hvv1W3tlrCaNGmR+7+259JqUlyDltMjOZMbN1K6/30EP87sjtG691Gnt8NgQdOlBG5K67mMV711365wQBeOKtYjx+OgpvJB3DolNxuFxUVvXFruDszEzEF1+kc+Xjjxkc8fMz7yAdGGgbaZ0776RTysuLf9OqVdeGxnxLG6Pl5SytL3fSotLEE52nrUBmSRmeeQb4+WfgxhtZVvz338Yl/nFxdDZ36MD1dO1a2smSZJghTzzBXgW2KJ93cKBD+957uU537szKmcGDGRScPJlrw8SJvKfW1aWNKbQ4BLGqloIN8eaCMAjA66Iojr/y+AUAEEVxgcl5PQCsBjBRFEVZ2VpBEF4HUCiK4ofVvWffvn3Fw3ItFuuZjKIyDFtyFOeyjGt999/XCwOC617GJ4dOx+jv2bPc9PXsycWtsYiP5+TXvTuj6AcOMDK9dy+dl3l5jOQEBsob30VFFJM1FFmePZuT1ocfMkr45JN0DgUFNdifZS1V102i8cZnYxAfz8yCJUu4CL3zDjd7hhkUtUGno1bUggVcRO+8k2XY/foB3SN12J6Qgw9PxOJ0lj4t4p8Zkeiq8cKSJSy/k1CpmM14LWzcrlDt+ASa7hhNzCtFv++isGJa3WvY/0Es/sVF3AHLPBXLdudhXHcNrovUVHlOhajDuDY++OoVDTqH215rNyaGBs7589YZ5c2QFjGHlpRwvfPxqbpMs6iIQZJnnuG5M2fSSXLddcbnbd5cfyXx5ZU6PLzhAr47kmp0fPVtXXFjJ+sEHHU6ZvQ4OclnVtTEe+9RN1eiWzeu/dnZ3ENERtbuujamyc2hubkcM4cO8fGNNzJrtHVr/h/26GFcfqytqMR9a89h2Ulj9f91M7tjUkf9f3B+Pvdt/v7y5cfVUVLC1/r4cJz//LNe43HuXAafa9IVM2TnTlbBGJo0339Pp7aCGS1iDq0rmZnA8eNAYkolUhxysSw9DmdyWE3W2s0Rh+b2RlAN0g8nT1Lv+IUXjMfec8/RwTJ3Lm2wHj3ogK+rYzs7m45QT0/OpY6OTWLOszVNbg6tL774AshUFeGdrMNXMxcBwNvZDlH390GYpz7InZHBeVoK4pSXU0Px22/11xMEahn37895f+9eSkZUVjKw8sADHJeWyk3UluJiZkh6e5snCrUQahyj1zKNnbl4CEC4IAhtBUFwADADwFrDEwRBCAWwCsCdho5FQRBcBUFwk34HcB2AUw1251bi5+qAJVM7wd+VXjN7lYBPJ3RA94D6+daVl3MyiYxkpG7ChMZ1LALUe3jpJU5+69ZxUztrlr4DoYcHIzJVZfW4ujIq+MorwPz5LH194gmKukdHs8PkW281aceiggFhYcyYOHuWi98jj9TdsQjQIfjQQxSPr6yk7uLUqXSuu2tUGNjZCY72nPrsVAKWDe2LX972RO/edCQuXKhfeHW6unWuLC2V1x9RsD1JeVoE2KAkGmCnaE9Ybi17uaqRllt9iuvZfc5Q24tw61A/UhgdOtBwkXTpFJouhw+zmVRkJINhVXX7dnVlNt6JE5wnf/iBQZJffmG2TN++wF9/GZfZ5+ayAZXWRkUR9moVnhgYjB4Ge5UH+gZhUC2CoioVHVqGxrBOZ9m9ZmWZZ+aeOkVDauZMVli0QCPbJiQk6B2LADNLXn6Z/199+5obmo52ajw/NBRdrjTHEgA8PqA1+gS5GWXyu7tzz2atY/HIEXa57dmTciZpaWx0IY3zzz6jY1Gr5Vg2LHeuioED6eSRuqN+/z3XfYVrC0vnE4AlxmPGALfPBII6liEun8kfPs72WHZzpxodiwCTJTQa833el19yj7trF8f01q11cyzm5jLruH9/jvXly7m/tHUVhELDMn060N7DGW/36QRnO9olHo52WH5zF/ionZGYyDlw0SJW+o0cycalZWV0Li9danw9UaQtDNABff313GNMm6bPWrfUsVhWVnupHRcXrg0t1LGoUAONKmssimKFIAiPAPgHgBrAj6IoRguC8MCV5xcDeBWAD4CvBIrlV4ii2BdAAIDVV47ZAVguiuKmRvgzLGZQiAcOz+2DhLxSeDvbo6OPM+xqK4RUBSUljE58/DEfP/GEvKZhQ5NaoIXKwQ5vvqm+Wr7y228sq5kxw/LrhIZyM/zkk4zWzJ1LR2O7dpxQP/uMGXETJtDgsoWzSqH+cHCQ7xZqCdnZ3Lht2aJPyZfS/YODme1z332M9i1axEU4LAxYtMgVG2/vgXPZxbAvccDcac6IjmYQasMGOqrnzuXmEKhdFlhiIsv+fv2Vm8H771e6RNY3Sfml8HO1zU47BQVWlUV7uqqQmlO95uLW/7nCc0QK0gV3BKN+JqZ77qEz6qGHat8YSaF+iYujNEh2Nh8vXkzn4po1Va9XhmVQjo4Myk2ZotdTBGhU/PsvS6HOnWMX05deMp53tBWVOJ9VgnxtJdp5OVlkPANAZz9X/HtnJGKyS+Bsr0JHH2e42Nd9+3j0KJu0HTvGKoQbbzTWkzTExYVjWgpGSgQ32xZ/DYdGw/8/Uw3D6ta2bv4abLu7J2JzSuCoVqMowRmzp6tRXq7fV5pJ11TocD6rGHnaSrT1cpJt+HPxIvdnUlXjd9/RSfzQQ2wkI0n3nD3LhhirVzMQvWgRm8JUhYMDrzt0KA3iFp693aLJLC7Dhawrc423M1wcLJtrjhxhV9pjx1iuecMNVZdjarUMTKxfD3h4qDFlaiCOzXNHZkk5Qtyd0Maz5mZuEnKJG23a8Pvh5WUbHdj//uMcKTFrlr5M9qOPFM3F5oq/P3DnHSrk5fljdKQzLuWVwVPlCG2MBuPvoi0xe7a+30BSEtf+nTsZRAkJYdWKIaZzn6srdXItJS+PdtXnn3P8PvEE7WmlEZaCpTT6UBFFcQOADSbHFhv8PgfAHJnXxQFoduZ6iIcTQjwsX7SsZc8eahxIbNlSvyVTlnA0tQA3r4jGQx7dkZNjvBvdvdu6DIuoKBogUpTw00854T38MJvEpF6p3PrsM+DHH40XY4WWgygyi+fZZ/XHIiI41g21ozQaGttSV9GzZ1kSsOt0BZ7eEYsJjmGIjjZWmE9I0GvlDBxonU4pwGjfu++yiyvALKU//uBG1lZNkRTMScrTwtfZNs7FVBSgPbxrPvEK3q5qnE8pqfJ5nQ7YsdIFwe9nI60eCwZ8fBihfuYZpbFVU+XsWb1jUWLbNjrN5DQWq8JU3+7IERrTpVeknf/4g9l+q1bx3NzScizadwlv70qATgTCPJyw6rau6BXkZn5xGfxcHWzSLEni3DlmG0pZaYcPc+599112FzbF2Zk6Yzt36vcM7dsrHSgtoV07lo8/9JD+2MyZNXet9dc4wF/jgG3b+FlJ/PcfA3GGe8280gp8cfASXtuegEpRRIi7I9bM6IbeJuPr3DlzjdB9+7h/e+ABBgzbt6eO2PbtfP7IEToOo6IYSKwOTdXKFArNgDMZRbh91RkcS6Om6wN9gvD6yLYI0FQ/95w7xyxE0/nknXfk55Pt2zmmJN57T8CuXa4Y2tP6e+7bl/vEI0f4WK2mw8/Ly/prySGKDEKZsncvAwYTJ/LvVQKKzZd9GTmYsfI08rQVcLFX4ZXuEUi77IcRI1RYvtz4XFHkOjh0KG3gKVP0HaX792eGY13YtMk46WfdOs7LgwbV7boK1w6NXRatYGPkBP0bU+S/QFuBpzbHIj6vFMWCedmgg4N898CqOHnSvPzgu+/YrU1yLEq88ALLbUpLres6qND0iY9nVoMh585RP8eQlBRqOUk4OwN33yPiQGoujqUVQuUgQpBRzujVi5lEv/9unDVkCRcvsiTLkIwMZmco1B8JeaU2aeYCAGkogjcsDwJ5a9RIz6s6c/HMAUc4uYpoFapDCgpscYtVMm0aHdm7d9fr2yjUErn1zsGh7tUFp07pHYsSW7fqZR1OXy7GqSQtOlzRcIrPK8UzW2JRWNY4LcZPnjQvd/3kE2ZqVMWQIdRq/vlndmTdvNk24vQtHUGgnvGuXQy6btjA/2vThhNV8euv5se+/FJv0ALA0bRCvLwt/mpjgqR8LV7ddhGXsyuNGvHJjX87O+7riorYKCYxUe9YlCgpqVo+QKFlUKHT4dMDl646FgFgcVQq9ibl1fhaa+aTkhJ9JphEYSGTMWpDmzbMsF29mhUyR4/aNpNQEIyD5hLe3vybc3PlO64rNA/ic0sw8086FgGguFyHV4+dxaz5xcjNlZf7kLJhx43jfu+nn2izrFxpvc1iSGGheaO0ykqutZZSUqLY3Nc6inOxhSGnb2CN864mtFrrNBiySyqwIyEXAHBOlYEhI41f/Oqr1kXbgoPpNHzlFWpJSMfk7iksjDpD48ezhOf335nurdD8qaiQz3g17ezs7KwvWRk5kmWCx48Dv78ciHdD+yJGl42Z99LykRQK7r6bEfCpU40X6YQElttcNta4N0Olko+Uyx2TQ9FprB0JedqrmrZ1oQI65KLUqrJoL40aWQUVqNTJf3A7Vrqg2xBes76di46OzNh+/HFj41+hadCtm3HGDMD1rK5ZJ3Jrv7s7HZdnzwLLPtLg9IJOGHC6F97o2gUqAdgWn4usYts5F3U6BlfOnmWzj+qQSqwMlWHs7Y0fmyIILPO+807glluUTHBrcHVlpsvs2cx08vc3Pycvj7qHFy7o5w5RZLDNtPTT2RlGgbmEXOPM7dntQ9EppiNGD1dj5kwawACzJadMMb7WffdROxQACgq4Z5XLQFRkblo2OSUV2HAh2+z4UQNnY1XI7a+qmk8qKznOJNq0YRVM69byXcctITSUe8b27dlYbdgwZhump9fueqY89hjXDglXV8oIxMfzcW07tSs0PikFZcgtNV6Hy3UidK5abN7MLELDcezvz/FVUcH5uV8/2i2mNkttUKnkfQZOFsTak5NpZ48Zw0z0NWsYMFK49mj0smgF23LvvYzqV15JolGrqcFVGy7mlOBwSgHyyyrRQ+OJs/ud8OWXAkJCqMEwaBBks74AOn6iooCTpxzxfqsBSNRk4ItzcZg7xx7jpvsB2U4Y0EeNAQMsd7rk5NBZ+NFHLD0dMYJG2eDB3BQ4OzNiIvHYYywTk7jtNkZ1brmldv8fCk2HsDBg3jzqdUl4ehpvvgB2EP38c5aAjR1LEXvK0wvYt9sVH/waCMebCjBskCfyslToEC7Cv0MpCqGG+5WGHhUV1LWZM4fljO3bU0y7qg7S7dqxU6BhY42OHSn8XR2xsewMu2oVMGoUDa4udW98fM2QlFeKiR3qXoeUgWK4wwFqK5rB2asFuDiqkF1YCT9382V19xoXzHw2D+VwwWGk1Pkea2LMGI6j33+3TtNWof7x9WW2/f791Erq2ZNzSV31jLp2ZQDFMOPrxRfpFJo8GTh6lAvt2bMO6LLHF3e9EIILpXnwcrbNNjA/n+Xdy5fz7xo/nmL1VZV6S52es7K4diclUX9XLkNHof45e5ZlyTt20JBcsIDj6cclOuzepcLs+yvRo5cOc2bZo3Vrrofff0+nRr9+QIi73vrs6OUMu6hgfPQJS1mjo1lqt38/18Gvv2Ym5cmTdADt38/fBYGOz7ZtOTYeeEB/f1OnsuOuQsvF08kOY9p64qfjxh45SxpfRkbSsZKUpD/26qvy84lGQ+mQWbM4dq+7jlmORUV8vGQJx2BUFDO//fx4vCZ916NHKR8gZeo++CCdmA89VoFDqQU4n1WCII0D+rRyk9UjlSM+Hlixgj/Dh1M24uxZvoeUYXb33cpesSHILilHVEoBLuaWIsTdEX1budlEKiTA1R7ujmrka/XVL2pBgF2pIyoqOF8uW8bqPA8PZvAnJ1PyqaCAfQfGjdPrL9cFFxcm8EyerD/m7FyztNqBA5S3eOIJ/bGbbjKXz6gJnY7fo2PH6EDv0wcID7fqT1BoAijOxWZMbHYxolILUVKuQ/cAV/QM1KB/fwFbt3Iz5+ZGp4a1mnEAHYuTlp/Emcxi+LrYY46uD957nsb2gQPA2rXU+6hK22HdOpbn0ZHjjO69gvHgMyK+On8RTw4sx0t3h8HbxUKv4hX27zcuhd2xg5vN0aOZnbF3L8WZ//6bnYf37DG/hqRPYWm3LIWmib09HXht27IMpVcvZmpJJXJFRdwUZmZS9PjoUeDBB0XAxGF0aqsGBfkiVq+UxqKAt74Evj4fg08nhMPP1QFnztBIlrJjY2OB22/neJPL/lCrOf66deN47NmTWbbVGc35+ezotnEjHx89ynG8bVvVguQKxiQXlMHfBhu9FBTAF9ane3tr1LicV2HmXEw6b4eSQhWCO1YgH85IQ/2HclUqNiV64QXrugMqNAzBwdL6aB1ya77qSoSvc2cG3vbv57wndQ+Pj+d8YsjpUyrM1HmjX3cnJOaWoltAzUJ1UraPu7t8NpDUREHSkzxyhFk7n38unwmxezeNI4nhw4Hnn686YGlIWRkN7JQU/l926qSIzdeETsfPz9XV/P+qrAx47z3uqQBmz1+8CHz6qYj4eH7YR4+qMX4SsOrfEhSmOOP66/XZjZ06Aav+csczg0OwcG8SprZqjc+fM550iouBo8d1uOSUg5QCLdr1ccaDY9yw/R87rF1L4/XZZ+loFwQ6frp0Ac6fp4O8d2/bNMZQaLrYq1V4clAIdiXmITaHGg8zuvphiAWd6du1Y0n9+vW0f6ZMYQJCVfPJ9dfTYZOVxUQEiUOH6FgfN44N0iSGDwe++IJzjrc3x6ZptvjRozCSAACAhQuBTqOL8fyBC5jVIwBJ+VrE5pRgYgdvRPhW7zTNzeWcuGKF/vpr11LuIjmZwfPWrWmHKZmL9UtpRSU+3peEd3bp6+wf7BuED8a1h8bBDikFWkSlFOByURkifFzQu5XG4qZn7b1dsPTGTpj55xmUVuhgrxLwzqCOaJPngq+/pp587976z3j3biZLSBVOu3bZJnFGq+X4HT2aurorV3Ks33hj9X6E9HQ6vSsrzZ/75hvet5sb14maupvv3MnvnmRvhYZSrkCRP2le1DjyBUFQAZgmiuLvDXA/ChZyPqsY4389jvhc1obaqwRsubMHco97Yfp0ThCCwA57PXpYtvHOzOTrgoKAQykFOJNJ0YRJrQOx/AXjnOiyMjoZ5ZyL6el09Bhy8qgKz9m1xr1zvdHJ1xmuFnZ/M+TwYfNjq1Zx8T1+nJNbcTHw1FOMupw9a36+l1f1ZVcKzYfQUBqnDz7IUlBpjOfnc0Mnaeq4ugJbjxXD1c0Jps5FLzcB27YYD4jP33DBrZ9pcCK9CGPaOeDiRfOy+9hY4NIleeciwOPTp/PHEmJj9Y5FiQsXOIYV52LNaCt0yCutgJdT3T0MaSiElxUl0RKermqk51Wgq0lZyr51Lug6qBSCALjBESUoRwnK4Qzb6ENWRe/eND6+/964kYNC86SqNX9EmD5bt3dvZvCUlOjLSk2bx0iEeDng4S2n8PLw0Bqdi6dPM3ti82Zg0iTg/vtpKBhy7pz5e/38M4MmptmLiYnGGQ4AjYoLF2oudS4vZzbEjh0MIG3dSr0p09IxBT3nz3MeWLuWWfEPP2yc5Z+RQb04gBUAN93Ez/ezz4zXy3/Wq/HI0yq89pqx5MLZs8CJo2q8dlMbzOjqD22uHX50NdcAzS4rw93LT159/MG4dnhiejCmTlVBrTYOgri6svRPadhzbdE9QIOds3vhQlYxnOzU6OTrDA8ny9bKzp1rbvgj4eXFIPFPP5k/5+sLPPmk8bGdO/n9YfUL7Y7nnzd26jnKJCNqNMDmi1m4KzIQL/wbB0k45ffoy1h2fXfYVzogIMDY6VJRQYfR6dN6x6LEpUvcL06YwMxihYbhfFYJFuw2FvD8+nAqZvcMQhtPJ9y39iw2xehr6n+4IQL39gqy+Po3RPji2Ly+SMovRYCrA0JdXODhJr+g/f23uXTSxx9zbbakfNkUnY7JOO+9R/mnhx5iUNqwMqw6kpO5dstVZzk4cL2JiKCzXkoGkqO4mNnGhvZWYiK/C4pzsXlR41ZMFEUdgEca4F4UrGB3Yt5VIwOgPsOr2+KxdLlePFsUuYGXBN2rorSUG8tBgzg5vPEG4Fisn6HKxUrZRbOqCSI1VV5nRNTaoU8rt1o5FgH51Oh+/Tj5XH89ozlHjgB33MEI5o03Gk+0KhX/P5QMh5aFaSbGiRPGYt29egGnouwwaaJgZHw6OQFDhghGZTQAneweagfkXxFXDggwf09PT8vF8C1BrZaPsOflUUw/Kso6rdNrjUv5Wvi52kOtsryUuSqSUWBVMxcJTxcV0nPNQ7d71zojou8VXU8I8IEz0lCzhpQtuOcefhdMjXyF5ofcmv/a9ngUlRmPObXaWK8uIsK8NH78DRVYkR6PovJKxOdWPzjS0phl+cUXdFItWsSsssxM4/PkjBp7e/NGNWlpNI5Nmy8ANes0AgwyLl5MZ1lxMfDBBwxmKg0N5MnJYUbpwoV0AC9ezL1RcrL+HA8PrpNDhnCP9N9/8k5plQpwEtRIkVF2yMkBXB3s0LuVGwZ1ccaCBcbPh4aKSHE1vujLWy8iJrsEzs5KdrWCnlZujhgR5oUBwe4WOxZrS5CM/yc4WF570XAdfe897jUN6dvXPOD8+ls6qFwr8MXB5KuOxVYaB0x37YhpU+zRpQvnr5gY/WsOH2b2VlqavGyUYsM0PIXaSshJaheUVeJEeqGRYxEAnvwnFvEmOrTVoRIERPi6YGw7b3QP0FTpWATkm7+5ulofXEtOpqPyxx/1zuzoaDoDDRth1oS3N+3+/v2NneR2dsz4vesuXve22+i0v3hR/jolJTCzxwDb6ZYqNByWDsUtgiA8LQhCiCAI3tJPvd6ZQrWkFpSZHUvI08LV09jQEEXzLsqmHDzIKEVMDDeUb7wBHN+sQWs37vb+TkzH3U8Yt37y8JDvhhYVxUyFm282Pq5WWx5RrIohQxj1kPD2ZhRx+XLzcz/9lI7S3buBd97hebt2UdBcoWVjavhMnw7Mvd0BH30k4L33WC769NMiFv9ZiMJC0SxNf/ItFdibdxmdfFnL17UrtT0l1GoatmFhtrvn8HBqLBrSrx8Fke+8k+WN1nRru9aQor22IAUFVjVzkfB0VSM117ijUEmhgHOHHRHeWz9f+8IFyfXc1EWiUydqhH73XYO8nUI9Irfmx+dqUVJedZdygGXMH37IEsD7H9LhlU+LEHxrEjYmZQBgxkR1nD9vHqCMijJ35vXpQ5kKQ5591jgoePkytfreeos6Z4Y4OppnQ5py+TLnyX/+YVfLXbtYwnjnnTU32rpWiYkxl4iJjTXuvKzRUL9t6lTgtdcYsI2NZRasIbfdBixfqsa99xoflxrtmJ67cSOdlZ99BixZqcUn540HTVmliMKy6sevgkJ90rs35w8JZ2c6RExLTB0czB3ghg56gAGXRx7hmL/7btoh/fqK6ODtjLRC/fw9LyQcz93thiNRAgoKmBX+yit65+WuXSwx3biR2ZWG9OrFPalCw9Le2wkdvIz3hYEaB4R7OyOv1HwOy9NWoKS8fjrqTZ5sHMwTBFZxWROgSUqibXTDDbSJXn2Vtrt03Q8/rNl3IBEWxj3mp58ymP3AA6wg/PxzJkU8+yxw6hSDh19+yTXbtPkmwK7Yhjq7EkOGWP53KTQNLI1/SFuJhw2OiQCUXn2NxOAQ87Z5c3oGYeVPxrOLnV31Wm+pqUz3N+WHb1VYtjES9/93CqmFZXDpko2/Nzhh3V8qtG5NPRPT5hk6HRfJ3bsplnzHHSwjaNOGKdtVCbtbSkgIG16cOsWMhc6daThLXQYN8famE6hPn6p1IRVaJpLTb/p0jpGyMo7NuDgucoGBgJOTgH4TgZfeFfDRR8CSJSIuXBAweVo5+k/LQ99ubdDZj3o4Gg1fd/31jKC1bWt78WxnZ+qJjhjBrJEePbj4L1rE5ysrqQvUv7+iOyVHUh4zF21BCgoQCZl01RrwclXhRKJxC/Nj250Q2qkcTi76kHdDdIw25I476MyZN0/JDmrOyK35D/QNgq+rAxISWC7n60tnnmkGQ+vWNFKvm1qBn09k4atdKWjt5oi3R4dhaGj1Yl1yVQtyx7t0YTBkwwZmQEycyOwbw3s5doyaaACNaXd3GtCdO9OYMd1TmHLxormj8/JlBjsV+Qh5HBxofJqW0Zl+fgMGAL/8on/80096iZkLF5gBGx8PLFkiYMUKah5//TXX048+Mt9nubuzdFPqjB6bLcLFXo08rT4Fv1egBm09a1HH14wpL+f/Z24u9yqtWjX2HV3b+PnRKTJ3LrMVw8M5H737Lkun//c/lmTefz/w5pvGr5X2mnl5nJuef56OfA8Pjv+lS4Fly9SYMN4L+y/5YvkpBnTK053NtBlXrOA63aGDXssxKorfu1df5bw3fDi/T3LZlgr1S4DGEX/e1hUv/XcR/13MweAQd7w/tj1CPJyQr62Eo1oFbaXemTixgxdCPepnbuvTh3b7n3/SYTd1Km0Ha4iKom68RGUl8O23TAxavpx2jzX7xalT+T25eJENBb28aPM//rh51dWSJWw2J5egMWsWneyffMJrLFxYdfNMhaaLRc5FURTb1nyWQkMyMNgdK6Z1wTNbYpFTUoHHBrTGPb0DMPQTOlUyMjg5/PBD1dkAhw+zXOq228yfCwkB+oS6Yu99vVBaoUOQmyNUgoDJ1XR9qqhgxz+AE0LXrizHadOm5k5TluLvb5y9CHBS+/BDfadolYqp10rpwLVJ9+5sKPTmm+yWa9gECGCpSYdwEQknnBATwwV061YBefk62LnroHHyMCvF0WjkM3VtSevWXFhnzWKWkanmT0ICs3UU56I5Sfla+DrbxrmYhsJaZS6yoYtxBPvQP84I723scPSGCy7BgvpPG9GpE8u8li0DZs9usLdVsDFya/6sHgHYsYNly5mZDFJ8/TUwc6a8YeDr6oAnB4ViZrcAqFWAv2vNHUsjIpjF8+ef+mN33SWvgdSjR/UdfQ1Lbd96i1k4c+YwW6GmrEWARrdabS4c37dvzVqN1yrh4XSMfPON/tj118tXkrRpo/9dFLmvmj6dzskPPqDgP8D19aefmOni7GyZREh7b2dsmtUdT2+OxeGUQkzs4IW3RreFj8u1E/EoLOTn8MILdDKGhFA3vG/fxr6zaxsvL3Ntz/BwZlm9+iqbUcTE6G0KR0c6PyIjmeH7wAP87sTG8vm8PP4ATOAI93HFayPD4OZoh19OpMNNRuLW21tf7jp8OKV3cnPp6HFwYLa2orHYuPQI0OD3W7sgs7gc3s72cHVgzXoXPxf8M6s7ntwci9MZxZjW2RcvDw+7+rytEQQ2Ivr7bzrifvmFDrubbpIvo5dDTvYiIUHfJXrBAmYSWoq9vfn6v2IFnZim+PvLl3YDtINefZXOfgcHxd5prljsfhEEYTCAMMPXiKJoRVW+gi1xtldjeld/jArzhLZSh1ZXnH/BI/llTk7ml7JDB/nX5+ZStDU2lpvIkBC91oGdHR0zGg2ggeUbPwcHliwdPMjH0dH8kYTC64s+fZgtuWULMxrHj1ciHdcyTk7Avn36cZibSwNZKgMTBOD11wRER9thwwZg8GBGmj09VQBqNrYbgvBw82yT229Xshyq4mJuKXxtkLlYhHJoUQH3WowDL1c1MvKNQ7QH/3HGbU/lGR3zhQuOQEa0rB659VYGfO65x7JuvApND7k1PzVFwO236/UPS0oY0OvZ07xM1ZAgN8vHt6cnDembb2ZAcsAAGuIaGQO5Jjp1MnYOHj3KLJyQkOpfJ9GxIx0zhpq6s2crTT+qw8WFAbYxY7gu9u7NLBc5h+DEiZwnMphgBUdHBqBNZW5uuolBXGuzRQcGe2DDHd2RW1oJPxd7ONvXj/HdVDl+3LhDelIS9+H//EMHl0LTwt6egTmAdsb+/ZQM8PSkbaVW04Hy77+sopo0iQkdhkgZvR19XPH5xHC8NKwNtLl2WDvEWK7gk0/036du3ZiZtmUL5/bx4znvKjQ+zvZqhHgYz1uCIGBEmBf+vTMSBWWVCHC1h6Nd/c1tFy8ygGioWzxrFnsOWFpV1bmzuY0xfTptjP/+s814mziRa/vq1bw3iU8/ldeylxAExdZp7ljkXBQE4RcA7QEcAyDFjEUAinOxkfGT0RkLCal5s56RARw6xN8//JBZUs7OXDSHDGFGQW2YMoWOGqlTc2Rkw0Tbevfmj4JCURHL8SU++4wlxQ8/zMyXTp24qe/Wjd8Tw25/TYWePZnR8MgjjHzPmMEyQqWsVZ7EvFKMaFP3DzIVBfCFK2rjf3N2ECCKQGFpJTROamQkq5GXoULrcGOHo28Dl0UDNHC++YZGkK2yyBUaB8M1Pz3dXGNWp6MBXJ1z0VqCgxncMNX/spbu3Zlt8fDDLLF9+20aOX/8QWO9Vy99SaAcDg7cqwwfzsBomzYc2+7mFeMKBgQGMsBw663Vnyc5NaKimKXYuzdf++qrdDra21OqIyCAgv+enjxHcsBYgrujPdwd67dJR1MlPt782KFDdCApzsWmQ0ICnSGFhazAioykIzEoyLgkubxcL8sUG0v7Z8YMYOVKfp4ff2yc6GCvViHEwwnwYLn14cOUdeja1VxWoHt3+e67Ck0XL2d7eNmogqY6UlLMG6JptUwqstS52KcPK7see4z7iFmzOM+3b2/be+3WjbZMVBTnua5da87ULilh4PH8ecoW9O6tSAE0NyzNXOwLoIsomqq2KDRXvL35pT91igvk++/z+PbtdSvRyM6mxoLU3UmjAbZtU8o+FBoOFxdg7Fh9ib5Ox6jwkiXc6I0dqxcqdnXl+OzXr9FuVxYHB3b0HDSI2bitWlWtfaYAXMrTwt8GDV2SUQA/uNTqtYIgwFujRnoenYtHtzohvFeZmf6dN5yRiRJUQge1xT3V6oYgULj7s88U52JLwteXP4admwWh6eoPqtXMZjhwQN887qWX9M8vXswS3uqya728OIaVcVw/dOpkXqL+2mvMiFWrqZs5bBjXVYCZ/ytWWOdgvFaR+1527WpZWblCw3DxIjNzjx/nYzs7YNMmZv6aYm/PxlRSlcwnnzAwvGZNzQ4RS5JAFBTkCAigbV1YqD9mZ2edA87BgXIqQ4fSmVefNkabNsaSGzXx55/GTZamTGFGsJ+f7e9NoX6w1LI5BSCwPm5AEIQJgiCcEwQhRhCE52WeFwRB+OzK8ycEQeht6WsVqsbHhxt5T0/9sRdeqHu2w7p1xm3jCwuBL74w10iqicpKChj/+y/F4a19vcK1i0pFHS9DA2n8eG4ON2ww7oBWVMSOZk11fAUEsIGM4lisnuQCLfxc6h4xTkEBvGqhtyjhpVHjch4zFQ9vcUK7HuYdfu2hhgcckYZCs+fqk7Fj2YXy0qUGfVuFOlJYyOymbdv00iUSoaHMIHO54g9XqZhZJqen15Tw8+M+4X//Mz7+1FNsvKXQtFCpaBw6OjLTRXIsAmwKcPRo492bNeTk8H537jTepzYUvXpxny3h6cmMcmu0zRTql0OH9I5FgFryzzyj11A0ZdYsY7vJ05PZY3XNtEpNZbLH/v1Vv7fCtUF6OrBjB2UtcnKYXbhkid4usLPjPBIRYf21AwOblo2RmMg1xpC//wZOnGic+1GoHdVmLgqC8DdY/uwG4LQgCAcBXFWnF0Xxhrq8uSAIagBfAhgH4BKAQ4IgrBVF8bTBaRMBhF/5GQDgawADLHytggxS2VRwMKPQFy4wG6BzZ72RUlvOnzc/dvo0syMtFZrV6VhWcPfdTPWePp2Ri5wclk7166eIvCpUT5cuNMbPnmV0OSiIv0dHm59r7fhUaFrkaytQVinC3bHuH+Al5MOnDs5FTxcV0q84F49vd8a9b+XInucPVySjAK3RcPWczs7AqFHA99+bNzlSaFpIc5WTE+evBQtoaAYFsduyoWzJhAl07iQkUCi9c+fmIZ9gWtYFMNhT2LA+dwUrKC42d3AD3Js1dRIS2Hhj0yY+7t6dGZf29sw8Kyjg96p3b9s1A8zK4v+Nvz9L9z08gJdfZoOknBw2IVIaETUtsrLMj8XHc26Sk9CJiKBm5rlz+n1kQQHtGNOqBUs5dYrZkzExfDxtGoNGSnbwtceZM5SziI5m89VBg3i8e3dWAFy+zH1BRATnsrIyBpAdHZtuBUN1FBXJryfNYY1R0FPT1PchgI8BuAC4EcC7AD66cqwaOU6L6Q8gRhTFOFEUywD8BmCqyTlTAfwskv0APAVBCLLwtQompKayxKVzZ5ZjrFjBNP4+feruWATYudmUuXNpJFnKhQsUaddqqa2kUjFF+rHH2OVwwQJ9Z2gFhaoIDKTeZ0QEMH8+jfDBg83Pu+WWhr4zBVuSlKdFoMYBgg06lVxCAXzr4lx0VSMttwJp8XbQlgjwD5VPifWGM5LQ8OkIEycCP/5onHmk0LQ4dYraRwcOULR9yhSu1XPmcP1+6SU6eSQEgY1Oxo1jBk1zcCwCbFpl2jGyf3/ryqcUGpagIONyNYD7s6aeKQuwSYHkWAQom3LkCDO677iDjsfBg+nEtwU7d7J8PDyc+1Ypu9PFhfvtsWMVx2JTRK7b/b33cj9ZFSkp7J5+/fW0gZYvZ8ZhbSgvp3yJ5FgEmGyxd2/trqfQfNHpgO++o2Nx/Hh2hp4/nz9jxnBcjBhBiTN7e5b0P/ww9wORkcDSpXTWNSdCQswlTxwczKU6FJo21ToXRVHcIYridgD2V37fYXCs9haYntYADOOgl64cs+QcS16rYMKGDRRRLy3lpPPcc3XbTBUX0whauZL/DhgAfPUVNWRcXdl1esoU666ZlqZ3Ho4eDfz2m/HzixbpO/8qKNTEhQvczL/5Jsel9K+rK/DggywJUKJizZfEvFIEaGzjUUlBPvxQTUeJGvByVSE1pwLHdjiifWRZldpxvnBGEvJr/T61JTycEe1duxr8rRUsZPNmBvwWLmQGTFkZ8OWXLCX29QV276ZeoSUUFdHIXbmS2VlNKSjXuTOdPd2700E6ZQod34ZSLQpNCwcHOrdnz2Z2X1gY9eV69mzkGwMzfFavppSOXMmzYWdegMZ4XBwzGiUqK/n3FdSx39aFC8Dkybwn6b1vu41ZRgpNmz592GCqdWuOkYceYmM9gA7pVatYFWOY4bh2LctUy8qA/Hxq2EtNkawlP59j2JTmIj2gYDuKirgfAJixKDUPkliwQF8tqNNR6uz77zmPZWUB99yjb9zaXNBo6FyfNo2Bq06dgI0bGWAFWPGwaxd1GY8epTNeoelRU1n0gwAeAtBOEATDinc3AHvkX2UVcqaXadOYqs6x5LW8gCDcD+B+AAgNDbXm/poNoigiNqcEl/LZ2KCjjzPsTHLyKyu5eTdl5Up+ka2lvJxRlfnz9cc++ogL8dSpfL/gYHlx9vJy/shlS7ZurRerldPCE8WWVTp1LYzP+qCkhItPdVohiYl0oEvOFI2GG79Zs7hxXL2akgA33dQw99xcacpjNCnfNnqLZahELrTwhBVp1iZ4uapxOLYUx/Y6oW03c71FCT9ocAQpVT5fXwgCI94//8yId0uhKY9Payku1jslDFm/nplVarVeo620lJ+p3BxYWkq94+cN1Ki/+oqVBLYq+7SGuOwSJOaXwtfFHhE+LrBXqzB8OIOb+fnUlzXNZGxJtJQxGtZWxPMLSjH3SQGebip0CrW3SdZ4Xdi7l401pCyd0aOZSWbYMGPECOP9r6Mj9fRMSU7md8fNzbp7EEW+v4sLOwebOigvXGB5rb+/dddtKBpzfFpivzQUTk60h4YN4zho1Yp7xX//pa2Tmsrgzm230Qni4kIbypTDh+ncqWp/KtkxGo2xjeTpCUyaxLnbEKUpZsuZQy1Fo2HQLTpa3haurNTbwhkZzFQ0JSqKFVw1kVagxYXsEjjbq9DJ1wUah0bYJFyhUyfgl18YYHV31ze8ystj5eVnn/GxSsXko1tvbbRbVaiCmkbPcgAbASwAYNgwpUAURQtj59VyCYBhv6xgwMziquocBwteCwAQRfFbAN8CQN++fVtkx+tNMdmYvvI0Cssq4aAWsHhSR9zRIwAOav0CrVZTU8Y0vb5bt5qvn4oCbEM8tuIi+qE1xqEdis954emnjc979lmmNHfvLn+dhATqPCYnc9IICeH7Gwpat28PLFtGB1B2Ns8x1Plp357aiy2F5jo+K6DDAVzCapyFA9SYigj0Q2uoZP3+tiMvD9iyBfjwQxoAzz5Lw0GuHHD3buMsrcJCNhFwcdFHBKVsrvpEFFnmkpxMI7pjx+al8diUx2hCLh0WdSUFhfCFM9R1GL9SQ5e8HU6485Wqy5794dIomYsAdRcfeAD4+uvmU0JbE015fFrLkCHyeoRt23Iz/eabzEL87TdmygwaxPVw8GDjz/PsWeDFF42vMX8+DY2GLmP9Ly4Ht/wejTxtBexUAj4Z3x739QpCXrYaFy7QoDfcAyQhD1sRjx2Ix3C0wWiEIRSeDXvTNqY5jdFslGA3ErEe5xFe6YsB2eFwTvBFu/Y6rEu8jLl/n0NZpQhXezV+m9YZkzs2ngh2QQEd6Iblf1u3MmPH0Lk4ejRw++0sWQWYbTl0qPn1Hn7Y+q6kFy4we83JiaXWcoEbR0d5zb6mQmOOz40x2bjNwH75dnIEbu/uD3t13R2MosjPJyWFpc0dO1qmhRhgIPyVkFeCo8GJ6Lr7PMbm+8JzbwcsuNsP997LcdW5M+UsDOnUqWoH9blzzIB0d6c9pNFQW75/f+4LH36YzTuionj+nDlcF651mtMcagsEgVniO3ZwfvP3N85+7tyZjU6KihjQaNfOPGu7Vaua3+fQyXJsOVaKUkctlqfEY3hbd7w7uh0C3ao2jBKRh624iJ1IuLJGt0UobDfBOTkxM96QU6f0jkWA2Zrz5ilyKk2Rap2LoijmAcgDMLOe3v8QgHBBENoCSAYwA8DtJuesBfCIIAi/gQ1d8kRRTBUEIcOC114TxOeWYNbqMygsY2ijrFLE3L/Po08rN/QI0BidO2cON1dSGWhQkHHWVhLykIICuMMR7eEFB9ihFBV4CzvxNQ4DAH7AUQxGMD5ynoGKCuMSQikdW46CAjqFvvhC341twgTg6aeZTSMhCMANN3CTlp7ODeGCBdSwGT0aeOON6vVPFCwnAbmIRy584IwI+MIelnu89iARo/EzdFcShj/EXuzAPRhk5PO3PVu2GEeq/vuPZSqmG3qtls1aTDl3Tj/me/ZsmAyudeuon1ZURCPjhx8Y+W6MDKKWRnxeKULc6+4dvoQ8+KJuwrPuzirkXbaDU7YKAW1k0mKu4A1n5KIUpaiAU40xPtsSEMBN25YtzJBQaFr070+n4R9/MPMaoJH66KMMxJ09y3VQ0s38+Wfgvfe4bhrOZZmZ5tqaZWVVr8/1RVJxIQ6LKXjsVg3SE9VYsjsbj2yMQS+7ADxwjxonT/K8O+4APvgA0LQqxRP4B3+C6ZtLcAxT0BE/46Y6ZRUrWM7POI4n8A8fqIFgn/2Y8cc9iH3PE/43l6Kskmt+UXklZq06i6Pz+qCtlz7ttByVOI8sZKIYofBAW3jV270WFODqGDIkOdn4cXAwO6o+9RSrZsLDKY3y118MUGZl8Tt2113WvX9uLg3cyZP5elFkubWhIxNgMLQlBcVtRXxuCWatMrZf7lt7Dr2DNOhuYr9YiyiybH/WLGaEOzkxo/XWW61rtrLK5QSe7XRFsNMTaB28D4/n3oP0dG/Y2QFPPkmJBylbNaytiOuvlw9SZmbyfmbONO68/sUXdCINHkzH5KZNdBi5uXG9toUmvkLzo2NH2g+xsawIXLCACUJDhjBQmJ/PfVxFBSsHjxzRl+P37AkMHFj99TdtEjF9uj0KCjyg0Xjgm38ckNT6Eo4gDSMRBBeYR6DzUIrHsBF/gfpkS3AMN6ETlmAqPOpxjc7IMD+Wk8M5WHEuNi0a1bQVRbFCEIRHAPwDQA3gR1EUowVBeODK84sBbABwPYAYAMUAZlf32kb4Mxqd9MJyZJcYG7KVoojkfK2Zc7FnT0bETp7k4hoZyUxAAIjSpmPXfh2OrvWCi4cOYyYVYEQPR6TZF2DxFceixF5cQm6rDHh7uxrpP3l5mUcbJC5epC6j5FgEuIBedx079HmZ7D87dNBvxn7/nVmM3t4tu3SqIdmLJEzFb8hEMeygwvsYi3noA1eZxcQUESK+wKGrjkUAKIcOvyG6Xp2LWi3wyScm93JlA2nqJLxwQX5DdvMtIoYMFdG9h4DwDkK9Zy3GxnIzKWVWaLUUCO/ZU68jolB74nNL0TfIyho2GS4hv87ORbVKgEu6F1p10VZrvKihgh9ccAn56ADvOr1nbRg6lM4rxbnY9HB1pXj7xo0shxJFOhW7dOHzhgYpQKPi8GE6Dg3nwLAwZsbkGyTISo7lhuIyivCu0w4sbs/9Q/u2XngneByWbi7ET9+qjZxCy5YxqNhmWhb+VBnXhf+N8zhckIlj3wQjPp7jduhQ60tXFYwpK6Mm59q1/L+cNAkI6JuHV7HN6LxLqny4DknD6oe98MGYIHg6XUJuKfecedoKpBWWXXUulqAcP+Io5uMfVEAHbzhjNaZjOMLq5W/w82PW17ffGh+Xq8jRaLjXNOSGGziWSksZbLe2wjsmhmv7li38rgIs9b/+euqfOToy67hnz+ZVrdBQpBWWI6dUb79E+Lhgaiefq+OrLsTEsAGR1ACrtBS4+27aPZY2iEhCHl63N/4+JKsK4Do4HR1LuHZ3GliCb/bn4ewpFQQHHcSeqdCFBQIIMruepJG3dav5PL58ub7poE7Hiq1//+XYGTtWcU5fq3h760uDW7WiI/rQIfYfmDpV70x87z1WKzg6MquxVy/AxacMcTmVCHB1gKuD8QSUkADMmiVcdYq/tjIdrwxcgTgVs48eQ3+8jOFmOuTnkHXVsSixGmfxPIaie0lr7NvHNcXPj/Ngr162+X9o354JGYZyFt26GWeoKzQNGj1vRhTFDaAD0fDYYoPfRQAPW/raa5FAjQO8ne2MHIxqQUDrKrJ5IiL4Y0g2SrBvqz2euF5v6P7yAbD8vwJ4RpZDlAlG2DlXYs0a6orExXED9dNPVRsvoigvLhsVRR2o6nB2phajgm3IRDHuxV/IBHddFdDhKWzGYIRgIIJrfL0IoADmatWFMsdsiUolb1C6u5sfq6zkhv/1N3RY+IEKRUXAxMk6dO4C3DVL7/mZO5cOy/qKDKelGRv4AI26lBTFuWgLEvO08Hete1l0gg0yFwFAnegB77Cavwf+cEUi8hrFuThsGLNtKiqU7NmmSpcueoeihCjKN2UpKzOfAzt0wNX1OTGRj5cuZQZXQ3EQyVis0gcmY1U52BFyAlPDB+C3j8297wcO6VAwsgCQ0aU7EKXDy8/w9y+/ZAOFe+6ppxu/Rti5k8FdySn2/vvAjngdyvzNBb5ENT0hRw+p8fGj7fHi1otIKyyDp5MdAg0aap3CZTyCjVcfZ6MEd2INDuA+BML23mB7e2YjJidTl1SjoZFtjUaddx2mYHt7/pSZSOxu2KDPllSomkCNPbyc7JBTWoF7egbCTiXgswPJ+DYqFW+PCsMdPQLg6VS79T011bxbrlbLvZelzkUdRGhh7ugMCq1E5BW76BBScHuXXwGD+foA2uNPTDcL1js4yI8XQD+3l5dzT7pggf65yEiOKUvKXBVaLnZ2rHwqLOT8YjiO0tKoSThkCPDYYyL2p+XioSXncTazBBPae2HhdR3QzV/vKExP11cyDBldgf+G7LjqWASAz3AQE9ABExFudA8VkBGABO3If/9lwEbi/fcpTRUZWfe/vUsXNnKZO5fl4T17Uke3LvO3Qv3QOIq5ClWSry1HWYWu5hMNaOPphGU3d4bblaiEg1rA9zd0RCdfyw3l7OJy/PqOsV5CURFwcLsDNh4sxvWi8eTSFp7oBD8MG8YU7ZMnmRE5fHg199mG+lCmDBnCDaFCw3EZhTgHrirOsIPfFadKAnIter0KAh5Bf7Pjd6AKsU0bYW/PMnpBoP7IzTPLMXKMTrYjeYcOXHS2bFZh/Q4tXlmRjlvmFWH+Y8bT3vffM8JdXwQGmhv+Dg7KJtEWVOpEpBeWwc8GzsVE5MG/Dp2iJcriPOASUlzjeb5wtfj7Zmv8/Tn+lK7RTYuiskoUl8tv3AHOew89ZH58yBBgwADz46NGMTPt5El2rJWyYhqKM2Adkz1UCIArVBBwwCkRI3o4YfwEc8ms1h3LsP1IGXpWGGf89KwMxPm/fYyOvfSSfFdgBcsoK2MZumjwMZSUAPtXemA+jGvp3OEI8QQ9vu26l+HJzbGY2zsIGgc1fr2ps1FJtKQl6wFHeIAB7kTkIQslyCu1fn9rCR07AitWMNP3+HF+RxoqqzU8nEbvddeZPzezvgSlWhBhns5YdnNnhHo4wsfZHt8fSUVphQ65pRV4ZGMMdidWrV1cE0FBzAQ3xNHRur1XMNzxJIwNF3c4YqhnAJyuOBfjYXyPDlDjNDKQBfNIUMeOtIXGjtUfU6mA0ddVYt6jdGJevMgyekOOHzfXdVRo+RRqK1BqsCdo04bSYABw6RLnHtMqmSefBOILi3H98pM4m8kxuCk2B/f+dRa5pfr2ygEBDMJERACRo0qwX3MRAMe3JEEi2YmGhMMHfUyycvuiFUJLvfHmm8bnFhSw3N8WqNV0XEZFcU/z33+2y4pUsC1KzkITITm/FL9HZ+C7I6no5OuCZ4eEYmCwTDpWFUzo4IOj8/rgUn4Z/F3t0dHHBWqV5fUdbjpHlBaa+5q1hSr8fDAD6/uOxWCXYPyB0xiFtpiDXggG7y8ggJPcokWMnMycyTIT00Xd0xO47z6K0R85wmNTprAEzJSCAkYXNRolY7E+8IUrOsEHN6MLdBCRgxKEwdMqbaRRCMNazMBH2AcHqPE0BmNwPestAsy62n2iFJsuZWBlbCo6+7jAPjgMgLGH2tWVWkqrVwNffGCPHqM0KCo3v54oMlJcX7Rvz85nt99urLlomj2sYD0pBVp4OtkZNa6qLZdQcNXJXlu0RQJK05wAnxKYjkdTAuGKOORUe059MnAgvxujRjXaLShcoUBbgU0x2fhgbyIcVCq8MCwUY9p6wdnevI5y+HBmaC1aRKPirrtYAmVa7inh7U2dxs8+45x4223UdWyIZqyd4YsH0Bd+cEEqCin4rgOG+Hkj+GEBu3fBQHNRxCmnFCw7kI2XgoagX/tLOKi5iLFlHTA6qScmLzLeUBQXy3fQVLAMnc68ozEAJMWrMB8DEAIP/IijaF8YgIGH++HNh/wwfkoFLvtfRm5MBSJ8XHB0Xl908NY7FouKAI9SDyzwGYM0sI1pIDQoygc2RpfixyNHa7W/tQRXV/NM34bAxQV4/XUavN99x4ZxTk7AE0/IB9MVzJkY7oNtd0fi5hXmItkbLmTXumFQhw7ce0mai87OzHju2NHya6ihwiPoh6AKd/xkdxTdRH88KvRHF+i7/rQxaGTxCPrDE07IRgmOIQ2usIePwb7C3Z1dcKXxsn2HDqPuycP/LiVizr4yzBeD0dfNB/7+DnBzo0a4FACoz32qKYcPUzrl8mXadEOGmNt0CvVHdkk5NlzIwkd7L8HDSY2XhrXBiDaecLBT4Z57GNT45x+WHq9fDyxezGaXjz/OHgZbk4tRahLIOZRSgIRcLTwD7aHT0cbu14+SYwMjnJFZ3BGRLr7IRDEqoENruKObwTiX8IMrluFm/IwTWI/zmKTriBsLeqAy1/Vq92pD5Kot6kJwcMNWYChYj+JcbALoRBGfH0zG+3vYEvlMZjH+ic3G/vt6XxU0zteWIzFPi4pKEReyS1BcrkP3AFf0DNRAdUUkpr23C9p71844jtGk4eZn3HB8lj6/WKUCwvuVovS0Dr5lbnjJZTiewCA4ww6CQUfVY8eo9SSVHyxdykVp2jTz9+nblxPi2bPM3oqIMO+gd/o0hbG3bqXj8uuvKZZtb5CclJ3NaMiaNSxvuOEGpcTUGvzhiu9xA27HKiQaRF1/wU3oD8u8ua5wwBREYDwoBOMANeKQgxNIhwCgOwLQrh6E3NV2Iv5KS8YHB5JwQ0gAInNC8cYTjugbqcNNU1VXO6FeuEA9Ema3qLDyd1cs/a0c7dqLiIvVj9/Ro/W6o/XFlCnA0aMs3QoM5MZA0V+qO4l5WgRpHGo+sQYKUQYtKuosRp143BnuwVrkamvWiwqEBtsRX6f3qwuDBgFvvw18+qn1OmMKtmXrxVxMX6k3rKf87xRWTOsCO5WAdl5OcLJToa2nExzt1HBxoY6RlClVU1n7jh3GAbyLF9nQYPNmOmOmTKk/p0wX+GMh9mInEq8ee0Y1GA5Qo0sX6omdP09nTKuwCgxddhkigLfXZOCzAb3heXAEVv7kCP8HBDg5GRspjz1XjrhWKXCAL3xtkHF8reHkxAyX6dP1xwQBGDZMRHplEVqpNfgGk+Ce743fLpVg/pdZOKRNx3cxbFca6uEI+wJnfLuSY2zUKErixPpV4nWf7dBeKZ3rofPH2INj8PaeOAD6/e2B+3qjW4AG5zKLcTStAMXlOnTycUZkoJuZNlhTp1UrfTacVKqvyE1YR6iHE7r6u+B4urF3Ityn9iLrggDceCP3XikpzGQMD7cusKLVArvWuOGzl/shvHtPuAWroHlIDRiUVfdBEJ7AQGSjBPtxCYeRAgD4CofwAoZiFnqgE3yhumI3Sc6R5HwtgoYXYOpv0ai84kF8anMslg7wwi238J7vvpv6dbGxDec8P3qUNp2kVfnTT+xubdj8U8EyEnJLkVtajtTCMiTnaxHq4YQ+QW7wdqm+2mbDhWzcufrs1cc7E05gxz09MayNJ7y9uW4bVmyNHUupqmi7dKxHPjTO5g55jYMabo6cWw8cYKBS0i/8/Xc7/FPaCzdiOYpBL7YdVNiCO2XvLwK+eBujcFfiMLz6rB36rxAQEUHb/ZFH9Oep1XR27tpFv4CdHfcfUmd0hZaJsvw1AZLySvHpAePWdsXlOpy8XITuARqczijCQ+vPY3CIB5afvIyEvFIAgL1KwL93RWJ4G886vX8uSvAZDiF3kog3/jcK6z7xhpu3Dnc9VYSliRfx2YRwhHlygXeB+YS4e7e5rskbbwDjxpk7DgHA15eZjXIUFjLiu3UrH6en00l58CDQp4/+vKVLuTGW+OIL6gfVt5OoJZGKQiPHIgA8h38xDu0QUEPWlSEOVzpMn8JlXIdfkHolYyEIGmzGnegmJ6BVBy7ll+LT/clo6+mEthfb4bW3WXq1+jfgm68ppt62LZ3epmVzTzxkj++/F7FuvYhDBwWMGaeDt6cKly4xs7a+EARuasPDaz5XwXIS8kptoreYiDwEQoO6+tjiDrvAt10psgpqTqnyhyvSUIQyVF79DjUk7dvTcDp3znL9KQXbU6ljcNGUP05nIPpyERztVBjY2h0iRLw0rA1CPOgAt8R5UV7ODpISoaFAu3bM5JH4/HM6h+pj7UxCnpFjEQAWYT9moyc6ww/+/izRT8gtRU5ZJX66sRPG/HwMQ4K8sP5Lb/yzjt+Ljz4C3nmHpd2xscCEB3MQc8NBvIn9mIlu+AwTFAejFcTnMkDdf4gTFi5U448/mIE3eTLw/PMCZrTJxas9fgcAvBg0FG26dMK8vy9cff2s7v5o66TB/bPZeAhgM4qJE4EhP2RcdSwCwIT8bvjsQJrR+0v7WxHAmJ+PI6OYxqyrvRpfXh+OIaEeRhmRzQnFqVg77FQqzB8QjLXnsq52jg5xd8SE9nUTVBMEZiqaZitK34EQd0e4OVb9oR0+osOMGfRGxsRwr5FyScSyZcLV5pI+cMHbGI0tiMVSHDd6/UfYBzUEjEAYxqLd1eNphVo8tP4c2nq5XHUsAsBT7Tph1g1OV7OKV66kfTN8OPe1DcH27XrHosRbb9GBpTTRsoyySh3WnM3ED0dS0MrdCT8d08+Bzw4Owesjw2QrEwDKo3y8L8nomAhgY0w2hlVh71fYVeAz7MPrYA3yFP8uuLV7J/xxUl/WvGh8e7S7ImGxcqVxY5TgYGBNcSyKHfTpsRXQ4SvdIYQXBaK1m3ngPT9PwH2322PPHj4+d47JQz/8wEzKgADKWBUW0sEoNTCS9hwNLdOi0HAoy2ATwF6lgou9yiyFWSeKKCmvxMtb47D/UgEGh3hcdSy6OagxMswTq05noE+QBrHZpTh5uQjO9ir0DtJcdQZWRz60yEYxCqFFDkqwxTMOu2acx4gb26NIVYYNahd8kjEe4T7VZ0PKpeqXlRl3QrOUlBRmVBii0zELTXIuJiVRtNb0dceOKc5Fa5CiUwD1kcahHTrDD8WQUZq2gBU4ddWxCNB5uQKn0A2j63yvhtipVHB1UOGWoGB89aJx06LERGrTtG0rXzJXWgqoNOXIGnUeYcMFbC0qxoxWbXApxQfdulnn4Cmv1KG0QlftxlShfonPLYWfa90zF+NtpLcYd9AZrXsW4nhRzc5Fe6jhC2ckIQ/tG6GpiyBQp2/dOsW52FAUaitgrxbgaKefawQB8HY2n0M0DmoUl+twJrMYN3byxevb49Hd3xUP97e8HkgU9Z0kAWYMmDaYSE7mnFkfa2cJKuANZ4gQcQMiEAQ3bMNFXCoqwYELqQj3dkZcTike3xSDnNIKDA1xx457eqHosj3G36//P7p8mcHE1Wsr8ceY9fja5TTyrjQP+x9OYQ56YbSB4a4gT0l5JX6Lvoz5m2KQr63EqDaemOgdDi8vV5SWUkZEpwPKYjyAHnzNu8JuHOjeGXv9euFCdgkCNQ7oFahB7Em7q45FiY0bgakJrYya5JaoyuBi72i2v3W0U2Hd+SwUlVdickcflFbosD0+F6vPZiKzuAxPDAq5WpWjcG3Qr7U7DszpjRPphXBQq9AzQIN2NnYyl5RXYkX0ZTx+5Tswtq0nvpwUjmB3J7jIOHtOnNbBx0eF6Y8VwNu/Ept+dMeaNSqkpRk7+1xgD7VMeLIMlRAg4DFxI3YL98Ib/HuOpRXi34u5eMRPv+9wtlOhIMbVTK7g66+BO+6wzd9vCba06a5VDl7Kx4yVp/HqiDC8uSPe6LmFe5Mws7s/egbKe2rVKsDTyXxP4OFYtY1yBhl4A3pxw7+dT+PBCe7Y1rcv8op18Hd1QM9AfdKI4b4AYMl7jn0RnGCHGeiKtvDCFsQhFYW4f/053BLhjxnd/OBir7+vlBRcdSxefd+/gdmz6Ty0s2PF4fTp5p3Rf/1VcS62ZJSGLk2AVu6OeGukcUgqxN0R8bmlSMzT4q9zWXB1UCFPW4F+rdzw3ZSOeGNkGBLztDieXoTt8Xm4bWU0Zq0+g1t+j8Z1vxzHhazqGwocRgq+RRS+x1F8h6OYg94Yi7YoQQU2OZ3DLoeLmKHuih6BblVGVySGDmWJsyEvvgh41aIi1s1NXmzZx0DLXaeTX/wqaq5EVDCgBwIQBg98gHF4AgPRET5wgh0exAYcgnkmTU0culIKUtOxutLa3RELxrSDnUol+5lLTsWePc0zZx97shJLMy/gr5hM/H0xAycuF+Hl46eBoCKz61TH4eR83LX6LAb+cAQf7ElE4hWnv0LDEpdTggAbZC7GI7fOeos6HZB4whlhXcqQX6pDpc68YYUpreDWqLqLffuyA6VC/XK5sAzfRqVgyJKjuOX3aOxKyIXuSraKShDwaP/WUBs4UhzVKowK88SdkQF4cVgo3K8YFctPXbZoXEk4OBhn+KvV8utkfWgXFkILHUTciR54BcPRAd74CHsRLLojPqsMs/86h+0JebhrzVnklPKmdifl460d8ega6iibpSPa6bDc5f/snWd4HOXVhu/ZXrTSqvdebMuyLfeKjXul9x4g9IQvCRBIIAkJJaQSQiAQEkhCgITeMdgYXDDu3bIsWd3qXdre5vsx0krrXdmyJTeY+7p82Ts7Mzuy3n3Lec95np3+wGIvLSGME2SC2VFv4ab3DtLllH7hX1R18IWiApvLy9q1fQtATXTg/2+DuovpqRFcPy6BRdlRxBo1A7YZvajmceYzDSkI/nr4Tn6xIC3gnAyzlsKEMARB5P6ZadR1O3F4fDyxIAu1AnY2WGi1ubG6vOxu6GZ3QzdWlyyw+W0gP9bIlQXxXDwqdtgDiyB9B27s+Q6MiTOyJDeam947yLn/3MX/9jfR6QhcXERnO7h/236yf74Xx+1bWLZpLe82V6M2BC9C8okjmsBnnk8mm6mlRGilwtq3+d7l9GJz+wjTKP2BJEEAryc4QOlwnNrA3rnnBspQAfzkJ6Er0WSCOdhi4/PKDkQgPULLz+ak8/M56UxNlnRmRfD3waHQqZT8dFZaQKg6TKNk0VGyeNuw039mcE/7XMQtSdz5QRnvl7RiUCsD1vKXXx4oh3PwIFzrHcNrXEIqETRh5VrG8KB7DmsOdXLz+wfZWR8oWWAySZWIRxIZKembqtXSBmcozcXh1mGUObOQU27OEEbE6HlsXiYlrXbijGq0KgW/WlvFgqxIRsca2NtkY0ZKOF6fSEWHg8fX95Uara/u5LH5mTywWtK0KW1zsKG6c8CMw2o6eZP9vEcJxbQAkuX8H1mED+jEwUOcgwMPL7ObscQzjoQBn33SJPjiC3j2WWkn4847A53QjofERCmd+qKL+hY8l10mBYp6SU2F++6T0vR7iYgYHqv7bwPt2NlOPdV08jsW8S928SFSyZMRNT9nDst5la3cQjrmQd/3KsbwKWVBx04GVxbEsTPSQvedHp75U183FhkJY3o+cuRIePdjN8+/ANUlKuZe7ODchR6eeKc54F4+EfZ3dTLZpiPacOwsuOIWK/Nf3u2fHNy/upzqTgdPLs5BPQzGIjKDp6LDwaKsoet6VtIRUrj6eGgq06A3eQmLEjFqFHRYvUSbjj7EJmKihFYWcnpSrsePhyeekGQtZLH2k8crexv50WdS37in0cpnZe1svHk8k5KkxcaM1Ag23FjIyrI2bG4fU5NNPLnpMF/VdKFRCvx0Vjqz0iKYlhJ+XEZtIJUjffCBVFpnt0uaSL/7Xd/7ZnNfn3m87KeJXTSiRGA8CYygb6XxBkXcxPv+17lEcSeTeUrYjDMeZmRkYXcHr5hXlrVjUzh59lkDK1b0BUNXrIDx4wXmkM5aqvznKxDIPQ2Zv2cjpW3Bm86fVrdw/xIX67+QgiIXfcfBlrE7/O9rUJJDdNB1eXkwaZLItm197XHSTA/FI4oJQ+QyMZ/LySefWMaNjiUv3Mjn5R1kReqYlxlJVqSeGIOGWz4o8V//VXUnL180ig8OttDl9HDXx6W8USSN19eMiePx+VmkRQxNF1fm201Jv+/AdePiufezvjnrlW8W8fql+Vw2uk/KRzG5HsHYyc/4AjseUMBF0Y24feP4DAcZmJlAAmb0ZBHJZ1zHr1nPNuqZSwYJhPFrNrDCOYp1+21MnCLdd2S0AbVC4Hdf1fDjmWm02iUn9cXhSp7WSJmCvTz4oGTMdaroXdM984wkLXTXXdI4IjM41lS0oxQEfjIrjX/tbmRtVQcKAa4qiCcrUsf66k5yIo8eOJ+dYWZ9z5zApFGxKCuSwsSBa9IzMROBlk6cLHDlsO8zE58WSyXRB1psfFTSxqabx5PR87nTpkluy08/Lc0L7n3Ai8/kYysNqHryzu7gI57SLGXxqAje29fOwVY7M9PM/s9MSZHW65dd1mc6dN11gfMJQZDaz4cfBj7v9dcP8j9T5qxEDi6eIRg1Sh5cU0FquJZ2hweLy0u4VkmcUcPTS3NZ/upe6iwuOhxevqjsCLjWK4rUdkmuqR09GQCHu5whPkWinDb0aPyBxV5+xToeYx5R6Pk/VlJNFwA6VHzO9QM6AQuClN48fbq0uzZUkdalS2HrVqkUOipKChrG9lv3KxRwxx1Sx/aPf8DYsXD77XJp32Bw4OF3bOTXbPAfu4nxTCWZzdRixc1BWtGhooz24wouLiaLB5jFH/kagB8xncUnqVQtXKtiTpaZnHuhYITkADh+PNx2W6C2zqxpSjzxHfx7VyP1KtjYpiMxTEO9JbD02+HzYXX7QiyhgtnfZA3adXx+ez0/mJZ61upEna1UdTiIHwZDlyo6mc/QBI0qtxuIz5X63QiDglbLsYOLSZjYcgJZwsOF0Sh9X9avhyVLTttjfKNpsjr53cZA/SS3T2RbXbc/uKhUCExLjWBaagQV7TZuer+Er2qk8dflFXl4bSW/XZDF0pzjX2EajVJgbulSaaxuaJB0F196Sdq0u+22Exs7t1PHXP5Fd4+MRgwG1nA9Y4inli7uY1XA+aW0EdmT1fOxtpgHxoxGawkOlGaadYRrVSxYIM0DSkqkTaOxYyE+XsUzLON7fMyXVJFAGM+xfNh1fb+pxIXYPBsVbaBgrJMHn/Kii/CSNdXKH8KlRWkq4bzAeYwkOD0lNhaeeKWL119RsuPjMCau6Cb16mpsUU4eZD29aTeXkc9ftUksyYlmSU7fCGtze3l+W33APUVgQ3UnD85O4+PSNn9gEeCVvU2ck27mtokhSltkZAZJXI+RRlakjt0NwRUrT20+zPkjov3SFVX6FnbTKAUWgVmkYUTLpYrX/dc8zBweYBZaVEwgkRe5gE8dFfxGt46X2MU8VzZTDo3hk4Md/HBKOgAF8UZWXjuWH6ws5dF1Vdw0Pp57Z6SRaVazZo0U9KmtlZI1TvXYrFBI7tAzZkhJHrKO6PFR0eGg1eZCp1KytqoDkJIYXtnbyO8WZnHfjFSSwrVHvYdGqWBmmjkgmHc0sojiA67iZt5nekcujxQH6uk3Wl0Utdj8wUWNRjLhmjNHCgx+qiznPF7D15P/OIY47mQyTwubeWjqct7b105CCAmi886TnMVLS6UxYezYwEpDkPRCP/oI/vQnqS394AdySfQ3HbnLOEMYEW3gR9NS+OOmw4A0L3t2eR5ZkXoyzTp23DqJZ7bWIooiYSHKlLUqBW5vX1L0jNSB89fD0eElOGOgGyfTSWEjNf7AIkgBqSfYwBtchvYoTUYQhsf9SaWSAkXjxw98TmIi3Hqr5MynVstup4OlmBae6BdYBHiJnfyCc9ncE+TowIEJLREcffA7kgRMPMpcvov0i8vAjPIkKy8kJ0uB5ZtuCt0OVAoFC7KjyDBrabV7eXZrLffNTOW+z8r9ItpXF8ShVQqDdh3WhMhO1KkUqOSkxVOKKIoc7nIOuSzajod27MQMsSy6fKue+Gwp0GLSKyRTl8SjX5OMiTLaEBERhmwnc2KMHw8rV8rBxZOFSlAQFsL9Vq8O3WEICKyt7CBMo2RFXjRKQeCj0lYi9SoK4gdvtHUkvWNzUtLR+8zBICLyHNv9gUWAFmy87i0i3R2FS+eli+ANzt55RyJhtHT6aG+xsjw3io9K2wDJpO65FXnE9fTFhYWBVQsAo4njfa6ilm7C0ZKE7DAwWCYkhXHhiGjePSgFD7VKBY8vyOLyN/bg9PqgHZRVAv+7ZgXjsjXY29TYbFBrcvqNhPqjzmvnk1++w8M/nc/Ptau5mjE8y9aAc96giLuYzBwyAo4rBTCH0BpNCNMwJt7E9z85FPTeBwdbjhlctLq8WFweYo0aWbNRJoiJiSYuHBHN5tpuDCH64EidKkCiIlMRwZdU+l8vIIuH+TLgmkdYx3meEaS5ookxaDChRVURzfS2GSwOE9hU5OTnJY3856JR/msUgsC8zEi+/M54LC4vCUYNmp5J5MyZUmaZ1xsoOVXRbqfR6iYhTD0oXf2hIghyYPFEmJ8ZyQs76tl4OFA8M8mkwaxVDZiE4PH5KG210+3ykmnWHbee+Dmk8xU3Ua5w8mvFXjxHSKholMH9oUIBrdj4ASv9gUWAvTRxKfm048AnipyXF834xOD5h0YDEyZIfwbCYIBly2DRIum13Ka++ci/4jOAdrubP359mD2NFn41NwMFAuekRzCtR59BEATyYgzMy4ykqNnKjNQIdjQcojAhjGi9mqJmKxMSwvD4ROKMan63MJtpKQNPuEcSQz6xGFAHmHrczHhGE8vr7A+6poRWHHiOGlw8HRyp9ShzdLpwcqRil4jkCtbLFJLJxMyoENkKx0KJ4rSYUxytHTRZnXxS1s7bRc1kR+nRKRU8tSSbeosbjVJgTJyBsfGmQZc0j4sPY0S0noOtfaIhvzo3g3S5XOuU0mBxYdQoj6kJeywq6SCBsJBi7MdDxXYD8++QssHDdEpau48tAhuBDiUKGrCQeJqCJBMnSlkSMieHKIOax+ZncvkbRf5jMQY1U3qyFo/ErFfxq7npCCh4eU8jbp+P701JJm+Ys6KHMnb6EDlAc9DxvZ4WfvDpIR6ck8Zt5kn8hS3+93SoUKFAAH7cOY+fb+5kTJyBp5bkcs/0VNodHnKj9IyOO3Z9vgktI49z80sGEsK0vHD+CL7faKXD4WFEtIEUk4anl+bwk88rsLm9/HBaCtNio/i6qJOb3iui2+Ulzqjm9UvzmZMRKEFRQDwzSKVO20ELNrSosBKsRddBsCaxVqXk/plpFDfbyI8zUNRso93u4bwRUtrL/MxI1lYFZt/MGcAptZevqjv42ReVHGixcf24eG6fmETmMcoPZb5dJJi0/O28EextsuLw+PjPnkbsPWZDCgF+ND0VVb+5YAHxzBUz+FCQyvc9IRIzvIh8XtfC029V8sNpKVw9Jp5Z6RGUtdv51SdViCL8ZkEWi7KDJVyi9Gqi9MEbpEpl34aQKIp8XNrGte8coMPhITVcw/8uHc3UlHA5gH4GMiM1nG6nmzcPQHGLVIZ/w7gEInRKfrmuiud31PPo3EzmZZr9645up4fnttfx4OcVjEsIY3SsnnumpzHmODcUYzFijtRz34xUfr2hTz5tSrKJgtjQY6sNN4f7JRT14sbHbb6JjFbEsPx8/ZDNE+Wg4rcH+Vd9BrCltptH10saQqsrOgC4dkwcU5MDF5sqhZRuPSkxjA+uKuDfuxs43OXil+dmMD8rkoPfm4xGqSDRdPRJtwE1S8jmLS7nD3xNCa1cJ47lQlsBKw93MDUjHbSBFlC3MYkI5ODJ2U42kSRjopa+HbUkTCgRGEs8P2AqOUQymjgMnN2RW4vLQ1mbnY01XdjcPpLDdextsmLWqZmSHMb3PjnE3VOSOSfNTMwxBs1Wm5vSVhsWtxetUsFbl49mXVUnJW025mdGMjM1AkGe5J1SKjocJB2jrxsM5bSTwIlnhAFY25V0NauISpUW1uF6gZbuwRkQpBPBQVpOW3BxxAhJK7ehARIGltaVGQLLc6P4/LpxrCxrI9GkYW66GafXx/4mK7lRejQqBXa3ly8rO3hq82EEYH5WFFqVwMFGB4+uqyI3Ss90r++M0HVVouC7TOArAsu9J3Vk8fDuJmKNGu6dP40YQc+L7CKXKH7ANKyii9XuG3G16HnrciWj44wkhMlBwlNJjEHDvMzA8e6WiUksz43G4xNJidCyv8nK1W8dwN2T+dJkdXPFmwfYdusEUsL75oFR6HmKJeyjkf9yKVupZTJJAUZuelTkDSA4khqu4fpx8XxW3s6Vo+O4ZFQME3p0xS4ZFYNOpaDd4eH1/c2YtAouGDnwhufeRgsLXt7jd6X+7Vc1NFvd/HV5boA7u8w3j06Hm9JWu5SIEa3HpD360jbW2Pcd2HDjeFaWtWF3e1maE01ulI61lR1YXF5GxOjJi4pmkSeH5xQanlZsRoeCWMFAM33ajRmimbJyqOly8qPPytAoBe6aksI9M9K4oiAOUcSf+dtqc1HR4SBMoyQnSo9Kcez+/FCbnSveLMLq9nJpfqxU6fZZGXlReu6aksyU5NAbVTKnh06nB5NWxS0Tkvi6pguvCOFaJX/eLFWHHe5ysuzVPWy4cTzTe6oMd9RbeGpTLb9ZmMWaig4OtTn5vKIdEeh2ekmN0A5ab1atVPDDaSlMSjKxtrKDsfFG5mZGkjDAfDmBML5DIX9lm/+YAIwmlhmKFNKS+yohvT6RQ212up0eMsy6Y66dZL6dyMHFM4ADLcG6Hx+VttFqd5Nk6psUdTm9rCpvJ92s4/8+LfNPor4+3EWX08M9M9KC7jMQZvQsIYc5pGPFxbZyO9Nf3Y/HJzIrO4w/XbKcX+u/pBsXP2Ial5M/9B9U5rSTTDjvcxU/4lPWU80sUvkji8kmih8xnfBvSDZITaeD+1aV4faJTE6SzBGarFLgZ0ttN98ZF8+/LhxJtF51zMGxvtvJ33fUU9Hh4N+7G/GKIrPTIvj7+SO4Y3LyqfhxZEJQ0e4gYRj0FsuGIbhYuVNPQq6T3nWC2aCguM519It6SMbEAVo4d4iajyeKUimVnq5ZA1dffVoe4RuPQa1iXlYk87IiOdRq47sfHGRtVSdKQeCe6SncOyOVnQ0Wlr2613/NyrJ2frcwmx+vKkME/r6jHp1SYHleNEbN6Z+6LSOHXzOfx1mPGiW3dE7nqw0CXlHk3eIWfjorjV/ozuUuJmNEgx41qyvaWPbqPqkEF7hrchKPzM0kMkTmjsyppVcDTBRFdtRb/IHFXhqtLuq6XQHBRYB4wojv6T8XkcVljOZXrOV9DjKGeJ5iCaNCmGW12lzc+F4xX/eUDm6r62ZdVQcfXT2Gw91OrnyziIOtdnQqBY/Py+TS/NiQpdkATo+XjTVd/jlxL//e3chPz0kjJ2pokhcyZy4V7Xbu/LiUlYckaYWLRkbz5OIc0gdZNjwhycSEJCmgXdfl5LsflvB+j2xAhFbFZ9eNZUpyLMl2M5NsWbh9PuaYs3hAvYqvOcw53nQuqJ7CTzb0ZXI/tbmWq8fEE6lXB3xf9jVZufbtInY3WtEoBR6dl8ltE5MIP0YwtKbLidXtJdmkJTVcy2M9ySibDnfx1oEWvr55/HFnuMmcHA40Wzn/tb0canegUQo8eE46c9IjuOqtAwHn+USpz+sNLlZ02PnelGTuX1Xu73u/qunkF3PSeXLTYXQqBW9eNppzjpG93UusUcPFo2K5eNSxjQrVKLmXGfgQeYldJBHGkyxhGblo6ItBdDs9/GNnPQ+srsDp9ZEfa+C1S/IZK7c9mSM4/VvgMmSHKNuYnhqOWds34W6zu3hsfRU1nU7sbl/QJOqPmw6HDFIeCz1qrB1Krnmr2K/PsKHMwiNPO/mw8zsUcxe/ZC7JyDtj3xQmkMgHXEU5d/MhVzORJMzovjGBRYBV5e38b38zHQ4PSkHwBxZ7eXlPExXtjmNO6kCaACDAS7sa/DqN66o7eXZrLV7fkUXmMqeKig77kPUWAUppJXmIWYMV2/TEZ/dpzEUYlZLm4iBIx8xemob0+UNl3DhYvfq0PsK3Aq9P5C9ba/3lnl5R5LcbazjQYuO5bXVB539V08mYeKmUKUqv5tdf1bC78fjH+ZNBHGE8wCzebPoOV69fzr/+rmTlPqm0ak66GWOPzmQMRvSoabQ4ueX9En9gEeCZrXVnzM8jI3GozU5ttzNIJCJCqyLGcPT+1oCGQhJ4hYsp427WcD2zSQ95bkmr3R9Y7GVLXTf7m6187+NSv+yIw+PjR5+VUXMUk8KiZhsN1uDNnHCtEu0ZkOkrc/J4p7jFH1iUXrfySb/Xx8PWum5/YBGkDLSffl6O1eUlUq9mYnQk02KjmaVO5WOuoZy7+X7lIu75d2NAvxYfpg7S5ra7vTy0ptzf37m8Ij9eVc72usDvQCgSjBrUCoGLRsXwr90NAe9Z3V52NVhO6OeVGX5e29fEoXZJBsLlFfnFl5U0WFzEhZirhuv61h+p4VqarK6gTZ3X9jWxNCeaJquba985QINl4H5wKGQRydMspZTvs4VbuJCRAYFFgJ0NFn74aZm/rRc127jvszKsrsHNdWW+PZy2UVcQhChBEFYJglDa83eQGIUgCKmCIHwhCMIBQRD2C4Lwf/3ee1gQhFpBEHb1/Fl2an+C4WNykomrCvrcDmMNan51biaGfiLw9d1u9jfbEBFRhKi+1CgVdPdzsG3Ewgaq2U4d3SGE1fvTZnfTZg/UB2u1u6mtF0klokclSeabhAkt6Zgx9QQUPfgoopm1VFLGiU3MziRWl7cD8GVlB9EhFkRKBeRF6xkbf2x9r1abm+rO4O/QO8WtdDiC9aVkTg2H2uxDdooWgQo6hmwKUbFN73eKBtCpBXyiiNV57ElXOmbKacfF6ZugjR8vZS7KnFzaHW7eLW4NOr6v0YI+hCOURing9opolQpmp0ewq8FCZUewft1AVNLBWirZSyPuk9S+RmjMHKz00GCRgjvpEVq+PyU5qNyvw+GlsjP42etP0mJJ5sRotUtlyPfMSPXP/DRKgb8syyFrkPqFetSkY/a7g/dSSxfrqGIXDQOuPrwifqf0/pS320OcLVHd6aDR4qLgCL3OR+dlDpjtKHP2I/ZkSR/JJ6UnNoetCtE/ba+30OEI1k8O75lDjwoPDwi6KwR4eE4GRo2SctpZSyX7aKLR6gwZ9CwfRH+eF6PnuRV5eL0i6hBl1MpQi0KZU44oinxZ2RF0/I39zTw+PytgJZ1s0jC9Xzn7uIQwInTByQ4apQK3TwrmVXc6/ePskXTgYDOH+ZoaWvqV7B8PapSkEUEsoddFofrgz8rbaQ6xsSPz7eZ01tY8AHwuiuITgiA80PP6/iPO8QD3iKK4QxAEE7BdEIRVoij2KqM/KYri70/hM58UEkxanl2ey/cmJ2Nxe8mL1pNh1tNmc7O5thO3VyQuTENKuJbDXU6UCgGzThUw4N1YmECMXkW300Od0M3VmtfZgbTDdR1jeYIFAy6gk8M1ZJl1AYOcUhBkIexvCS48/Is93MVHuPERjpY3uYyFZJ/uRzsqNrcXnygSFqJEcFZaBK/ta8InSuWzs9PCSQrXUdHuYHNtF/83NYWJiWFE6I6d+ZYWoQs5AZyVFk64VkVVh4O9TRZEEcbEG0+Ji58MlLc7ghaTx0sjFlQoMA1BX9TrgcP79My9tW/hIAgCkQYlrV1ejLFH1/vSoSKBMA7SwhjiT/g5hkJGBnR3Q3U1pA1eXUPmOAnXqJiRGh60iI0yqLltYhJfH+5iako4Fe0OttV1c9HoL7FCAAEAAElEQVTIGNIidETqVPxuo6RvmHIUndHqTge7Gyy4vT7Cc61coX6DNuwoEfgdC7mViRjR0OFws7/JSrvDQ06UnpExJ/49SjfrefWSURxotuH0+hgZYwgqne1yemiwOHl8XiZeEf63v4l9TVIGT448zzijSA3X0mh18VlZG784NwO3V0StFJicOPAGjNXlYX+zjUaLi0yzDrVSYEe9BY9PJDtKT36sgQpdC+fzXw7ThQD8NfoCzsuL5oOSvmD78two8qJ0QYZpAMlHafdJJi3Pbavj7qkpXDQyhk6nhxSTlqU5J24u5/WJdDo8hOuUg9LFkzn1CILA4uwo1ld3IgCz083EGNQszDKf0P3yY4PL5y8cEU2cUY3F5UEUQaUQ2N9spb7bRXK4hiarm5cvGkl5uwOn18e05HAmJZv4kkou4n904ECFgle1lzMhMYxNRzoID2KDVKVQcO3YeKYmmxgZa+D/VvY5qUfr1UwI4eIrc2opa7NR1eHgR9NSmJtpRkDA4vLy582HWZ4XzYLMSNbfOJ4ttV2YdSpmpUXgFUXeOdCMQa1kbLyR5blR/H5jDZZ+mYBXj4njpZ0NXFkQh8XpIdYQ3F6q6OB+VuNyQrfCgV3t5CUuJHcArdsTJZTG+fiEMMz60y/TInNmcTpbxAXAuT3//hfwJUcEF0VRrAfqe/7dLQjCASAZKOIbhlmnZkZan2hqu93N89trcfngnQMtxBhU/GFRNje8W8wfNtbwwKw0WmwuartcFCaGMTXZxNY6C7/eUIVSAZeeMxt19iY2a6p5mT2cxwguG0A3Mc6o5dVL8rnqrSIqOhyYdSr+fl5eyIH2WDQ1QXExiCKMHAnxp2etLHMc7KeZ2/jA7yLdhZNreYdt3EIqEUe99nRgd3v5vKKdx9dX4/D4eGBWGktyogJKnJfmRDEnPYK1VZ24vD4mJJp4v6SVglgjb14+mveKW7junWKeW5HL+MSjl/xPTDLRanczKy2CDdVSOWNCmIb7ZqRxqM3Oslf3UNkhZd8kmzR8eu1YRscd32SvrQ0OHACnUzLYSJalHI9JRYeDxCFmLpbSRsoQJR/qinWYYj3owgKlKsINSpq7vaQdW/KGTMzspP60BRcFQcpe/OILuOGG0/II3wo0KgU/npnKF5Ud/gyEJTmSIZTT6+OSUTG8XdzCmDgj711VQLhawaMlrezvcZz86aw0ChNC9y2H2mxc8N99FDXbuHFmNGtHfUEbUoDGi8iP+IwZpJJtjeP+1eW8uEvafDSoFXx89ZggJ+DjIcag4Zz00N9Fq8vDbzZU83iPc+WM+Eh+mjWaCoOH8aNUjIn75shxnM3U1cHBg6BWa3nv/EIu+XA3D39ZSbRezT8vHEFuTOj5oNXl4clNh/nZF5UAPLU4m8c31NDYk82SFanjmQuy+GPaag4LUkaiCNyue48vl97G8txo1lS09xhxKClps/P8ijyWv7oPq1taZH9/SvKA7R5gdJxB0iddXYZCEIgxqPjXBaNOeIP8QLOVZ7bW8nFpGwuyIvm/qSmDcjGXOfVcNjqW9dXtLMmJ4f2DLRQ1W5mVFk5Dt3NAA4uBmJxk4jcLsvj5F5U4vT5mpYbz45lpfFDSyuPrq/D4RO4qTMNpVVDp7WJtVYckmwOEaaR+dFpqBPV0cy1v+13SPfi4Sv8/Pl54B5e/epBOp5QYcv3YeCYeJWjfH41Swei4MFLCtWRG6nirqJnsSD0XjIwZ0uaQzNDZWtvF4v/s4YFZafzmq2p/JWBquJa/nz+C+ZlmNCoFM9MimNmzzt98uIuFL++muyeQOD0lnP9dOoovbyjkneJm6rtdzM00U9vlZF5mJKvL2ymIM1DX7SQ5PLBd77C2kFOSz3ubrJgNCi45x8AnGYfIVRw7uCiKUFICVVUQGyut2/UDdJsTEsK4ZUIiL+yoB8CkUfL00lzMg0jSkPl2cTqDi/E9wUNEUawXBCHuaCcLgpABjAc29zv8PUEQrge2IWU4tp+shz3V7GroxiPCw19W+o/tb7bx/pUFOL0+YgxqzFolbXYPZr2a0lY7V77VF3Pd8bqV31wzlS051YjADuoHDC4CTE0JZ9N3x3O4y0WUTkXGCUzKDh2C666DTZuk14WF8N//SsGSY9HYCLW1EBkJmafH1+Bby2G6OFI5sAkrTVjPyODiV9WdnPfaPv/rK94s4u0rRnPRyL4oTmaknrcuH01Fu53HN1TzTk8pYnm7gw01ndw2MYmX9zSyubY7ILjY2QkVFdLgmp0NKhVE6FRcPCqW0bEGKYgowOhYI+lmHY+srfQHFgFqu138Z28Tv54/+OBidTXccQd8/HHPs2fC++9DQcGJ/g9983F5fTRZXUMuiz5Iy5CDixXbJDOXIwk3KGjuCi6nCkUe0XxFDddTOKRnGQpjxsDnn8vBxZNNYYKJTTdP4GCrDb1KwahYAwaVkuvfPcBbB6QSv/J2B+urO7lzUhL/uGAk3S4PkTo1o2L1GNShp21fVnZQ1CwFIZPiBcqF4OlQNZ10NRj8gUUAm9vHXR+Xsu7GQqL0w+/8WNRs8wcWFybFkrw7l6vvkD4nPBw+/BDOOWfg6202KCsDhULqk3Vyleuw0N4OlZVgNEoLzPPPlxaZAAsXGlj5l8k4TXZi9GrSzAP/pxc12/yBxbxoPXubrP7AIkhtuQU7a4WqoGsrzQ3o1QkUtdj4+FAbFpcXAfj65gnsuG0iZW12IvUq8mONR9VHNqhVfG9KEvOzImm2usgw68iJOrHAYrPVxdVvH/Dr2L2wo551VR18+Z1C2dn8DCQv2sAv5mQy55+7/Hp1P/y0DKdH5P5Zx5eGH6FTc8/0VC4cGYPN5SUzUsfXh7u45PX9/nNu/fQAT4wrYLI6heea+3RyLS4fP/y0jM+vH0eTzsqMjlxGVmbjMTh5K3sjJcoWaiIb2H7rBEpa7UToVOTHGo47MBOhU3NeXgzn5Q3snC5z6rC5vPz8iwriwzTsbLAESIzVdDmp73aSfEQmv93t5ZdrK/yBRZCMWTfUdHFVQTwTewyGup1u7vz4EP/Z0whAWbudLyo72HrLRPKi+zZ7iopFHvuwT7d7Y1U3f74xAVeqN0g38UhWrYKLLpLGWUGAxx6Du++WxoVeRBHKy6GzU8PPJmVzU2GCv+ohN1o2y5IJ5qTm+guCsFoQhH0h/lxwnPcJA94CfiCKYq8Yy1+BbKAQKbvxD0e5/lZBELYJgrCtubl5oNPOKFxe+OBgoDZTg8XFewdbmJ4SzrSUCEbGhjEjzcyoGAN/3VYbdI+Ne1z+TJgJJBzzM+OMWiYkmk4osAjwwQd9gUWAXbvg9dePfd2WLTBjBkycKGXPvP46uL9FUnanu32mEhGkqhmHkfgBdDdON/8rCja/eHpzLR5vYOZYtEGDQaPyBxZ7abN7UCuln7jR6sbVc11xMVxwgdQGx46F3/wGOjqka5QKgfy4MJblRbMsN5r0nsXW1hBi3F/XdB7Xz7N+fV9gEaTg5p//DJ7BxaVOCae7jR5JVYeDOKMG1RC1hg4MQ3CxbIshQG+xF7NBQUPH4H6J2URRRhtWTp92TWGhlLl4NnKmtc9jkW7WsSg7inPSzcQYNFR0OPyBxV7a7B4UCoHydjsLsqKYmGQaMLAIkgZpL/WNIllicCZiGhHUh9Bs2t9so8NxcjQZm/uZaZ2jSeafz/QFMLu64NZboSVYNg2Qsiluvlnqj8eOhXvukTLszkbOpDa6fz8sXgwTJkhmTv/9L8T1295ftQo2b1AxIdF01MAiQGO/9pQeoWNPU7BBT0mNm3N8wYGePGccv/mqmn1NVn8poAh8WtZGXrSBpbnRTEuJGJTxmlalpDAhjIXZUeRGGxCEExsbDrXZgwwyDrbaKWkdWPPxm8CZ1D6Pl6Jma5ARxu+/rqG++/j1XJUKgbxoA4WJJiJ0av69uzHonFXttbz+TzVXZASWmJS02rG4vHiLoilfupRHxufzx7HjWf7kdZzblYe3W0N2lNSuZ6RGyBlfx8mZ2Ea7XB621VtICdeG1CTc1xSsf9jt9LKnMfh4RXugXEpdt5tX9wa2vy6n1y8pAlKV4782Bmp5+kSor1IeM7BYVwff+Y4UWAQpiPjTn8LevX3n2O3wz39K48TEibB0oQpdawRLc6PlwKLMgJzU4KIoigtEUSwI8ec9oFEQhESAnr9D2mUKgqBGCiy+Iori2/3u3SiKolcURR/wAjDlKM/xN1EUJ4miOCk2dhA1aqcJu9vLV9Wd/GNnPaIoYtYFdwxmrSpoQBIEgThjcMZBhFGBBRfXMZaZnHwhrS+/DD62apXUYQ1Ec7OU7VheLr3u7ISrroKiIwrfPR7p2Lp10m77N4nT3T5HEcPzrEDd0x2Y0PAfLiLlDMxaBIgKMSGLMahRhAg0qRVCkFvktPgIRnnieChjLDPNMWiUCooabPz6t17WrpXOcbngoYekwPfRuCQ/+Pd1zZjjK23duTP42JdfguUMMgA83W30SMra7SSbhm7mUkIrqUMMLlZu15OYFyK4aFTS1Dm44KIGJVlEsoXgTaJTRVqaNJGsCk4wOuM509rn8aJWBvdTAApBQBvC6CUUc9LN/n/vK3Pz+2038PD66/n+4fmEo+EPLKKAOLL7bR5GaFX8Yk4GT8zP5KvqToqah9+5OTNSh67nZ3C0B/fdxcWSLEQo3npLCnwB+Hzw7LNnr/HQmdJG7Xb42c9g61bptcMBDz8MS5YEntfU4eHLinb+vqOOj0paQrqUiiLEOiN4MG0sD4waweEuZ0idQ5VXxa8880nu0f0WgHuYTpbCjDlE4DBce/RF8clkoO+b7hvuOn2mtM8TQRfid2bSKFEPg9FJbAiX3wiVhkMlChKUgcGVq8bEYVZp+N0jarZvktq10wlP3hfODZuXE2Mdvjl1Y5uHletcvL7SwfoD1m+FqcaZ2Eaj9WouGhnDtrrugDG4l/NHBJcmxxjVXFkQ/PyTkwJL5NVKIWTb7m/+plYIRIToL2M0x86ybmmB+vrg4/038PbuhZtuAmvP1GD/frjrLmmt3nuPr7+Gbdsk3W4ZGTiNbtHA+0BvAdYNwHtHniBIW4//AA6IovjHI95L7PfyImAfZwlur4+6bie2I+zb3y1uYdZLO/nu+wdZ/tpeLs2PC3CGNqqVLMmNCukMdvukpICBVKdScG1BIv/jUp5h2ZDdUAfDshB+3RddJKVaD0RdXV8pTi8+n5S91YvdDi+8IGXWzJkj7Z6sWzcsjywDaFFxI+PZyW18wQ3s4vYz2szl0vzYgAFXKQh8f0oyihANLStSz0Oz+wLr56XGM3pfAVcvMvLod6K47WIj67e4eW5dMx9/EDxA79kT+hk6HB4aLU6WZEdy7/QU1AoBlULg7qnJLM87PhHladOCj51/vlQyKBOasjYHCUMsia6jGw1KIjjxUrf2WhUel4KIhOAgYqRRQUu3ZDo0GAqI40tOX2RPEKTd6d4Au8ypIztSz4OzAzcACxPC6HZ6GH8Urbn+TE8N56klOSxOiWFq9Wgumx7Bw7MzeWPyLN7bcg/fYzJGNIxPNPLvC0dy+6RE/rw0hz9+XcMDn1dw/bvFzHxxJzvrh3eFMDLGwLtXFJBs0qKPDV4AT54cmDXXi9sduvLho4+G9fG+dTQ3S6XoR2Lrl0iTnSMiFtYz99+7ueWDEla8to8frDxEm70vC9Xnk4K/c6epeeymKJ6+KZHv6EejFgS+OyEBpSCgUQrcPjGJ+RlmZmiS2cx3WcsNbOdWfsVc4tUGfj4nI6ByIkKrYt4Q9D+HSl60gZsKAyt9LsuPZUSMbDx0pjIpKZz4IxIsnliQRUyIpIvj5ZqC+ID5plohMFOXhMcnUpCpJlqvRgCuGB3LfTNSaW9T8MEHwfdpKtIzPWF42nV1jY+7vyewdI6GK5bquOsqPZ9tdtDlPIPKXb4lqJUK7pmeyrh4Iy02NzcWJqBRChjUCh6bl8ncDHPQNQpB4I5JyVwySiptN6gV/HFRNlOSA9fpBpWCu6cEZseOjTcyNr6vqixMq+LhuYFaYmadivnpxzazio+XpEb6IwiQnt73uqws+LqNG6GhQdLoXbpUqjycPBluu02SN5OROZ2ai08ArwuCcDNQDVwGIAhCEvB3URSXATOB64C9giDs6rnup6Iofgz8VhCEQqQElErgtlP69CfIgWYrv/2qmvdLWpmSZOKReZlMSgqntsvJ3f0cwHwi/G5jDe9eUcDXh7swqhUsyo5icnLoiMO0lHA23DSeNRXtKAWBeZlmJiSaEIIKXk8eS5fCZZfBG29Ir88/Hy688OjXREZCTExwWVRCv7nd/v1w5519r9va4NprpayyhGNXe8sMAhUKRnNU2dMzhsnJ4Wy4sZBV5e24vCKLsiIH/F4oFdIgXpgQxlc1XRR0pnDtM3070eXlAo/9SsGIm32MHudl7eeBAcasrMD7uTw+VpW388DnZTRbPdw9NZm7pyZz68QkRFHK0lEfZ4bDrFmS5uJzz0mZIDNnSqWCskHlwJS22YYcXNxPExmYh3SP8m0GEkc4Qm6gaNUKNCqBDquPqLBjZ+KMIZ4P2YANF4YhuFcPhYICqTT6+utPy8d/a1EqBO6clMzYuDDWVXeQGKYhL1rPyGjjoE0pzDo1d09NYZQtkUXf7WtvDQ1wzx0aVq8GTSR0O3202CS3aJvbF6D71OHw8N99TYwfpMnAYBAEgcU5UWy9ZQKtnV6MT/p44H4FLpe0iPnrX8FsDr5OrZY2EzdvDjweajNGZvBEREgbtb2Zi730TwR68A827vy6IuD9/+1v5q7JyZzTk51TUiLNw5w9CY1WKzzyf0Y2btEyYqaCu6ek4PT6SIvQ+Strkgkn+YhM8bkZZtZ+p5DPytuI1KmZnxnJ2EEG1E8GYRolj87LZGluFNvquhmfEMasNDMRcgnrGcuIGANrbhjH5+Xt1HU7WZgdxbSU4enDJiebWHtDIR8XddDWIZLsiuS135r4y3NeRuWHsWvEROweH6kRWnQqJYecTsaOU/P1xsAJ3KhsFYmm4VmPfbFW5PXX+vr4vbsVfPq6jvyRDsYnyc7Rp5oRMQbeu7KAyg4HRrWSn56ThlIQyDDrBpRnyI7S8/JFo3hkrgOtSkFmiHN3NFjY2WDlsXmZlLXbiTdqUCrocSrvk6tYkCn1oavK2ogyqFmQGcmY+GO3g/h4+Pe/4dJLpQxGvR7+8pdAvfdQa+zMTIiKgt/9TspY7OW112DFCrj66mN+tMw3nNMWXBRFsRWYH+J4HbCs598bIHR0TBTF607qA54EOhxubnq/mE2HpcyAlWXtbKnrZustE/H6RFptgUKDh9rs2Nw+Hp+fFep2ASgEgSnJ4UwZIMhyKkhLgxdfhJ/8RNrVzssD0zHG97Q0+PvfpaBkr87igw/C6NF951RXB19XUyOZwMjBxW8nE5PCmZg0uLYebVCzIi+GFXkxPPts8PtfrVUy4nolF97ZzZ4dZtp7fBBWrICpUwPP3VrXzXmv7fUb4Dy4pgKlIBy3cHh/EhLgD3+Qdv1cLsjJkYLuMgNT0mpnRurQ+rq9NJE2xNL/ss0GEkKURPcSZVLS2OkeVHAxDA25RPEFlSwnb0jPdaKMGwePPHJaPvpbT7RBzQUjY7hg5NCE+htrg9vajh3SBl5kpFQh8aPPypiZGkFNZ3DbLWkN1oIaDhJNWhJNMPJ7sGSxVFaVkXH0Mfz666XNyt5KhnHjQldIyAyeiAj405+kMujeMrarrpI2gmfNkoK6neFuHDt9Qdd2OPoyo2pr+wKLvVgs0N6sQjOKQS1uQXJQPyfd7A9angkkmrRcmh/Hpflnx4arDOTHGsmPHX6dcEEQmJISzsTEcMrKoLUVrnsPkpJUHLmEdnq8PLq5nCt+mMz+feF09TgEXHyJyORJw5fosW1r8L02f6HBfs83vzT6TCVCp2ZcwvFtQOjVSkYdpc12Oj18WtbGZ2VtJIRpaHd4cHp8KAWBcK2KvBipLF+rUjI73czsE+hDZ8yQNpqqq6WAYW5uYGJDYaGU3NO7dtLppEpCnS50FcFXX8nBRZnTm7n4raOi3eEPLPYyMsZAXZeTvGg9l+bH8kZRn0itWiEwKvbsEkwNC5MMMY6HFSukxU95ubSTMnq0dJ9eUlODr0lODl1KJSNzNEI5kc+Y5WNfdycvNVVx1/PZqNuNFKbpmT1JQ8wR6/zNtcHO2n/ZWsuN4xNC6p4OFr1eWjjLDI5DbXYuyx9aEGYPjVzMqCHdo2yLgTk3DiAYB0QZldS3exiVPOApAUwjmf+xn6XkojiFWee9ZGRIJka1tVIfK3P2kRZin2PcOKlCoNvp4ektUt3StrpuHpiVxheVHQHnXjvu5O7YqVSQlOnG4PASblDDUUTnR4+WyvSLikCplF4nJg54uswgmTEDtm+XytrMZun/NTJS+v4DfFkhMikpjG11fcK/Jo2SpH46t0lJoNFIG2K9GI3y70fmm4lSKSVMHI2aLicv72nkPW0rd/09G2WbAbXex5RCJQkJg98MFUWR2m4nKkEgwRQs2zIlhMPA1HPdpMTKS/pvEqNiDKgVAm6f6DdiW5EXzeryDiYkmPzBxcHi9YnUdTvRqgTijH3tKjl54PleZCT8+tdwzTVSYD0nB0aOlKqsliwJ9keYPv24HknmG4pceHcK0akUfl1EAXh0XiaROjVz/72b+f/ezfenJHNjYQJ6lYKxcUZWXjOWgrjgXQ2fKLKn0cIb+5tYXd52wkK+Xp9IaauNgy02XJ7gXepThVIppWGff76UKRZ2xIZ3QYG0067sWYOEh0up3PIkVqaX6g4HRc3Wo2rO1Hc7MWVYueGWvnNSUuCRR0SWjopArRT4Z3UlqZOszD9XCAosAkTqgidviWGakKLLMicHr0+kutNBUohJ92DpxEkT1iE5RVvalHTUq4nJGLj/jTIqqGsfvA7SCKRGt47KE36uoaBQyLqLZzvjxsEvftGndRwTI0kuREaCRin4jZCcXh+7GizcNyOVGIOaOKOaZ5blMi+ERtRwsrGmkwX/3k32nzdzxZtFAc6XoRAinKSMtzJplpv4BJE9DRbeKGpiVVkbTSGcr2UGR26utLE7a1ZgpnyT1cW2um6uHB3HtWPiuW9GKn9clM2L5+eR2E+KIi9PchHV9nTDOh3861/S4lNG5lRzuMvJ/iYrHQ73sU/uYbjWUr3olArMOhUdDg+/LjrIow07+UXFbry6wT9TXbeTX62tZNQzWxn3/DZe3FlP9xHz2rlzFFx0Sd8298h8kdtu45jO7jJnF2Pjw3j3ygLyYw3oVQouzY/tkXjqJExz7GqYqg4HHxxs4d3iZvY0WPjx6jLy/rKFCc/v4PX9Tdjd3mPeA6Q194wZsHS5D1WcjZJWGx6fj+9+F8aM6TvvwgslKRMZGXmb4xSSE6XnZ7PT+fmXlSzOieKzsjbWVUmWS/uabSx8eQ+7bpvAL8/NwKhREqUPnWK9urydFa/uxe2TBpcLRkTz3Io8EsIGv9hutrp4ekstv/mqGq8PvjshgZ+ek05axJk3OOn1kibd3LlSaVd6erAIrcy3E6fHy1sHWvjex6W0OzzMSY/g2eV5QeUx1Z0OXthex3sHW4mcpOXhuUl4HUpsJgtRmdHcG53G1QXxKBQc9Xs0MzWCFJOWw91SPZhCgEfnZhIewvFS5uRQ0+UgUq8atItuKPbSSBaRKIeQHVixTU/iCAeKo8zxokwqiusHX2YqIHA+I3iGrUwimbDToL3Yq7sol7acnUREwAMPSBP99nYpW7s3I02rUvLg7HTWVHbg8Ym8d7CFglgjn183jlijmsQhBOwHQ2mrjaWv7KHLKS1qPixtpbbbyarrxhJtCGzrHp+Pj0rauPXDgzRZ3UxOMvGbBVksf3Uv9p7N0BV50bywIi9kdo/MifFxaSv3rS5nSrKJ80fE8NuvqulyelmYZWZMfJ/OjVIJl18ulc3V10uZjHl5Rzfwk5EZbkL1Ey+cN4Jxg9DtHI61VH9SInT8YVEON75X7D82KTGMcQmDL9d++0ALD6+VjN0sLi83v3+QJJOGJTl9RoEpKfDSPwTuu1ek2+Zj5AhIS5Y1Qb9pKASBJTlRWF1eNtd2s6ainTeLmlmYFUlB/NHbVHGzlWWv7qWiw0GGWcfSnCj+uk2yga7tdnLFm0WsvaGQ2YPcTGy0uHhq82F+v7EGnwi3T0rkgVlprFqlo6REymIfMSK0frLMtw95RXwKUSsVfG9KMlOTw7G6vVz8+v6A951eH3uabMQY1BzucpIRoaMwMSwgcNFic3HnRyX+wRDgvYOt3DbRwtLcwQ+IX1Z28Mi6PmfS57fXkx9r4O6pIWqQzwA0Ghg79nQ/hcyZxq4GK9e8fcD/em1VJ/etKuONS0dj6Lezt/lwFwgCe5usgJV19X2lrLMKdOREG0gKD/7+tNpcODw+Ek1aFIJAXoyBz28Yx+baLjodXiYlhTFxGM0PZI5NaaudlCEGE7ZTTyZDE7Y8tOnoeosAUWFKWjq9eL0iSuXgVt05RDGKWH7BFzzGfHSneJgeNw5++9tT+pEyw4xOJwV9QjErLYKvbx7PltpuDGoFU5PDj6r7NJyUttn9gcVedjZYqOxwBgQXazod7G+2csnr+/H2uK1vrevmB58e4rwR0by+X5KP+bCklR0NFpbJwcVhoa7byVsHJHe980fE8NCaPlOXVeUdPLquknunp7Kn2UqkTsWERBOjRmkZNTR1CRmZE2Z/ky2on7j5/WJWXTeOyAESNADK2+3DspYCsDg97Gq0UN7uIDtSy+rrxrGvyUqiScPU5HBSwgeXtGFxevjb9rqg4ysPtQUEF0HaRJo+TeBoshIyZxden0i9xYlepfCPhwpBYFF2FHq1gii9iu9PSWZCYtgxZZjeL2mlosMBwPzMSN4tbgk6Z2t996CDi59XtPPrDX0GCM9srWN0rJE7JicTHz/IH1DmW4McXDzFROrVLMqJoqrD4U+f70+X08MVb/aJGDw+L5N7Z6T6HWgrOxyUtzuC7ttiHzjtvsPhpqjZRofDQ26UntxoAx+Vtgad9/KeJm6dmIROJQ9WMmcHJW3BWWEfl7ZRb3GRHSW5rLo8PpQKgdGxBrRKBU5voARAXbeLBoszYLfa6fHyyaF27v3sEE1WN3dOTuLOScmkmXXkRRvIiz67tFC/SZS02kkOEQg+HrZQx5WMPvaJR6F0o5EZV7cf9RyNSsBkUNLQ6SY5avBZiBcwgtfZz3d5n6soYBQxGNCgQkE4WjQncUGRlQVNTZLLsGyYdXYhiiLFLTbK2+3EGjXkxxgIOyKrWiEITEoKZ9IgDbGGi4ZuZ9B8ByS5GFO/jaDqTjuXvl7Eouwof8Cglz2NVs7LC9SraLYNvuRQ5uh0Ojx+XUW7O1gq580DLSSEafn91zUAzMs08/JFo05YosLm9lDUbKPR4iLdrGdUjAGlQk59/LZR2mqjtM2OWaciP9aA+TicuQ+12YL6ie31Fg53OUMGFzsdbt4saqbB4gq5ljre/sTrE/nHznp+8GmZ/9jtExP5zcLs465o0aoU5EbrezbB+8iUy52/8VR1OHh6y2Ge315PYpiGPyzOZnF2FBqlgvJ2O9e9U4zT48Ph8RFrVLP6unFHNc2yuDz8am4GTo9IVqSW3Y0Wv25jL/HGwX/PQgUnX97TyK0TE1EqZFkomUDkFnGaSDfr+NPiQHGaJTlRrKnoCDj2sy8qOdjj3ljT6WBjdSc3jQ/eJsiNCh3saLa6uOfTMma+uJPlr+5l4t+2s/lwJ+NCdEpTkk1olXKTkDl7iDMED45ZZh3hWmmx6vb6+NfuBi57Yz8//6KS700JVC0+f0Q0H5W2srshcDK3ra6bi/63j7J2B90uL7/5qoYXdtQjikfaucicag60WEkeQqZSAxa6cJA8BL1Fa7uS1hoNsVnH1miKDVdS3TJ43UUAJQqupIBl5LKGCn7GF9zFR9zM+yznFa7nHV5lLw6O776D+myllL24bt2w31rmJPNZWTsT/radFa/tY+rfd/DYhuogHVqfKNLQ7QzS8TrZvF3cwit7Gzl/RGAGzq/nZ5ITrfe/3lpnYWtdN5oQmb4xBnXQz5MXpQ86T+bEiA/TMC4+jGi9OuT/f1603p8NA7CmooOd9Zag87w+kfpuJ1bXwJpeNreHP2+uZfILO1jx2j4mPL+N9w8GL2BlvtlsqO5g4t+2s/zVvcx8cSf3flZ2XNqHsSEyuGIMaiJC6GMDrKvq5LsflLC6ooMFWcHVCyOOc+P4UJud+1dXBBx7bns9uxostB8l6SMUaqWC+2akou8n+ZJs0rAoO+q47iNzemmzu2ixDb4N+0SRZ7bW8oevD2NxeSlts3PBa/vYXicZwL64q54Ohwe7x4cINFndvH2gecD7dTrcdDq9/PyLSh5bX8X/rSzjvhmpfs8HgBHReqanDH4OPCExOGYwPTVcDizKhETOXDyNXD46lpwoPQdbbcQZ1URoVcz+566Ac7yiSJfDy6aaTn66ppz9zTYuGBHNn5dkc/fKMqL1an67MIvxA2h67Gyw8OKuBv/rbpeXOz4q5V8XjiQvWk9Jqx2AWIOaWyckIsiCOTJnEeMTTFyeH8vrPS7raoXAX5fn+SecJa027vy4FJ8oleRtqe3i8XmZaJQKbB4vexutvH+wmevHBqZo7QixYPrbjjrumpJ0wno8MsPDgRZbyEXBYNlELaOIHdLOWtlmA0kjHSgHMYLGmJRUt7iZfgynySMREMgnlnxiA4578VFDF2up5CNK+TXzSSPi+G5+DHp1Fy+/fFhvK3MSqet2ctP7xTj6mbM9saGa5blRzEozA1DRbue5bXX8a3cjOVE6Hp+fxTlpESd93Lc4PTy3rY69TVYuzY/1Z1TkROm4ZFQsin6f39qTObTpcBeX5cfyRk/frhDg6aU5vFssvY7Wq/nz0hzGD0JbTWZwROnVnJMWwc9mpxGlVzM/08znPRveOpWCm8Ynct9nZQHXtB+RjXqozc5fthzmtX1NFMQaeWReJjNSg/un/U02fvJ5X1DG7RO56f2DjE8IIyNSDhh/G2i3u/n+J4fo7heE/sfOBi7Lj2XxEWXAAzE23sjtk5J4rkdPTiHA8yvyBtSPf6snKPNlZQePzcvE6fWxrqqTaL2ap5flUHgc+oggVZsdWQ0DsK6qg9s/LOGRuZkszY3EoB7ccntaSgSbvjuBPY0WNEoFExLDyBkgeUTmzKLT4eH9gy38cm0VIiIPnZPORaNijpmJW9/t4m/b6wOOicDeJitTU8LZ0xBsera/eWAt7z2NVv68udb/2uLy8vuN1Xx+/TgqOhwY1UomJIaReRz97IUjYvj7jnrKerJ9E4wavjNOLm2RCY0cXDyN6NVKZqZFMDNNmnhVdtiJ1qtp7bfblRahJUyrZOaLO7H0DMAv7GhgaU4Uzy3PY3+zlUlJJrQDlDLXdgVrgu1qsGDSKFl93Tj2NlnxeEUK4g1kRcoDmMzZRVyYhmeW5XLbpCTa7R5yo/UBDuuNVjeefpo666s7WV/dyYPnpPPYeklzVCkI5EUHDrKhzJRSw7UBO8oyp4eSVjs3FZ74pGY9VYxhaCIxxeuMJI0KLqkKRYJZxYbiwZu6HAslCjIwk0EhX1PDD1jJ0ywdUibmkYwbB08+OWy3kzkFtNnd1HUHZ0s09JRCuTw+fr2hihd2SJuNjVYXi17ew5ZbJjD2KOVVw4FGqSA7UsfeJitvFjXzZk/A8MnF2ZiOKB0c3aP/+MmhNpblRvGruRmYNErmpJspiDNyXl4MD89xYNQoST0DDejOdsbEh5EWoaWu20W0QcXczEicPaV4W2q7AzTqFAKMjOmbN9rdXn76ebk/ILzG2sHml/ew9ZYJQbqeDSGcvjscHlrtHjKGJocrc5bQ4fCwqyF4I/fI8s2jYdapeXxeJleNjqPJ5iInSu/vQ0KR3S+g8tCaChbnRPGP80ewICvyhAwtM8w6RsboKW6x+4+ZNEqsbh8HWmxc+sZ+Vl83lvlZg88+HBsfdtL7ZJnhZ21VB9e/22fmc9P7B4nQqbh4VOxRrgKDWkFyuIbO5sCNGrNOhUIQuHF8AuuqOwPeu3z0wPcM9f3ZWmchWq/mnHTzIH6SYEbGGllzfSF7m6z4RJGCOONxBSdlvl3IK+UziAyzno+uHsPEnvTjWWkRvHNFARXtdn9gsZdPDrVxuNuJUoCcyIEHxJwQJUOLsyOJNWpIjdCxLDea80fGyIFFmbOWGKOGeZmRXJIfy9j4sIAsmLQILWGawMB7hFZFQpgaAWli+OHVBUETuWkppoByO6Ug8Ov5WUQchxaQzPBjd3tptrpICDsxF2ULLg7QwggGlxUxEAc3GEkdM7jgYmy4kjaLF/tRSgRPlOmkMp9M7mc1doZPey4nB+rqJO1FmbODxDANBbGB47hAn17X4W4nL+5sDHjf6fWxvyk4K2K40agU3DczDV2/zZl4Y+hyv4mJYbx+aT6JYRo+PdROZYeD8/NiGJ9oQq1UYNQoGRlrlAOLJ5EInZpRsUaSTFp+v7GGR9ZVcfcnhxgRbeCK0bEoBGmz7d0rCxjXz7W0qtPhDxz3YnV7KQqRZZNh1qE6Ql8xNVzr13yU+eYTZ9SwODs4kpx9nEGLSL2a2RlmLs2PozDB5NeoD8UFI2OI7tk8FpEyDAvijCcUWASpLPu/l4xmbo8xxuiebN3+xiwfhtC4l/nm8a9+VYK9vLAj2KDnSCL1av6wKJv+3WF+rIHJSZJZ5NKcaB6Zm4FBLekT/35hNnOPsgMTSqOzMCHshOfNvaSZdSzPi+a8ETFyYFHmqMiZi2cYU1PCWX3dONocHlweH6Vt0m7YfTNSabK62VjTSWmbHaNayYLMSArijBg0A/8axyeE8dSSHO5fXY7D42NsnJHfLszGqJFNW2S++WRH6nnjstFc984BWmxu4oxqnluei16t5P2rCuh2eoJc+ACyowysvHYs2+u76XJ6GRtvpFAuvzvtHGy1kRquPWHR/6+oIYco9EMY+lpr1Di6FUSnDi6Yp1QIJJhVVDS5yU8Z/n53JmlU08kzbOVeZgzLPXt1F9euhcsuG5Zbypxkog0aXr44n3/urKey08mG6g7+uDjHn8mtVSqI1Kto6WdYoBAg0aThs7I2fCKMjjWctKDd9JRwvr55PLsbrGhUAhMTTSGNsXRqJZeNjuOcdDMOt5fkcO1RgwUyJ4/CBBMbbxrPjgYLPp/I+IQw7puRwq/nZ2HUKIjWayhqtlLebifOqCHWqMaoUfo3w3UqBUtzoogPC96UGxVr4LVLRvHd90vodHpICdfy30vzSZSdv781GDVKfrcwm7ruIvY22dCpFPxmQdZRpQ4sLg8Ot4+YY7jlDsTY+DDW31jIzgYLHp9IYcLQswTHJYTxysWjKG+3U9ft5ECLDWW/Te5EWUrnW0FaRODvOcag5qqCON4/2EKEVkVBnJHoEDrxIDk6f33TBPY1WwnXKpmYaPIH8OLDNDx4TjrXj0tAgGOO0WPijLx0wUie/LqG8YkmnB4v98xIJWqAz5aRGW7k4OIZiFmvZlejhWWv7EWtFPjluZnsbrSwv8nG/Cwzl+bHkhCmYXZ6n1ZSh8ONxeklwaRB1U9gNUyr4q7JySzOjsLi8pJu1hJjkHeGZb6ZNFldeH2if4EiCAJLcqLYfstEipqttDs8fFzaxsqydvKi9fxkVtqA98qM1Mu7c2cYRc020ofgnLiacsYOtSR6rZG0cQ6E44h3JEWqKa5zkp9ycgI3FzKS37GRvTQOueS7lzFj4PPP5eDiycbm8tJqdxOtV2MYwqZfs9XF1zWdfFDaSqROxQvnj2BpdpRfMiU5XMvvF2XznX5lW79dkMWdH5VyoEXKLMswa/no6rHkH6Ws8EQRBIHCBBOFCaZBnT/ULAuZ4WFUrDGopLl3XHyvuIVL39jvlx65b0YqL6zI46q3D1CYEMbVY+L4z55GvvPuQX48M5WLR8X4558qhYJL8+OYmGii1eYmOVx7SgOLbq+PBouLcK1Srkg4jYyJD2PNDYVUdTgxaZXkROkDqk968fpE1lZ28PMvKzjc5eKOyUlcOyae5PDjbzOh2vRQaLK6uG9VGa/slVL99SoFv16Qxf2rysmL1rMoO5Jmqyuk+YzMN4drxsbzwo4GrG4vaoXAz2enc+dHh7C6pc2Wy/JjeHppHvEhxja1UsGUlHCmDGCyIgjCoLNrdWols9LC2dNg5vWiFgoTjCgFgfpuJ0oFxBnlYLfMyUUOLp6BtNpc3PlRKXaPjx9NT+fhLyvp7HFI/PfuRs7Pi+ZH01IQBAGvT+SLynbu+bSMig4H146N56bCBEbHGdGrpUWFUiEwImZwZc+dDjcapcJ/rYzMqcbpkdzSegX/BzPx73Z6eKe4hQfXVODw+HhgZhrXjYsnrmcylxyu5T97G9hSa+G9HkfKw11ONh/uDqkHJXNmUtRsJeUEFhMArdgpooVLyR/SM+z/PIzUMfZjn9iPtBgVX+y3wZQhffSA6FGzgjz+xGZe4DwUDN2go7AQfv/7oT+bzMDsarDw4JpyvqzsYE66mcfnZw46+HYk7xS3cOfHpf7XF/9vP2u/U8jsfhpLl46KIT1iHNvrLWRGaDnYavcHFgEqO5z8Z08Dj8/PPu7P73K6USv65g5NVieVHU4itCpyo0MHDGTODkRRpMPhIUyj9GeR1nQ6+O4HB/2BRYUgjanzMyNZc/04WmxuLn+zyH+P2z4sQaMU+E5hYsC9T8cmXkmrjSc2VPP6/mbyYw38YVH2CWuRyQydGIPmmEkPW2q7WPSfPXhFqb09sLocl9fHQ+ekD9qQyuLygCglXQwnO+q7/YFFALvHxwvb6/j46gLWV3cy/9+7MWlU/GZBJivyojEepdpM5uxlUlI4X91UyKbD3cSHqXl0XZU/sAjwRlELN4xLYHlezEl9DpvLywOry3nrgLTWmZpi4rV9TfxrdwMqhcCjczO5eFSMf23VYXdT1u5ApxLIjTKgkbXlZYaI3MOdgXQ6vf4Jv0LAH1js5f2SVppsbmKMGnY3Wlj6yl7/BO+v2+rocHgYF2/kunEJJB1lJ1gURXbUd7O5tpskk4bDnU6e3VZHvFHNQ7MzmJMREZAFKSNzsmmyOvnNhhr+tPkwPhHOTTfz/Hl5Icvn+rOhupMb+mXk3LuqDLNexc3jpYWMze1Fo1TwQUkLY+KMXDQqBp8ILTY3B1qscnDxLGFfk5Wx8Sf2u/qUQ4wlDi0nvnHidgqUbzUw4+qO47ouJlyJ3eWjudNDbMTJGXbHk8B6qllDBQvIGvL9srMlzcW6OkhKGoYHlAmgrtvB+a/tpabHdO2TQ23sa7Ly9c0TjpqN02JzcajNjk6lJC9ah0GtotPh5k+bDged+3lFe0Bw0ahRcW5GJOf26DVd/L+9Qdesq+rCJ4qDDga2WF28e7CFJzcdJsag5mfnpBOpV3Hlmwc41G5Hp1Lw5OJsrh8XP2jHVI/Px/a6brbUdmPSKpmWEs7IGLmPPlXsbuhm0+FuRGBCYhjvH2zhv/uamZJs4t4ZqUxINNFu9wSU2D86L5P/7WtmySt7yDTrWJobxYq8aCYmSsHyHfXd/GlTLZflx51WWR6ry8uPPi3jox4dvK113Sz6zx623zrxpGTsygydgy1WVpW3+wOLvTy1qZabxyeSZNJyoNnKptouLE4vU5LDmZRk8sunWF0ePi1r5/H1VYjAAzPTWJITFWQmdaKEMidqsrrZ3Wjl4bWScWCb3cOVbx3g8+vHMS/z5DgWtdvdlLbZUSkkk8IwOYh5yhmXYGJcgomDLTb2NAbrGTdah66N7fWJlLbZaLV5SIvQBpVJV3U6/IFFg1oh6YCuq/K/f9P7B4kxqDlvRAwHW2x89/1iNtR0oRQE7pmewr0zUuUsW5khcdoiR4IgRAmCsEoQhNKev0P2toIgVAqCsFcQhF2CIGw73uvPRuKNGpb0iByH0hbTqxRoldLxA822ADdcoGc31si6qo6jfs7Gmi5mvLiTh7+s5OvDXXx/5SEOtNj4sqqTxf/Zw/a6YBc3GZmTycaabv64SQosAnxZ1cHz2+vwHTGpPJLebMT+PLetDodH2jU0aVWkhutYnB3JnAwzj62r5tF1VXxS2iZPwM4i9jfbQopVHwsf8B4lTCNlSJ9/6GsDMekudCbfcV2nEASy4zXsqDi+jMfjQUBgCTm8yE68HN/zhUKphPHjYc2aYXg4mSDK2hz+wGIvNV1OytoHbiMHmq0s+c8epv9jJxOe38a9n5XTaHGhViiIMwZneEeHcL3vzwUjgjMoriqIPa4sw3cOtnDLByUUNdtosblZWdbGHR+Vcqjn53B4fNzxUSm7GwZvHLOuqpOZL+7i7pWHuPG9g8z55y4ONJ984xkZ2FbXxcwXd3H7RyW8daCZn39RyWPrqylrt/PaviYWvbyH8nYbSSYNo3oqYqYkm9h0uIvdjdKcsdPpYVKiCZvbyy/XVvLLtZVYXF5uGBfP6S6Kqe50+AOLvTg8voAMXpkzB69P5K9b64ICiwDRehVapUBRs5Vz/7WLm947yN0rDzHzxZ0B6591VZ1c8vp+ttdb2FFv4fI3i/jyGOuj4yE3hHHm9yYn87cd9UHHV5e3D9vn9udQm42L/rePqX/fwcS/befWDw5S0zk40zmZ4aek1RYyiJwzxCxth9vL33fWU/jcdma9tJNJL2znqyOcpDVKAYNaCu9MSwnn84rgNvdmUTNen8hfttSyoaYLAK8o8tuNNWw63DWkZ5SROZ1paQ8An4uimAt83vN6IOaKolgoiuKkE7z+rMKoUfK7RTlMTjJR1eHw7/z28su5Gf4yElOIHeA4o5rNtV3UdbsGnJB7vD7++HUNk5JMPD4/E4NaySNzM5mZGgFInczGms6Q18rInCw2hxjU3i1uocPuCXG2RMsA7sE5kXq/G6XXJxJtUHFlQTx/2VLrn6hWdTp4ZF0l3c6B7y9zZuDweKnpdJxQWfRGqtGjIo2IIT3D3s9MpI07sQl7boKarWWOYwbKh0IeURhQ8yWVw3K/sWNh1aphuZXMERzpYt9LqDEdpGy+p7fUsr1eCuCISJUKGw93YtAo+dnsjAC3ySi9ink9DqYDsTArirsmJ6EQJGfpGwsTOD9EwHEgOhwe/rDxMCk9eo75sUayI/VsresOOreiY+Dvzf4mK28WNfFJaSuV7XZ+ubYyIJjQZHWzdhiDATID8+reJn8p3/SUcD4ta/O/l2nW8X/TkllT0cHOBgv/vmgkI2P0TEoysa6qb74oilDX7WRNRYf/2BeVHbh9Ihrl6Y0u6tUKwrXBzxAumxyeMVR3OHh2ay0LX97NG0VNvHuwFZdXDBr7H5ufSbRBwxcV7TT1ywjziiKPrKvE3tOO/7k72MX3+W3HdvEdLOMTw3huRR7Gnsj55CQTV4yOCbkRmnyS3NBf3dvE2n7fwdf2NQd8/2ROHVaXh4e+qODcDDOTehyfDWoFj83LZELi0MyD9jVbuf3DEpxeaQO5yermhncP0Gjp26jMjNTz0Ox0QKrOCrU+So3Q8lV1e8jEjO31weO3jMzxcDpTdi4Azu3597+AL4H7T+H1ZzQFcUY+vXYs1Z1OQKSo2cahNjtTkk1MTQn3ZxaMTwxjYmKYf8EB8P2pyfzuqxr+siyXxf/Zw2fXjQ0qKfL4RDRKgUlJJm75oMR//O6pyVhcXnY3WgZc/MjInCzGhCh5nZ0WgSnEYgAkE4P7PitjbpaZGIPaX6alVyn4v2nJ/rJ+ryiyv8ka4ODXy4bqLpqt7mErkZE5ORS3SE7RJ+Ic+xr7mE36kJQIfT4puHjBg40ndH28WYVSAcW1J8/YRUBgLpn8h73MIxNhiNqLEyfCT38qBQtkybzhZUSMnh9OS+bJTbX+Yz+YlsyImNCZDR09ZlRHsqOum4tGxjInI4KvbhrPhupOwjRKzkkzMzrOiM3lpcnmIlyrIuqITMakcC1/WJTNXZOT8YmQHaVDpxr8uK9SSEHMG8cn8JPV5bh9Ika1ZMpwqC0wA3MgiZaNNZ0s+Pdu7B5psTQt2cTC7KiAYBVA8zCUk8kcm6p+QeDeeaLLKxKuVXLn5GQeWF3uD/xePDKGj64eg83lo6zNzqdlUobMiBgD5R12fjwzlXa7h9f3N9Pp9LCqrI0fzxzYRO1UkGHW84dF2QHz3gWZkUN2DJYZHqwuL/d/XsZ/9zUD0OnwUJhg5I9f13DvjFREEbpdXqYlh/N1TSez080B5fm91Ha7cHpF9GqIDeGSG+rYiWJQq7h1QiILMs1YXD7SzVrMOjU/mZXG5xXtuLzS9yUpTHNSSqIdHi8bqjv43pRkNEqBd4tbKG93sKainRsKE4b982SOjlIhEKNX8+Caci4cGcOSnCjcXpFoneq49D5brC4sbh+JYWq/MZsUEwikrN1Bk9VNfI8ruUIQmJ9h5tF5mdR2OZmbYWbloTZsbmmMjdKrmJhk4okNNYyNDwuqoBg1SI8GGZmBOJ2r6XhRFOsBRFGsFwQhboDzROAzQRBE4HlRFP92nNeftUTq1UT2LAbGhRB573C4+ai0lbkZkVw0MrbHBUrDU5sPE6VX43D7qOlysqvBGhRc1KmVXDM2ngv+uy/g+F+21PKz2Rkc7nIyM21oWT4yMsfLOWkRLMqK5LOe0pEUk5YfTk8dMKBU3GxlbEIYv//qMHdOSsKkVaJWKJiWYmJqSl/71SgVZJp1dLm8QfcoTAjDKw69jFTm5LKvyUqG+fhLSnbRQDM2xg3RRblqpx5dmA9z4olluQqCwLh0LSt3WRiVrB20CP3xkk8MH1PCduqYRPKQ7pWaCl4vlJTAiBHD9IAygLQgffCcDBZnR1PZYSfDLGWADaRLGKFVMTcjgn/uDswA7A2KqBQKpqVEMK1fv7e/ycJPPq/go9JWCmKNPL0sN0CDEUCrUp6w5myYRsWj8zJ5fX8z7h4ti9f3N/H4/CweWlPhz4D70bQUxoXYOLK4PDz4eYU/sAiwqbabGwoTUAjQX/Hl3GNkYcoMDzcUJvB2sZTN8m5xCzePT+Sv2+q4YnQcT20+HJBR+nZxC7dNTGJRThQPnpPO9noLLTY356ZH0GyTdEBjDGp+PDOVN4qaWZgddbp+rACuKogjL9rAgWYriSYtExPDQjq4ypx6DrXZ/IFFkDQxf7cwmy213Ty+vhqtUsH8LDMur4+/ba9ncU60pCG7tirgPndPTcGsk/rSa8fG8/cdDf5sL7VC4NaJwyskLAgC2VGBQZlZaRFsunkCOxss6FUKJiaZjqkffiI4PD7mZUbyu401OD0iNxTG0+nwymu404ROpeQn56TxZVUHbx9o4e0DLYRrlaz7zvhBXe/1iXxW3sb3Py6lssPJVQWx/HxOBrnRBpJCZL6mhGuJOSJYnhmp59X3DtJgdfH2gWZ+MisdnyiSFqElPULHm0XNfFLWzu8XZbOjvpv6Ht3QFblRcruRGTInNbgoCMJqINS2yYPHcZuZoijW9QQPVwmCUCyK4rrjfI5bgVsB0tJO767pkXh8Pkpa7TRb3aSEa/yDU1WHg0NtdsK1SkbFGgJ04Tw+H/uarOxvsnHHR33ukAoB7puRRofdwyPzMrn9Q2lndqAlrE6l4Ai5Rnwi5ETp+PI742Rx61PEmdw+TzWpETpeuWQUB5ptODw+RsYYgsSK+9Pt9vHjVVImxb4eCYDluVEsyw1exOTHhbG/ycJNhQm8uKsBjVLg7inSorem00WsUYN5EM7U30bOhDa6q8FCuvn4SqJF4B/sZAFZKIeYxbfzg3AyJw1NlysnUcPuKiebS+1Myzs5u8MCAueQzv/YP+TgoiDApEnw2WdndnDxTGifJ0K0Qc3inGMHXKo67Bxqc3DduAQcHh//3S8tvi/Nj2FGauiFQIfdzc3vH2RzrVTitKfJytJX9rDxpvEhNyuPh0aLk9I2yaxlfLyRNf00neweH4+vr+LReRnkRhmINarJP2IO04vF5aOoJVi6pcvp5clFOfx2Yw2ReiWPzctiSvLQnvl0c7a00TnpZv514Uh+tbYKh8fH4qxIFmVH4nD7eCGEhlyLXcoaizequbEwgaQwDRUdDv6xUypFret28eCaCv6yNIdFAwQXHR4vRc02Wm1uMiN15ESd3MwZo0bF7HRzUKD928yZ3D5/9kUFa64fxyeH2lAqBPY1WfnbdqktttrdXDQihveuLODBNeW02738aHoKl4zqk3eYmhzOhpvG83l5Gz4RFmRFMjHp2P2JTxTZ3WChvN1BtEHFxETTcVW4CILA+EQT44+QtmqwONnTaMXi8jIyxjDktdaG6k5+8nmF//WzW+v45bkZLM7+xlgRAGd2Gz2SOelm1t84nlVl7RjUCsbFh1Hb7SRcq/TLmg3EnkYL5726z7+R85+9TWRF6liaE02Xy8tbl+dzw7vFWFw+wjRK/nnBSBKPqAyINWr432X5PLGhmk/L2tlZ383P5qRTmGDiq+oOino0Zh9aU8Edk5II0yiJM6q5qiCe6GHM6pX5dnJSg4uiKC4Y6D1BEBoFQUjsyTpMBJoGuEddz99NgiC8A0wB1gGDur7n2r8BfwOYNGnSyRO8Ok5cXh+v7G3k9g9LcHlFTBolr182mhiDiuWv7vVriNw2MZHrxyUQoVWSF23gk0NtPLC6PKiE1CdKYsEPzU7nh58ewu7xEWdQMy4h9MCVF20IKCUFiDGoOSctgvQTyBCSOTHO1PZ5uogxaDgnfXBZBA0WV5DQ98elbfx+YeCxinY7V765n6sK4ilMCONXczPIjzVyz6dl/P7rGkBaqP9pce5RnVqPhw6Hm5JWqTQwL0qP+RjmCmcyZ0Ib3VFvYeFxTpY3cZgWbEwkcUif7fPBro/DWXH/iZVE96IQBOYWGHh/WzdpMWqSok5Om5hIIp9QSjWdQ9aZHD8ePvoIvv/9YXq4k8CZ0D5PFtvqulj2yl6ae8bpOyYlsf47haiUAiNjDANuiFR3Of2BxV5sbh9fVHaQZNIGuEF29vRVIpI5QeRR+qqiZiuXv7Gf/c3S4uS6sfHcNTmZJ78+jK0nA7HZ5iYrUs/yvOij/myxBjXXjInnySOcriclmZiXGcmVBXFoVAp/BtLZzNnSRiN0Kq4fl8CKvChEEaINUjuxurysyIvi49I2/6a0AJh1KkRRxKhRkhetx6hW8viG6qD7tto9dDuDKwe6nR6e2nyYn39RiYikOfr+VQV+R3OZU8OZ0j5zogxcVRDHa/v6lnQjovWkhmvZWtvFyrK+jQwByIsyoFMrOX9EDLPTzbi9viCnW0GQJKAmDSKg2IvXJ/JZWRvfea/Yvxb77vgEHp+fSaxx4DlifbeT8nY7YRoVI2L0QTITh7sc3PBusV8PUa9S8Nl1Y5mVZh70sx3J+yF08z4oaeXHM1NP+J5nImdKGx0MaqWCGakRROtVXPbGfn7cJI2XiWEaPr12LGOOIsOwt8kSsK65eFQMB1rs/GrdTkAyff3wqjG4fSIZ5oE3YwriwvjH+SNod3gw61T+tpgSrmV2WgRfVnbg8Pj84++/LxwpBxZlhoXTaejyPnBDz79vAN478gRBEIyCIJh6/w0sAvYN9vozneJmG7e8X+LX4+h2eXlpZz33flYWIE78/PZ61lR0UPj8dv64qYYGi4sYg5r4EFbxI2OkQfiaMXH868KR/OScNPY3WakO4RqWFqHjvSsL/PoKo2IMvHdlgRxYlDlrCCVUHB+mIeKIxWhxi40lOdEkmTTcvfIQ7xW38p89jVT1+168WdQyaBMju9vL+qoOnt1ay5tFTVQfYVZQ0W7nqrcOMPXvO5j69x1c+VYR5UdxgZU5OqIosqfRclxOex58PMs2VpA35KzFsk0GdCYvUclDN/6JMamYOULP86vbae48OUZCapRMJYW3KBryvSZOhK++Amew1I/MSabb6eGeT8v8gUWQTFysbh/TUiKOmmltVCv8BgP96XJ62d3Qp9Fc2WHnmrcPMKWnr7r0jf2UtYXuqzw+H09vPuwPLErHREpabTwyN4Pnl+fxs3PS+OSaMczPNB/753N5uDw/litGxyIglX4/tyKPKT1BgLgwzTcisHg2EqXX+AOLHq+P7fXdLM6O4tG5mfxsdjoZZi2Pz8/iZ2vK2Xy4i7n/2s0tH5TwelEzqSE26Hwi/H5jDS5PoATJ3kYrP+sJLII0D77x3YMBBgUy3x6MGiVPzM/i2WW5LMyK5PF5mbx+6WhSInT8YXEOC7OkoHO8UcObl48OSLIw61RBgcUTpbTVxiPrqgLWYn/f2cDGmoGddHc3WJj54k5mvbSL8c9v46E1FZS1BlY7bKvrDjBasXuk6psuZ2hNWY/Px+bDXTy/rY5X9zZS1hZcPREquDQqxoBacTqX+DIAbxQ1s7ep73dWb3Hxyt6jb1Irjpivjk8w8UZRn1RAo9XFL76sYlSM4ZhZ3lqVkoQwbUCQO92s56KRMXy/R6NTq1Twk1mpA2aWD4TL42NjTSd/3VbL//Y1USGvcWR6OJ2ztieA1wVBuBmoBi4DEAQhCfi7KIrLgHjgnR5tKhXwqiiKK492/dlETZczKOsqNULHewdbg861e7x4fCIPrK7gsXmZjI0PY1SMgYQwDQ09WglGtZK7p6YwJTmcepuLG94t9l8/PSWcNy8bTdIRk74ZqRGsu7GQFqubGKOaGIOsOyMzeJqwsodGLDgZQQyjiD2lnz8+IYx5mWb/ZE0Anl6aE1Ai8ElpK1e9dYBOp4ef9TiojYo1sC6E++jeJguXjT62fOtbB5q57p2+79eUZBNvXz6a5HCphPuDklZWHuozX/i0THJl++G0b9ZO8qmi3uLCJ0KMYfBD1tsUY0JD/jC0ya1vRZA7Pbh880QZkaTF64WnV7Zx5+JIEszDv1s8gxR+z9fcxkQMnHi/HhEBWVmwbh0sXDiMDyhzTNodHjbVBi9ma7qO7VieFannNwuy+N4nfdIpS3Oi2FFvISeqL0j/SWkbH/UzillT0cE7xc3cOyO47KzT4fGbdgAsz42my+nl+n5zjYfPTSc508tKZQnxhDGGOCIIlrYoa7Nz50clfFbezqy0CP52Xh7TUsIpiJONNc4k7G4vn5a1ccWbRf6N8BiDmpcuzuWGt0rIjzXyxIZqSnsC0h+VtPLbhdnsaSrD05PiOCLaQJfTw/aGbmweLxpVX9Cjtjs4iFjZ6aDF5vEbFMh8u0gz67hjcjJ3TA6U9ciPNfL2FaOp7XJh0iiD1jPDSavdw45+Rpm9VFnsWHFhPGJMtbq8/OTzcip6NppF4A9fHybeqGFOhpkpyeEA1He7gu65v9lKl9NLuDZ4HrCmooOlr+zxZwtnmLV8du04cvtpNy7PjebJrw/TYJXuHaZR8v0pySgVsgvb6WZbXbDz8qbDXYiiOKDutt3jY2pyOJtruxAAmzs443trXRelbXb/muN4KUw08cd4I/83NQVBgPQIHQ2KblZSjRsv+cSSzdGDjSvL2rjwv/v8G0OjYgx8dPWYY5Z9y3zzOW3BRVEUW4H5IY7XAct6/l0OjDue688mUsO1KAUhIMBY3mZjXmYEnxxqDzjX0C8Dweb28czWWn6zIIvvFCagVSpIi9AyNs5Is83Nl4dbuPfTsoDrvz7cxa4GS8jBOMagkYOKMsdNLV18lw9YySEA9Kj4jOuYxanTQkk0aXn5olFsr+um1e5mZIyRCQl9i9PyNjtXvlVEV085lrZnUbOrwcKM1AiqOwPVFDLNet4tbmZCoom0AbQea7uc/PCI79eW2m52Nlj8A/1HJcEbBB+WtMrBxRNkR303edH6QZugNGPjZXbzPaYMMWcRnFaBvatMXPmbYL2xoZCfqkWpEHjm0za+tySa+IjhHY7N6Mklis8o50JGDulekybBhx/KwcVTTbRezbzMyICNCpD6qWMhCAKXj45BAOosLrQqgf1NNl7f38RDPZssAB8fCnagfv9gK/dMTw36vkXoVCzOjuS5Hr2zyckmHv6yMuCcR9dV483X8UistA/8Q6bxMOcSTuDc442iJr9x14bqTjZUd/Lc8lw5uHiGcajVxnPb6v2BRYAWm5svG1q5fV4U9lYN/9jZ1ze6fSJPbqrh1YtHsbfJilIh0GZ389Tmwzw8JyMo2zbdHDzOjozRE2+Uy/NkgpHKjYd/6drhcLO9zkJ1p4PUCC0JRg0zUsP5orIj4LzuqE6eoYa7mYKOvjbaancHnQvSBtFtHxzk8+sLiTJI+rNHcsXo2JCVaF1ONw+uqQjQxq/scPL14a6A4OLoOCPrbixkZ4MFj09kbHwYBXGyZv6ZwKX5sUEJQ9eMiT/qXNaoUVIQZ2RZbhQur0hBXHCbmZEaQWXHsTcZj4ZKoSC7Z6PxEK1czOvs7VGYi8HAKq6jMKRthuRkfc+nZfRPjzrQYmN7fbccXJQ5rWXR33pGxhp44bw8NEqpkwnTKLl1UhJPLMj2l/8pBYEfTkshRq/m8tGxKAUBXU+ApNPp4R876hkbb2RhViQ/Xl3Oslf30io6aLcHl9u1u0Kn3cvInAjbqfcHFgHseLiPVXRxasuZkkxazhsRw3cKE5mWEo7N42VPo4WKdjstdpc/sAjw9oFm7puRSnGLjfxYA2N7SmoE4MqCOD4ta+ei/+3nwv/upWqAgdvh8dFmD/4udfdzog5l0rDkDHHKPBvZWtsdMJk+Fn9iMzNJI56hT7B3fRRO0kgHxsjg3eOhMiJZw+RsPc+vasPiGP77zyCVtzmAyNDkiaZNgw8+APGMVjn65mBxedjXZKGu28kT87MC5gO/mJPOxKTBBeBijVrmZJhZV9XOz7+o5POKdv57aT5j+y08e8sM+7M0Jypo8dPpcNNkdfO9qSnk90ipeI90hEMqk8bdtxn6JJvYd4QktsfrC1mh8fGhNuq65HLYM4kWu5tGa3C2VUOXi6hsB+flRTH7CL246k4nOpWCMI2S32yo5i9barlubDzXjY0Pus+YOCPPLsv1z4MTwjS8dP5IlArBP47LyJxMHB4vf9hYw4KXd/Po+ipWl0uGFw/NTie7X9972+wY1iXt4yd8TjGB/Ve0Xs2stPCgexvUSnY1WmmySd+hvCg9f12eS7hW6iPPy4vm3hlpqJXBy3GHx0dtiP6w1RY8/8yNNnD56DiuHhMvBxbPIBZkRXL/zFTUCgGVQuD/piazLLdPi9jV8zu2uPrW7HPSI2izu/jFl5U8tr6K0lYb35ucRG8iak6UnsXZUUQOUjKk0eJkd4MlZFvqZRXl/sAiQAs2nmYLHnwhz3d4ff5M2f50hdDVlfn2IYvZnEY0SgXXjYtnako4TVYXKeE6f7nSVzcXsrPeis3t5Q9fV/PkpsMUJoTx6iWj+PNmSXx1WnI4t09MIjVCx8elrf5ds0Z9ByvGmPlgb0e/zxLIjJWzE2WGjzqC0/330UQnjqAslVPFviYLN713kK113YRrlfx+YTbTkk1s6jE22FFvwe3x8cUN4/D4RC7Pj6XZ5mbT4S7eKW7x6+nsbLCyrb47ZFZFSriGa8bE8/KePt0UjVIgP6ZvQnfBiGjeK25hXbWk4TgrNZyL+rkXyhwfm2u7mDmAK+6RrKWKMtq4h+nD8tkbXo5k7JLgtj5c5Kdo6bB6+c/6Tm5bEDno7MzBkEMUXnzspnHAHehB3ScHbDY4eBBGDi0JUuYYlLba+MGnh/i4tA2dSsGjczNYff1Y6rpdmLRK8qIMAWWlx2J0nJEPrx7D4Z5SwtQjMrJX5EbzTnEzX1ZKfdX0lHAuG90nJeD1iXxR2c79q8upaHfw3QkJvHn5aFrtbjQKBX/dVhdgCjc20UCZuS7gM5oIlBRQKRUsyIxk0+HAku+8aAPb6rs5/ySWO8ocH2FqJZeNjmFXQ2CJaEGeirqwZu4OH4dPFNjVaOFwz+L11gnSRt/yvGguGRWD2yeSadahVQVrgOrVSm6dmMS5GWba7B4yzDo6HG4WvbybbfUWwrVKnl6ay+X5sehCaIjKyAyVgy12Ht9QzbkZZiYlmfjz5sPYPT6WZEfynyty+chVjqDx8UH0RnappCzdFgK1D40aJb9ZkM2KV/dS3yNVdfWYOLbVdZMbpcekUfLG/iZ+8nkFJo2Sly4YSYZZx4hoA0ZN6HYdZ9Ryx6Qkft4vO1wAf4m1zJlPQpiWR+dlcvP4REQg06zzB5KLW6w8saGad4tbGZ8QxhMLspiaEk5yuI4bCxMZG29CRKSiw8k5qRE8Ni8Lq9tLXbeTgy0Wrh17bAmnr6o7uPbtYio7HSSEafjXhSNZmBU8z9wbwhN3C7U4cBMWYj2XGKbl9omJ/P7rPjM2hSBtFsnIyMHF04xKoSA/1kh+bOAXssPhZXejhftXl/uP7Wqw8MzWWsK1Kv5vajIzUiMwqBXsabQgIPLSBSM51GbDbnFQcK4Nky6Kj/d2kRWl5c6F0YwJkVotI3OijCI4WHYpo4YlW+xEsLg8/PDTQ2zt0Tjpcnq59cMS/ntJPte/ewCXV0SlELh9cjLTUsJR9YhdGzsdLH1lLxZX4I5be092YpfTw6E2OwpBEs4O0yj5xZx0zDolL+9pIjdKz28XZvmzIAGyowy8fcVoDrbaEUUYEaP3Sw+4vT5K2+y02d2kR+iCFvsygYiiyI56CzcWHjs41oWLp9jMtYxFPQyJ+TV7dXQ1qUgff3KzZ6bm6nlnSzebSmxMHzF83x8Bgemk8iZFQwouCgJMnw7vvgsPPDBsjydzBF6fyDNba/m4RwPR4fFx76pyxsSFsShENvRgCdeqyY8NXWaaFaXnzctGs6/JhtXtJTFME1Cit7vRwtJX9vr183638TBWl48/LclBrVTwyTVj+OnnFWyu7WJJThTXTIlik91Nst5ErdCNCgU5BGdHXjwqhreLmynqMYeZnGTCJ4ohs+RkTh/5cUaqupz8bGEyf9vYjEGt4Kb5EaxL3cX322fzSXsbHXYP146JQ61UoFQIRGmVRBvUKASB7GMYDgAoFQKjeubA3U4P17xdxLYevbsup5cb3i1mRLSeqSmD22CSkTkeupwefKKUxf3gmgr/8ZVl7SSGa6hafoA1yr61mAkNmZgD7iGKIuEaJW9enk9Np5OKDgcfl7YRoVXy7PJc9jfbeHlPI2U9mbiXvL6fZ5blMiHx6A7WN45PwCeKPL2ljliDmt8uzGLycbhey5x+VApFUOVNp8PN7R+WsLZK2tT7sqqDRf/ZzbZbJpIbbWBPo4Xydrs/ieGTQ6384/wRGFRKjBolI2MMmLRHD+GUtFq55PUi/5jaYHFx8f/2s/O2iUHPs4As/sq2gGPXMCZkYBGkPvt7U1JQKgRe2FFPiknLbxdmMz5RqqqwuLwcarPhE6VMy/BjPKvMNwv5t32GcrDFFjK9eF1VJ5tuGs/oOCMWt5d7PqvguW11iEimEstyotG0hGE221i7ZBvXzkpHq3EyRZeGKYSouozMiTKRRP7Kcu5jFRZcLCKbB5iF5jR1K40WF6vLO4KOF7fYuH9mGj4RlAo42GKlxSaVICSEaUg0ablpfAJ/3lzrv0YARscaKW+38/1PSv2L/asK4vjNgiyyowz8cXEO989MJ0yjICKEa2u0QcOMI7RMbW4PL+5s4EefluH2icQZ1bx7RQHTB5mV922kssOBIEDcIDS4nmYzY4gj64iJ/4my9h9RjJ5v4WSbLioVAufmG3h/u4UxaTrC9MOXoTOJJB5lHc1YiR1C4H/GDHj9dTm4eDJpsbl4fX9z0PFt9d1DCi4ei3aHh59/UeHPtL4sP5bfL8omLULHviarP7DYyws76vnxzDTSzTomJYXzzhUF7G608MjaSi546SBGtZKfnL+M9/M38JDinJBGX3E9C+U9jVacHslx+smvD7PhpvEn7eeUOX6MGhXLc6NJtokUjBToEt18HLGXy8pmcfW7FXQ4PJh1Kp5aksP9q8tpsLgI0yi5KD+WlBMwG6i3uPwL7v6UtTvk4KLMSSE7Uk+mWUeHI1hO6u2iVj49dzHXhP+XMtpJJZx/cmGA2YVPFHm3uIXr3ynG6vYSplHy4vkjeHxeBs9uq2fhy3sAWJQVyd9W5LGv2cqaig6e2VrLtWPjQhq59JISruPnczK4ZWISOqWCKIOsRfpNoLLDGdTPdTm9HGyxkRttYHSckZVl7Tx8bgYen4jd7aPd7mHZ2OCkDqvLS5vdTbRejaEnC/Zgi5UPSlqDNuusbi+VHY6g4OJs0niYOfyaDbjwch3juJKCo/4M6WYdj8/P4u6pKRjUCr+ebk2ng/tXl/PaPikbcnluFH9emkuWrMX4rUHWXDxDMWmUfm3F/mRF6rC4vSgVAhuqO/lrT2ARJFOJig4Hf/m6npSaVJ51ns9t4WP4mW4mYwjWupGRGQphaLmdSezhdvZxB29xOSNCZDOeKiJ0Kr82WX+UCoFH1lXx2PoqttV1kxCmZfzz2xj//Dae31ZLl9PDD6amcOekJDRKgfQIHW9fMZqJiSbeKmr2BxYBXtvXZ0KgUihIDteGDCwOxN5GK9//5BDunsV6k9XNje8V0yxn6wzIxpouRscaj1ku/DWH2UUjy8gdls/tbFKxf00Y+XODHSNPBjHhKvISNHy8a3g/T4eKiSTyLsXHPvkoFBbCoUNQUzM8zyUTTLhWFTKTpb+788ng1b1N/sAiwBtFzazu6edMIUr24owadKq+72O7w80lr+9nZY+TtNXt5eF3Gnm6+VLOYwTKflPNRouL322spvBv27njw1J0KgVrK9vZWNPF21eMZtIxMnlkTj06lQJrq5on3mjnh39rIerjsVTVe/3BmA6Hhzs/KuX+mZJhWYJRg/44Svf7Y9aqyAwhRyIbvMicLJLCtbx5+WgSwoKlo8YnhJGvjWIjN7GH29nMd5lHZsA5pa12rnn7ANYeV1+Ly8v3PyllX7PNH2BJi9CyJDeKp7fU8tLOBsbEGblzUhLaEFqLRyIIAkkmrRxY/AahVytCrvFNPVqcc9LNXDk6lj9vPsxTmw4TY1CxMCt4g3FXQzeXvbGPkc9s4bI397O7wYIoivx9RwPtDk/QZygEiA3Rl8Zg5CFms5c7KOIunmcFGYPYpFf0tM3+Rl2flbX52z3AR6VtvH0geNNU5puLHFw8QymIN+Lx+bhoZF+wRqtU8L0pyZz/331sOtzF10foFQFsrOlkXEIY4Vol4/QxFBBHhJyxKHMSySSS0cQRxunV9IwxaHhuRW7AZO3aMXEcaJb0vjRKgekp4fx0TQUNFhcNFhe3f1TKmooOMiP1/GlJDqXfn8LWWyZw4chYlAp4p7gl6HM+DeGuOliqOoMFlQ+22mmyymZLA/FVTScjY44eXLHg4vds5Ary0TI8WX/rX4okd4YVnSm0oPXJYGK2jp0VDpq7gjMohsIMUvmQUlycuNi2Wg0zZ8Ibbwzjg8kEoFdLkgsR/UqIzk2PYHrKydPYcni8vB/CXOWLCilQOCHRFCD5APCnJdnEh/WVS9V3S/1pfzw+kebO4Hb8QUkLP15VTovNTU2Xkx99WsaPpqey5btSv3s8epIyp4a9jVaWvbKXnQ1WLC4v/9jZwLa6bmal9WUSWt1edCoFAvCHxdlEG05sPhAXpuH5FX1GhwA3FiZQmCAHnWVOHhMSTVw4IjrAeM+kUfLr+ZmYtCriCGMM8SQS3A5ru504PIHzhIQwLWt6NmgAbp2YxI9XlbO3yUq3y8tr+5rYXNuNwPBpLMucPeRE6XlkbkbAsfPyohndo1kYqVdz15QU9t4+maK7JnP/zDTijgh+13Y5Oe+1fXxyqB2b28fHpW1c8N+9VHY4+LC0ldf2NnHfjNSAFvbE/CxGDmCOqERBLtGMJAbdECrQVoZYI719oAWv79TNpWVOL3JZ9BlKjEHDrROSqOy0Mz01nC6nF6Ug8PuNNdjcPtZWdTAthKhvYUIYB1tspMiC6DLfQuZlRrL91gmUtjmI0qsYE2ekttvJgqxIYg1q/rq9Luial3bVc0l+LGqlgrSIviCWUqFgYVZkUBD/nLQTL81KMQV/L7PMOmLlHekBWVvZwV1Tko56ztNsIZ9Ychie0lF7l4KNr0VyyS8bhuV+g0WvUTAmTcfKXRaum20etvvGE0YqJlZRxnLyTvg+s2fDq6/Cj340bI8mcwSTk8PZessEDrTYMKqVFMQZiQ+RUTNc6FRKluREsr0+0LTonHQzIJU+vXtFAdvqummxuRkbb2TiEdmFsUY1Zp0qoKxQAJKO6O9sbi/Pbg3ugz8ra+O8EbLh1ZnKgRabP9u+l49LW/npOels6Ml41SoVZEXq2HDT+CFnn87PimTHrZMobbMTrVdREGckUi+PkTInl6woA/++aCR7m6xYnF5GxBgYEXNszdDEMA0apYDL2/cdabQ4uWFcPG8ckDaoHR5fkLzEq3ub+OW5GWTK5aLfOhSCwC0TkhifYOJAi5XUCB2TE01+bfZeko6yli9rt/tNtHqp6nRyuMvJoqxI/ryllneKW/jl3AycHpFJSWEszIo86cZYs9PNvHkgMDFjYVYkypOtLyRzxiAHF89gksK1ROpV/PyLSj6v6Ah4LyFMw7SUcJbmRPFJzy5BeoSOiUkm5meaGTWIAVFG5puGIAiMjgtjdFyY/1ikXk1Bz+tVFe1B1+RGDzyxu3pMHO8Ut7C3Scp+nJESzrLc6BN+vrHxRh6fl8lDX1TgEyFCq+KlC0YG7UjKSLTa3FR1OsgbYKcVYBO17KCee5kxbJ+7/t+RpI2zExE/vBmEg2FcuoZX1nfR3OkhNmL4huhzSOd/7GcZuSecLTFxIvz2t1BaCrnDU30uE4LcaEOQJtLJ5Lqx8Xxc2sbOHkfghVmRLMruM2HJjNQfdQGcYdbzzwtGcsWbRTi9PhQC/HFxTtA8RKMQyIrU+T+nlzTZ1OqMprdUrz9RejUWl9Q/qhQCf1mWw/zMKJSKoWdiKQSB0XFGfxaPjMypItaoYV7m8c3H8qINvHjBSG56rxiXV0SrVPDU0lwmJobx1oFmvqrpQh3iexFrVIcsjZX5dhChUzE/K5L5WcGGZ4MhLIRkiYDUX982KYlV5W3sa7Kyr8nK+XnR3DYxEaPm5Id9luVG89q+Jn9ixpg4I1ePObaztcw3Bzm4eIajVyv5+ZwM1lXt9u8cJ5s0nJthJjVCx38uHkVRs5UOh4conZpInZLsaAOaQeh4yMh827h+bAIv7Wygu8cZ2qRRcu2YgV10R8QY+ezasRS3Sm7Ro2IMxBpPPBAYplXxw2kpLM2NptXmJsOsHZSb5reV9dUdjI0PQzXAgrUbF7/jK66gYNjKoR0WBWtfjOLCBxuH5X7Hi1atoCBNy6q9Fq6eZR62++YRzYeUsIVappJyQvdQKmHePPj3v+GRR4bt0WROMyNijKy8ZiwHW20oFQIjYwxEHWem2Hkjotl520SqOx3Eh2kZFaNHqwr8TqqUCu6ZnspHpW3+MsJYg5qluSfPrEZm6IxPCGNmajhf1fRl8f9xURYFcUbmpkeQGK5jfELYsAQWZWTONpQKgStHxzE+IYz6bhdJJg150QaUCoF3rijgQIsNpQAflYSxpa5vY+WpJTkkhqhmkZEZDCOj9fzf1GSe6mdG+cPpKYyINqBXK1lzfSElrXbUSoFRMQbMpyj7OztKz3tXSu3eJ0rPmSC3828VcnDxLGBWWgSbbp7ArkYLBrWCiYkmf1ZDlF7NrDQzAHsaLXxQ2kb9znpW5EYzPTUcg1r+Fct8O6nqsLOmooOtdd2ckxbBnHQzE5NMbLx5PDvqLQhIOjvHyo5IMGmHdWDUqZUUJoQd+0QZPj3Uxrj4gf+v/sxmRhNL3jCVQ4PkEJ06xkFk8qnPWuxlTJqWVzd00mbxEhU2PEFTAYE5ZPAyu084uAiweDH84hfw8MNSsFHmm0FcmGZIGdQKQWBUrJFRsVJ/KooiW2q7+Ki0FbdXZEVeNFOSTUxPjeDrmyews74brUrBxMQwRsTIGWpnMokmLa9dks/2+m6arG7GxRuxury8uq+ZaL2KNLMetbyhLXMGsL/JwspDbdR0OVmWG82MlHDCtCd/HaRUCOTHGsmPDezLYo0a/4b0G5cVsK1ekpcYHWtkYqI8D5Q5cQwaFQ/NTmdpTjQVHXYyzXomJZnQ95Q991+7VHY4eLu4hW113cxOl9ZDJzOw3b/dy3z7kCNPZwEKQWBCkokJSQPr2OxvsnLuP3fR3qN59KdNtbx1+WguHhV7qh5TRuaModXm4tYPSvzOzn/dVsfN4xP485IcCuLC/GXSMmc2K8vaeeictJDvraea3TRyD9OH7fMsbUrWvhTFxQ+fWq3FI9FrFIxK1rJmn4VLp524xueRjCeBzyhjNw2MY+CM3aORnQ1mM6xcCcuXD9ujyXzD2FLbxex/7vLrkP32qxq+uGEc56SbKUwIkzdYzjJSI3Sk9pSvf1TSyorX9vrf+/WGajbcOJ6x8u9U5jRS3GJl7r9202yTDPKe2lzLKxeP4uox8af5ySTSzDrSQjihy8icKDEGDYtzjr653mJzcdN7B/iiUtLH/eu2Om6fmMgfF+f4A5EyMsOJvNX4DWHT4S5/YLGXh9ZU0G6XXWhlvn0caLH7A4u9/GNnAyVt9tP0RDLHS2mrDavLS1Zk8GS8DTt/ZBNXDmM5NMBnf44hZ5oVc8Lpy1rsZWy6ju3ldrrtJ+7wfCRKFMwnk3+wc0j3Wb4c/vznYXoomW8k/9vfHGBw4BVFnt5SiyiKR7lK5kzH4vTwq7WVAce6XV6+rOo4Lc8jI9PLtrpuf2Cxl59+XkGLzTXAFTIy33yKmm3+wGIvz2+vp1ReD8mcJE5bcFEQhChBEFYJglDa83eQoqkgCCMEQdjV70+XIAg/6HnvYUEQavu9t+yU/xCnmBariy8r23m3uIWiZmvAe3ZPoMW7QpBcbQ+22ihuseKRLeBlvqFUdTjYXtdNXXefa5rbG7q9u73HXtj6RJG9jRbeLW5mfVWHHKA/TbxT3MKM1HAEIVDHSwSe4Csmk0QW5mH7vKYyDdveiWDSRZ3HPvkUEKZTkJOg4Yv91mOffBxMIolGLGyj9tgnD8C8ebBtGxQXD+ODyZxSDrbYeL+4hc/L22myDs/i2+XxUdRsZXdDN8mm4JKoTocHnxxbPGsRRZHqLieX5MdyY2EC2p5SaKUgkBim4ZPSVj4uaaWyQ160ypx6nCHmdza3d1DzvuHE4fayva6Ldw40s/lwJ1bX8W1Wen0iB1ts7KzvluefMpS32/mwpIUPS6Sy5rI223FdH2o9JA5wXEZmODidZdEPAJ+LoviEIAgP9Ly+v/8JoigeBAoBBEFQArXAO/1OeVIUxd+fmsc9vTRYnNz1cSlv99i761QKPr1mLLMzzABMSzGhVgiEa1UYNQpunZjEhwdbmP6PnagVAr84N4O7Jidh1p0aQVcZmZONTxT5qKSV77xXTJvdQ5JJw2uX5DM73cyIGAN5UfqATMW5GRGMOIozdC+r/p+9s46zqlr/8LNPTJzpZroYGGaGRlKkRBSVUBExsLv72t1xvd6roj8D7BYVRUUERLq7Bpju7pkT+/fHmjpzziRTDOu5n/O5nLXjrO2sveJd7/t9jxZy/hd76hMoXTOsHy9Pj8bHIN+d7uTb/blcOMjXpvw79pNLOfOJ77TfUlX47skAhp9fjMGj90y4hkc6882GEqYmuODq1Dkemlo0nEMMb7OV/yMQbQf2GB0dYfZseOkl+OijTqmWpBvZlFbC9E921Se2mhbpyUezY+vDXjtCbnkNr65P5bUNaZhVlTOjvHh4YhjPr02pP+e20cEy6cdJSpXRzJf7cnli1XFUwFGn4cUzo7h/xVEePj2MR/46xpGCKgBC3Bz57fLBxEv5EUk3MqKfK45aDdWNjCYPTAjt1qQpFlXlsz05XP/zIepMmi9Oi+KOMcFtCkEtrjLx3vYMHv3rODVmldOC3Fg8J9ZGy1FyarArq4yzPt1FTrkwMg/yNXDBIF9ifQ3Mi/OzSZpmj3g/F4YEuLA7u2Gj+swoT/p7t74ekkg6Qk+GRc8GltT+ewkwp5XzpwFHVVVN7spK9Va2Z5TVGxYBqkwW7vw9sX5Xa0SgG79fPoRrhvfj7GhvAlz09bt4RovKo38dZ0t6aY/UXSLpCg7lVTDvm/0UVIpd4YzSGuZ9s4/U4iqC3Bz5fn48d4wOJt7PhUXnxnDLqGAeWZXEW5vTOJxvf+cvu6ya638+VG9YBPhwZxa7ssvsni/pGo4XVpJYUMmIJoLn+8nlY3ZzGUPQ0nlGil3L3chPcWDI2b2rj3R31hAdoOevvZ3rvTiUADQo/MqRDt9j9mxYuhSOH++8ekm6nvIaMw+vPFZvWARYebyITeklLVzVOutSi3l5fSrm2rDnP48VUmG0sCDej/Eh7nx/cTyTazdDG7Mrq4yX/knmvj+OsjqpiEpj58kASDqPfbnlZJZVMyvWl2lRXtwwMpB/Ugp5YWokWoV6wyJAWmk1n+zO7sHaSk5FhvVzZeXCocyN9WVogCvvnjeAK4Z0TFu4PezILOX5tck8sOIoa5OLuPXXIzT2lXxo5TEO5rXN22xrRikPrDhWLymxJaOUp1YnUW2S/eKphtmi8vbW9HrDIsCBvApqzCq3/nKEvTktzwuLKo38cjiP5/9JYeGQAD6ZG8vQAFcenRjG2zMH4CGdjSRdRE96LgaoqpoJoKpqpqIo/q2cfwnwRZOy2xRFWQhsBe5VVbXQ9rK+QbadsKW9OeWUVJvxctazP7ecC77eR1Gt7uJ72zN56cwoDuRVUFUbMr0/t4Lp0Z2XVVUi6UmSi6usdqgBcsqNpJdWE+rhRLy/K/8+uz8VNSbe257FTb/srz8vxtuJFVcMI7yJuHZRlZnUkmqakl0mNXu6k092ZzM5wtMqA2khVTzBauYRhy+dt+NaXqTh+yf7ceYteWh7YYqzkVHOfL2hhElxLngYOi9z9FxieY/tnE4YXh347+nhIQyMjzwCn3/eKdWSdAMl1SZ2ZdsuSlKKbfu99rAh1dY4+fOhfNZfMwx3Rx1Odrx2dmeXMWnxToqrxbzltQ2p/LwggfMG2HosS3qWnHIjL/6TQkl1g5Hj5elRzI314/4ViTbnr0stwaKqaBTpqSrpHhRFYUKYB6OD3TBaVAzdkKxiZ1YpZyzeSVntZo1DE89JECGoeRVtC28+YifkddmRfHIrjIS4y+QbpxJVJgsb02zH1ZTiavxdHTheVMXIIPdmr/98bw63/tqwgRzh6chvlw1hgI/BRm5IIulMutRzUVGUPxVF2WvnM7ud93EAZgHfNCp+B4hGhE1nAq+1cP0NiqJsVRRla25ubvsfpBcQYyecc/ZAHwJcxc7D2uTiesNiHZ/tyeacRlmkIu0kRpD0PH2hffYEAS4ONr5rLnotPs4Nu3EaRSGv0sTjq5KszjtSUMVuO96IgW4OnBFmnZ1XAWJO8fCB7myjJouF97ZlcE7/BhneGsw8wl+MIJDBtLYP1T6+fbQfkSMrCIo9MeNKV+HmrGFQsAO/bO9c79lg3DmNQF5jAyod06S6+GL480/YvLlTq9ZuZB/advxc9MyLszXeDQk4sbC7BH/b6yeEuePlrLdrWAT4O7mo3rBYx5Orkyip7vmESp3Nyd5Gd9duZjfmq725OGsV5sb62Zx/aYK/NCyeRJzs7bMxeq2mWwyLIDy0yxp5gZdUmwhwsdabddFriWhjlugQd9sQ7lGBbng49sKdz26mL7XRtuDioOXSBNv57iA/A0lFVQS6Nh/un1pcxSN/WYeVJBVVsy+3QhoWJV1OlxoXVVU9U1XVBDufH4FsRVECAWr/P6eFW50DbFdVtT7OQlXVbFVVzaqqWoD/A0a3UI/3VFUdparqKD8/20nQycDwfq68d94AXB3EgDkxzJ1npkTiVKu3UGGy1Qkrr7HgrBd/4rmxvowKcuu+CkvaTF9onz3BIF8Dr8+IrjcwahWF/5s1wEZHxGTBZicZoMZOmbujjv/NjGFUbTiup5OOTy8YxOATXHif7HRnG116MA8fg54YHwMAZlSe5m+c0TGD/p36W5u+8SBltzNjLynq1Pt2NiOjnNifVkVybud60M6gP0kU8TOHO3S9wQDXXw/XXQc1PejcK/vQtqPTaLh7XGi98d5Zp+H1s6I5rQUPiLZwRrgHk8MbNmb6uTpwz9hQK+/jplQYbfvg0hoz5j6Y9eVkb6P2xP8rjGZcHLWcGeXFXWOC0SoKGgVuGBHIeQN8eqCWko5ysrfPnqK8xtrgvnhnFk9PiSCq1pgY5ObAD/Pj26xvNyrIjfnxDf/9PRx1vDw9GjdpXDwl2+j8hAAuH+yPAug1CtePCGRvTjn3jA2xu6FXh9miUmlnfLW37pFIOpue7K1+Aq4EXqz9/x9bOHcBTUKiFUUJrAurBuYCe7uikr0FFwcd148MYlqUFxVGM2Eejrg7NnhonR7qgU6jYGo0Kb93XAgx3s7cODKIeD8XmZBC0qdw0mu5cWQQE8M8ySitJtzTiUG+tu7+4R6O3DQqkP9tzqgvc3fUNjswDw5wZcXCoaQWV+PmqCXC89T2WuxOLKrKU2uSWZAgJo5mVF5gLflUcA3DO3U3LHWPEz89F8CsR7LRO/ZuY4ajXsO4Ac58sa6Ye8/3Ra/tnJ1nPVquYAhvsYVIPBlMQLvvceaZsGYNPP44vPhip1RL0sUM8DHw9bx4koqqcNZpiPJyPmFvhnBPZ76eF8++3HKqTRZifQ2Et9J3nhHmgVZR6nUaAR4YH4qXs5yr9DamRXrxxOokq2zf948PxcNJj4cTvDw9mptGBaOiEunp1KZEAxLJyc6ZUd48/Xdy/XtRWmPG1UHD+muHk11uxNegI8it7VFj/VwdeefcAdw2OpjSajMxPgaZeOMUJsLTiffOH8i/JoZTXmOmrNqEi4OOOD9DiwbnUA8n7hwbzMvrUuvLXB20DAmQSbYkXU9PGhdfBL5WFOVaIAWYB6AoShDwvqqqM2u/G4DpwI1Nrn9ZUZRhCDmLJDvH+yRRXvYHmVFBbqxcOJSX16WQUVrDnWNCOHeAN74GB7vnSyR9AWe9lpFBboykea9cvVbDA+PDiPB0ZvHOLIYFuHDX2FAG+ja/6+fppJeZ1XuAj3dloaoq40LcqcLM06yhkCquZhj6TjQt5qXoef+6EM64Jh+f0LZpIfU0AwIdOJ5j5OdtJVww2qP1C9pIAK4sIIFHWcVrnEV/2qfLqyhw771wyy0wZgzMndtpVZN0Ia4OOhI6OZuvn4sDk13aPuc4LdidlQuH8NK6VLLLarhzbAjnxkiPt97IacFijvnSP6nkVtRw19hQZjaS3dFrNQz0NfRgDSWS7md0sBsrrhjKS+tSyK8wcs+4UM7u7423s56AFsJWW8LLWc/pYZ6dW1HJSYuzXkt8O7OFazUKd4wOJsjNgQ+2ZxHv58I940Jk1nFJt9BjxkVVVfMRGaCblmcAMxt9rwBsZpuqql7RpRU8ydBqFM4I92RMsBtmlW7TG5FITgZCPZy4d1woN44IxEmnQddCqJ6kZ8goreaBFcd4ekoE6Uopj7MaXwxcyzB0nWhYzDnmwDuXhzH8vBKiR1d22n27GkVRmBRn4LtNpQR5VTA2pvMW8oPwYy6x3MsfPMVkhtG+DJteXvDkkyI82tUVpk/vtKpJ+jBajcKkCC/GhrjLeUsvR6fRMDnCi/Gh7pgs8m8lkYAwqk+N9OL0MPleSHoXwe5O3DkmlGuHyXWPpHuRLa2P4ajTysFNImkGV0edHGB7IeU1ZmZ/uZdzB3qzw/cYN/MLIwhkAQmdaljcv8qFN+eFM/z8EhKmd26ClO7A2UHDzOGuLNtWyqYjtlklT4Rh9ONSEniCVSxhJ0bMrV/UiIED4Ykn4JJL4P33Qe3dkeaSXoSct5w8OGjl30oiaYp8LyS9FbnukXQ3srVJJBKJpMdIKa5iwuJtVLiW8fPgtawnlTsYw+mE2mQD7yh5KXo+uTOIr/4VyFm35RE35eQzLNbh7apl1ig3lu8s46v1xVTWtM8I2BID8eUuxrKVDK5kKb+RSE07jIxDhsBrr8FLL8HMmbC3TyshSyQSiUQikUgkkjpk+imJRCKRdBtGzCRZilmWmclXe3LZusuMEp/LsHgtC5UhhHHieoJmI+Qcc+T4Nmd2/+ZGyi5nEqaXMv/FTBycT36XOm9XLfPGurHhcCXPfJvHqP5ODAlzJsxXh4PuxPYMvXDmWkZwiHx+5hD/YzOjCGIEgQzAmxA8cKV5Xb2ICHj7bfj+e5gyBWJj4aKL4PTTIS4OnKU2vUQikUgkEolE0udQ1FMsdklRlFwguRNu5QvkdcJ9TgZOlWftjufMU1X17OYOdmL7bIlT5e8Jp9azwok/b4vtE1ppo5M3DGb12N6VRUpjgchcFX3nefi1HRU6zf+yuZ/QgFnfxT/SQcwaSHMHYzvDxc77uZJls/Y3c1T2od3DqfCM0PnPeWJ9aNfTm/6usi7N05X16e4+tLf9t+0K5DN2Hr2pD+2rf9e++Fzd+UytttFTmVPOuNhZKIqyVVXVUT1dj+7gVHlW+Zx9j1PpWeHkfN6Tsc5tpS8/G/T952uOU+G5T4VnhFPnOevoTc8r69I8va0+J0JfepbmkM/YN+mrz9wXn6svPtPJitRclEgkEolEIpFIJBKJRCKRSCQdQhoXJRKJRCKRSCQSiUQikUgkEkmHkMbFjvNeT1egGzlVnlU+Z9/jVHpWODmf92Ssc1vpy88Gff/5muNUeO5T4Rnh1HnOOnrT88q6NE9vq8+J0JeepTnkM/ZN+uoz98Xn6ovPdFIiNRclEolEIpFIJBKJRCKRSCQSSYeQnosSiUQikUgkEolEIpFIJBKJpENI46JEIpFIJBKJRCKRSCQSiUQi6RDSuCiRSCQSiUQikUgkEolEIpFIOoQ0LkokEolEIpFIJBKJRCKRSCSSDiGNixKJRCKRSCQSiUQikUgkEomkQ0jjokQikUgkEolEIpFIJBKJRCLpEKeccfHss89WAfmRn576tIhsn/LTw59WkW1Ufnr40yKyfcpPD39aRbZR+enhT4vI9ik/PfxpFdlG5aeHP5IWOOWMi3l5eT1dBYmkWWT7lPR2ZBuV9GZk+5T0dmQblfRmZPuU9HZkG5VIei+nnHFRIpFIJBKJRCKRSCQSiUQikXQO0rgokUgkEolEIpFIJBKJRCKRSDpEjxsXFUU5W1GUQ4qiJCqK8i87x+9XFGVn7WevoihmRVG8a48lKYqyp/bY1u6vfe+nvBwOHoSUlJ6uiUTSM5SUwIEDkJ7e0zWRSFrHaIQjR+DoUTCbe7o2EomkL5KRIcbF4uKerolE0nPI90DSl8nOFu27oKCnayI5lehR46KiKFrgLeAcIA5YoChKXONzVFV9RVXVYaqqDgMeAtaoqtr4NZlSe3xUd9X7ZOHQIZg/HwYNgmHD4OOPobKyp2slkXQfe/fCeedBXByMHAlLlwrjjUTSG0lLg3vvFe01IQGeeQZyc3u6VhKJpK9gNsOyZTBqlOhnzjkHdu/u6VpJJN2L2Qw//yzfA0nfZeVKGDtWtO+pU2HLlp6ukeRUQdfDvz8aSFRV9RiAoihfArOB/c2cvwD4opvqdlJTXQ1PPw2//CK+FxbClVdCdDRMmNC1v334MOzYIQbvYcNExyaRdDclJXDLLbB2rfienQ0XXghbt8Lw4W27h8kEO3fC/v3g7g4jRkBYWJdVWXKKs3Qp/Pe/4t8mEzz1FAQHQ79+os2GhPRo9SQSyUnO3r0wd67oXwA2bIDrroM//gBPT1HWdNwbORJCQ3uqxhJJ51P3HtRFB9h7D9pLcjJs3w5lZRAfL9Y/mh6PD5Scihw6BLNmQUWF+L5rF8ybBxs3ivlkR1BVYYDfswecnMR6KCqq8+os6Tv0tHExGEht9D0NGGPvREVRDMDZwG2NilXgD0VRVOBdVVXf66qKnmxkZcE339iWHzrUtcbFPXtg2rQGbxt3d/jrLzE5lUi6k/T0BsNiHRaLCDltq3Fx5Uo499yGCejIkfD999LAKOl8amrgk09sy3/9VbRZT0/48ktpYJRIJB0nMbHBsFjHli3Ca7rOqPLnn2Lcs1jE99GjxXxSjnuSvkJioq3sSNP3oD0cOwazZwujJYBeD7//DlOmnHBVJZJ2c+xYg2GxjuRk8emocXHDBrG+r6oS3yMj4bffYMCAE6urpO/R03sqip0ytZlzzwfWNQmJnqCq6ghEWPWtiqKcYfdHFOUGRVG2KoqyNbePx5jl5sJPP8GqVTBwoO1xP7+u/f0ffrAO4yspgfekybdFTqX22V0cPw5JSRAQYHvM17dt9ygogLvvtp6AbtsmPB9PNWQb7RzKyoTB+okn4P33hdGwDr0eTjvN9prwcLFZtG6d8IqQ2CLbZ++liCrm8Q2evMgcviSPitYv6oP0ljZqbw7o4yM2ggHy8+HOOxsMiwCbN4uFpaTv0lvaZ3dhbx7o4wMeHvbPLy4WxsLHH4fFi4XxpjGbNjUYFkHI7zz0kFgDSTqHU62Nngj22rezM3h5dex+VVXw7LMNhkUQ66w1a5q/JjMTvvsOHnkEvv1W6JtKTg162riYBjQOtggBmmt+l9AkJFpV1Yza/88BfkCEWdugqup7qqqOUlV1lF9XW9d6kJoaeOUVsXt2001w7bXg4NBw/Oyzm/cgLDXXsP5IOSv2lnKorAS1WRtvyxw8aFu2b59MTNASfal9ZlLKXxznL46TSWmP1CE9XYQ/X3YZ3HOPdVjKZZe1fZetokLs8jWlsLBz6nky0ZfaaGeSTgl/coxVHCebslbPX71aTMaMRnj9daHzdPy4OKYocP311pPC8HCx6M/PF9+Lijr9EfoEsn32TsxYmM2XGDHzPrPQoWEmn1HDqTch6C1tdPBgEf5Zh6LAokUNXonllSrz58Njj8GkSQ3nHTggIl8kfZPe0j67iyFD7L8HzYX/L1ki1lDPPANXXy1CqtPSGo5nZ9tec/w47KrIIxH72TSOHxdhpqfinLIjnGpttL1UY2InmfzCYZziCnnoYet1/H/+A/37d+zelZXWm+F12FsjgdhIf+ghuOgiEZGzaZOIwsnM7NjvS04uejosegsQoyhKJJCOMCBe2vQkRVE8gEnA5Y3KXACNqqqltf8+C3i6W2rdS6g0mnHQatBqhAPokSNiwQpCc/GVV+Dhh8UCNThYDKb2PLkyimp4a5GF1550oboapsys4ck3yjgjxq3ddZo3D75ooop57bWg1TZ8LyoSg2nj3XLJyc8h8pjHN+whB4DB+PMN8xhIG10FO4ndu4XmJwiv2TffFMbt0lLhdXj55SqLFinExtq/vrpa7LA5OQnNxldfbTimKFJDtK+iqiqVJgsGvbb1k4H95DKbL0hErAxGE8znXEA03nbP37NH6Cn+8YfY9Ln2WrE42b5dhJfk5Yn+8J9/hNZZWprwjnjhBXG9RiOSc0kkJwvvsY1SqnmcM9Ci4RZO41H+4t9s4EFO7+nqnXKUl4v516OPio22nByx2ExIEMdzcuDNt8385xUdZrMIjb7zTjGGOjgID0Z7ETESycmGlxe89JJ4D7KyLcT0Vxg82F4wnYiCeeQR67Ldu4WOXZ1MyZgxcOON4O0NK1aIueas68q5KuBz8qhgOZcxvtaXpqpKyAzcdpvwbBwxQnhDDh7cdc8r6btUV0NahoUDhmyuCPiEIqrRuij88MBC1pwdTmaGSlSUhoSEjmuAenmJze8HH7Quby7s/9AhYZAfORJmzoR//1sYHMeMgQ8+EJqkkr5Lj3ouqqpqQmgo/g4cAL5WVXWfoig3KYpyU6NT5wJ/qKpa3qgsAPhHUZRdwGbgF1VVf+uuuvckGaXVvLMlndM/2sHVPx5ka7rwu6+utvYQzMiAJ5+EoCCYPt2+YRFg/SaV5x9yorpafF/1qwMfvq0jx1xu/4IWmDxZLKA9PcHVVbhRz5zZcHzDBpG1KipKTFzrjECSk5/vOVBvWATYQw7fc6Db69E4I/rRo2IxdeedYkG1dCmsWaPw2JMWcopt00YnJord7P79RYjq6NHiOr1e7Gh/953UD+2L7Msp454/Epnw4Q6eWZPE0YLWQzeXsLPesAiwmXR+I9HuuaoKn30mDIsgvMzfeUe0L1UVi5GxY0W/+MADEBsr+s3iYnF+ZCT8+KMQiJdITgaqMfEUa7iRUWhrp5oaFG7hNF5kHcVUtXIHSWeyd6/w6I+KEh5YABdfLAwbdREuf60189oLOkwm0S8tWybK//c/+PRTYZyUSPoKVfpqDrik80rxdv6TcpDdufZjmI1GW/06aJhrVldDaqqQpHr5ZeEF/H+fmDDcsItjSiElVHMXv1GEuGD3bli4sCFkevt2uP12sQEukbSHujXLgP4abhwRzL9+uI64mgAGmfxJzKvi2aTdvFO5iwz3PMwaU+s3bIFLLxXGRScn8PcXBvExdrNkNIRPX3CB8PYtqw3s2bRJ3MPe+yTpO/S05yKqqv4K/NqkbFGT74uBxU3KjgFDu7h6vQ6LqrJoawbP/C18kbdnlrH0YB4brxtBdLQLkyeL0Ls6AgNp1kOrjp1bbW3MK752JvuhMvz921c/Ly+xGzd3rtDsCQkR3l4gQgDOO09o2YHw0Jk798SyV0l6D6tIslv2EBO7tR7x8cIDrKREtD17g9iyHzVceW85552mry+rqYHnnxeLKBDh1fPnw/r1YvB2dqbd74Ok95NRUs3sL/dytFDMhnZmlbEhrYSvLorDzdH+EFmDmb/stPcNpHGrHXWOwkL7CbaSkuCss+D008UCBsQCpaxMGMIXLRL6jAZD1+vlSiSdyVfsIwJPBuJjVR6CO6MJZhFbpfdiN1FQAFddJTSD+/UTRpGZM4VRo/H88K8/bT23/vpLhLIdOQKjRnVfnSWSrsSiqryzJYNn11qvpbbeMJIBPgarc8PC4Mor4aOPGspcXRs8frdtE3PFOr7/HlwCTey4ZHd92TYyKaIaT5xJtLMHuWaNeM/c2h8wJjlFMRrhxRcb1iwZGfDQhb48u+EsHPwruefTrPpz1yQX89MlCZw/sOORZCEh8NxzIqLLwaHldXv//mItZm/99csvQkYgMrLDVZH0cnrcuChpH6nFVbyyPtWqrLTGzO7sMuISXFi0SCxIly4VC9b77ms9w190tG3ZuedZyN7jwpLlYhA977z2TSyDg23Ljh5tMCzWkZwsjI7SuHjyM5dYVmCtcn0B3R/HOXCgyHb5wguwcydERNiec975KtUZBu64U8XLU2HWLGE4bJqtV1WFe//Ysd1Rc0lPsD+vvN6wWMfyxAISCyoZHmh/pu+AlnnEsbVWIjjM7Mm1+88iKDGctb5i0dFYONvVVXjCNhWBHz1ahD8bGznR6nQwfrwI6U9MFLqME7vXPi+RnDBvs4XzsS9wO5dYXmIdDzABxW5eP0lnkpIiPi++KOZbVVWij0pNFYvE/fuFN0qCnVC1+HhwdFL54w+F4cO7v+4SSVeQWlzFqxsa1lJR7s7cHBTL2y844uoE558vxmyNBhwdRSKX0FAxRxwyREhO1Rnm9+2zvf8PS5y49l9D2BuyAoAZROOHMFraiyKLiLBOJmM0ivfy6FFx/uDBUkZKYk1Ghv01i+mAN7tzUmzOf3NTOufEeKPraGw0QuKsqU3BZBKSGT/9JOavZ54pxpjnnrOf0CgurvnESZK+gTQunmRoFQUnnYYqk8WqXF/bWQwcKDTiHn1UDER6vb27WDNpvIaJk82sXS20xnx8YOoUDWdNFx0VCG2StWtPbOfa09O2TKeTA2Zf4VwGcCmpfM4eAC5lMDOJ6ZG6nHaa0P4sKxMhK+fOsvDLT+IdcXeHCy9QmDdXW9++X3lFZPENDxeTucZ0NLua5ORAb2eipQA6TctGj3nEsYFU/iKJ239fwEOz/THVRp1cf71YyHvXyi86OIhw5z//bEjOMm6c8Fps2t7uuAM+/lgYA0BsFr32mkhOJJGcDBynkMPkM4YQu8cH4oMWhbWkcAbh3Vy7Uw83N9H/PPZYQ7iaogiZjwkTRDZ6gBdfVBgyVGX3LtH3BQTAzCvLiIyxcHq0nKhJ+g5ajfVa6tbAQdx/qXt9lvSXXxbehOPGie8REfDUU2J8dnUVBsc6fKydswGIjFLJdSkCIAE/XuJMXBD6A8OGwTXXwIcfinMdHMRmYmOj488/C9mCOqmru+8WMldyvSSpw9VVrFmaJlpx8DLi7GA7f3V10KBROn8zb/16IXdW11ZffllEgd1/vwiBnj8fvvpKHHNyEjIbdXNjSd9EGhdPMkI8nHhmSgS3L2/wqw92c2BYP5f671qt/cGuOaLCtHz5mcrSpSpZWQrBwWJBqzZKNFVVJVyZT8S4OGiQWCDXJZ0BocnY1uy9kt5NGB68x3k8wHgAYvDGgEMrV3Udjo4NE8Dn3zAy/qIqvEwGdCY977xj3b4rK4Xh5913YcaMhkHy9NOFJpWk7xLnZ2BCqDvrUhu2WK8fGUh/b+cWr4vEi4+ZS2JmDedd71ZvWAT4v/8T+jSTJzeUjRgh9Gb27xch9gkJwmPbxUXo0nz/vTjPza3BsFjHE08IvbRwaYeRnAR8xT4mEY6uGVlvBYWpRPI5e6RxsRuIioLc3AbDIojx7623xIZ0nXHxoYcUvvzWzKHickxGqPQs5c2UTJaOT+iZikskXUSIe8NaamSgG6u+dqk3LILwHPziiwbjYh321lajRokohM2bxXedTmwIhnuN5m6GEoEnvrhY3ePVV4VUQX4+xMRYJ2tLSREblI019P/9b5F5d/z4E392Sd/Ax0ck2zrvvIa2MmGCytSRjowjmM+3FFBjFgsdjQJ3jg3pdOOiqsLbb1u3VaNRRI317y8ck5YtE6HUhYW2bV3SN5HGxZOQy4cEEO7hxM+H8xng48y5MT5EexswGkXClK++EoPb/PlCbLVxpubmMBgU3nhD7IDMmGGdFKOOExXzdnERGdfOPVeEAkZEwPDhbfOulJwcuODAUHpfjPuQSEdwNrJ1rYVvPrTfvsvKYNIkIbZ9+LB4h4YPtx/iL+k7+Lk48MncQaw4Vsim9BKmRXoxOcIT5zZkjXbDEediRzIybI9lZ9uWRUfbylD4+YnJ2fXXi2yt9qiuFguXRx+FefNEO5VhJZLeyg8c5KJWJDEmEc7d/M5bzKxP+CLpGhQFK8NJHWVlYrFXh6rCXys0zLrHyPcHchnez5XPo+MIdneyuq6kRESyfP212PC44AKZbEpy8lG3ltqXW8Gq7237IHshnfYICxNewNu3i2vi4mDoUAUtzevbeXk1L3dSVGQrIQWwZYsw4owdK9dNpwo5ObBqVUNCv1mzrHVyzzxTbFofOCDmhMOHK4SEuKOqKn9fNZwfD+VRbbIwd5AfY4K7RtDT3ntSUSG8FEHMXZ96qkt+WtJLkcbFkxBPJz3nD/S1EWZdt064Jtd5ZL31lnDrnzChDff0hAUL4OmnxTWPPNKwCwdicnr++Sded29vUcfGZGTA8uXwww8inPWCC4S+iETSmbhVuhLpK9r13XeLiVodiiK07X79VYgjh4XBJZdIw+KpQqSXMzeMdOaGkUGAmNh/+y18/rnw7Jk/v/nFc2CgmOxv3NhQpihi17atBAQ0ZHA9eFBMEusyRQNcfrnom/fuFe3z/ffh2mut76GqQqMxL0+029a0diWSriCHcg6Qy1CmtHheMO544cwm0hlPaDfV7tRl1izhTdXYY3/BArEofeEFoY9VVgZnTVeYGePDzBhrFy1VbdDN3rvXuv95800x/4y3o9kokfRWGtZSkHAb/PG79fF584TDRlvG05AQ8QFhHPzpJ/jsM7GZeMkltEuvNChIvEuNtRy1WjEnmDxZJFmaNKnt95OcnJjNIoT4mWfE9y++ENFVf/3VEMWi08HIkeLTGEVRGBPizpiQ9sXRV1YK54qaGtF2vb1Ff//tt8Ib8cYbhdNSXVizosCtt4o1fGPGjRNremg+o7Sk7yKNi32It9+2njiazWIhas+4aLJYWJ9awnvbMqkwmrhxZDALr/Hg0CEtX38tdqUXLYIlS4TGx333dU0HUVMjJrVvvy2+//KLEKj96Sex+yeRtMaurFI+2Z3NrqwyFg7tx4xob/xdrcOxly8XgtyjRgnD+fr1IiT/559F+37wQRGectFFDdcsWiTOGzKkmx9I0u1syyhl8a5MDudXcu3wQDjmxfx5Da4B774r2kLjHeM6PDzE8WuuEVkjvbxEf9bRDZLYWKH/+eabwov24otFIpi9exvOeewxEQpTp9FkMonJ33XXCQ9zX1+RnbpxWLZE0h38yTGGE4gDrXv+nkYQyzgsjYvdwJgx8McfIhyzuFj0Hz/+KLxivLzg3nvFnLGxN9X2jFKW7MriQF4F8/r3Y8W73rgoelatsr53cTFs3SqNi5KeYV9OOZ/vzWZDagkLEvyZGeNDsLtj6xc2YsoU8T689prwCrz+ejFH3LhRRBd8/XXbx9NvvoEbbmj4/u677TO++/oK3eWrroI9e8T3++4TuowWC7zzjjQungokJQn9wsYcOybaRFdI5GRni03sOtmo8ePhv/8VjkUubhZueq6ERbnpLPpN5bYxQZwe5oGzXsvkyQ3vjlYrjOlvvy08Fx9/XCbEPBWRxsU+gqpa6+nUYa8MYFNaKVOX7MJca4384WA+v146mI8+8uHxx8XgGhUFV14psqU5dIF0Xmmp8NJ5913r8qNHhXfZAw+Iwfiyy6SBR2Kfw3kVTPt4N/mVIt3un8eLeGZKBI9MDEep1RY5elQMdiEhwqvriy+EHp7RCHPnCpf+6GiYNs363uXlYmIp217fZk92GZOX7KSsRojG/HG0kIeG9MffP6Q+TLmwUOzaNjUu7t8v2tPGjWISFRAgdBRPdOI3cqTwJqqqEiEvDz9sfdxotA5zPHAArriCet3HvDzh7bh5s/CCkEi6i99IZFgbpTHGEML/sY3nmdb6yZITwsFBhNDFx8PttwvDidksDCd5eeL/TSYRhufnJww2Uz7eSUm16BdXHCvk/vP7Y9kQYpXdvg57ZRJJV5NcVMU5n+0mtaQagFVJRdw+OojXzuqPXmsb6pySItp5aKh1aLGLi/DunTFDGHWGDhVyJCD0ShcsEAa+gACRiM3f3359srOFkcXNTaxxQBjft29vn/F9xAhYvVpoMe/eLZIO1iWDa25dJ+lbWCzWWoZ12CvrDP75p8HRB8SG+oYNIrrw1a9LuH/fTiy1Dkw/Hcnjt0uHEFjpTVqacAq67DJhgA8JEU5Njo7CjnACyaklJynyT95HqHNNbsrChfbP/+5Abr1hsY5/b0xD52AhLk7o8Gi1YuehsWHRSEOvtnMnvPGG2FnZvNm+pk9z7NkjBvJNm6y9LesoKhK6jC+/DNOni3A/Se/EjAUz7fjjnyBHj8LixSLJxfpjZfWGxTpe+CeFlOKG2Vd6ujAg7t8vvDeKi8XO3FNPwb/+JQxGr75qv/3aa5uSk5PyGhOb00tYejCXHZml1NRmidyRVVZvWKzjw6MpzL2i2qqsaVtIToaZM8Ui/c8/YfZsEQbVL+jE3ofyctG+Bw8W/XB1NRgM1uc88ogIx66jbsFUxzXXCC/G//4Xli5tXstRIulMVFRWcoyRBLZ+MhCHL0kUkcsJCjpL2ozFIsLqrrtOjH9z5oiwO0dHuOsuIQ9y8KiJdcdL6w2LdXx0LBnFvZrLL7e+p7OzkLSRSLqbvTll9YbFOt7eksmxQmsLXHGxCDFNSBBSJ3ffbZs4DcR7kJjYYFiso6ICPHzMPP+8yocf2p8bWixCt/7cc8X79fzzDQlgOjKX9PYWxpn//rfBsAgiOYak7xMRYfu39vNre1RMtcnM9sxSlh7IZUt6CZXGlq2SmzbZlhUViaSrW6tz6g2Ldby5KZ3/W2zikUfEhvh//iNkz3JyhCG9f39pWDxVkZ6LvYwak4UDeRVklFYT6uFIrK8BXRvfzjPOEOGf//mP0GG4887mM4tp7CSMMltU0kuriPA02Bw7TiHfcYBv2M9UIjhn20RmTnKsT/Ki04nwmtNPb72eRUVCr2fLFhGSumCBWJTXERYmBuTkZPE9J0cYI9ujYSbpeiowsoYk/sMmHNByJ2OYSBgOXditpKYKb8M9e8T3J+JtG7JS+786AgKEkbyqShhtXn0Vfv8dFEXl6hssrPhD5YdvdNx2W4O2CYgFk9QK6RtUGs28tSWDB/88BoACLJ4TyxVDArDYmfUrCqhqQxtydxeeDI3Zt6+hjwIYOcFI2PVJzNFuRo+mw+/Dli1w9dUN3++7Dz78ULTZw4eF5k2dPmMdQUF1dRabNqmp4po6brtNbNQ4t5wAWyI5IZIowoiFUNqm86RFw3D68RfHmY/MSNwdBAeL8MqXXhKhzHU88IDI4nngAKQay6jUVdtcqwDlZZBxWGzO/fmnSmSkwi232PaPEklPoShQ0GTTef164bFbx1tvCe/FBx+0vb7xeApw+b2lhN96hA8idzB0dj8cd40gPT2wXmPRbFE5mFdO0h5H5p6jr/fiNRhERMOzz7ZPc7ExEybAihViXWc2wx13NJ8IRtK30Ovh/vuFMfzjj2HEKAvzLjfh4q8CLYf9my0qn+zO5oafD1M3w/33jGhuHhWEo86+ZIk9XfHgYCGbobczjVVViHxyN+b7Sin9I4FLL/Xh0UfF+iwioj1PKulrSONiL6LGbOGjXVnc8sthLCroNAofz4nlkgT/+hDPlnB2FovO6dPF95ayRF8wyI83NqZbeS+eE+PD8iOF3HyatXGxjBru5Q9+4CAA6ZRQtHQc5eUNnZvJJAa/ceNaz06dmtqQTOOnn4Q7dXi40HkcOFCEoe7ZI4yQkt7LGpKYyef135dxmL9YyGQiu+w3d+1qMCwCGFNd8DPoya1omEg+ekYYoR4NbTMmBj74QOWqqxT27RMhpm8trmaFcpTL9+Vy2RnBnJYVzubNep55RhjJo6KE5o7MgNk3OJhXwb9qDYsAKnDTssMM6+eK2aLi7qi18tJ5bGI4/ikOHJ0mtF+vvLJlDViNBi5+O5n7Bze8Dz/Xvg9T2vk+7Nxp/T0/XxgH9+0Tngw6O6P2oEGi/73rLrGIaZqZ7623hCeFNABIupK/SWYoAVabO60xhH78wTFpXOxGXF2tDYsgolDuvVcsSn8P345LqSueTjqKqhpcoq+JCmfxa44UFsLE2RVc9moRFw/2w8tZpq6V9AyD/V0J93AkubjBGH7pYH9+OJjLyEA3HHTCOeOvv2yv/egjuOkmoZvcmMbjaXR/FdcHtvKc/98AbPRK47fJezmj/FpCarNB/3goj5fXpuD32zAreYCKChGBtW5dxzWYHR2FnMGU2vxYra2vJH2LkBA4c3452wLTWJlexDu/VzJqtytfXBhHfx9bR6A6juRXcNuviTTeOr/3j6NMifBiaD9Xu9dMnCi0eJctE98jIoRO/XvvwTGTP58dzrDyXpzmGkzZvgLeGfczIy85yu1/XgIYaIO5QtLHkcbFXsShvApu/eVI/ctrsqhc+9MhRga5MaCFTqQpbRl8hvi78L+Z/VmVVES1SeX0MA8+3Z3NqCDR6ZRWmyipNuPvoidRW1BvWARwwYGCHNsfyc4WO2ut/b6bm3U21CuvFCHdzz4rBtIjR8TuXB2BgXJR3NuwoPI/NluVqcCn7OlS42JlpfX3fz9m4LH/DaVkWA778sq5dLA/0yK9rIzxGg3MudDCq+7FeFa7kKUp4+WUoxwpqgDg48Q0nr7Qh8ev9GLVKpFA49VXbSeckpOXnPIamvonVpks7M0p554/jvLwxHAO5VWQVVbDjGgvLhzkS8BouPBCmp0oJSRAZCQcPw6jR6v8OXCzzTmfsrvdxkV7GokDBggvCHuGRRCeuTfcILzXjxyxPd6cJq9E0pmsJok4mhEja4ahBPAi/3RRjST2aCyhUEdNjehfrnikiOecN6B31vDIwnM4tFdLZr6ZC+J9cCl24bpnitB4VPNm2jFSllfT39eZaVFe3f8Qkj5LVlk1Oo2Cr6F1sfcwTydePjOa1clFJBZUMjrYndSSKr7dn8e/JoTjXWtctBf5lJBg35u/bjydOBEK3YuZ6b/e6ngRVSS6ZDMSX44VVnL1jwcZEeBOUZ5tlFlFRefodkuj4qnL53ty+HB3Zv33rZllLDuSz10t2AXyKk2YLCrnxvgQ6eXExrQStmaUWjliNCU0VCRxPXBAyAIMHCg8FwGM2915JW4YGyqzMKFyuqEfi592Z+5rJQBsc0pFE5tPWJiBBLlPeMojjYu9iOxyo40OYqXJQm65kQE+nftbro5iR3pPdjl6rcJPh/JQgScnh7M+tZgHVxxld045F8X5cc0MNxRH6hfnh8nn2osK+fo9a12l225rW+KXiAihfXLFFeK7xSKEjwcPFu7XEREiy/UXXwhR44svFp5kkt6DAjja6T6curhLiY8Xwtt14fiVlXBsgytvXe7aYtvT6xR8wmrIKC2rD41tTLVjDSCMMFddJQ2LfY1wTyecdRoqTQ1aiJFeTqSVVFNSbeZffx4jwtMJH2c9L61L5eJ4YSBpaQc2LExkt//uO5XcPJVkTee8D2PGiN3iOs8iR0eR3MXV/mZzPY6OYhPGx0d4gjcO2T7tNCkrIel61pHK/TSjxdIMUXiRRwWZlBKIWxfVTNKYQYOEF3RBQUPZWWeJzZKoaRZ0aCilhn8F/khEoCe+GHAom8Blrx0VJ2c0XJdeahs+LZF0hJzyGj7dnc3L61Jx1mt4bmokswb44OrY8jjqrNew9GAeIe6O/HtjKhVGC/+aEIqXc8N1U6cKY8mhQ+K7q6uQAmhu3ujoKCJXUlDQ2UlPoKv1zs6rMFJSbWZDejGPLKjgn7UuVufNn9/255dImmK2qPx5rMim/O/kIu4aG9rsdeEejvzn7P58uiebtSlFTIv04oJBvoR7tBxO7e0tQvGbEhGu4aYbPamo8ESrhaV7ICxcpWBgav05rs4ali+XIdESmdClVxHi7oCTzvpP4uWkI9i9C1I1A5ck+DM0wJXd2eVoNQr3jw8lwsOJsz7ZzT+pJZRUm/lwRxbfrC3jKtVaMGT3hC18u9TMiBEiXPCTT8TktK1cdJEQj/3sM5EM4ZVXhGERhEbeZZcJ1+ynn0bugvRCFBRuZ7RV8JsODZfSwdiPNhIXJ9rLeeeJhdDjj4vkFq0ZtbdnlrFw6UE2Z5QyzE5IwLhYJ774QoTr14WfSPoOA30MfD8/Hn8XEb4X7uHIx3MGMTSgYSGQVFTFtsxSZkR74WtoW5jfoEEw+/pytg3eyaS8QTbvw2UdeB/Cw+GHH0T/9+WXok22R2MpJAR+/lkk8woPF17hH3/cICwvkXQFBVSSQSlRtM+LTYPCEAJYi53sCpIuIToa/vgD5s0TfcQDDwjphKuvhgFuLtxV2bC6TKKIDLUETb4z40JstTSjvJy6s+qSPsxPh/K494+jZJfXkFRUxWXfH2B9Wkmr140P9eCGkYHszCqjwmhh1gAfrhsRZBXBEhMjdIt//BG+/lokoRw9uvU6heHBk0yyKgvElWH0AyDIzYF+rg5Umy2s1SXx5JuVxMXB8OEqP/xg31AjkbQVrUbhojhfm/JzY1qe0FUaLTy08hgb08Ra/oeDefyeWECAa8fsCT4+Yp0/ZYpIkDnvqhpu/TmR94JXAzDTEsOUYJ8W5YMkpw7Sc7EXMcDHwFcXxXHl0oMUVZnwNej54sJBRHh2jQp/hKczH84eyKNnhKHVKER7OfPLkXzKm2SU+s+6TLaPHc9k13CWc4TxhDLTEEP0bC1nTRWeh+319HJyEgN7WwZ3Se9kAmGs4Sq+ZC96tMwnntEEd/nvjh0L33wjvBfbajDZk1OGRYWlB/N4ZXo0xVVpHC+qwkmn4bWzopkS54JBht73WRRF4ez+Pmy7YSR5FUb6uTjQz82Rggojj0wM48V/UjGrKqcFufHAhDD02rbvu+3KLmNdWgm5PzjxyuwL2eZ9FK2q4Rr9EMboQzpU35AQ6sXiO8LgwfD++0J6wtOz+XBqiaSz2Ew6g/BF24E960H48g8pXEx8F9RMYo+RI8VisbRUeKvU5Q0MdHPiVvMI4kw+/Kw5SKzqh3LIlxt/SOW5aZHkVhhJLKjEUavhxTMjGRbQiku1RNIGKoxm3t6SYVP+86E8zor2bvFaH4OeR88I59KEAGosFiI9nXFxsI0jDg8Xn/ZyFcOIxIvvOEA8fsxmINGIOoW4O/HlhYOY/+0BVmTkst+tmCXfxjMyyA1PDyk+JzlxZg/0ZU1SEUsPiZThlw/2b/WdOJhfQWmN9Vp+TXIxSUVVDOlgnx0bK7R5n3wSKjyq+U1fwoUMYiqRnKWJxhO50SQRyCVHL0KjKMwa6Mv2G0aSU24k0M2BMI+ufVmd9Vri/Rs6GjcH2ybhotfiUePCQoayEGsLjJuMYjplcUDLRMKZSAdmayeIk5P4tBX32rAak0XloZXHuGxwAP29nZgZ402CvysaqUB8ShDi7kSIe0PD8TboeWJSBAsSAqg0mYnydMa7jV6LdbjVLmIO51Zx3/tVxHj3w9egI25+IFp9zwUH6PXga7vhLZF0CZtIYwAdc4+Nx5/F7OzcCklaxdFRfJrST+vKAuJZQDzHCipI+GErlSYL//pTjJ2XJPgzLdKTiWGeaDVy7JScOA4ahUhPJ3ZklVmVh7ZxDaTTaBjg23Zt+vbgg4ELGMQFDLJ7fFKEF1uvH0F6aQ1+Bj1R3l3jECI5NYn0cubjuYM4WlCJokCMtwGDHeN5Y9zsHHfWaXDWndicVKerm1e6cQMjuYGRJ3Q/Sd+kx8OiFUU5W1GUQ4qiJCqK8i87xycrilKsKMrO2s/jbb32ZCXSy5kxIe5dblgEsKgqh/IqWJ1UyKG8CgYHGJgRbR3W9OKZkUR2UeiLxdL6ORJJS1SbzOzOKmNNUhFJRZV2zzktyI3Y2olnlcnChzsySfB3YUiAW6cbFs3m1s+RdC/FVUY2p5ewPqWY3PIaq2N6rYZ4fxdGBbm327AIMLyfG8P6NYRXHymo5J5xoR0OP2kOVRUfiaQ3spF0BtAxa/ZAfDhIHhU0LzYv6RkivJx58+z+TA7zJNDVAQ8nHb7OOlz0WoxmOYGTdA46rYZ7x4Xi2ChqwMdZz8z+1h5aKcVVrEkqYnd2GVXGE5tsdeb6I8TDiTEh7tKwKOkS3Bx1DAt0Y2g/t1YNiwCDA1w4r0myhuemRhLdqH0WVBjZkFrMxrQSCiutx165NpecCD3quagoihZ4C5gOpAFbFEX5SVXV/U1OXauq6nkdvPakoaRaJFjJLq8h0tOJeH8XHNoRnmc0W0gsqKTabCHS0wkPp5YXyhZV5fsDuSz84SCVJgtOOg2fzI3lw1kD2ZJRRlppNXG+BkYFuVlpl3QGeXmwYoVIcT9gAFx/vUhiIJG0h9JqE29tSeeRv45jUcHXoOfHSxIYH2odpx/p5czS+QkczCvHQavg6aQjo7SGv5OLGOzvgpdz+41KTUlOFno+X30lxMMvvVRo8kk6j2qTmSMFlVgsEO1tP/SpKSnFVdyx/Ag/1oaUjAx05bML4hh4gl4O6SVV7M2poMZsYfHsWI4VVpJVbmSIvwsjAzvPpbuqCtauFUmwtFqROOv009uWPEsi6Q5UVLaRwVV0TFvCER1ReLGdTE4nrJNrJ2lMXnkNe3LKKaoyMdDXwCBfQ4vzu4MHFI59H4i6Loh3/1vClsIC8itNPLc2mRGBbtw1NqQ+MkAiORHGhbqz4drhbM8qw0GrcFqgG7F+DRt3G9OKmfXFXnIrjGgUeGpyBHeMaX/727LdwpLFsHunwoLLVWafpxAU1PE1To3JQmJhJTVmC9FezrjJ90HSw/gaHFh07gASx1WQU27E38WB4YEu9c4UxwpElvO/U4oBmBbpyf+dPxDnameWL4ePPoIhQy3MusSEY3A58f4ubcreLpFAz4dFjwYSVVU9BqAoypfAbKAtBsITubbXUVpt4oV/UnjxHyFqrlHg0wsGsSAhoE3X51cYeX1jKi+vS8VkUZkU7sFb5wwgPsCl2WsS8yvrDYsgPLoW/nCQHTeOYnbsicXTFRQIDy4/P/vHP/8c7rxT/Hv1apHYZf16GDLkhH5WcoqxO7uch1Yer/+eV2Hkhp8PsfqqYVYD4Y7MUi79fj8H8ypx0Wt5dGI45aXw7r4jzI315akpESQWVLI5vRQ/g55xIe7092m78am8HB58UBgWQbTlr78WBvTQ0JazDkvaRkZpNc+tTWbR1gwsKlw4yJdXpkcT6WXtKVBYKbwUd2WXE+PtRF6Fqd6wCLAts4xPdmfx7NSOp6A/WlDBhV/vY1e2SFvua9Cz4oohzB3kb3NuebkQwPbzs699qKpgMokwZnusXWudLGvpUli5UiYekvQe0inFjIo/zc83WmMgvmwkTRoXu5Cssmpu/fUI3x/IA8BJp2H5ZYOZHGE/CU9aGpx/Phw7pvD8W5UsTc7k/R1ZmCwqk8M9CXB2YHdWGaeHe3bjU0j6KoqiMDzQjeF2NucKKozc+PNhciuEh5VFhcdWJTEp3JOJjdpfa+Pp7gMmZs7QkSdeAdauVTj2gIUHHjOyMb2E/bnCkDLEwwNH9AS0sgTLLa/h1fWpvLYhDbOqcna0F2+eE0NMO+aPEok9dmeXsSG1BLOqMjbYneGBru1y9MmvNHL78iPsyanAWafh9RnRXDEkABcHHd8fzK03LAKsPF7Ez4fzKP8rlIcfFmVr12r44nMHbl5UwBvmNN45dwD93FrONt1ZmM2QkyOk11ylrO9JR0+HRQcDqY2+p9WWNWWcoii7FEVZrihKneJ3W69FUZQbFEXZqijK1tzc3M6od6ezL7e83rAIYuC8adlhjhc2hHmm1YYD7MwqpbJJOMDa5CKeX5uCySLi5tYkF/PGpjQ2bFKptB8pSkZpdb1hsY5Kk4XMsuoOP0dZmchwOmaMEAx/+23qB/E6UlLgueesy8rLYefODv/sSc3J0D57KyklVTZl+3IryKtocPEvqjRy07LDHMwTL0K50cxDfx3Ds8STq40jyMmD3VnlPP1XCk+vSWbh0oOc89lujhY08+LY4ejRBsNiHYcPi8Qzd90Fe/dCVpZo4+npHXnSnqU3tNFVx4t4e4swLAJ8dyCPb/Zb18VsUVm0NYN53+wnq6yG1JIaVicX2dzrlyMFVJxASNXK40X1hkUQRu23NqeTm29h1y44Xmvv3rgRZs0SmyZ33AFHjjTco6ZGtIubbhLZoP/v/yAz0/a33nnH+ruqwpIlHa56n6Q3tM9Tme1kEosPCh3fRYnFh3V9OGN0b2ij2zPL6g2LIDaU71h+hIIK++Ho+/bBsWMi2Yv3kFIWbcusn2OuTi5i8/EKKpJcZAhdH6A3tM+WyKswsjun3KY8taRhvbJzJ9xyixhP33uvYTzNzBTHMjJg5y7bNcl//6Nh074aZn25l/e3ZnN4sxOzztYxdCg89RSkptIs/6QW8/J6kRAO4LejhXywI5MDuUKqJ7kZqR5J++ntbbQz2ZFZyh2/HiGrvIbMsho+25PNlvTWM6fXUVpt4q7fEtmTUwGItf3NvxxhZ5Z4h345km9zzfIjhSxfbl1WUADaHFeWHspnexM91K4iMVGsm4YMEZtb69d3y89KOpGeNi7am4k2VZXaDoSrqjoU+C+wtB3XikJVfU9V1VGqqo7ya86VrofJLbed3JVUmymsNAGwNaOE0e9vZ/KSnYx4dxtPr0my0kjYmllqc/3yxAL2playbp393wxyc7QRd3XWaQh07fjOxLp1sGCB6BxSU+HWW8VCePt2cTwpCX76SYT3NUXT062xhzgZ2mdvJczdVgs03s+AX612nqqqbM0sZXOG7ftRqFTy8iPOTKnsz3f/9qD4nSHcyEgWRoWRWFjFNjvvVHMoin3vxNJSWLwY/v5bGNyHDxfh/3/8cXLp5/WGNvr70QKbsq/35VJlajASHi2o5Lm1yTwzNZJPd2fz0MpjhNvRrj03xhuDvvWQ6ubY02SRo1FgpDaQGdMVhg2DYcPg119h+nT46y+xmHnnHbj7btE3PvccTJgA//0v9OsHW7bADTeIc5ou1O15O8qQaGt6Q/s8ldlGBlG0nL2yNWLxZQu22WL7Cr2hjeY00ZsFsRlXUmOye35N7en+/rAn13Y8/Csrj08/V1mzplOrKekBekP7bAlfg56hdiKxQt3FeuXQISFHs2gRbNoEN94oDIyrV8Po0WLuddppYKm2Hfc1Guoz614dEMP9V7qya6dCdrbIjPvGG8LQbo8NqbYGn+8O5PHUmmQmL9nJyPe2sz612M6VkvbS29toZ7IprYQYXwNPrU7i2b+T+WxPDomFVahtXDjklBtZlVRkU36s1mHp3Bjb5Gtj/bzrN8Ybo9SuzbPKbMePziYnB+6/X8gA5eWJ93f6dDhwoMt/WtKJ9LQ5Jw0IbfQ9BKxnl6qqlqiqWlb7718BvaIovm259mQiyssZfZOse9FeToS4O1JSbeLu3xLJrH2xVeDFdansyGzYRQj3tF1ADw5woaZa4b337P9mjI8zn8yNrTcwOus0fDw3lhifjgsSf/+9bdk334jdv/37RajoU0/BNddYn+PpCSNGdPhnJacoQwNceHFaFNpay56vQc975w/EpzYkOrGgku/25xJp5/1wMopJ6aeLtSQf1bJ5M7z8kDMO20KI9TbUTzbbQv/+tm06Lk7slF99tWjzKbVOOVlZcMEFwsgkaTtjgm1DpSZHeFgJwFeaLJw3wJf3t2eSW2GkwmihsMrE9KiGsL9RQa5cMaTfCdVlWqSn1ffzwv359EV3duwQ7bCkRHgtljXZ6P3lF1i+HB59FLZuFYuf776DK68Ux195paGd1HHLLdaGa40GrrjihKovkXQqW8ig/wkaF4Nxp4RqcrD1TpJ0DjHetqGaswf6EOBif7eishIGDoT8fPA22camDfZw5+BuHatXw65dnV1biaQBb4OeRecNwN9FbBxrFHh2SgTD+ol2uWcPFBZaX1NRARdeKML7QczHEo8oBAZZG2huvtvIz9np6DQKpSlONht8S5bAhx9aRx7UkeBva/Ac7O/C4XxhxMmvNHLtTwfJq+h6w4yk76DRwPvbM+s9prLLa/j3hjSbxCvN4emkJc7Ptr8PrA1rviDWj0nhDdr0EwI9UQ/6cOGF1uf36wdVPsKAPuAEbANtZetWIf3TmIoKaVw82ehpzcUtQIyiKJFAOnAJcGnjExRF6Qdkq6qqKooyGmEQzQeKWrv2ZGKQn4Ef5idw7U+HyC6vIc7XwJI5sfi7OpBUWEl6aQ23jw6mrMbM9wfyKK42kVzcEBI6vJ8rE8M8WFuroeBn0HNpfABv3uRI3ED7v6koCnMH+bHD35XMshqCXB3o7+N8Qtlzg+0Epvv51YYj7IQvvhC7EVu2CO+djRshIkIYZuLiOvyzklMUV0cdd48NYWaMNwWVJiI8nawM7VllNXyyO4dnp0by8Mpj9TIAC6KCWf+9mBT6+YnFUx2fLHLg7k9DGGJn0tgczs5ih3v8eFi2DMLDRdmLL8LDD4vduMaUlwsv3piYjj75qcfZ/b0ZFejK1tpNlUhPJ64e1q9eg8ZotuDqoOHieF8WfNcQMrNoawbnDfDh+/nxBLg4MMDbGd9mFtNt5fRQD56YFM4L/6RgNKvMDg7i2n+s+0173tkGQ8NCp459+6if0On1th7c48eL3dtPPxX3vOwyGDv2hKovkXQqu8jmck5MMFmDwiD82EI65zKgk2omaczwQBc+mDWQu39PpKTazKRwD56dGoWzHS/usjJ4/nnRN5WVgWeJB5PDPetlJgJcHDhDF8r9GzWcd45YFA7tWD4fiaRNjA3xYMv1I0kqrMLTWcdAH2ccdaLt2htvnZxEWGdjXnkFlv5iYdmfJpIP6TjjbCPTpqlc+lspZouKo4utZ1jdGmbPHts526RwDyaHe7A6Way9Al0dGBfqzg8HG2KvD+ZVklduxNfgQEGlkfIaM/1cHdC3I2Gn5NTCbEdqYmtmKcXVZpruEZXXmMmvNOLjrK9PcuhjcOCdmQOY+fkeymslgG4YEcjwWmN8lLczr49O4EdjBYqisHe1M099pmfuXCFn9scfKmEDTfiNKuLN48d57YwBjAjsWvHDoiIR5ejmJqK+GiN1F08uetS4qKqqSVGU24DfAS3woaqq+xRFuan2+CLgIuBmRVFMQCVwiSr8gu1e2yMPcgLklteQWlyNh5OOcwf4sO2GkeRXGgl2c6j3vrIAswb6sGRXNu6OWu6fEMqPB/OsjCjBbg48MyWCHVlllFabCXJ25sDv7mzfouH1V5r/fY2iMNDX0KHMqbm5YkchMLAhTG/2bHj9dSiujQJwdBShCvfcI8L7xo8XRsY//hDhgvHxMG+eCCOUSNpDTo7wrAgK0jA4wP7IE+TmgKLAi/+kcO/4UKJcXMg85MjKL1z4a7kOBwfhcn/33Q3X6PVw7gAvRgTaeju2REiIMJIvXAgvvSS80+pwchJZf+vQaESoWdPnMRohKKjBUy0/XxgiAwObFyg/VYj2NvDzpYPZn1uByaIS52sgpDbk+Uh+BS+tS+GLvTkM9DHwn7P78+zfKaSXCj2mZYfzeWB8qE0W8cYUVRo5XlSFQa+lv7czWo1Cebn4G/j4gEsjW7OviwNXD+vHlAgvdBqFUK0LERHCYFzH0aMwaRJWIYNPPCHCtppS9/d+8kkIa5LPwsEBzjhDfFqisFD0u/7+wogpkXQH+VRQQjX9OPHZfwzebCFDGhe7CINexzXDA5kS4Um50UyYhxPujjoKK40cK6zEWKQnwE2HatJSZjHywCtG3nvZGQeNhnGXVjKhzJ3rYsM4dFilIsXA4zc4M2CAMOCEh/f000lOBcI8nAizI3UydKiYgzXevBsyRIyfNY2cBs1mCAnQ8uC/aqixVOPv4oC7o47v5sXzwj8pqEoJEZHOJB0Xg7KiwLXXwuOPi7VKaioEBDSsecI9nflqXjz7c8qpMlvwdtLz/aYSrh0QyrfJmRRXm4jzNWDQa1hxtIB7/kjkeGE1Vwzx577xYUR723qDldWYOFZYhU6j0N/LGQdd24yQFRViHunuDt4n5kguOUGKKo0cyKugxmxhgI+h3mOwrdiLIBwf4o6Pwdpssye7jD93lpNZaiRbW8rd40LrvXnPiPBk2w0jSSyoxMtZR6SnI6nFVeRW1NDf2xlPJz1ZWz2Ii4MRcWCeAz//LCR6UlIUPPwU3DOdudYygjN9nDF08RpEoxEJDG+9VThm1DFunEz2erLR056LdaHOvzYpW9To3/8D/tfWa08mtmeWsuC7/RzOr8TNQcvb58YwL86PYHfrTmjpwTz+s0lkgSiqMvHoX8f5eE5s/S7Cnuwy5ny1l+Siai4fHMD80BA2/2agLEdTr/XWFqpMZrLLavB00uHh1HwvYjTC77+LBAWpqSJE7+GHxQJ882axQDYaxaLc3V3sFAYECM2TQYOEy3NGhsjoBsLgKJG0lepqEV56552QnQ3XXSc0OiIjbc+N9jbw6dxBXPb9Ad7anM6r06MwOsHYqQ6cdoaJYUPg1ccdaSzh+thjKhMGO9toKOZV1FBptBDk5ohW07x3r04H118vQqV/+kksut54A26+uUFn8eWXITZW/Lu8XJz34IPCQ+See+Cqq0SY2V13iXfsyivhgQcgOvpE/sud/PRzdaRfE03YSqOZh1Ye47vaRAU7ssq487dEnp4Syb/+PIZGgacnN4RP2eNgXjnX/HiIDWklOGgVnpkSyXSvQB66R8+aNcJI+PwLKn5R1Rj0Go4UVDLzsz0UVplQgKemhPPOoghmz1LqFzKOjiLsefduEeocEyP+PzYWK12bSZPETu1334l/d4S1a0V/vGsXzJwpjNvx8a1fJ5GcKLvIJgZvNCeQzKWOGLzZxEmY8eokI9KrYeGaUlzFJ+sKyN3gg7PJkexclc8+UfDzc+TWx80Mvy2ZqWE+XLhsN0aLyhlBpVzvnsDOIi333y/GrMWLxYJUIukpoqLEuuSnn0Q0wJw5Yjx9803ruddrr4kxWK+3Nt4kBLjy4eyBFFaauHCZhVV/akhLVfDxgY8+Ept2R46I9c78+fCvf8GA2j0QfxcH/CMdSEmBN99Qefttd3x84MFHA/lTl8isBG9+OVLAHb8l1idEWrQtk0qThffOG2hlPDxWUMndvyfy0+F8tIrCXWODeWB8GP6uLUdb7NsHDz0k5sYJCULPubUNSUnXkFlaxbf78ziUX8l3B3LxcNTy/fwE4vzaHg11WpAbj58RzrNrk7GowiP2P+fE4O7YsDY/mmHky4/1vPNyAEYjXHVbNe8ZM3j0HD1BtcbMOuehQ3kVLPjuAGuSi9FrFB47I5xxumBiY/WsXAl//imiBz//XETGvPwyfPWVDmO6Kxde2D3GPXd3oZX6xhsisjEvD7y84JxzRHi25ORBaas4aF9h1KhR6tatW3u6GhRUGJn2yS52Nsq+pABbrh/ByCD3+rLCSiOj399OYpPMtY+fEc5TUyIxmi3c8PMhFu/Ktjr+66WDOceOYGtz7M8p59FVx1h2uIBh/Vz594xoJoR52j13yxbR+TTWJbnyShGud9ZZ4vv554vdht27xaL64ovFgAfCu2f/fuGJFR8vPLVOIVpcgfWW9tmbWbcOTj/duuyuu+DVV+2HxqiqSmJBJVUmCw/8eYwNqSVUGM1oFAUVlTdHDeX4RhfSj+mYM1th8mRhKK+jxmRheWIBd/2eSHZZDdePDOTusSFEeLZdf6S6Wkz+UlJEe09IaPAuW7kSzjzT+vxXXxWDe+Nw6uuuE+EKXezB2KqFoLe10cN5FcS+tdkmm9encwcR6u6Ip5OOgb4N4VNNqTaZue6nQ3y6xzp2/ZWEodx/UYNWY2goXPBaMr9kZnHn2GDe3JTOkUb98vqrh+Ne6kFiomg/CQlCSxaEl/ekSUI35sYbxYTpwAHxdz/3XPuG8bZy6BCMHCmM1HWMHCm8w/uo94LsQ3sRr7OBdaRyO6NP+F6ZlHIPv5PJfZ1Qsx7jpOpDv9qXzc5l7vzwkTPjxwtDSmOe/6wIQ3gZd/3ZIBI8OdCHmY6RHPjbhYEDFGbMkNEnJxmnTB9aVSXmXqmpQrYpIUFI1tij2mTm18QC7v7tKOO8vZlEOP+scCBukILRKAwexlrJu1mzhMxT3TxOVYVjxdNPW99zyY+V3L5/K3eMCeHZv5OtjmkVhSO3j7Yy9j+5+jhPrbE+75t58VwU13wCk6IimDFDOHfUYTDAtm0Nm9gnGSdVH9qYvPIa3tuWwasb0tAoCteO6MfenHIiPJ148+yYFh0TmlJlMnMor5LiahNRXk6ENElg+fk3Zi672Hpe+/CrFZw7r4bxjdbvRrOF25cf4d1tmVbn/nf0YL58zscq6WtPt5vycrHG+/prMec+7zwxn+2FnPhuah+mxz0XT1Uyy2qsDIsgErUcK6yyMi466TSEezjZGBc1CryzJZ0pkZ6sPF5kc/+N6SVtNi4WVRq55qeDbEoXIgdbMko5+7M9bL1+pN1w6QMHbDOafvqptQfizz+LbKkLFzYstOuIiBCfxiQniw4lKUl0JGPHgkfzEYySU5i9e23LPvoI7rvPvuanoijE+BjYvKeGKUUD6V8KQYOq+KHkGFtyi9lhymZnSBnf35NAUCOv4W0ZpXy5L4dYHwPX/3yo3nj15qZ0HDQKL02PbrM+qaOjSFhkL2nRn3/aln3wAUycKLzZ6liyRIRay/Aza5z1GrycdRRUWmc89XbWcUaEZ4vXllab2JFVxk+H822O5aoV6HRe9R7WqangXu5GYuFx7vwtkWemRPLIXw0uiMeKqrhsiIddj8HjxxsEqd99V4RYR0bC7bfbGhaPHoV//oHMTNEPjh7dcpjzkSPWhkUQk8Pk5D5rXJT0InaQRSSenXKvfrhShYksyjolzFrSOrlFZpZ/4czUqfDVV7bHi5IccYqyFsBanZnPsEGeTDvTQNIxhcxMIecg+xtJb8PJSawp6gwUZovKupQSPt6VRZXJwpVD+zEhzB1HnZatGWVc8JVQ10ouzuA7TSYv3xpFZE4Ic2Zbz/V++knMCQbWatrn5MD//Z/t7+/crmB2wCZhJ4Cfix6nRl6LpdUmvtmfa3PemqQiK+NiTY1w8tiwQayTYmOtDYsgQqQPHz5pjYsnLX8cK+SRVUn1319el8ozUyL5cm82pdUmPJ3tewcUVRlZnVTEZ3tyiPZyYn68P8MD3RjaQsTNrz/Zhsuv+s7Awsuty/IrjFYaoHVkUs7u3dZ2gooKsWF9Iu0mO1u0zb17xdp/7Ni2ex66uAgnpTpHJcnJiTQu9hBezjqC3BzIKLXOINavieu7s17L45PC+Tu5CGOtO32wmyMmFW759QjXDuvHOf29eW97JnqNQoi7I1llNS2GADYlubiq3rBYR1mNmcP5FXaNi3XeOI0JCRGDeGPMZrFr2LS8KZmZsGCB6Izq+Pe/RdjrCeSWkfRRfOzYzCMirDXxmnL8OCycp+fQoboG5cjT/xtIjscuzozy5mhhJe5ODTuAu7PLmLxkJ5VGCw9MCLXxint/RxZ3jQ21kTDoCCEhtmXh4cLbrTFBQVJLzx6hHk68MaM/C5cerC+bFO7Rpj5weWIBi3dmkeDvwvrUEqtjbhbHesMiiL5I6yR2VSwq9cmB6oiwk5G8DldX4XFa5/VQXi4mXk3b7PHjwpPx0KGGss8+g0tbSFVmrz82GKQAtqR72EUWNzOqU+6loDAQX7ZJ3cVuI9rXicAwM9nZWkJDbRNgGDwtOJn1jPb3YHOOENO+NCqYXe8F88bKhsXtM8+IUFGdXFVIejGb0kuYtHgn5tqovY93Z/PH5UOYHu3Nzmxrhw+jReXZv1NYPDiYpo5Knp7WaxuDQczbMq2dw3D1slBdYiGjtIbTgtzYktGw1vrvOf2ttPgMei0TQt3Zn1thdY9hTRJprFolQkXrAg+feELMJZpuMnp5IelGVFXlwx2ZNuUb0oq5dngg7k7Nd47f7s/l+p8P139/Z2sG664ZYTcbeR2xdpK19o81E+ZtvS7xcNJxWpA7vxyx3kQPcrLvwuvm1uxPtkp5udAnfe+9hrJrroH//EfOSU8lZKqqHiLIzZEPZg3EsVG2sHvGhjAkoKEjKas2sTm9hGqTmZULh7Lo3BienBzB5UMCeHldCgAf7Mxi4dAA7h8fysMTwxkX6s6Tk8MZ2DSdlB0yKWU3WejcTPg623Z6Hs10hCNHwoQJDd81GqHvMWyY9ULX1VV43TQ+1x67d1sbFkF4aDXWJZN0PdmUsZssMilt/eQeZNQo6xAsnU7oetozstSxdZvayLAoeOc5A+9NGcz3B3J4ZXo0rg4N7X1zegllNWbMqmq1s1xHmLsjLg6iPKusmpXHCvnzaAEZpVU257bG1KnW0gAODkJDsrq6oUxRhHaQX/ORMac0F8X58c/Vw3jv/AEsvSSBzy4Y1KqAdnGVkafXJPH70QIujvfHw7Hh739BrC8BFusZ1o23mVhbngEIr8gh/XU8cqEPV4714fWzohga4EJifgWLtqZzx/Ij/Hgwj/wKYU2MibENl7rhBqFx05gdO6wNiwD33gtZWc0/R3y80IFqzCuvCM1PiaQrMWHhCAVEdJLnIkAkXmzHdoEm6RqGBLpw+U3V/PmnkLdpbBwcFG9BDSyhcJ8bw44N4um4BB4dOoC5XhGsWmk9Lj7zDOw/Kvq79JJqVhwt4K/jhWSXVSORtERmaTVf78vh9uVHWLwzk+SiytYv6iDf7MupNyzW8camNEwWC752vMrCPByIH2xh6jTrzcTXX7eOInFzE2HTjd+fmAEq8SNMPDIxnGhvJ96fNZDvL47n/84fwLqrh3P+AOudcq1G4bbRIQS7NTiZjA9xZ2qjCIySErE+avwIixfDU09Z13vBAqm73N0oisJAH9u1d7iHI7MG+tpEOiUVVvJbYj5/Hitgc7r15nZJtZntmS2vxebMUawSQ7q5wS03KTjrrUOlnfVanpwcjnejdf7M/t6UHXTj1lut7zljpgWPgaXsJptC2v8eHjpkbVgE+PBDOHjQ/vmSvoncY+xBzor2ZvsNIzlaWImfi554Pxfcahe4xVUmXlyXwov/CCOik07DFxcO4sE/j1NcbR3+56jTUFZj5pX1qQB8vgd+Syzgq4vi8XOxLwK8iuMsZClplBBh8ODdW8/j2v9l4e+ix6LCyEDXZndMgoPhyy9FyviiIuE+PXy48MxZtw42bhRZfKOixAI3Jqbl/w4VFfbLampsyyVdwz+ksJAfOE4RwbixhDlMI6qnq2WXiAj48UfR/kpLhYGmJb0ni6qSWWgCrCeOBQXgpdfz+oz+NklCLI0mbkVVJmJ9DRzMEw1Vqyi8PD0aTyc9h/MrmPf1PnbniC3jQb4Gvp8fT6xv24WbBw2C1atFKGtlpXiWYcPgm29EWWGheMbhw9t8y1MOZ72WCWGezerE2kNFwayKv/UTq5K4+bQg9BqFgT7OnN3fh+JCePuLClJTFAx+Rvbpsjh/gDdHy8u5Z6GBWz2/JDe0gvh4P25lNiVlJuZ+tZe9tV4H/92czrNTI3no9DD0eoWbbxbJtY4fF8bkkSOFgHVj7PWFhYUt94VeXkKj89JLhREyOlqE30uvb0lXc5h8/HHBmc4Tgo3Bm63SuNhtBLs7MudMM6F/GEk+quGHHzSkZ1vA0Yx7RAU7KioINRjQmrXoi9zwDC5jb3olTcfTmhr4ozqF4hpHbvwknTrHbg9HLV9cFEf/Nmx4S049Ko1mnvk7mXe2ZtSXTYv05MuL4vA1tJzEpCM0NSyCCJVGhdHBbsT5Geo9B7WKwr/nRPB5wAb6L7EwaetAdDmunBbryISRtn3e5Mli/bNvH+idLBx3zuWyVQcxqypD/F2YPdCXuYNa3iEeEuDKumtGcCCvHAethng/AwGN5qc1NbZRLXUSKGvXQmKiSKA5cqSUKegJrh0RyKd7simpNgPg5aTj6mGBNlnBt2eWcvanu8mt3YCeHO7JdSMCeX97w9hntti21cYkJIi/+c6dIkHqsGEQF2ffZ2xUkDtbrhvJwfwKXPRa4v0NVOQ5cOAADB2mkpphQe9djf/pBZwV/DkFVDKCQD5kFkNpezYVe3NYEGsbyamDNC72IBpFIc7fhTg7Rrw9OWX1hkWAKpOFW389wkOnh/KvlQ0ufeND3VGARY0GZoBVScUcyKuwa1w8SgFz+IoSxI5yklLM9S7f88O98/lUsxuNojAXH7SKmaYTyDpCQuyHc8bF2XrjtEZcnNhxKW20SXPhhVJbrqtRUdlAKkkUcxe/kYsYFdIpZS5fsYMbiaZ3zk7CwsSnLSQVVlHhVYGDg4+VkeaGmy2MGOhoNwnM6CA3nHUaKk0W/rMpjdtGB3PvuBB0GoV4PxeG14apLD2YV29YBDiQV8FXe3N4YnL7MnTExNga4UNDxUfSNXg66XhkYhhX/HCQ4moTL/6TgqNWw7prhuFj0LM+NY9bDu1FAdTarjjD7MEH14Yyw+UjTIjV8z4ll8v4nsddJvHElZ4cyNOTk6pn0ap8nv07mUvi/Yj2NuDhAVOmiE9zJCQIz9XG7fSWW+xriTbG3x/OPvvE/ntIJO1lD9lEdaLXIkB/vFnMzk69p6RlXBy0nDFGC2PE9+Iqle2Z5ezPrcDdouPXrFS+z8njiUkRPLUmiX/FDcDb290qhHridCNrInfwkT6Hlxaew1LDHlQFzqoayNakYmlclNjlSEGlzfpl5fEiDuRWMDG8842L8+L8eWtLhtUG8p1jQtBpNUR6ObNswWC2Z5ZRVmMm3t9Aln8Wj/IXBAPBq1GAh5jImUyhcah0IZXs1+RSPdJM1sgMNprTiS8M41InTz5ZX8junHJ+OJDHg6e3PnEN93QivBmZFV9fIRl1zz0NZYoitB/Hj7dNdijpXkYEurH+mhHszCpFoygM6+fKoCZZoqtMZp77O7nesAiwOrmISRGeaBUFs6riotcyKqj1+OQBAxqylrdGlLczUY2NnGHgFWBi0uKd7Cwp47UL/Lk8/Jt6GajtZHIjy/idy/GgFX2zWmJiRFtsHIFjb30j6dtI42IvJavM1lUlo7SGSRGevDI9ip8O5TMzxpuLBvlRUmO20YQDqDFb7JRCMsX1hsU6CqjkT91RPmA7AB+ynSXMYSYxeNH2rLgdYeBAWLFCuPXv2iXc+W++ufmMbpLOYQ1JXMQ33MSoesNiHaXUkERRrzUutgeTqvJ2ymFe+jiBr/7rQlqyhvMuqWHWpSa0WvsLnmGBbqy+ahgfbM/keFEVE8M8mBbphVeTsJm/k4tsrv3reBFPTO6CB5F0OucP8OGH+fEs2ppBkJsDN4wMYkSgmNCllYg+snHfuj2zjAJHp3rDYh1HKOCgJo8PXHaw0GUov4Tu43Hv6Tz5bQ4m+92wXYYOFX3h44+LxC7XXiv0auwZwCWSnmYPOYR3snExBHcKqKSQyi6fe0js4+GkY0qkFxPCPFifWszu7DLmxvqQ4C/Gy/8lHuXp/zOwYrEbO7dqmXJhBf1vT+RpN5EMY7PbcT5kBwBLDDv5esDFQGBPPY6kF2M0W9q1fjlRxoa489fCYSzalk6l0cLNo4I5I7whe2Skl7NV9uZH2GJ1vQp8wR7uYSw+iPchmzLu4w/ccGQlxzlMPmjhB98DXD5hBCNT+rEtrZy1KcU82AnPsGCB+P///EdsLD79tJALkvQO4v1diG9BK7G4ysTGJmHQACaLhfMHeBPo5sg1w/oxOKDrRQpNFpXSGuFl6RpaZfMubiKddErbbFwMCIBvvxXSPCtWwLRp8MADbU/oIukbSONiLyXS00l4zDQqS/AzEOPtzNgQD+4dF4pSG/dWUm3krGhP4vxc8XTSYbaoeDrpiLWj/QDgiwEtCg5ouZA4QnBnLUkojXbhzKj8zGH6480Y7LgodjJjxojMuCUlQldOI9VAu5QsyviHVPKpxBMn7mUcNZj5hv1kUYYGBV9Obk+D/Aoj2zJLSSup5vHJ4Xy59yie1+qIdXRmXXEBd4e1LEgzOtid0cHuqKpa/641ZXasL78csVbAnxcvhRG7g7SSKrZnllFYaSTW14Xh/VxxsKOP2RIeTnrmxPoxe6Cvzd94gJ3+87wB3gRpXPHGmYuJxxUHfuIQOZRTgZEsynBGx3FNEcfDkrhv3EAiPNue9EdR4Iwz4JdfhDB2Yz0diaS3sYssRhHU+ontQINCf7zZRTaTiejUe0vah4NWw+QILyZHiMwQ6SXVRHs5UW60UO5bzBXP1nC5opIWksbzXiu4kqGE44ErDR5nFlS+0O1mAiEyA7jEhv7ezkyJ8GRVUlF9WaSnEwPbIS3TmJTiKrZlllJabSLez5Vh/VzRNsrUrNdqmBThyaRGOoYtMRBfAIJw4yLiUIAaTFZtfDuZfMoenmCSMCw24gvDDh467UK2pZUzO9ZONsIO0K8f3H230Fp2cLCVV5H0bnwMemYP9LWSAgAYH+rBM1Mim11vnAh55TVszyojvbSaKE9nhge64u6ow8tZz/3jQ1mbXgBKNe44Mo84fDDwK0fIpwJ32udBnJAgMqcXFgrZHofOd0CW9HKkcbGXkuDvwuI5sdz66xHKasxEeTrx0ZxYfGo1SBp3Pu6Oel6cFsWC7w5wKF8IG+g1CsP7uRLi4UR6unBR1uuFvlusrw/vcC6l1PAOW0miiLnE4tVkZ8KExcajrStxdrb2VszOFtolZrMInW4tNFDSdgqowISFi4knk1L+j+3o0XI9I9hNNlOJJLZ2UnUyUlZj4snVSfxvSzoAGgU+njaEglRHNMBt00IY4COyAR88CGlpon3Fxor3pDEtDfRnR3tz1dAAluzKRgUWJPjbiHRLOp+M0mou++4Af6eI7KUK8N38eObGdsywa+9vPCrIlRemRfHE6uPUmFVOC3LjsYkR+Gngcc7gRdZRTBWXMpgzieIufgMaNoT2uWbw3bgpOOra73bo4mKbSTo9HQ4cEBsvcXEt7wRnZzecGxsrjZSSrmEvucyj87MGROHFTrKkcbGXEezuyI+XDGZ3dhlX/3iIarOFS6OCGbK7P297xHNkyAFeDVvO2fTnYSbyPGsBMGIhpbAKvcUVHzk8Shrh4aTnvfMGsHhXFt8fyGNqpCc3jwomxL3tm3J1JBVVMufLvezKFlI1WkVh+WWDmR7d8QiciYRxF2PQoeV9tqOi8iATKKEav9oldFptEkR7HpgWVBRF5aqhAZzdhnocPix0mX19xXrNULvHWVIC+/cLrfD+/UUorO/JO0U/JTl+XGhiurtruGVECPtyyvk7pRidRuFfE8IYE+zeJYbFkmoTj646zrvbGvQcX54exd1jQ9BpNFwwyJfYMB37lOM8x1ReYR3VmJlONBcTRz/anj66uFi008JCEQodENDpjyM5CZDGxV6Ko07LFUMCGB/qTlGliVAPRytR36YcyKusNywCGC0qD688zodT3Dh/ho6jR0X59Onwf/+nY0R4IGP5oD687xv2U0oNZxDO3yQDMJYQfNoYlpRCMRtJ4wj5jCCQMYTgfQIhTUePwiWXwNat4nt0NPz0U/v1HCX2CcQNfwxY8OEZ/q4vf4l1fMYFzGYgju3oHvaQzTpSqcLEeEIZRRAaOjZI5pTXsC+nnCqThUF+BiI829+O9udW1BsWAR4eFMuzN3pycJ/wbIuLE56y27bBVVcJMWSdDt5/Hy67zDrjX0uEejjx1rkx3DMuFBXo7+WMwUHGsHY12zNL6w2LICb1t/+ayPgQDwJcm98mPVZQyaH8Cgy1gtYtCcZ7OOm5b3wIc2J9qDBaiPB0wttZz0qOcRe/15/3ATvwwBEFBS+cMNf2qfOII8S1c8I6DxyA2bPhyBHxfeRI+GRZJUn90thOJv3xZhyhhOHBoUMwf76QmAAYOxY+/VT0oRJJZ1FODVmUEdyOhUdbicKLrWS0fqKkW9hJFv+QggWVsb4hLF1TSLXZwq0Dotj2djCf/yPGvKDgYSz5Jpq9QcfQ+lUyyhDEVjI4U43knecNZO2Fd99tu16y5NSgv4+BZ6ZE8uCEMAx6rZWnYR1JhZUcyKvAWach3t/Frp78lvTSesMiiOQt9/1xlDVXu+Hp1LGkU5F4MYZgFvB9fdnD/EUYnlzGYEAkoQIooZoQ3EmjIeT1CstQLgkOIyLWgEHf8sRy1SqYNQvKysT3xx+HOx8wstMhg5XmNFQfAxwI47LLfPjxRxHlIDk52LwZZs6E/FrH1htvNPDpMwnkmapw0mno7+2MXts1IXsHciusDIsAj6w8znkxPgzyc6G02kxZkQa/shAOBSVzveNIMinDDwPZtZFsbSEvDx5+WHgtAri6wvLlUgf0VEQaF3sxiqK0WQS7Th+sMUnFVWzdbebo0YY/84oVYgBzuqrARjfsdxL5H+fgjI6z6U8ALgxrQ5aoXMq5lh/5k4ZEM88whYc4HS0d6yyXL28wLIIwNi5ZAi+91KHbSZrghTNTieI6frI59itHuLR20tQWhIfJYoprdTx1aPiLhUyk/Rl5kosquWrpQVYnC8NRgIsDv10+mGH92reALalqyKge4OJA0U6vesMiiJ21r74SbcpUe6rJBDfcAKed1j4jtkGv6xZtFEkDxVVmm7LMsmrKjbbldWzLKGXGp7vJrxQi2jP7e/Pu+QMIcW9eS0an0dhk/q7bfGnMjxziYU6nCjNPs4YLGcSFDGrr47TKkiUNhkWA8koLn7pt5Xn+qi+bRiSfcyFffulSb1gEkb1y2TIhAi+RdBYHyCMcjw6P8S3RH29+J7HT7ytpP1vJYBKLqUD0m44aLa9PuIgfD2nwzPJl4z8Nm2kZ6Qqf/c+Z46eb8fZy4KGZU0l3y8NtTyhf/s9AVRX8/TdcfnlPPY2kt6IoCm6O9pekO7PE2J1TLtrgtEhPPpwdS5iH9dhdUGm0uTa5uJryGgvN5EdpE1+xDy0KPhgooBITFhazo964OIJAXmE6T7GafzGRZIrYQw4LSGCOZiBh3q3HLWdnC33lOsMiwGuvwaAHj7JA/xV4AV4QGuXBjaYruOUWH9asQXoCnwSUlMC99zYYFkFsssydq2fGjI4ZvdtDcaP1UB1Gi0pptZkdmeLdyq0wMrifM5MWlvE/NtSfN5lwphDZJv39HTsaDIsg2vLtt8PKlTJz+amGVLbrA6iqSoCdXbwFCX4kn7GL57YkMmV2VX351q3gia0XpB8uzKA/rzODc4nhEhJwbiZbdGP2kmNlWAR4hr9JpKCZK1pnwwbbslWrrLOoSk6MgfjYDX0eSNtnK0fI52v21RsWQYTTv8YGTDRv6GmOf1JK6g2LANnlNby2PhVjO8W9o72d8XYWE9VILycObLFtx2vXQmATjfmaGjHJk/RuYn0NaJuEjyxI8CfYTfSDB8jlXbbxLH/zN0kUG2t4ek1SvWER4NfEAjam2Ypqt0YItguF/nhzJUM5m2j+4WqWMIdIvNp9b3sYjbB6tXXZ3PsKedVljVXZSo6zR81hxQrbezS9XiI5UfZ1QTKXOiLx5AgF1HRgDJF0Ll+yt96wCFCNmfX+B5gY5kHaEVtj0O7NemJcXVl5qJSKZBf2PhHHLWODqKqdgm7b1l01l/QFqk1mXvwnpd6wCCKb9LrUYptzE/xtN3mvGd6Pfi1EM7SF8xjA40xiFgN5lDO4imHE0aA14o4jdzOWLdzA2UTzMmeylqu4gzGEtbGPzM+HpCTrsjnXVPC4s/WAnqotxmliJvv2QVHRCT2WpJsoKhKei01JTe2e34/2dsLTybqvjvV1JsTdgRf+SanPWj1rnAuLnDdZnbeaZJIpatPvZNgJNti5U4RKS04tpHGxD1BlsrA8MZ9np0YS5OaAo1bDFUMCiPR15FOfzTwy6jNGv7mbkNq8LKefDkPpxzQire7zX84hGm/i8CMGH6sELy1Rju1uYQ1mqk9gYXDOObZl8+ZJYdjOREHhJkbh1kis1xcDc4ht0/VHyOdqlpKC7ciRQamNZ2xb2JtTblO2Pq2E0ur2taVIL2d+vXQIY4LdOJBbzukzbNvo+edDSop1mcEgtT1PBob2c+HnBQlEezmh0ygsHBrAk5MjcNRpOUQeU/mYm1jGY6xiEkvYUZ3LpvRSm/skFlTauXvLTCKCyEYLBke0PMYZuOPEYAIYSj9c2imA3RJ6vej7GqNzNdk1vFQqRubOtb3HzJmdVh2JBIDd5BCGR+sndgBHdATjzgFyu+T+krbTOMSzjixtGdPjXOk/1Ha3d+LZNWwrLALgWKaJJS+7Udmomx0/vqtqKumLlNaY2ZhmO3YfyLWdK44KcuW7i+MJdXfEQatwy6gg7hgTbDfMuq1UUMNG0niC1bzPdp5kNTmUcQ1Drc7ToiEWX0YShCfO6GifPE5AgEiE0RgPfzMFiu0cxehYw9SpUkv5ZMHXF84807Y8Kqp7fj/a28DySwdzWpAbCnBWlBdfXRSPXqux2mDX6FW767aaNq7l7EnvTJ8ukrRKTi1kWHQfwFmvZUiAK6+sT2VenB9ezjp+O1LAWUNcuSRtHKrezKqg/bz85UAqDnowbpzQ3FvCHLaTSQGVDMSH4QQ2+xtms3B53rNH6CiMHCmSr+zaBY4xvnhHO1NAwyA4nSirBXh7mTIFrr9eaOCpKsydCxddBLm5IslLZaVIVBAZ2fq9JM0ziiA2cC27yEaLhmEE1GfHa42tZLKONGYRy2fssTp2O6NxaoPXa1PGhtp6hV0U54ens/2uSlVVjhZWkltuJMjNkfBGsS9jQtz5/fIhFFWZMRXqOLpTaM8BXHqpaFMxMXDLLUJ3UVEgJEQkwdi1SyR68fCAoUNFNr59+4RXY2SkENrWdrK0YnGx8Oo4elTUY+RIOXm0R1mNiSP5lfi76Flz5TBMKgS6OtRnit5IOlmUWV3zhuEf5g4axqKt1rozw/q1P5x9AD78yUK2k0kVJgbjzxDarlpdVQXr14v25OUFo0aJvqwlLrhAeHN/951op56FnsywRPO75mj9OV44EVLqS/wFwlNx2TJRPn8+zJgh/p2RAdu3Cy+JgQNh+HBwbL9uvkTCHrKZ1AHpi7bSvzapy9A2SLNI2oeqwt69QsvVzU2McV5eYo535IgY94YMEUml5jgP5Sv3fVbXzzMlkDkgi8l+Xtx9j8qb/1Ewm2HiFDOBZ+RzdL+YCw4PNjB6tIgSUBS48UaYOLEnnlhysuLtrOfCQb68vjHNqnx0kO1c0VGn5YJBfpwe5kF2vpmMQ478s0xD8WDRnpvLlVFUJMbjuiQUAwc2HDtMAe+zw+r8X0nkcSad6KNZ4eMDH3wAF14IPv4W5lxbwajRKj7m0TyjbYhS0KIQkBnA66+Ld9ceeXliDpuTI55nyBDpmNGTGAzw4otw7JhYV+h08OSTYo7fHtJLqkkprsLHWY8l35kd2xVqamDYMNGHt8TYUA9WXCHWQ95Oeo4e1LIpXeX8/r68vV3o0+89ZGZsTCgbdQ0ulX4YiDb60NpyzmQS48ZLL8ETT4h5bkICvPqqsBlITi163LioKMrZwH8ALfC+qqovNjl+GfBg7dcy4GZVVXfVHksCSgEzYFJVdVR31bu3kFdew77cCiaEurM13IP/256JRoElFwzkxT9S2JdTiUaBayaMpNRk4cbr4PXX4Y47IFjrTrCdED97rFoFZ58tjIzQYJS5+25wc/PmmV8v589xa9imzeBC4riD0bjZCb1uK8HB8OabQq/BYhE7IoWFQqvnjz/EOb6+8PvvMGJEh39GAsTjTzztt2LVhUp9w35eYBqfsYdKjNzHeGYS06G6TAhx51+nh/Lq+jRMFpWzor24bnggGjuzQrNF5YeDuVz94yHKasz4OOv56qJBTItqEPfwcNLj4aQHT6Fx8sADYmHVv78Y8MPChMFx5kwoLRWGlldfhXvuEeGoADffrOLjp/Ls08J4pdPB119j10OsoxiN8PbbQgy5jquugjfeEAO2RJBSVMV9K47yzX7h0XRejA9vntMfB52GapOZA7kVGEs9eNL9XL7w28QhbR4AmzVpLB9zDgdyK1iTXIxeo/DIRJGdryNE4UVUB8Oev/kGFi5s+H7aafDhh7ZeC42JjBS6i489Jozf/fs7cr7mHN4wbeYH3X7iyoM4d9skrrjVm6+/hs8/F0YCjUb01S4ukJUFV14Jf/4p7qkooh1fdFGHHkNyirOfXK6klRXNCRCBJzvI4sou+4VTl7//FhsO1bVqJmecIcaeSy5pCLV85BGx0ZVeHMbTb1zI5/3XYNZYuKp4DN/9YeFAmoYxc8zMurmYCxa4YDHBjzlpPL8jGQetwpOTIpgY7cbEn0SGVAcH0Rc5d06OK8kpgkZRuGlUELtzyvjzWBE6jcID40PtbkTXUZTuwOyzRXZeACcnoftmz2s2NxcefBA++kh8d3ERmu91RvAqbPXqACqbKW9KVlk1+3MrsFhUBvm5ENxCFuzRo2HNJiMf7Evj2Q0pRKxz4rGKodwerWNp8BYCjG7cnD6VM8MDCWsmwqagQMxfP/lEfFcUoS/eNPpB0r0MHiz63WPHhFE4JkZEpbSVTWklXPD1XjJKa3g2IZ43bzGQkyOOOTmJNfrYsS3fo2499NtvInLLZFJ47I1gJgaXsza9iGV7ivlw3BkM8NvDCs0xhqn9mL9vMqs3eNH/uuaN8wA//wwXXwwREcIuEB9vIWZENbmOlaSXtNzuJX2PHjUuKoqiBd4CpgNpwBZFUX5SVXV/o9OOA5NUVS1UFOUc4D1gTKPjU1RVzeu2SvcikosqufrHg6xKEmGpl8T7seaqYTg6WXjhn1T25YjdY4sK7/+TT/zIIAAeekgYUxrvzrVESYmYeJobReEdOSIGMa1WGGXumhjENTfPY8NrVYQ4GzpF5N3JSXTIdfzyS4NhEcTu3HPPiYW09L7pfoYRgB4NW8lgHzmcywDmMpAFDG5zSH1TfF0ceHpyJAuH9KPGbCHKy7lZke+DeeVc+t0BjBYVgPxKI/O/PcD2G0YSZke922AQ7SmlqIpfjpeQVVbD2GA3PvrIndJSUd85c2DRogbDIkBgoMLjjzc8j8kE114rvL4iIjr0mDYkJordvsYsXiwSzIwb1zm/0RdYnphfb1gEWHYkn6lRntx2WjCLd2Vz87LDqIBGgcdmT+XjwX9wXFPE7ZaxJOfXcNvoYB49I5x+rg7E+hrQabpXGSQtDe6/37psyxbhsdqScRHEoqfx7nR/1Qf/F2ZwdtlEju5w4p4V4j355Re47z7bTZedOxsMiyCM7LfdJhZcQUEdfybJqUcJ1RRQSWAXZIquIxpvfuFI6ydK2kVxseiDqhvlADQY4PnnrTXcHBzgyy8BHNmxNoGZl/bH0UnFOLmYFfsPAnDf8uMMDXDlq305vHfeAO6dEsiMeA8qjGactBrKasyEeOoZdcpt+0tOhMJKI5vTSzmYV0GklxOnBbnx3cUJHCusxFHbembd1asbDIsgvKiee054/js1mRpu395gWAQoL4dbbxX38PYWHtTD6MdOsurPicKLAW3QJk8sqOCSb/ezLVNEUsR4O7H0ksHE+bk0e83RylKeXy+Sxs0LDOPms73w8jqd6QuGk5em48bvHFm5kmaNi7t3NxgWQYzzN98sDE+hoa1WWdKF+Pl1LEQ4r7yGq348QEZpDd7OOnJ3udUbFkG079dfF2thXStWndxc0b7rElk+c5eBWfPi+fnhCjYGH+Bl7z9RNSpn05+x6bHcOjYYVRXRhP37279nUpJYE5lMYi3zwgsAGp7+rILHj+xhgLczSy9JYFAL7V7St+hpz8XRQKKqqscAFEX5EpgN1BsXVVVd3+j8jUBIt9awGymoMFJlstDPzcGup1ZT1iQX1xsWAb7cl4teqyHOz8DfibYaJWmVlTg6ikllqe3hZqmosNWmAzEIOzhQr6fz5RIdTz7kiraLBrDDh23LNm0Sk2UZPtr9DCeQFVzBU6whhWLGEswkIjpsWKxDr9W0aRBKLamuNyzWkV9pJL202q5xESC1uIr53+2v1xk5M9yb0n1D6o+HhMCPP1pfU22biJ3CQmFc7yzjYlmZtUGzjpL25xvp0/x6xDZJ1PcH8pgd48Ntvx6hrjVYVHhpWS5PBk9E71VD9mYPZv+xt/6azy8cZFf8vS1kllaj0yj42Umi1RplZWJy15TGWQTbitEIv/ysYcsW6+fYvdv++fbE33NyRD8ukbSH/eQSgSeaE+zrWyIaL/aQjYp6wmOKpIGyMjh0yLosJkZ4Rjem8XhUVgZfvyfG1EfHNEhO7M+tYE6sLxYVbvn1CF9dGMfcrxtCqKdHefHpBYPw70BfKTk1MZotvLkpjSfXJNeXXRznx7vnDWBYv7ZtZthbrxw+LNYqTY2LWVm25+7ZI9YV3t7giwufcwH/ZTO/coSpRHI3Ywlqw8bKL0cK6g2LAEcKqvh0dxbPT7MjTlfL0cIGeSmdWUdlpaj3ktca5sRFxRaaS5mQZ8fVJj+/fWs+Sc9RVGWkvMZCP1eHeq3Q7HIjB/NEu/B00pF72FaT6cgRkZCyNeNiWZm14R3gp2/0zJhhYNwwb363aCmjhuBjYfz8ZGj9/LBxFvOmFBSINVFTqouFa+bhgko+25PNs1O7SWRS0uP0dEKXYKBxvqS02rLmuBZY3ui7CvyhKMo2RVFuaO4iRVFuUBRlq6IoW3Ptrex6mBqThZ8P5zH+w+3Ev7OFx/46TmpxVavX7cq2fds3ppVQYTQzrJ8rwW6OzI/3Z0KoiKv0VJ2orhYeMk2NIkazhY2pxby5KY3FOzM5lFdRfywgQGjlNMXXFyuh7gULoF8XyiPZC3++4AKhVXIy09vbZ3NoUJhEBMtYwGau417GtznMvjMIdHWkqU63m4MWfxf7sQaJBRWsOFZoJWC8KbOYM+c1NOI1a2yTCTk42OorRkaKXeDCSiPVphPPaBoVZe2lC0IDK6Zj0eWdTm9po5MjPG3KzoryIqO8BlMTQ7NOozC5Ooox6bG8sTLH6thdvyVyMK99VrWc8hpe35DKsHe3MvK9bXyyK4vS6raFRtURGSn6LKt66oSGZ3txcBBhzk2ZNcv++bGxthPPOXOoT/R1MtNb2uepQldmiq7DG2cUFDLoG6vi3tJG/f2F5nBjNm4UsjeNMZmE1nBjho1QcfCpRl878EZ6OpFZKpK61JhVdmRZz0lXHCu0m6RN0vvoLe3zSEElz661tg5+vT+X/Y3WJK0xebJt2VXXWliWksWirenszGroU+wloZgxw9phYRB+vMk5bOUG3uU8BrdRY3mDnYzWfx0vxmhuPkFGmEeD9fOAMZ+Ro63PdXWFDIcidmXZt/bExNiO8+PG9Y1Ehb2ljbYFs0VlS3oJ/9ucxgc7Mu0mIGp6/oqjBUz6aCfxb2/hvj8SOV5raPYx6AitDSs+XlhFwgRb+8DNNwsP9Nbo1w9mzbFuU4oCpa5lJBSF8mnmQkbddi3/HTGcZZ+IG552GoS3IK/sG2LkkivMVmHTWi04+DZ4Zvx1vAizpf1JPiUnJz1tXLS3Ha3aKUNRlCkI4+KDjYonqKo6AjgHuFVRlDPsXauq6nuqqo5SVXWUXy9MW7Qts5TZX+zlUH4lRVUmnv8nhf/bnomq2v1PUU+d0bAxp4d58PmeHG4bHcQFg3zZllmKi4OGJbNiSV7vxowZwnXat0nOjtVJRUz4aAd3/pbI1T8eYuqSnfUGRkURLs8PPii0IqKi4NtvxWLVx0ccv+gicbw9GhLtZexYETpa9xtTp4qwvs5OrNHd9Pb22RoGHPCmDaNaJzPI18BbM2PQ1o5oDlqFxXNiifa2rUul0cxbm9MoaWIMKq0xc9Q3jSefsuDiIjSmrrlG6CkqimjfHmFVvLyoCk9PcU1EhMrny6p4/2AyY97fzvxv91sZLDuCj494L+fMETvrp58udH+6K5tca/SWNnr+QB/GBDd4DAwNcOHieH8CXBxw0Td0BDOivbl/fCjX/XSE+/44yrNTIxka0ODhl19hYsXRQrLLbLOdNscvh/O594+j5JQbSS2pZuHSg6yzs3hoCUdHoWV26aVCeywuTugttlfYu445c+Dee0Wf6OwMzz5rf2EFwni9bJkIbdFqxWbQiy/2DQ203tI+TxX2kktoF28kKSjE4M0usrv0d7qL3tJG9XrRZ8yfL8Y4b28RJnfvvULzDUQ/5e5p4d0vqhgz1oKTE5w/x8INzxSxPC2b56dFMTrIjTvGhPDVPrFx4+agpdpsO2ctrT7xzTdJ19Nb2meF0WyzUQjta0djxwpZGX9/MZ+65z4LmRGpLFx6kJt/OcL4D3awOV3M2YYPh7feahgHhw4VutsuTYJndGjwxYC+HVmgz+7vbVM2L863xZDuUUFuXDtceGn8kJTFPS+UM/dCM05OMGqMhY+/q+bdpGNc8NVeMkttw2oSEmDp0gZj4uTJ8N57fUO7u7e00bawLrWY8R/u4PbliVz30yEmfrSTvTnNu//tzCrjnM/2sDunnOJqE29sSufV9akYzRb6uTry0exYXPRaVOC3muO8schIUJBwQnj++eY3lZvi7Ax3PFTD1BniffL3h2ffruCTnEQqjBYGBjty/cVOhIeL8eG884RsgJcdifGMkmre2JjK9K+3kz99L//7qYigIBVPT3HPJWnH6s+dF+eHtptliCQ9h9KaAatLf1xRxgFPqqo6o/b7QwCqqr7Q5LwhwA/AOaqq2gmOBUVRngTKVFV9taXfHDVqlLp169ZOqH3n8daWdG771VpbyM+gZ9dNowh0a15MMKeshpfWp/DGxjQsqghBWTg0gH9vTGOAj4Ev9zZ467g6aFm1YASD/FxsBs2SahPTP97F5gxrD4EPZw3k6uENGaSrqmD/fhEm7esrdsgyMoT3Ymho9yxSjUZhAKqqEoaXpjvrJwEtxnf1xvbZm6kxWTiYX0FmaTWhHk4M9DHUhxLUUVwMW3aaOZ5Tg2eQkZvX7SG/siHma16cHx/PiSU3S4tGIyZllZWQmgpGjZF/7z/KssMFXBYaRqyLB9OGO/Lv3Un8b3NG/T1c9Fo2Xz+iRS2dtlBZKcJaPD2bzwTYxbQaf9jTbTS3vIYDuRVYUBnkayDA1RFVVVmeWMDCHw5SYTTzyBnhPPqXdezHK9OjuX+FyK48L86Po4WVvHxmNNOiWk/MUmU0M3HxTrY26SNvHhXE2+cOaPczlJSIfszRURj7TiSTo8kEyckieUtERMui2yDaV3m52MEuLBTZC0F4Twa0Pel1TyL70B7mTD5mKpGMp2tFvBaxlaEE8BAnVYrhXt+HgphDpaSIeVudFltOjgivs+iN3LZ1J+fF+pCUZWROZD/+uzeJtRkNsW8/XZLA/SuOcii/El+DniVzYvl4VxZf7WvwKHJ10LL1+pEM9O3+zccTJTVVhI/XbQLZW1yfxPTaPrSgwsjZn+1mS6Ox1tegZ/N1I4j0at8iIytLhIp+lpTMw6us5wM3jgxk0XlCeN5iEeNxaanw0GouGqpxmxg0SBjmWyK9pJonVx/ngx1ZqMCFg3x5ZXp0q89RUm3iQG4F1SYzWzLK+GFfPmM9fUmsKOW31FyenBzBQyuPsfbqYZwe5tnssxcXCz3lHppLnggnRR/aHNUmM7O/3MvvR61jhf89I5q7xtofMz/ZlcXCpQetyhy0CodvG024p2gvh/MrSCqqxNfgwCBfAyUFWkym9nulHsgt5/5fjzPM4EuRuZovU9I4Pcydzy+Iw+AgjOeFhWKeajKJJDQuLqLN1/WDqqryzN/JPLE6yaq+v180Al+NMx8cP85/NqajAhfF+fHK9CgiPPvATnYDUqulBXpac3ELEKMoSiSQDlwCWAVsKIoSBnwPXNHYsKgoigugUVW1tPbfZwFPd1vNOxFvJ9s/Q7CbA866lq38/q4OPD81kmuG9aPGrBLt7UxBpRGtRuGK7607qbIaM0kV5YxqalkEqk0WssobPHj0GoW7Z/pQPTCbT8hhCAHEVvfjgw9ElmmLRex+f/5592ca1euFx6Sk4xRSyTYySaGYMDwYQSDenJydvoNOw5AAV4YE2NfPy84WyS0+/VQLOOPr68yHX4/i2cS9HC2s5Jz+Plw3oh9Oeq2V2LWzMwwYAKDnP2Ex3D66Ao2i0N/bmcyyGhZtzbT6nXKjmT3Z5SdsXGy80JPYx8/FwUbvUFEUZsb4sO2GkZRWm7h+2SGb6/bnljO8nwuDA1zxMzjwzf5cymra5g2h0ypEeTqxNaOUC0Z6MHw4VDlXEqtVKaUaN9qXUcrdXXhMdAY6nf3Qrubw9RWfw4dFdr9du0T5sGEiq+SA9ttKJacYB8jlGjqpAbdAFF7swI4omuSEcXKyfdf9/cVnfWoFu3PLmTXIlyKqeWlPItsyrTdW1iQXs3LhUDJKawhwcSDM04n+3s7EBznhGFJBjWc5A5088XQ4+ULhdu0S8iiZtcP87NnCu60vhJb2drwNehbPieWFtcksO1LA2GA3npka2W7DIjTING3baCutkFTUEFqq0bQuQbNrF5x7LqSnw3kLKzj74Uwc3EqI1nsygn542plDB7s78uY5MdwxJgSLqtLf24CLQ+uej+6OOsaEuJNbXsNlPxwkraSadRlF9cfzK40YdBpcHZpfwvfr17UyVZLmqTGrpJfaRsVkthAp4+Zo2y4CXBxw1jfYAQb4GBjg07BR49zBzeBBfi48NCWUh1YeZW9OBfPj/bh3QjD7HLLYTy4eODHSK5D84x6ccw71yWMuuUQkjgkMhIzSGl7fkGZ13xqzSlJVGZOHufF8ZBRXDwtEbUe7l/QdetS4qKqqSVGU24DfAS3woaqq+xRFuan2+CLgccAHeFsRLhkmVVVHAQHAD7VlOuBzVVV/64HHOGFGB7vR38uJxEIx2GkUePHMaDydW48xdtRpiW+UmMDdUYcCGPQaSpssnB2bccX3c3Hg9tEh9V49D872ZcngP0hVRNiAEzp+Ni3kzjtDqZNMMBpF+Ojw4e1b2Ep6lipMvMJ6XuCf+rIHmcATTMKZLoxp7yE2b4ZPP234npcHrz6jY/oDPpwWXMOOzDLCG2nc2MPFQcvQRkLieo2Ci15LcZMQa6dWNgMkXU+4pxNGswVfO32nn0HPWdHeLD2Yz6H8CtwctAzya5tHjU6j4a6xIVRoa2DacR5z3lN/7DXO4k7GoO1xlZH28d13DYZFENmkly6FBx7oqRpJTgZKqKaQKvrRsYRI7SEaL75ryO8n6Sbi/AxcmuDP9sxSor2cqTTaGgi9nHQEuzsR7N4wfsb4OOM3rpCblV/qy+YRxzuci08PSKd0hOpqke00s9H+4Y8/wmWXwbx5PVevU4k4Pxc+mBVLXqURT0ddvTdVR1k4tB/fHbDOdHL9iKA2X19TAy+/LAyLp59Vg+erf3Ob36b6408wiYc4HUc7S2pnvZbBzWx+t4Zeq8HTSUtT1R0HrcJto4MZ6HNyOgX0ddwcddw+Opgbl1kHWp4d3byr64hAN4YGuLAru0Gb8Y2z++Pv0r6N67YyIcyDXy8dQkmN+f/ZO+vwqK6tD79nLO6ekBCCE9ylUFpKodS9pe7eW/uqt+637u4tdaNQCqWUUtwhaAgRIO6eTGbmfH+sJJPJTCAucN7nmYfMnmMhZ/bZy36LUC8jS3T7OZ2vsdUq041RI7gk+QJycvzr9/nmG5HTOOssMOoVfN2c7SAPg3xXPYz6JpM+NI5+ujpzEVVVfwd+bzT2boOfrwWudbFfMjCiwy+wE+gb6Mkfl45gY0YpJdUWhod5Myay9V/K3v4ePDOjD7ctSqofGxzsyYjwpo85d1goNlXlw80ZqLGF9Y5FEIfUq+4rmTz9fP79y37LlJZK59P2di7m5IjRW1goWYpDh0pkUaPt7CGP5xo4FgH+xyouJJ5RRDSxV8/FVdfArRv1TKowkFpZwhun9DtsRHz/fukcqNPB8OFSdhrt586zM/pwcwMpg4FBHow8zPdLo/Mw6nXcPTmaRUmFWGtlP7yMek4fGMTXCTkUVNYwMy6AJ0/o4xAFPhKTov24LTSAWW7zHcYf5C/m0J9BBDexZ8ewe7fIVHh4iE5US7Nqli1zHvvrL825qHF4OqNTdB0x+JFGMZXUHJXBr+6Kv7uRl2b1ZVNGGaAyNsKHf9KKqJPC8zbpmdM/kPx8Wavl5Unml/eIQu7RLXE41vfs4hbGcTyxnf57tIaSEli1ynl8l+bj7lRMBh2Rh5GFagnH9/bn87MG8fg/adhUlYen9WZGnH+z9y8pgZW1y+aTbsvjsQaORYCnWMH4g4MJyQpj2DDnjtStxd/dwFMnxnHWNzvqx0I8jczoE8CIMG88jFo2WHflrEHBVFpsvLj6ID4mPU+f2IeJvZrW8Yrxc+eXi4axMaOEgkoL8SFejG2DH6A5eLsZ8HYzkE8Fd/BHvWMRYJOSydlDMqFR47bkWhnFUC8Tz8+MY+6Pu+s/i/IxMTriyNe8ezfs3Cml1sOHaxnhRyNd7lzUgLSiKoqrLEzr7XdYjcWWcPnwcPoFevBPWjH9Atw5PtbfoQtZYyJ93Lh3SgxXjwrnRfcVTp8n6fMZ2dcCDZyLISHtPylkZcH118Nvv8l7gwEWLoSTT27f8xyrlFDt1DFJrR0/GnFV4jlnjspVkwMpsfoS7df09237djjpJHGgg2jxLFokuiNzh4URF+DO8rRi4gLcmd7bn97+7bSi1Ggzx8X48e9VI1maXIhRrzAl2o/REd5M7OXLw8f3xsdkaFWZhtWtxmmsGitlnfz9WbdO7s2yWn3w0aOlyVafPs0/xllnwdKlzmMaGoejMzpF12FET2/82UkuY2l+ppFG2wn3duPUAfJ8rLZYifF3Y0VaMd4mPZN7+aJUGrjtHpWv54mTWaeDBQdqKI9yniOLcO5u2l0JCIDTT4d33nEcHz26a65Ho+34uRu4bEQ4pw4IRFUhyLP5IscHi6soqrFy2umevP2WgsXT+VlvRWXNjmqemiONZC6//Mjax81lVlwAy68YyV8pBYR4mjixTwDxoW2T39HoeEK9TPxnQi/mDg3FqFfwdz9ycCzW353YWjvCbLGxv7CSGqtKXKD7YUvg20oFNRzCuSmlMdh53h4yxP7zGQOC+OuyESxLLSTKx40T+vjT/wgB+zVrYOZM0f0G6UT93XeSuKFx9KA5F7sQq01lQWI+V8/fQ0GlhWhfN74+dwhTYtre1svX3cDsfkHM7teEMnETBHuamEEfnscxdHuDOpb+p7uz6BuJ4oWEiD5Xe+vDbdlidyyCiMnefDOsXevc4Vqj5fQlgCh8SMeuQROJD305gjJ1D2XsWOkw/vTTci+NHKly9X/MTPh0EyXVVvoGuPP1uUMYF+UcUfz4Y7tjEaRpxq+/inPRz93ArH5BzGrh90ujczDodMT4uVFYVcOnW7MpqkrhkmGhPD0j7rBBliPRnyD8cKO4gTNxGKH0ofPU/isr4ckn7Y5FgM2bJbOiJc7F006D5cvFKQlS8jdnTrteqsZRSAI5xHRwp+iGxBHANrI052IX4mbQMz02gON7+7MspYgzvtnBbSFD+XqePevfZoPvXvBj8ivRrFYO1o97YGAAPec5aTCItviWLbLu1OngrrukA7FGzybQo/lORVVVWby/kMt/3k1uRQ3Pz4xn4uZgyrcHEnKiJ7lU1G8ba/Mnd52sAW69FY47rv0qutyNeo6P9ef4WP/2OaBGp9JYH7w55JRX8+LqQ7y85hBWVeX0AUG8MqsvfQM7RloiHG+uZCTvYG+QowBjPEMYOxY2bgS9Hu6/H8aPt+/nZTJwYlwAJzajKSJIM9jHHrM7FgE2bIDVqzXn4tGGVmzahezNq+CCH3ZSUCmaBQdLqrngh51klHZtFtkkevEZZxGON54Y+S9TuUAZwmmniRG7Zg1s2gQnnND+527ozKkjOVlKsDXaThS+zOdiptMbPQrH05v5XESvTjQWO5OAAHjoISndWr8ePv2xmtOWrqWkWvRI9xdWcfkvu8ktdxRatlpl+8Zs2dIZV63RHizeX8gra9MprLKgAl8m5PDb3rwj7nc4+hHIIi5hHJHoUZhDf77inE7VEysrk6zaxqSkOI8djt694ZNPZE7fskV+7t27fa5Ro2uprOy4Z+YOcojtpMxFgFj8tKYu3YSkgkrO/GYHuRU1VJc4Z35//oY7r5SdxjkMQo/CSML5g0sZTEgXXG3rGTRIKmY2bJC1w1NPSUBd49hhX0El5323k9wKycS9f+tOBt+exLmTvPi+/BKOU6PRoXBcZRy3L7+QT58TXe6yMunSrKHRWlYeKOGF1QfrZX1+S8zn823ZqGrjurP2wYiee5jMjYzFhJ4++PMrFzHVO4I//hBbaNs2eOSRI3dIPxxlZbBjh/N4Wlrrj6nRPdEyF7uQ1OIqzFbHySKj1Myhkup20xppjM0G+/aJMHFEhJSN6hutEb1x43JGcDJ9qcFKFL71+kp9+3ZsA5eBA53HzjpL63rWnowmgvlcTAGVBOLR4k63PQ2jUZwme/bAtrxSamyO37k9eZVklpodIox6vZS2rFnjeKyzz+6MK9ZoD37d4+xI/HZnLjePi0JpQ83SJKL5k8sooooQPPGk5ZHpthAcDJddBs884zjemswab2/HrtXp6ZCUJOODBokmjkbPYc0auO8+MQZ0OnlWP/lk+5a7d1an6Dr6Esgv7Om08x2rNOe7f6C4mvIaCcy5h1Wj03nXN/kDmDYNBhPKl5xDDuX44kaAiy66PYHAwLYZ0hrdj6oq2LtX9NxjYw+fLXWwwb0OIh/0yd50rpoUwvFekSzkEpILK3nkZk/u/tZEnd9n0KD2r+jSOLZYkVbkNPbdrlzumtQLv2aUV9ehqnZ7PyxM7H1DE16fOAJ4ndnczxQ8MRKCPACCguTVHgQHS2OsF15wHB87tn2Or9F90DIXu5AwL5OTJLqPSU+QR8f4fFVVuoGOGgUzZsi/330nWVquCMebaPw6Rbi9jpEj4euv7SXQs2eLEe3RM9en3RYf3OiN/1HvWATJhr3/fnmAHdjt7AgK9TIS6OI7d8YZcOed4px0c5Py6hNP7Iwr1mgPXMlLnBDr3ybHYh1+uNMb/053LIJoOV17rTgYdTrw8YHXX4cJE9p23K1bxUE5fbp8V+6/Xxo1aPQM3n5b5qwpU2D+fJEXuewy+M9/ZB5rj6SHYqo6rVN0HX0JYAc5qE5qwRrtRXO/+6FeRvS18+dnmft55r0KwsLks+nT4Y03ZD7ywEhv/HusY1Hj6KOkRJwao0dL1dXYsVKO2RQN7/U63PQ6QrzEueOLGyP8/bntahO9esnnY8fCV19pWa4abWOEiy7LU6J9W6wT/ttvYuefeKL8O28e1DhL4tZTp3Fc51hsb3Q6uPFGcTDqdODrC2++2fa1q0b3Q3MudjJJ+RUsTS5gS2YpfQPdefakuPrP9IrCB6cP6DBdhaQkMTYqK+V9dTVceSUkJnbI6VqFmxtcdJGU6e3ZI3pggwZ19VVp9GQ2bpQHGMCfX3pxzYAYgjyMDAr2xF2v8P5pA+jlQocvMhKef166RO7aBQ8/DKGhnXzxGq3mrIHBDA2xz6X9A925aGj3/gMeKK5iWUoh6w+VUFJtaXK7Pn3ggw+k69727XDbbeDXBqneigp48EE4dMg+9uabUhao0f356CMJwr3+OsyaBSaTZF+PHy9/xz//lL9vW9lFLnEEtDrgWGm2UWNtmZMwAA9M6DnoQnBeo+1UVIh0SOPv/ubNcKh2Plp3qITiqhoGBXvy2uy+KEBScQUvFGzmk99L2blLZf58GDq0y34NDY3DUlfWWZdpm58vzSPz8+V9tcXKtqwy/txfQGJ+BQODPHi19l4H0Cnwzqn96d/APlMUaU6xfr2sEf/8U2v8o9E6zFYbCdly/40I92ZKtF2qKtLbxO0TemHQNd9lk5ws9n5FrTSo2QzXXCOZu11JXBx8+KGsXbdtg1tuESejxtGFVhbdiSxPLeSMr3dQaraiAI9Pj+WmsZGcGOtPVpmZ3v7uDA62P7gqa6zsyq0gu9xMrL87g4I90bUh6yYz0z7R1GE2Q0aGNKnoTtRFApuDqooR/Msv8vudfTZMmiQGloZG0n4bdXGUtSsM3Hd/NFHJMSRvULhzppWk9FzSI6uI8nV2MBqN0K9fJ1+wRquxqSp78ipILaoizMvEgrnDSMyvRFVhSKgXvXy7NlM3JUUMkLVrJdNnxgyIipLPtmSWMmdeAlllov95zahwnjkxjlBv1xOZm5vrbuitoaAAVqxwHk9NbZ/ja3QcGzfCvffCK6+I1EljfHykodUtt4izsS3SDjvJpTct92KvSazgo2WFHMiTtIlJAzy5dXYgIb7NW4L2rW3qEtOKc2tIWdxff0kDp4kTxSFS1/ypqe9+TWAZEz9KIL1WA/zy4WE8f1Ic14yKYGIvPzJKreUTxAABAABJREFUq4n2dWNIiBeVFgs7cisoybbSL9C9wwLkGhqt5eBB57GdO8W56Olr5b1NGdy9ZD82FTyNOn66YCjXjopgcrQf6SX2e12vc7bBwsObJ92UV2FmV24F1RYbg4I9iT5Mc7nNmyXzLDtb5uwpU8BT+1odVdStV7NKq9mVV8Edf+zHqqq4G3QsvHgoKApmq43BwZ709m9ZFnhmpmTrNsRiEXvfVRBo+3a53w4cEBmV446TtUNH4O7efmtXje6J5lzsJLLLqrn6172UmqUGWQUeWZ7KjD4BTHZRvldutvD6unQeXCYq/W56HT9cEM9pA1ovfhARIXo6DbuMurlJhlZ7UFEh5cvtUHXYIjZsEK2f6to+OK+/Lgb8jBmdex0a3Y/E/AosfjaoLeN74kkbd91qoE+sQkEBfPmZnrsfD2JLdJlL56JGz2LhvnzO+25nvZbtszP6cNv4KLxMXf+oy8uTyPHff8v7Tz4RXc+33wbFaOW/f6fUOxYBPtqSxTmDQ5jTv22CN2azBGDcDuNXDQqS0pnffnMcb0n3aY3Op7JSMv1vvRViYprezs9PMhevvx4mT6a+lLWlJJBDdAscfKqq8t6fhfy1o5yzxvswONKE2aqyfGcFN32YycuXhxMTfGQNqVgC2EoWp+NClFnjsJSXS2biZ5/J+08+kbLQ77+362mdeKKU0tcx9QQrHySm1jsWx4b5klNiYXNWGXP6BzEm0ocxiOWZU2bm3qX7+WxbNgAB7gYWXTKcCb20dBSN9qe6Wkoqjc2XngNcNysbMUIkmHbmlHPn4v314xU1Nq74ZQ8brx/N6AgfRke03cuSVlTJtfMTWZpSCEC0rxsL5w5jmIsS2O3bJfhY15TrnXfg55/bVztXo+tZuC+f87/bxQNTY3jyn7T6Bi5VFhtnf7eTLTeMJS6gddISERHy3G/YXMhotAezG7JrlzwTCgrk/fvvw+efS+ZjQ6qqRLOxKd1GDY06tLLoTiK/wkJKUZXT+KEmOkPvzK2odywCVFttXPXrHg4WOx+jufTrB19+KQ5GEEfgF1+4bqLSEhITpWR08mTR6tnTydrrv/5qdyyCGNIvvnh4bQmNY4Nf9uTxd81BrrjJjKLIAvOC8xXy88WoeuUV+PULd8pyW7hS1eh2HCiq4qpf9jo0yXrgrxR25VYcZq/OY88eu2Oxjs8/F8HtwioLqw44l33uL6hs9fnMZgmynH46nHyyZHY31UHYw0Maf8TVqnQoCtx9N4wb1+rTa3QCTz0lTsUTTjjytkOGwEknif5ia0kgu0Wdot9eUsC6pEruODWQ+F5u6HQK7kYds0d6M2OoFw/My6a82nbE48QRoHWMbiX79tkdi3X8/bcYlCDf/SeecPzuX3OLhVXpxYR4Gnl5yCjCl4wg941h7F7sS3a247E2ZZbWOxZB5rJ7liRRehhZBw2NllJaCj/9JHPYGWfA0qXyjGsuw4eLzE1dA8uwMHjvPWnak+7CDssuN5Nb3n5GxIoDxfWORYCDJdW8sf4QFpvz/LdihfOz+rHHnDPRNHouB4qruPrXvVRbRSrE2kgUuaTaSk55C27wRsTFif5nXfahh4c8B1zZ+xs32h2LdTz8sF13NzcXPv0Upk6Fiy8WrdIOalytcZSg+Z87iVAvI4ODPdmd52joxvq7zpbKdPGwy6uoIb+i5rCp9IdDUeShvHmzpEyHh0P//m3LNCwogKuvhlWr5P22bbB4MSxZ0nn6dI1LvUEyOrTJT2N5ahGLDhYwcXgVz/8YTWpiEE8+af/8r7/g8cch1ENzLvZ08itryK90NgYySlu/QGtPLE3Y2hYLBHkamNk3gB925Tp8NjCo9XVQa9aIU7GOFSskEHPGGa63HzECVq6E/fslADVwoNZIqzuTmipZr++/3/x9Lr8crrpKyvJb0118F7ncwJhmbfvrhhJW7ank1tkBeLo5x7EnDfDgQF4N7ywp4J7Tgw97rH4E8A07Wn7BGoedd+oYMULWcHXdovv2N7J0SSADLSE8dIVfvU73pk1G1Cq45x77vq4C5BsyyiiqsuDjppkYGu3D0qVw7rn294sXwz//iMOjOfj4wB13wCmnQFGRdIqu6+oc4+eOAg4to3r5uhHehCRJa9iaWeY09k9aMWXVVvw9HOfHahc5J5WVTTff1Oh55FfUkFch61WDTsGoU6ix2e/AIA8jEd5tk/E59VTpX1DXLbp/f8n6bYyrZ0RVlX38m2/g9tvl540bpcJlzRppEqPRtSiK8hhQpqrqi119LQ3RMhc7iWAvEx+fObD+YWXSK7x5Sj+GhbruytTb353G0h6xfu5E+LTtYacoMsFMmyaaB20tYd63z+5YrGPbts4VjT37bOff4447NM1FDThjoJSUrs0uZk9VMW+96XijmM2iezK0v2YE9XQifNyI8XNcjOkVhT4B3aPcfeBAZ23bGTNkPnY36Hn0+N4MChZvnk6B+6ZEMyay9eVY33/vPPbqq4fP6I6IEK2dkSM1x2J357//FUdxSzqTenhIE7e77mp58K2ASsowE9qMTpJ7M6r5+O8irjrBz6VjsY4zxnqzck8FiZmuKzjqiMaPDEopo3sECnoS/ftLtldDhgxxbpQXHm7/7vt46bh/Sgxkedc7Fut47jnR7aqjrwstsFP6BRDsqQXsNNqH6mp4+WXHMVWVUuGWYDLBsGHikKxzLALEh3jx0ZkDcTfIXBXsaeSrcwYT4dN+Gs2To53lJM4ZFIyfu/Pac9o057Lv+++HgIB2uxyNLibSx0RsbaLQ59uyeHR6LJ5Guf8C3A18fe5gejeRfNQS+vaV+2ngQNeORZAmRO6NTvXAA/JMyM6WOb8h1dXiZNTQaArNou5EJvbyY8N1Y0grqiLQw0D/II8muz8NCfHii7MHc8OCRMrMVqJ83Pjq3MGEtTGS0d40pb3QUj2UtjBxopT/vfSSZDHecYemt6ghzOkfxMVDi/l6Rw7VNptL3bmQYAhto9Neo+sJ9zbx9blDOP/7nWSUmvE26Xn/tAEOTbK6kogI+PFHKS/580/RT5o7197leWioN/9cMYrkokq8jHr6B3ngbtC3+nxeLnxAPj6dr4mr0f7s3Qu//y6yJi1l5kz47jupLpg1q/n77SSHvgSiHKFTdKXZxhM/5HL2eJ8jNmzxMOmYOcyb9/8s5MXLm+6IYEBHH/zZQQ4TaUG3Nw38/ODdd6VE7pdfxNF41VWum/80JD7Ui82Bzh5od3fHdd+YSB+enRHHI3+nUGNTGRbqyZMnxuFhbP3cpaHREJ3O9fPM1VhrMBl0XDEinMm9/MirqCHaz42YVlaINcVxMX7cPiGKN9enY1PhhFh/rh4VgeLigTxmjFTVvPIKZGWJpu4pp7Tr5Wh0MWHeYtOf//1OUoqq+HxrFj+eH4+XSU+0nxuxLWzg0hZGjhSpjNdeky7TN90kWY8gc70ru+lwGt4aHYeiKJcD9yCJ1tuB/Q0+uw64HjABScBlqqpWKIpyPvAoYAWKVVWdpihKPPBJ7bY64FxVVfe123Wqx1jt6NixY9WNPcTlrqoq+wsrKai00MvXjch2jKK1F6WlcN118O239rE5c0TbsbOjbDU1Es3s5hmLh7XMetL92VMoN1tJKqhAp0DCMm8umWv/EwQEwL//Qnx8F15g9+KIrqfufo9mlFZxqMRMkIehW3YtVVUpOenozMB16yRiXadLpShisDRHn6+bc8zPoZdfLgG8xoLrzWXZMikrXLu2+c7md9jAIpK4i0mH3e7lBXlkF1u4eErzGr9YrCrP/pLP0xeFMiiq6TXOS6zmVAZwI2Obd8FdR7edQysrxTnY3L/53r2SzVinvQXSEObKKx23s9hs7MuvpMxspU+AO8Ge3XsRptHz5tClS0Xmo85kdXOTtVtP0gWuslhJKqjEbFXpG+COn/vhszAsFimFPgYdOd12Dm1v6targR4G+gZ4uHQ2dxYWi7waZzHOmweXXGJ/HxgoEjqNK3GOMTr9D1XrEPwJmKKqap6iKIHA7dSWRSuKEqSqan7ttk8B2aqqvqEoSgIwW1XVdEVR/FVVLVIU5Q1graqqXymKYgL0qqq2XuS9EVrmYjdGURT6dUPjuCE+PvDCCxJVW7ECpkyRrMGuSN/vzGxJjZ6Dl0nPiHApL407Q4zqX36Rrmmnn645Fo82In3cifTpHqXQrlCUzik5Hj9ejK9ffpEylrPOap3Onkb34tAh6ezbmqzFOo4/XvZfvrz5zuZtZNP7CM1ctqRUsnJPBf93RvM7nBv0CscN8uTbNcU8el7TQs2xBLCFzGYfV8OZls47AwdKRsuCBZCWJs9LVxp3Bp2OwSHtlEamoeGCadNkvvr5Z8lYPPNMGNvt4wyOuBv0DA117g7dFFpn3qOf7rRebep+O+MM+OMP0ezu1QtOO+2Ydyx2FScCP6iqmgegqmpBI2f00Fqnoj/gDSyuHV8FfKooyneIcxJgDfCQoii9gJ/aM2sRuoFzUVGU2cBrgB74UFXV5xp9rtR+PgeoAK5UVXVzc/bV6Byio+GKK+SlodGd8fKS6HfDRhcaGkcjiiIOxvHju/pKNNqT116T0maf1stxotfDeefBM8+0zLl4PkOa/LzSbOP5X/M4d4IvHqaWyXlP7O/OUz/lUVBmIdDb9bK0HwF8wfYWHVej7QwdKi8Nja7EZBIH47RpXX0lGhrHFt7eIqHSEhkVjQ6hcd+pxnwKnKWq6jZFUa4EpgOoqnqjoigTgFOBrYqijFRVdZ6iKOtqxxYrinKtqqrL2utCu7Shi6IoeuAt4BRgCHCxoiiNV6+nAP1rX9cD77RgXw0NDQ0NDQ2NHk9FBXz8sWShtpWZM2H7dkhIOPK2Kiq7ySWOpksSPlxWSEywkfjoltfweZh0DI9xZ/FW546qdfQlkN3kYcXW4uNraGhoaGhoaPRg/gIuUBQlCKC2LLohPkCmoihGoL6QXVGUvqqqrlNV9REgD4hWFCUOSFZV9XVgPjC8PS+0q7tFjweSVFVNVlXVDHwDnNlomzOBz1VhLeCvKEpEM/fV0NDQ0NDQ0OjxfPONlCNFRbX9WCaTlDu9+OKRt02nFAM6/HFdvpVwoIplCeWcObb16ZTj+7nz+5YymtIB98ZEIB4kUdDqc2hoaGhoaGho9DRUVd0JPA38oyjKNuDlRps8DKwD/gT2NBh/QVGUBEVRdgArgG3AhcAORVG2AoOAz9vzWo9YFq0oyr2qqv6vVvzRadWnqurtbTh/FHCwwftDwIRmbBPVzH0BUBTleiTrkZiYmDZcroZG+6PdnxrdHe0e1ejOHCv359tvw7nntt/xTjtNmsNkZ0NYWNPbJZBNPxoHyYVKs41nfs7jnAk+eLm3Pl4dG2Kk2qKSmGlmYKTr7Md+BLCVLAYS3OrzdBXHyj2q0TPR7k+N7o52j2oc66iq+hnwWROfvUNtdW+j8XNcbP5s7atDaI7m4u7afzdy+Frv1uCq207jczS1TXP2lUFVfR94H6TDVEsusCdQWFnDhvRSduWVE+vvzoQoXyJa2Vm6qAhWrYIlS2DAACmdGjCgfa9Xw5Gj/f7sjlRWSjfd33+H4GDREhkxonPOfbC4inXpJaSXmBkW5sW4SB983Lpc/vaw9IR7NLfczPr0UhILKhgQ6Mn4KB9CvLSupa1h7155BuzfL/qkU6aAX/Oa/3YJPeH+bCsJCZCe3r4amn5+MH26OC0ff7zp7bYfppnLW38UEBNsYFhM20TpFUVhVKw7SxPKmnQu9iGAzWRyIT1PBPBou0eTCipYd6iUwioLoyO8GRvpg0nf8cVQBw5IY48NG2DyZGlOFBnZ4ac96jna7s/OJrusmg3ppewrrGRgkCfjI30IPsL6Y/t2aTCYnQ2nnioN1zqj2VtPRbtHm49NVdmcWcrGjDI8jArjI33btelWRoY0cV21Sjq2T58Omr9Xo44jWrSqqv5W++Mu4EEgtsF+Km1LpTwERDd43wvIaOY2pmbse1RQUm0ho7QaXzcDkY2chjVWG2+sT+fR5an1Y+cNCea90wYS6NHy9slffAG3N8hF7dsXli6F2NhWXryGRjdk6VIpCazj2Wels257CtdbbSqpRVUAxPq7o9cpZJVVc+lPu1lxoLh+u3dO7c+NY9uhzvEYptxs4Yl/0nhzQ3r92D2TorltQiQVZhsRPib83LV28s0hORlmz4bUVHn/2mvwzjtw441delnHPB9+KI5evb59j3vWWXD//fDQQ1Iq7YotZNHHhXNx+c5y1u+v5K5TXWc1tpQRsW588ncxN58cSKMuiAD0I5C/SGmXc2m0nv0FFcz+cjv7C+X5pgB/XzGSCG8T7gYdMf4d0/20sBBuvhkWLpT3b74JV10Fb7whzdo0NLqCMrOFx5an8u6mTE6I9Sc/2kJehZnzhoTgaXRtZu/cKQ6ZwkJ5/9JL8Msv0gVbQ8MV1RYracXVuOl19D7CHLvqQDEzPt9GjU18sMGeRv6+YkSLupU3RXk5/Pe/8Mkn9rFTTxX/QUDTsswaxxAtCTN+CXwCnAOcVvs6vY3n3wD0VxSlj6IoJuAiRFiyIfOByxVhIlCsqmpmM/ft8ezIKeOMrxMY/NYGxr6/ifl787DY7ILmSQWVPLkizWGfH3blsTu3vMXnOnBAJoyG7N8PW7e25so7h9xcMYKrq7v6SjR6AtnZ4jx55BHH8aIicS62F1ll1TzydwpD3l7PkLfX89CyZDJLq9meXe7gWAS4b2kyqUWV7XfyY5C9+ZUOjsUYPzfCfUxM/mgrg9/ewKnzEtie1XSziJ5AURGkpEBZB/8aW7faHYt1PPggHDrUsefVaBqzGebN65gu9336QO/e8P33TW+znWynZi7J2WZeXpjPZdP8cG9hd+imiPA3YNAr7Mkwu/y8P4FsIwu13YtoNFrChozSesciwINTe/PC6gMMems9I97byMdbMik3W9r9vLt32x2LdXzyCSQmtvupNDSazZ68Ct7dlMkjx/fGqFd4+t807vhjP19tz6Gyxupyn1Wr7I7FOh55BIqLXW5Ofr48/ysq2vniNbo9RUWwNa2Sq3/dy6A31zP83Q28uzGd0mrXc6zZYuP5VQfqHYsAeRU1LNlf6HL7lrJvn6NjEWRe3r3b9fYaxx4tWRHmqqo6X1XVFFVV0+pebTm5qqoW4FZgMVJ+/Z2qqjsVRblRUZS6PInfgWQgCfgAuPlw+7bleroaq01le3YZP+zKYVlyAduyyrhxQSL/pMnTJrPMzDnf7mRHjt1xWFFjxWJzXmiXmVveUdFikXLRxtTUtPhQHY7FIiWtEyZIduXVV8uEp6HhCrMZFiyATxeWszqlhNJS+2fu7nDvs5UY4vNYmJjPweKqpg/UTP7cX8gzKw9gtqqYrSrPrzrIkv0FVJidF5ql1VaqLFoH1LZQ3uj/9epRETywNJn0Uok6rDpYwtXz91BYWUNGSTULEvP4ans2mzNLsTaaP22qSkLtPPx3SiH5Fa4dHZ3J6tVw4okQFwdnny3lVB2Fq0BNRQVYXdtIGp3AH39Ar14QGalyqLiKTRklbEwvIamgsknjtSWceSa83FgavJYqLCRTSGyDzMXcEgv3z8vmzLE+RAe1X0awoijE93Jj5R7XwdFgPLGhkkGpy8812g+zxcbmzFJ+2JXDygNFFFfZF4LFVXajdlioF8mFlSzcV4AKFFVZuGb+XjZmtP/fyNzEVKw5XDS6knKzjTERPuzMqah34BRWWbh+QSKbMl1/D1zZWiUlYts0ZvlyyXLs2xcuuECyHitrrGxIL+H7nTmsPljcpKNJo+uw2lQ2Z5Ty1fZsFiTmkV7Sctti404zP20o4oPth5i3IwcVKKm2ctPCfaxPd31v1dhsHCxxXshllLbPWrapebipcY1jj5YIfT2qKMqHSCvs+rtWVdWf2nIBqqr+jjgQG4692+BnFbilufv2ZBbvL+DMb3bUOwvfntOfVQdLHLaxqir7CioZGS5dGfsEeDAu0ocNDRZyQR5GBgS1XLgjJgZuvRVeecU+5uPjulTUYoG1ayWbwmKBSy8VvZCmyqram23b4PTToS6Js+46PvtMnEUaxwZ5ebBsGfzwg9yn55zj+n7dvBn2VZTwVPY2THkKN1w/hmfvk+/Io2+X8Ur+NnL+FuOpX4A7C+YOY2Bw6+usvtmR4zT25fZsPjh9IJ5GHRU1dmfiWYOC6e2n3bRtoV+gB3383UmpLUO32FSHqC3ApswyEvMruOfP/aw8IPOqXlFYMHcos/sF1W/35/5CTv86oX7/i+JDef2Ufl2m35iUBKecIoYHSEn/RRfBP/9ASEjLj1dTI3P3l1+CTgdz58KkSWCoXQ0MHy4lhuUN/Dt33CHOLY2u4dNPVQKHlHHRjweosdoI8TKhUyQwkVNeQ4CHgVg/d+IC3InwcSPQw4inUYdBUbABVputfl1h0uvwcdMT4mnCz13+6BMnwrvvyn0xcaLjuXeRSzS+mJB67IzCGu7+PJvJAzwZE9f+89bQaBM/rS/luhnOpdYKCgMJZgtZROHb7ufWsPP97lwu+2l3fY7oXRN78dj0WHzcDIwM90angE2Fab39+Soh22n/VfsqCCoLaFepkYEDRQO8Yabi2LGuHTIaGp1FuLeR0wYE8sLqg06frU6swDPPn9GjHcfrnrkN791774WgIMftdu+W539VrV9q4UIwuamcfH82N/1u/yI8dnws906JxsPYzroZGq1maXIhp85LwKrKLDol2pdvzhtCL9/mPTd3HzDz6LokIoL1LNyX7/T5psxSZsQ51yF7mQzcOi6K6xc4pnSf0q995Ev69RO929Wr7WMDB8qruTRch4Ldh2DU1IuOClriXLwKaVdtBOosYxVok3NRQ8gqreaGBYkOWYi78ioI9TKSU+6YOhjcQEsx0MPIZ2cN4tmVB1iQmM+EKB+ePLEPfQJa7lw0GOD666XBxbx5MGwY3H03DB7svO3atRJJq8tm+fBDcfJMn97i07aKvXvtjsU6vv8ennlGonsaRz+qCh99JHphIH//d9+V8ubG90BWjo1llYcoq81w2xWdxsMv92brcnf2emWSc8D+HUsqrGJBYn6bnIujI735PanAYWxclC+xAR4suXQED/y1n525FVw0NJQ7JvTSFoRtJMLHjZ8vHMoT/6Tyd2oREd7OjkB/dwPlNbZ6xyJIsOb2RUmsvsaHYE8T2WXV3Lgg0cEx+c3OHK4aFc7JfdtnYdZS9u+3Oxbr2L1bSpdb41ysy4Ksmz/ff18yI6ZOlffx8TKXv/gi7NoF11wDc+ZIAwVfX+jfX1sAdiZpWTXMX6Sj91VZXDIslGhfNwc9QqtNJb/CQkZZNTllNaQVl1BmtlJttWG1iR6eXieOdBDHe3mNlfxKC256hQFBHoyJ8GHKSQG8/LKR775z1DrcRhZ9CURVVVbtreDF3/I5aZgXxw3y7JDfNybESHGFjczCGiICnG+0vrVNXU5D6zTXUSQXVnLzwkSH4vOX1x7ivCEhTIr2Y3SEDz9fOJSHlqVQXFVD/0APhwA3gKXIxImXwMqV7dcUMCBANMHXrJGA4eTJcNJJsh7s3VvTBtfoGvYXVDIkxJN+AR5sz3HMuq4uMDH9HKmc8feX74K7O4wZI4HC556DzEy5rxvqgNexb5/dsVjH4OMquGNxksPY4/+kctqAIMZE+rTzb6fRGvIrzNyxeF+9YxGkgmZTRmmznYs788r4/WAOJ5sC6BvgQVaZY2pgtG/TjVvPHBhMeY2V/606iI9Jz1Mz+jCx15HvjcJCWXMaDHKverp4zAcGSln0Rx/Bb7/BjBmiyR0R0axfC5A5/IQT7OvQDz90XIdq9Gxa4lwcoarqsA67kmOckmorhxqlMX+dkMMzM/pw08JEbCoYdApPnRBLrL87qqrWGxiDQ7z48PSB5FWa8Xcz4mlqnaNi61Y4/3wxZKdOlQ66TS0Kv/jCsUxOVaXjZGc5F/39ncciIjRR72OJAwfgyScdxzIzJau1sXPRL8hGWrp90Tf/YBYzRocyXTHxba3nxsOgI9zbxKGSatJLq8kuq8bbZMCrFd+nC+ND+XhzFhm1i4EIbxMXDw0FYEqMHwvnDqfUbCXUy4hB1/EdNo82SqprqLKohDbIJhwR7s1X5w6moLIGvaKwJauUDzdnAeJgeefU/uSWO9dtpBZVUW62EuwpmWCpLsric1zs11m46tJsMklWeWt4/33HwIzNBl995bioGz9exqqqxIk5Z45olRqN8PTTspBs7fk1mk+Z2cK0+w8R0CeUm48LQ69zbnKi1ymEehsJ9W6Zx1dVVYqqLKQVV5OQU8FufQGFv/XnvA9SOGWMN0NDvQjyNLCkOpvKjGBu3ZpFQZmVy6f5ERfWcVm8OkVhcJSJtfsqOXu8K+diIBuOzt593YaiKgsl1c7l9rkVEoQz6nXE+bszMMgTHzcDV40MJ7WoipPiAtApCumFZrI2+eDhIQGK9nIuGo2wbh1s2iQd7MeOlYB4aak4Hr/+WtatGhqdSW6lhet/28tbc/pzy+/7MFtVxkT4cHq/YAy7vCktla7QL70kDYkeeEACg8cfL9laFkvTtktDWyc6WioKDD4WqkscsytUIL9Sq0vtLpSbbaQUOpcmF1Q2P8262CLz7ZbMMt49bQB9/N34aU8eFTU2xkX6MCnaxeKwllBvE3dMjObioaEYdToCPY+8Pti3D667TqpiAK69Fp54wrXTcMAAcYz/979y77bUjHG1Dv3oI8252JkoijIbeA3QAx+qqvpco8+V2s/nABXAlaqqbm7OsVviXFyrKMoQVVV3tWAfjWYS4WPixFh/lqUW1Y8VVNZQY7Xx+PQ+mHQwMNiT/60+yOvr07l2VATXjIqo78pnMuiI9Gl9iVJpKdx1l5TgAfz4o7z69JEHYGNc6XI11GbcsQOWLIH0dDFMJ05sX8ffyJGSfbNsmbxXFOkcGB7efufQ6N6oqmsduMYZrQD9exs4NS+chJz9AJwUHcQPr/qxY6ueq94JY7xXCL4ZwaRsN3DmlVWsLcth5HubGBzsyVMn9mHyYR7irhga6s2/V48iIVscmsNCvYgLtGcT+7gZ8HFryfSrAWC22liWUshDf6WQU1HD7eOjuGR4GJE+EsF1N+iJ9BFn8PMz+jJ3aBg55Wb6BXoyLNSLzVmlKOCQkXPp8DAivGX/CB83ZvUNYHEj4etoXzfMFhsmQ+c7gocMgRtugPfes489+6xkELaGxro4d9wBoaFw220y10+dCmFhYshXVcl4crJsW1MjpVsTJ2qLwI5GVVWu+mUv5ZtiOGWG4tKx2BYURSHAw0iAh5GR4d6og1W+TqshZXEQ33sc5OW11ZRWW8k16Ijxd2NSX3eGxbi1+3Vk7HEjcaUXRVkGvAKsDJhczsDIKlbvreDs8c6lzwMI5EOatb7VaCW9fN0YGOTB3ny7MJxJr9C3QUVML1830kur+HF3LmcMCOKpE/rw0tqDWG1w8/Bogn30VGRBQoIE+4a1Q2qCXi/z0YknisF75532rK7CQpGL2LxZ1q0aGh1FfoWZwkoLIV5G/NyNDA72pMaq8ujyVN6bPQg/o5HvErN4Z3M6owLLePHLGIr2+KCqIjt1wgki6wTg5iavphg61F4uvWuXBBuP6+tN/0p39hXYA6FeRj2x/i2vWNNoH8wWG4dKqnEz6IjydSPCx8Slw0P5aEtW/TYKMDi4+Rn/g8M9OHNgMINDPLl7yX48DDpem92PWD83Bod4EdWMDMgw78PcXA1QVfj0U7tjESSbcMYMseFXrxbt57g4mDlTKhoVpfVBZlf6jJpmY+ehKIoeeAuYCRwCNiiKMr+Rj+8UoH/tawLwTu2/R6Ql1u1xwBWKoqQgmosKIok4vAXHOOapqrGSkFNOcmElET5uDA/zwt/diI+bgddP6c+NC/ay8mAJQR5GHjm+N29vyKDEbOXVWX05//td9eV6T6xIo7zGyvMn9W2XxX5+Pvz9t/N4Sopr5+KVV8Lnn8uEVMdNN8m/u3ZJBmN+rUTEyy/Dd99JVmR7ERkJH3wg5TAgTsX4+PY7vkb3JyZGSqL37RNjoroaFi0SzbjG9OoFF1mDqTKYeWdLOpMCg3n6Hz1WK/QuDuWHrw2s+FvHpKlWvklJ55cU0ZDKKjNz0ufb2HDdGOJDxTueUVLNtuwyymusxId4MTjEtdc8LsCDuFbIE2g0zcaMUuZ8lVDvHLx3aTKKAvdMjnHaNtDTyAl9HPVoRof78MMF8dy2aB9ZZWYuHhrKg1Nj6p2GbgaFR4+PpdxsY+XBYgI9DPzf5Ghu+z2J8b18eGhqbwfJiZoaKSExm2XR5e3d/r+zry889RScd54Ea+LiJLiib2Ul/Q03iEYpwBVXwIoVYpCDBGjuvFMi0iYT5OY6LjbrSE7WnIsdzVcJ2WxOrqIixZuhd3d8p3NFUZh1upXXHvHnyccUPL1F/+Y05nEZx+FN+2YrHtjuzi9PhpGXZiJ2VAXeQVaKsw2snheAb1gY2SfswHyxs0M/Ah9KqSaPCoLpmNLsY51QLxOfnjWYG37by/acciK8TXx4xkAGh9j/v/09jHx8xiAWbykjTPFhZVIuWWVmSqqt3P1PIk8OM/D116GYzfDqqzLPtMcabdw4keXZutW5XLSoSOZIzbmo0VGsOlDEjQsS2ZFbwYQoH96aM4CR4d78dOFQbv19H4nFZSzYW0BCrgSW/yjPZbtPMa9OHY35KXEGbd1qdy66wmKRdUVVldzL48fL87+Ozz/X8+uSMdywfjN78iqJ8XPj4zMGMSBImw+7gpTCSp76N43Ptmbj727gpVl9OW9wMA8cF0O1xca8HTmEe5t4fXY/Rkc03xs3JtKLmXEB3LrI3q30ut8S+ePS4c1yLLaEsjL49Vfn8a1bJVv22mvtY1FRsi5siQRZZaXc04oi+11/vUhZNeSaa1p16Uc9isJc4BkgBjgAPKiqzGvjYccDSaqqJss5lG+AM4GGzsUzgc9re5+sVRTFX1GUCFVVM4908JY4F2e3YFsNF6iqyrwdOVwzf2/9WEOR7PhQLxbMHUZ6qRkfk56sUjPZ5WZyy2tIyCl3alDw9oYMbp/Qi5h2aAbh7y8lJhs3Oo5HRKoUVloI8HBMqZ48WfRC3nhDHoS33WY3NtevtzsW63joIYmABDZTtiwjQ7LSevWSyagxCQliGG/ZIhmRr7wiYrKd1VBGo+vR6SSids01UsLp7S06cdHRztsWFkJBARSWW7hjYhRh7gqjxtrYuE5HfrqJFbWO9RPOrOa5VEdx+kqLjZ255cSHepFaVMWFP+ys79LmadSx9LIRhy1P0Gg/1h0qQW009vq6dC4fEe5QIt0UJoOOcwaHMDnaj4oaK1E+JtwMdi/don0FnPvdTk7pH8h/p/UmyMPAjpxyEnLlFeBh5PmT4tApCnl58Npr4oizWODUU8WI7tfvyL+HxWajqMqCn5sBo/7I2ZDBwaIt1hJyc6WLamSko0biccdJVvkbb4ju02efOe73+uuykBwyREoNR42SebYhWnOXjqWoqoa7Fu9nVvkQdgyz4NZJ/Z6CQlX6x1tZ8LUbF1xXTSaleGBoV8eizQp/vBrM6q8CGH9+EbPvyEXXwFE+6eIidizx4dB7o/hlbDoXXOy4vw6FgQSxiQxm0Ywvm0aLySk38/raQ8SHenH6wGAKK2tQkJL1hqRv8+K5y73IzobIyN48/mIQTx7cSkGlhWXFmYyfEMLKfxUKCsQh2F4B4Ph4qVAwGGTdOn26rBl//12yrjU0OoL9BRWcOm8HxbWdmdell3LOdztYe81ozhoUzORePqw5VMKzKx0bu2SUmtmTUwm4oyjSzCU1VZ6vjWVPCgpEYurJJyVoOXOmNHRpSFER7N5s5N8rR5FVXkOwh4Fwn+ZlqGm0jcZrN5uq8vbGDD6uzVDMr6zhyl/20Nt3BNP7BPDhGQN5/IQ+eBr1hLvQAj8cNhU+3ZrlNP79zhwm9fLB1639xK+9vCQjfOdOx/Hp0+Hyyx3H0tMlIN0c52Jmpthfn34q9pmiSID7vvvs61BFER/Ccce1129z9FDrWPwA6iOpvYEPFAXa6GCMAhpOVIdwzkp0tU0UcETnYrNrvFRVTXP1au7+GiL6e1uDCASISPbOXLsWnJ+7kSEhXkT7ubM4uYBn/j3AB5szCfRwnkQCPYy4G9qnRMnfX77kAQ0SfW682cayqgOM/3Azr6w5SEYDTUiTSSain36SaMfJJ4NHbUJP42gySOSjpqaxW8CZoiJpyjF8uKRdP/kkZDWaW8vKpIS7zuAtL5coyLZtLfudNXo2JSVyHyQkyPuyMtGCq3tfx8qV4tieMcaDzE/6M8EYQYGtivufNBMY6Jh9W1Gqw9dFubJXbcOVNQeL6x2LABU1Nh7+O4Vys4v6bI12p667bUNCPFs+D4Z7m/B3N7AirZj3N2XwR1I++wsquHGhNHOZvzefp1akcefi/fT2t3t2Pt+WRU6tjubq1ZJRWNftceFC+Phjx/vJFbtzy7l9URJj39/MLb8nklRQ0aJrPxJms4hsjxsnjs6bbpKIcR3u7mKw/Pqr69Jqq9X+OwUESDZjQ92n//xHHI4aHccz/x5gQi9fdv/tzfDxndsKd/ppZr79wB1zNSSSTy/aL3BSXa7wwTXR7F7uzfnPZDLkhHIHxyJI0Gj47FL6nZ/FRzf0Immrs0HWl0A2arqLHca2rDK+3pnD1ztyePrfNN7emMH1CxJZnlpIRY3cj/v3wznnQHZtLC4jA564xZura7uqBBpNFBXa5+XKysZnaRuDBsH8+SLp8MILsGoVvPWWlrV4tGA70oO0C0gurKp3LNZxoLia1CIxemwq7M6rwNVqxFatw2SCTz9Tee55tb68dO1ax+3Wr4eHH7aXiP75pzhyhgxx3K6yEoK9TAwN9dIci51Ew7XbDQsS2ZFTRk55DZ9vEyPV103PY9NjeeT43iTklLMjuww3g564AI9mOxYb3vcGnUKkj/N+7gY9u3Lad92o04nTr2FwfOZMSdaocHGqI5Uwl5aKhuKIEbIWLS6WikebDd55Ryol69ahv/wiwfPDyQMcwzwDTiUanrXjbcHVNNV40m3ONi7ROgl0IkXVFipqnAXh8itqXGwN0bUZiZcMC8NstTno3QC8PKsvoV7t922cOFGEspcsgSUrakgcuZP/bUkhqaCSu5bs5xMXERRFcRZyHTfOuZvoLXdYuWn5Dm5emMjmTMeugg1ZuVKM4fx8cRo++qhzqnZOjmRNNmbfPucxjaOXrCy5XxrT8D5ITJSo75Yt4vRZ8oeOx+5wp7pMzyGfXB6Zl8vo48zExcl8+d0H7twyIM7heGMivBkZLqXPB1w0+9iZW0GZuXMdAMcqU6L9iGywSFOAp2f0aXEEt9pi5eU1Bzn5y+3csCCRU75KYNXBYjJKnVdM1Rb7s3RAoEd9g5/Vq52P+913EqVtirxyM5f8tJt3NmbQy9eNuABPblywj4t/3MnKA0VYbW03qLZuhTPPhLQ0cRJ+9BE8/7yjJi7I3D1kiJS4NOT006X0uo7Jk+3PhfXrxaHa3Ax0jZaTU27m/U0ZnNM7jF1bDQwZ1blzS3QfGxHRVn7/zsRe8omifWr9y4t0vHlxb3Q6ldPuy8HL34U4bgPix1fjMTWDh84MpazIcZHRn0DWa87FDiPXxZr0UEk1S5OLWHVAGqAdOCAGZEMKC8Gt3BN3g47Jpkh27JBxoxEmNEupqfnUzW3z50tAZP9+mDvXObio0bMorqrh5z25zPpyO5f/vJs1B4tRu4mjMcBFcNOoU+qDnqVmKz/tzuPyEY7i7+cOCiYqCj6dX8GTT8JfSxVUFTZssDdLq2OzCznZv/5y/P4YDPJc1ug88irMXFq7dksrruKTrVmc9c0Oqmqs9K/VU39wam9eWXOIJ/5J4/Y/kpj88RY2ZTRt7zYkpbCSl1YfYOonW3h8eSp78yow6nXcMj4KYwPpM393AxE+JjLLjuDdawXx8VLu/Ndf8O+/0iBr8GC4+27H7Tw9XctPNWT1aqmAqaugef99WTcGB8vndXa9oriuTtSox1nz6fDjzeUQ0LDOrxc4Laqas41LtI4CnUiMrzv9AjxIKrSHcN0NOvoGutZlmxrjx9AQTwYEeXD/0hTumtQLd4OOUrOVSG8T4yLbX+CrTx95fbg5l2UZjrXNr6w9xNWjwok4QpRs1ChptPL883DwIFx2bQ3rvFP4eY8c76uEbFZfPYr4UOfr/+035+N98IGUQLvXJhD5+krUes8ex+1cdbTSOHrx9xcnSMOFGTjeB/v2SUZjQ7Zv1fGAMZCLF28AZHH4+AvxJC0JYO2/ejwOBvDpaYNJK6ukf6AHk6J96/VNxkQ666VcMiyUkGaU5Gq0nYHBniy7YiRrDhVTWGlhQi9fxrr4mxyJxPxKnl15wGHsmx25nBDrx9+pxfVjOkXmaAA3vY5nZsTVN+JpnEkAUu50OIHrfQWVbMkqw02v49QBgTzwl/3m/X5nHv9eNbLNJfa7dztnT372mXT1i2m0HImJEZ3St96SxeT558NllzlrR8bFOTocNTqOV9Yc4oTYABLXeNE/vvNKohsy82wzX7zhQcQFBUxya3uXtPJCPW/NjSG8XzWT5hY1y5gI8zNQFVnAIH0gr94SyH+/yqv/bCDBfIpWqtBRDAjydGp8Na23HxszSsktNzOzbyAhIeLksDTwfZtMMKS3kbeGDSBng4kRIyAkwsp/7lIZM6Z9zY30dKmcaYjVKutCLbO657JwXwGX/LS7/v23O3NYddUoxkY5N3fqbAaHePJ/k6N5YbW9UvC5k+IYECQ2XKiXEW+TjuJqC0+e0IfscjOhXkb6+Ltz2c9b+G/cUJL2OSYhFRZKI82656srWZWxYyVguGWLyJzcd59Immh0HkkFlWzOcjQm9hdWkVpcxTMz4rj+t0T25FU4ZLaWmq18sjXTpd3QkJJqC7ct2sfCfQUArD5Ywk+7c1l82XDGRnjzyqy+pBZXY9ApeBh0vLz6IMuvHNnuvyPI/RUZ6Th2443S3fz996VL9D33HLlB15IlzmN//CHyaT//LGtljWZxACmFdjXeFjYA/RVF6QOkAxcBcxttMx+4tVaPcQJQ3By9RdCci51KqLeJb88fwvW/7WVTZhmxfu58cMYABjYhwhsX4MHCS4azNLkQq6rywuqDGHQK7gYdZWYrpw0I6rBrrSsDbYifux6T/shWgU4n2gnjx0NWsZnjvtzIwTR7lKWk2sq27HKXzsX+/aUzmqenLBRNJslQa5gJGRwsadVz5thLba68sukFZXa2HKvxhKnRswkNlYfdqafau5dff72k4ddRV855003S9KemRrRFvD0UgjyM5FfWUGNTeTBhB49cEMvYE8x8mFlA6oIqll42nBlxjilaE6J8eXtOf+7/K5nSaisXDQ3lprGRTlpUGh3HwGBPBrag454rSs1WGicJ/pFUIAu25an8nVpEmJeJN07pR4CHga/PHUx8iBdDQ+3Ne6ZNk3muLns2JEQivI2zthtS56g8Kc6fvAIbdw3py5qCfNZkFWFVVRYlFbTZuRgRAY88ItFgi0X0aCMiZE51xbBh4lysqGh95z+N9qHcbOX9zRm8Prsfb31gZOjYrsmI7t3PRmRvK3s+j+KC69oWxKwo1vH23BjC+1cz6eLmORYB9DqFqEAjcaPz+PPpXmz6y50xMyRzPBIfiqkil3JCcN1QS6P1DA/z4vvzh3Dz7/vIKa9hSrQfc/oH8cDSZB6cKhGKAQNEY/a22ySYoShw79NVPLtrDzvyy/F103P141HM7BWCmzvodO0zuWRmijZ4VpYEsL/9VjKr62go4aDRsyiuquHpfx3VtsxWleVpRd3CuehlMvDg1Bjm9A/kUEk1ffw9GBHmhaG2fMug03Hx0DAOZdsoyFLZU1nOsKGhvLBKnJHhgXqeflqetW5ukmX7/feOuouTJkmJaF11VkCAVHCNGSPjBoNWPtoVuBtcF3p6GPSMj/Lht4uHcveS/U6fJ+YfWQ9iX35FvWOxju055ezJq2B6bADHxfjz/R+JrDlUSoiniS/PGcyQJhpJdgQREXDrrWJnu7kdfo0LYnPXad/rdHD77TIvu7nJGrO0VJzlGs3iQRw1FwEqasdbjaqqFkVRbgUWA3rgY1VVdyqKcmPt5+8CvwNzgKTac17V3ONrzsVOZnSED39eNoKsMjOBHoYjtomP8XNnQpQP7gYdVRYbFptKmdnKKf0CDtvIxWyW0mI/v6aNysMxJtKHKB8T6Q3KBJ8/KY4gz6YztA4ckPPGxIhT0GQCvZtKmdm5/EnfhIUxdapkzxysDQy6uUnZS+POqNOny4IyKUkevvHxjnqRIBoPP/4oGTvV1dJZ+IorxCmlcXRw4olSRrJ/v6Tcx8c7GhdDhohm3IcfSrkoiBH06quePOQ7nn/C9vFrag4AeVVmfj+QS15FDROifFw+vH3cDNw0Loo5/QOpsqj09nfD3eDsiNfo3vQNcGdAkIfDwi/Uy0Ssnzu/XjSU9NJqfEwGonybnp9jY6XrckKC6H/273/kaO7AIA/umtiLYeZI3nzSnZ0JOmacEsHTlxXycMJODLq2Oak3boRzz7Vn6wYHSzOtESPs5SiNsdlEasJL89F0OV/vyGZIsCeBBje2rjVy2sXVR96pg5h4QRE7nh6Dcs4BCGqdpmxVmY53L48hJM7cIsdiHREBBlIKqjn9hlLeuC2QjxIy0OulqcsggtlEJrO1pi7tjkmv49whoUT5uPF7UgGbMkt5YGkynkY9Zw8KoaREZGuuvlrkdNLTQedXzQPbd+Bu0PPgkIEM8ffhj2/dOf9rA717q7zyimgfG9pgdeTkSKnd77/bxx59VM6flSXHHzmyzb++RhehKGBwMUm09bnYnvi7G5keG+DyMyN63JND+OpBA9lZCldcHYVXTBnnDwmhqsbGwU2ePP+offszzoCXX3asgoiOhnnzYMcOcUIOGmRvnNHZz+jGNt2xzIBAD24YE8F7m+yJW+cPCWFQsAeKotA/yJMrRobzW6Jjxd81o45cUleXnDAtMoChPv5sLSlkdWZR/fiIcG9+vWgYGaXm2rLo9vEuq6rI59hs8jc+0tzcuKKlMQUFUkr9+OOizd2rF1x4odjwdXJVBoNUKA4c2C6/wlGPqjKv9jZo727RqKr6O+JAbDj2boOfVeCW1hxbcy52AQEeRqfuy4cjPtSbJZcO5+4l+9mZW875Q0K4fEQ4dy1OIj7Ui9l9AxnQIJNn1y549llpMDBhgmhktTSFfkCQJ0svH8GKtGIyS80cH+vHhCYih6Wl8jC87z4xaq+8UgzaPn0gyteNJ06I5bZFSfXbB3kYGRnuepbassXuWARxCr76qmQJuTfypQ4eLK+mWLnSsbX9vfeKgX1Vs33vGt2dOt04VyWqZrNoh6iq3bEI8v6ll2DmTCOnHzcIcy+VZZn5nDEgiAB3AwODPZka43fYB3hvf9dSBhrdi82ZpSxIzCe/soYzBwYzqZcvHkY9Yd5ufH9+PP9dlsLS5EKmxPjy3Iy+xNQ2bxnkoqmPK0pK4L33JIgRFCRz1Tnn2JtbNcbTZOCyqD4cN1FPeW0fr4U/G8jLDuKcG8M4pV/rxQxVVTK6G8oA5OXJHHryya73SU2VBloffSTO0uefl8BNYx1djc7hrQ3pXBQfysZ/jfTub8Wz/ZVPmk1FrwL8JlXx23OhXPxCsyphHDBXKrx/dTS+oRamXFrYKl2lXoEGlmyv4IJzq/n3J0+WfuXFrMvli9OPQNaTrjkXO5DxvXzR6RS8jDpOigtgZmwAeXu8mXmfBPSuvBJuuUXWl/vyrZyaG0HKb2Es2WUgKU40aAF271Y49VRYvUZl/LjWO4q2bXN0LAK89pqsP61WGD1ak8fpyfi6GXnk+FjO+97estbDoOP43v5dd1EtYNMmuOJCY70syVuv6zBX+nDm2d4cNymC2VMd15Tz58P1t1jABA3N8ZAQOOGEzrvuxhQXw1dfwQMPSBDhmmvk59peTcckniYDj0/vw8l9A9mUWcqIMG+mRPvh52635U+M9efd0wbw2PJUbKrKI9N6MyPOn7WHipm/Nw+rDc4cGMz4Xj712a4A/YM8+GLSWD57zYN5q/VMOj6K928oZ3CwfSHp5250OFdbycsTybG6poS33w533OGswd0Sli2TDEeAJ56AO++E3r0ddfAtFmlYNGmSc6d0DdfUOhLb7EzsTDTnYg9ham9//rxsOMVVVpYkFzDjc9Eb8nXTk1pYyY3johgQ5El+vmhm1YkC//GHPPDWr2/5g2FQsBeDgo8cKtuwQbLDrFZ5ffQRhIXJpKUoMHdoGJE+bnyzI4fBwZ6cOySkybLGQ4ecx/bvlw7UjZ2LR+KXX5zH3n1XRL+1soKji5JqC2VmK2FeJvS1Ue6tW+GCC8TR3ZiMDFnALVqg47LL+vPYrF6Mi/JlVr+OkxrQ6Fy2ZpVy/KdbsakqZwwM5tudOajAjD6SdTA8zJtvzxtCXkUNgR7G+kYtzaWmBp57TrIXQRZrl14qQY0pU5re70Cy3bFYx7rVOp57uS9jI1u/eLRYnHVoQRyIrspYLBbJmnjjDfv1z5oF69aJka7RuWzLKiOrrIaxkT4896KR+NFd2yQqlWL6n1fK7vsi2LvSk4HHNb87pblS4YOro3HztHH8VQWtFmwP9NFTZbZRXGFl1hXlfPKoPyfNLUdvEN3FtbhYMGi0GzpFYXyUL+NrA8vbtkmgoq451EsvSXD5zTehf5AnxgR3vvtcx/33w3ffq9xwt5mCHB2/fW+kqgq2JNgYP671mf7Fxc5jRUWytj1coFmj5zC7XwCLLhnOl9uzCfc2ctHQUEZF9Ay9ju3bnfWOf/hBwWRSOP10t3r5noasTS2nOKKKucPC2nz+vAozNlVtc6PPdeskaFDH+++L0/6xx9p2fT2dMG8T5wwO4ZzBIS4/D/AwcsOYSM4cGAyohHu7seZgMcd/upWaWh2el9YcYvmVIzguxr9+v+JcAw9c411v/y761cCBJF9O+dtKhq3awa5pL5YvhwcbFNa++KJkyN54Y+uP+emn9p/NZgn83HOP83ZpaZKVqzkXj160/IQehJ+7EYuqcnttFuCUaD/+b3IMvybmc+Jn23hz/SFSss1O3cZyczuuk3Jamjgv4+LkYVQXtfjiCzFWAQI9jZwzOITvzo/n8RP6MDys6XQMV9G6668/so5OUZGIe592mlzH1q2SOdmY/v3bVpaj0b1QVZV/Ugs5+YttDHtnA/csSSK5QEpd9+4VfY/hw50zsc46C/78U0rkF35vYnwvPxRNN/Go4u/UIvr4u/Po8bFszSrj1z35/J6YT2qRvRTaw6gn2s+9xY5FkDK8r792HAsPFzmK++4Tnc/ly3EyKHxdJIB7ekJ0sMnhHty2Df7v/8Th99VXMo8fDqMRrrvOefzcc11vn5EhWZcNsVhg507X22t0LB9vzeTkuACwKaxbbiR+TNc6F9MoIsrTjenX5TPv7khKcpv3Hakq1fHuFTEY3FROuD4fpQ2rTJ0iuov7Ms30G2nGx9/G399JwHMQQWwkAxX1CEfRaC927nTuOv/xxxIUzs+Hr76QP3bM0CrmPJfKz+Eb2Tl+C09+k8/AITbMFTpOP11l/nzJ+m4pgwY5l2eecopUpCxaJGXajz3mWKmg0bPwMhmY3S+QL88ZzIsn92NsZNdrLYLc3z//LOWeP/wg99pZZ0mFWF21QGNpJhCnXG6u2EONS/Z9fcEaUMFDy1LIq2h999+yagtfJ2Qz4cPNjH5vM2+uP0ReeeuPV6cj3ZDPP5eyV40jE+5tIrxW8uzL7dn1jkUAq6ry7kbHhrv79jkm1kRGqlz7VBHn/rKN4e9s5P/+3E9y4ZG1G1vC/PnOY598Ik7B1tK41LmqynWDouuuk7Vya0hMlAqhSy+V9XdWVuuOo9GxaM7FHobVpnJydAj3xvfj4vhQHv47heTCKtJLq7ltURJbywvo1Qse+Cifhzfs4bFV+7n2v6UdotVRXCxi3vfeKxPV88+LTsiZZ0qZ6pH0GVwxcaI4JiMiRF/k4YfhoouOvN/PP4sRvXAhvP22ZA4df7yjzpinp1xvY/1GDSilmtUc4Cd2s4VMzLROY6uzScgp5+Qvt7MuvZSCSguvrkvnyX/TqLZYCQqSqNnrr4sB1L+/ZKxecIE88FJTpQRAE4A/OqmssXHJ8DDuW5rMnrwKssvNvLz2ED/sOoKXrgEqKrvI5Wd28zcp5GHP3vL2lnuqIXfeKffX//4nWdInnAD//OO4zdChYpQ05PnnHbsx790reqIvvihd9y69VDLCVVWMnAMHnI18kCZXzz0nEeG6xlfTprn+3dzcJHu3Ma2ZtzXahsVm4+uEHE6KCyBho4GAEJWAoK5zmtVgI4syQvAmZngVA6eV8+G10VSXHz4AU5hu4PXze+MdaOHE6/Pbpbw+IkDPvizx0E+/oIJv/ueHqkIoXqioHKQVXiqNVuFqbggMlKoSLy/RPO7dW2WnKYs3d6aRU17DrvwK7t2awC1Pl7Jnt8KCBQpnngm/LnDW4j4S8fFSjTNsmARTLrpIGlYtXixz3yefiN7XCSdoQRKN9uWzz0TyJDJSqp8++QR+/VUSGuo6444b5yjRo9PBfU9XMfKmg5iCq/jiCzjlVBsGA4waY+OJj0p4N3k/VptKWYnCgQPOwcjmsOpgCXN/2u1gC/6e1HpPoKvEjPj41un3H+uoKtwwsDe3D44jxk8cjiXVVtQGKa6N7fMbHy3nvh3bWZ9RSn5lDa+sPcRTK9IwW5qeMw9RwiL2sYBEUik84nUNHeo8Nnr0kZu1HI5LL3UMnvv6yjr12WdF09HDQ8qvr7+eVlUzpKfLd/DOOyXgPncuvPCC67WwRtfSZTlciqIEAt8CsUAqcIGqqoWNtokGPgfCARvwvqqqr9V+9hhwHVBnKT5YK055VFOQ5EH2uwNJKtEx6uFEp88/2JrO5+sMnBv5EYVIZ8XR46K423ou4BxWM5sllX//fokkDB/uOvrmir17RZi1IcuXi9bCSSc1rTt2OLy8ZIKaOVOuLSrqyPpfeXlyzoZUVEiE499/RcexpkaihsOHt/yajnbKqOY5VvIMEq5UgC85h7kcoTtFN2BXbjlmq6MR/sW2bP47tTejR3uwebNofrzwgjhrZs6UbpN1eiP33uucfaZxdHBSH3++TMhxGn9rQwZXjQw/bHOqOv4hjVP4iioki+wcBvEWcwjHh4AAMWxnz5b5JSJC9GIbGwcvvCA6hnUZN8HBEgC55hq5FwcMkEVdw8XW1q3OWQJPPy3dqa+/HlJSRP7i3nsdI8OhoZI1eemlMm/W6Y/t2yeBH6NR5sCYGJGuePVVaaBVx9ChWkl0V/B3ShEhXkai/dyY/6eRwSO6NmvxIMUE4YmpNv487uxiln8cyNuX9OaaDw7iG+IYfFJVSFjsw3cPhTNyTgkj5pS2uhS6MVGBRhZvEx2BwROq+e19bxJWujF8ajWDCWE96cSg1Vd1BqNGyTqqYWbgq6/a55mHHoKvfjXzfZpjZo4KFHuU8fHH9r/TM08pjJlQQ9JO0agbOtTeuKIpFEXmuzvugORkaaZ16JAEoRtSVCRSQPHxrfs9NTQacuCAZMQGB7sO7D37rFQY9OkDCxaI3ZFfZaZq5CG2xmQSkNCbjOIa+kYaefE9M1+uLWB3WQn37M4h2MPISwPHcNZsI3v2SJLEI4+0rOHFz3ucA6Zvb0jngviQVjUcnDZNvo87dsh7Ly/5brdUmupYp7gY4jP68uQjeqqr4fJbwsgdcIDLxgY6VKkMGiSNqj78UN7X+JdjznS0az6vtWviAp0N673kcRbfsgcpF4zAm8VcyjCaLrU//XR46y25n0GCRDfc0DqnXx2jRsHq1WJzW62SsXvPPbI+OO888S2MGSM2WUOKiqRSJytLguzDhrm+13bscA4avf66ZEIOGtT669Zof7qyQPR+4C9VVZ9TFOX+2vf3NdrGAtytqupmRVF8gE2Kovypququ2s9fUVX1xU685i4lJQXmzFEoKFAIDQVf1VlXI8bfjcWh2+odiwCbjemsNx5gkAvn4vffi5FaF0S5+WYxYhtmcxUVySIuL08Wf/Hxkv1nbSK5bfx4EWttC2GN5kSbTQzkggLpptarl+PnriZEi0UmHG3SOTw7ya13LIIYAjexkIn0Is7FPdOd8HZRzhrgYcDdoCM80H4fV1VJCeh774lxdPrpUFKi8s03SoubHWn0DEZH+rAuvdRpPMrHhJvh8BGL1FRIy7CwNqwcv75u9c7Fn9jDNYxiDqIBNX26dGjevbu2xH6h87EqK2X+akhEhGQ8NEVTC7yFC+VcIM7xykpZkDbWkG0oyr1liwR76pyV/fqJATRwoFxDXQAmNFTm7sYLP42O55sdORzf2x9VhZVLjFxyc9WRd+pAUikmFHs6haKD6VcXsPEXX54/OY5pVxUy5IQyDG420ne6s/qrAIpzDMz6Tx4RA9q3w3WAt44qs42iciv+XnomnVbBz2/6MnxqLgMIYg2HOA8XHb002p3oaKkSWb8esrNh7FhpZLV6tcxpEyeCb6iefxYbyW5UlllTpncouVMUeP0FQ700Q2ioSJUcKQD86afifKkjJMR1KZ+la/3zLklNFePYYBDnTVuaJ2h0HqoqDsWmtNp1OlkH2GziGJl2aRFjdO9zRsYYkq48jpWLZUeTCX7/3Z3Tx3uTuKaQ4WFePD4knvNOMtUHJefNg8JCsc0OV3FWUSE2kdkMU0ODeJ9MB4GI3n7ure6y3bevNE7atk3Wzk01TdQ4PCtXwq032G2U159249W3+jCt0RrLx0fs7jPPhKQkCO2jh92O2wR4GJpcty5kX71jESCTMj5hKy8zq8lrGzxYkoG2bRNbftgwCXQ3prJS5qyDB8XubpzBWlgoyUkGg+wfHy+vggJpKFunk/vxx/LvO+84Hr+kRLLNX33VPvbpp3D55c7rYFc+B6vVeX2t0fV0ZVn0mcBntT9/BpzVeANVVTNVVd1c+3Mp8nU7Zh/Hdc41gJwciCyT7rZ1uOl13DYhiu8NzvUgDSeeOlJSRJ+woQDx2287RgYKC0X0ddo0SUceM0bKUkAmkokTHY85fnzLO1MfiepqKUkYNQomT5bSg9Wr7Z8HBzsuNkEeyhMmtO91HK3kUu40VkI1hbSvxkdHMDLcm3GRjmLfL53clyhfWcxNniwGy6WXymezZ8t98cwzcOWVCpddZo/OahxdGHQ6Tu4bQJSPPUNRryg8Pr0P3qam42p//CHZe9OnGHh2dDx3/XIlUTb7PZbd4Pui04kxfOGFUop39tnOmdZ3393yiP+IEWK0N+S665zLlebNk1KRprBaZU5vmAWZlGSfw93dJRvyttvkd3BVDqXRsdRYbfy8J49pvf1IS9JhrlaIiu3a1XISBYTjWAOr6GDcOSWc8WAOh3a48cV/Ivnw2mjWfedPv0nlXPhsZrs7FkF0FyMDjSRliQdp/Kwq1v/hQVGujsEEs4aD7X5OjaaJjRXph1tvlXXouHEiQzN6tOgeDokz8PzMOBr6NWL93LCk+joYgTfeYuOrr+wb5eQ4NgRwRU6ONJdoyPz5dq3vOtzdxfHZnUhIkP+n006Tdcjs2R2nha7RvkRHy3M8L0+y/hvrfp5zjmQunniiaDFmVVdRQCVxm4fUOxZBHIG3364w0MuPb84dwsqrRlGd7eFU7bBokT2jzBVZWXI9o0aJzfXO3UE8Mdzu/XPT6/jPxF4OHYlb8zufdppknGmOxdbhStfwi48N6G3O68/QUPn/vuMOmDrAmzERjs/fV2bZ7ZrGbCLTaWwVB48ob9Wnj0j0nHuua8eixSK297hxco+PHy/zb10wZ98+WfOOGyf34n/+I9U4IJmQje1yT0/nxKOdOx0diyDzeXKy8/UMGeIckLn8ckdJIY3moSjKx4qi5CiK4tICVoTXFUVJUhRlu6IoLapp6srMxTBVVTNBnIiKooQebmNFUWKBUcC6BsO3KopyObARyXA8stBAD6ZxI4Dn7vTmnudHEXFCCapOZVyUDyPDvbiYoTzNvw7bTiHa6XjFxa677+U18ENu3+4YabBYpCxvwwbRHvn8czFwFy4UzZu5cx11DtuDnTulhLDOCZqVJc6iNWvsGY5nny1Zap9+KovfSy/VSqCbSxyBmNA7PIj6EkB0Dyg16+Xrzvfnx7MuvYTsMjPDw7wYF2V3BEVFyQMuI0NKWA0GcaTUsXu3lLt8+aVW8nE0MjDYi78uH8n69BJKzVbGRvow5jCdJ5OTRcerbl4sKYFHLw7m7q0zeHrgLwAMoOmO4uPHw19/SZe84mJZbJ14Yiuue6As6n76SSLGkyfLXJycLMGbTZtku7Cww+sgVVfbt22I5lDvPvyTVkSUr4lwbxPzlhoZMtrSbiXFrcEGpFDIeCJdfh4UXcPxV3fuUkt0F82M7euBh7fK0ClVLPncmzl3B7ONbCzYMGgS4p1KYiJcfLFktoBUuFx0UW2mdJ8AVl41ii1ZZfi5GRgT5c2G5HIuuVWhIN3AyVeXcmC1L2Vlkp3i7i7HWb9eslCa8ol4ekpmdcPmB7m5kinz5ZeyVu3TR4Lmo0Z1+H9Bs1FVyTTPaFAtvmOHBHka6/ZqdD90OrmnYmLEYfTxx6KlXFAg2u7z5tnlUH77De5J9mVIfAjVuc7OoMREaQATGKjDoHfdMdfP7/DP9X//FU3nOlatVJg4MZhvrxxCYaWF8VE+jAzXxJO7GldOrwEDjqxrGO3nzg8XDGV9vV3jzbiopv+ep9GfeSQ4jF3KMEy0rcFAYqJoJDbknntgxgzJvP70U0dN8Q8/lM/q+iSccQb88ovok/buLXb5iBGOx8tzznuirMy1byI2VubMjz+WrNC5c8X212y3VvEp8CYiPeiKU4D+ta8JwDu1/zaLDnUuKoqyFNFLbMxDLTyON/AjcIeqqnXq3e8ATyJVnE8CLwFXN7H/9cD1ADExMS05dbdiyBDJXvngA3lfVgaGQi+uGuXlMFldzSj2kc/37MIDI58UXkhRuhdvlB9iZLg3YyN9pEtqtDjgtm+372syOereZGc7X0dGhiwkIyNlYfToo/DAA87RvPYiNdUxuxIk63LfPnG4enjIw/issySCUlHR+k5UXUFX35+DCOYnLuBafiOLMgYTzGec5VAW110pKoLSQ+5M9HUnxoW+UmEhLN9QzclzK4gI0rN3uTeNE7YXL5YHXONSew07XX2PtoWBwZ4MDHa9Us8qrWZ9RinJhZUMDvbElB5AcbHj/VFVBepBX3wHuvEyJzOKiCbPZTRKqfTUqTJnHakzvarCnj3ixIyNdZSDWLZMHJVhYdKkpbpaFqbDh9sdhq+/fvi5zmwWXcZdu+T3eOcdeW6ccsrhr6un0ZPvzx925TIlWizMlUtMTJ3VhnaN7UAO5Zgw4E0HPdBbQWSAgWU77M2Uxs+qZP67Ppx/VwkRijfbyWb0Yb6X3YGefI+6Ij3d7liso6REHH9xcTomRfsxKbqB5ySokqXj/iCHXJ6jmEdKbuWKW63ETS2hWKki0OrFQG8fdDqjw/HS0mTNaTKJxM1TT4l2cl3Zc9++sjbu108yKvX6I+t0dzbV1Y7VNnW4Cvx0FV11f1bWWNmYUcrWrDJCvUyMj/KhT0ArBNtbc+5KsS+MRnEEHe6+iYiQxIorr5R1ZVSUPFMXLZJki4YkrvHki/iz+XeAc/XP+ec7PueHD5fn8aJF9rFXXjm8PMmaNc5jv83X8fB/Q106K48Wetoceuqp8PLLknEN4jD+z3+O3FT00CEoL3ZnvJc71QYI9QPPwzgkT6QP9zGFl1mDFZVrGMWJxYP4IT2H9BIzw8K8GB/pg7dby1w+eXnO+qJ1WoplZdLQqDErVtidi35+Uup95plNn6NfP5EbaJi9O2iQZM66YuhQeOklua6O8jl0N5THl88FngFigAPAg+qj0+e15Ziqqq6oTdprijOBz1XpPLRWURR/RVEi6pICj0SHOhdVVT2pqc8URcmuu1BFUSIAZ+V92c6IOBa/UlX1pwbHzm6wzQfAgsNcx/vA+wBjx47tuhaMLaS4qoYVacVsyihlcIgXBh3c8ogXF13kSXKyQlyclH40joLEEcCnnMWjTKemyMhl3+wjIcdeOvTteUO4ID6UoCDJjrnxRli3TpwrH3zgmALfr59Elhs698aPF8diQzrqS242OzsWQR70y5dLOvVzz8n1fPONdJEqKZFsobfe6hl6i119f+pQOJUBbOA6CqkkAm+Ce4BjMSFBnO3r1kkJ6dtvi4O54b0YEGbFc3YaihrG24/6MGmCc0rQpEnNb2J0rNLV92h7klFazZ7cClQgIaeMOxfvr//s6+mj8fT0pcLux8BohEl+wZxUeAVjPILxdD9yO73mdKQvLZWM7/vukxKosWMl43HyZPl82jRZmKal2fe58EIprTvlFNHMOVzzlbrSqXm1S5CgINEcTUlpuoN0T6Wn3p82VeXXvXn876S+FBcopO7Tc9Wdhy9l6miSKCCKprN7u4IgHz1lVVZKKq34eujpM6yGijIdiZtNDB4TwhoOdnvnYk+9R5siLEzmxobGp4eHjKeXVPN3aiG55TWEe5vwMekZFeHDm75zSKMYT4zoZhhYYNnDZ7vz6/d/eFIfphdEExyoY9s2cfw88og9AD58OHz3nTzzExLEWB8zxp4h1JZOpx2Ju7tk2TR2JnanIE9X3Z+/JeZz4Q+76t8PD/Vi/sXD6O3vnI5UXFXDrtwKSs1WBgR5EOvfeidkSoo0Apo3T5wb//2v2EKN5Ugac+CA/C3rHIqDBql8+63Cli1ynI8/lqSL0UQQOboS3y8s3PMfAwUFUvb66KOO2o2hofDRR6LZmJMjVQtHaqo2eLDz2Iknuu7mfjTR3edQs9XG2kMl/LArBzeDnvOHBLNihS9btij1TUWHHaZPptUqTub77hPb5o03pFpl1CgpR25K6iEMb57kBK5mJFZUfEq9ufX3fQwNk+f4qoPF5JbXcOHQwxaIOhETI9+HfPsUja+vBLS3bpUgeuMGK9HREgxq7CNoikGDJNv3mmukSmfsWFmnhoQ0vY+iHHOOxQ+AugyJ3sAHyuPLaauD8QhEgYPmzKHasa53Lh6B+cAVwHO1/zr5wBVpp/QRsFtV1ZcbfdbQg3o2cNQVen27M5fPt2WL6O+P8vD1MOj45cKhXHti4GH39cDIEEL4JSuXhBxHTb07FydxfG9/wrxNjBwpacaZmeJgaZwFM3SoPHxvvlmidSNHyiTXsOFLR7J3r0QpbrsN3nxTHI0eHvD881LSmpgI//ufRBSvuca+37Jl8hD//POmRZg1HOmFL73wPfKG3YCSErjpJjEyQB5+F10kC76Gmp9p5RUMNAaQs8mXRQsVfLzEAfnLL/J5SAg8+bQNL69uluqg0SHszi3n7G93sDdfMgrGRPhw16RevLxG6uzu37yLd94fz7VX6aipESfh/c9Vctfq3ez7vYL3T1e5bnQzV01HYONGyYKoi9hu3CgdA//+Wwz0KVNkDnv2WQmynHeebN9cfZmNG+2ORZDvyPvvSylLe0tXaLSOzZmleBj0RPu5seQnIwOGWjB28aJ5L3lE0r2sVJ2iEBlgJDnLzMg+Huh0MGZGJUs+82bQmCBWcpBbGN/Vl3lMMXCgGIHXXSdGsdEoTpLoWCv/WZyCm0HPlqxSVh+UYqM+/u7Mv3goQ0PFwP23qohFKfkOx3x+fSrTQkLoW+zJM8/IPNiwsmb7dvjhB+lc29O62p97rlz/55/Lc+XOOyXL/Vgmq8zMHX8kOYxtzylnS1apk3Mxu8zM//25ny+2S15JsKeRRZcMY2xk69asn38OX30lP1dViXNx+HBp9nc4FixwzFTcs0dh8WKxozIyxLlYtwYN9/DgqkvhpOOloqpXL9dNWiIijnzeOrKz7QHCFStkLDYWrriieUFNjY5jZVoxJ32xrb6xzmtrD/HPlSO56KLmpZPu2iVlvv/3f+L4LiuT8S1bZP23dm3TlSpG9AxAFnbL8gqZFhvAw3+nUFEjQrc3jY1kbKQ3fQMPU2/fiNhYkea59FJx/EVFyZry6qvF9nrnHckc318bn58yRTLa16yR+a45KIpkoq9fLz6GiIjO8y/0EJ7B7lisw7N2vCOdi67EeZrt0O9Ki/o5YKaiKPuAmbXvURQlUlGU32u3mQJcBpyoKMrW2tec2s/+pyhKgqIo24ETgDs7+fo7lKzSav67LIXZ/QJ5Z6NdqKXSYuOq+XvIKG1eN8nSaucsiLyKGqosdmVtf3+JhLmatEwmu47Otm1SptdYM6EjycqSiWrVKjG0H3oI7rpLJrD//U9EZr/+WtK0G/Pzz7K/xtFHRobcEw1RVWeB9Moqlcz1fmRkyDz53XeyyHv8cXjySXj2y2IsYSVoHBt8ui2r3rEIsCmzFL2i4Osmq/K0kirip5ezZQt88ZOZ/87L5TtDAvuKJJXx4WWpZJa2T9OKpCSchNx377Yv1IKDxeBJSBB9rs8+a5lwdWqq89iWLa6FsjW6hl/25DGxlxjHq/40MmhE12Yt2pDMxe4YZAr3N5CUbS8ZHz2jimXfeDHYEspqralLp2MwiNG5datIi2zZIiWf+4sqmZeQg6+bvt6xCJBSVMXbGzKw2sQ+Kap0vtfNVpX0XCubN0vG9u7dTpvw118d9Rt1LL17i07ejh3yevppx/LYY5Fqi428ihqn8TKz872xIb2k3rEIYsc8+FcK5S62PRJFRY6Btzoa6sc1ReN1J8jfs18/cbLfd5+zXlx0tDjjD9f9ubkcOCABx9BQ0Qt/5BHRuy8qavuxNVqP1aby6rpDDt6XGpvKD7tdGKdNkJwscg86nd2xWEdamus1nStUVeXlNYfqHYsA72zMIKWoeX6DhkybJo7EbdvEqZ6aak/q2LJFnKGPPCL3YmSkVAy2plFVeLj4ITTHohNN1f93tC7AIXBo1tELyGhiWye6LHNRVdV8YIaL8QxgTu3PK3HtPUVV1cs69AK7GKsqC62GTsA6MkrN5FVYaNQk1yVDQ70w6BQsNvuUd/2YCKJ8W5YeoaoSYehsLZvoaCkp2bxZXiBdqK68Uh7kFoukVbt6aA8Z4twER+PowM9PHmQZjaa60EZZ/30D3Nm+zsjMBgINS5bIa+6VFr4P2MeDkU2Ie2gcVVRbrCxLKXIa31dQSS9fN3blVjAy3JvYADeComCzpYDHf9njsK3ZasPW7Njd4XFV9uHt7ViWZTC47uLXHFx1fp46VQIzO3ZIFoW2kOta5u/N59rR4VhqYNMqA/eeWXHknTqQQ5TggbFb6S3WERFgYOVu+/9PaLQVvxAreX+HUTyzikxKiehm5dxHO0ajVLcMHWofs9pUwrxNpBU7G7LLU4uoqLHi42ZgULAHAe4GCqss9Z+PC/MlAHeyC8SxeMMNsHSp4zHOOaejfpuOx91d67zbkEgfE9eNjuDtBgkURp1CfIjzgv5AiXNQb+2hEgqravAytSxlz8tLyi8TEx3HXZUbN2b2bMmebcj48fYsyKwscfRVV0N5uZSWtqcOop+fVG/98IPjdVxxRfudQ6PlqKhU1Dg7uqtqnG34pqhbE7oq+XVza758k7tBT7qLIHhhpcXF1kcmIkJe4PidWbdOJAAafx+O1EzVbBZHqqpKwFyrLjwsB5BSaFfjHcl8pGnyN0gjl+Lm6i1C12YuahyGKF83Hpgag7tB5+RdHRDkQYR38xb/I8K9WXzpcMZF+hDsaeTeydHcPTEag05HURH8/rvocr3zjvODFuQB+dVXUg49fDicfLJk0nQWAwbAt9/ajeCQEMnm+fRTcRyqKrz4okxwZ5xh38/dXfTLNC29o5OICCnxbNgw46qr7Fm1NTUSYf7yAxNnnmVjwwYpm68rGxk/XiX+jEK255W1SbdHo+fgZtBzziDneuDxkT4UVVq4ZFgoX50zmCBPmVtHhnvjZXQ0Wu4/LoYo35avhLZskUzr//5XOj2azTKfXtYoRPbii5Lh0B5MmCCd/eq+I8OHi3MxI0N0f2bN0rpGdyWHSqo4WFxFfIgXCRsNBIer+Pp3rYzUXvKI7oZZiwAhvnoKyq2UN6jGGHF8FX9/7c1QtOzF7kLfQA9GhnvTL9D5uXr2oGC8ax1B/YM9+ens4UyLCiDA3cDZfcK43H8g8X2NDBki0ielpaJTV8d55zm+74kkJkoG4913y/r7WM44M+p1/N/kaP5vcjTBnkbGRfrwx6XDGeGi07Gr+2l2v0BCDtfpoqnzGuX/P7CButSoUXDCCUfed9YsuPxy+/uTT5bEi7qut+PGieNkxAh7mbWrDNzW0q+f2DYNufvu5jlGNToOg07HHRMdu0IqwAXxzdc5HDpUKvMWL5bS44a8/LL87evIzBTb+M474YsvJKO1jgFBHgwMcv6+xAW0va3ypEn2n7dtk/t83Dh5r9fDgw/K2rMpMjMlu7cuKHX77VJyrdEkDwKNo84VteOtRlGUr4E1wEBFUQ4pinKNoig3KopyY+0mvwPJQBKi+Xhzi46vuuqWcRQzduxYdePGjV19Gc0iu8zMirRCkgqqeOrfNCpqbET5uPHDBUOY2KtlobDiqhoqamyEeZvQKeKufPNN0TKso39/yeiKjbWPrV/vPFEcf7wIsPp0YpJASop0VV27Vjqk+vhIM5dBg+ShqihSGp2QIIu1huPdjMNeUU+6P7saq1XEhJOSJNtr+HC7M3nZMtHxsNngjjugqEglIUHh1FNFE6o4PJ+HN+/hlVn9uHxEGB5GTaymliN+Y3ryPZpcWMlti/bx+74CAK4cGcYj02JxMygEeRhxMzjeBxvSS3hzfTqJ+ZVcPzaCU/sFEdrMwE4ddcLXdWUuiiKLx5kzpcRk61YRch8wACZOlMBIe5GUBH/+KQu6gwelDG/tWnv514knSse/HiYEf1TMoe9vyuCHXbk8ODWGt570oKxEYda5Xdsp+jXWEU8Isfh36XU0xW+bSjlpmDfDYuRLUpij4+UbgrgwZxHeRgOvMruLrxA4yufQ5pBSWMnqg8X8kVTAVwk5qMAJsf68d9oA+gc5ykclHbByKLcGXZWJfn10REaKdMkXX0in+7FjpdtoWBh88okY2T01+y8tDU46SeblOt54A269tdMvpVvNoTZVJbvMjJdJh6+ba2dhUVUNr609xFP/HsBiUxkR5sVX5wwmPrT1D6+kJNG5c3OTgFtzm1BUVEjpZ2F5DSUFeq6+Ukd+vqxBn3hCnOCWBkli55wjSRrt9WyvrBT9zpQUCbSPGHHUVSH0yDm03Gzh79QiXl17CA+Dnjsm9mJqjB8mQ/PzuEpKxI4tLRUbp7hYsvuGD5cGViD333/+Ax9+aN/v1FNlzqyzgdYdKuG873dyqKQaD4OON+f055JhoU5r3JZSWCjBkSeekOSj888XiamSEsmoHTDg8I21Pv/cOcv27bdFQ7+H0WnehY7oFt3RaM7FHkC1xUJacTUFlVZi/ExE+rT9CXXggDxMSxrJzf3yi2Pb+Hnz4JJLnPffu7f15XptITtbDPGQkKaFbbs53WpRdzRiNksW6+LF8v6008R50revRNZ03mZqfKroF2Xg8tM86p3tGkAPXdS1hJJqC0kFlegV6B/kgafx8OogNlXFYrNhaqVa+v/+J5HahkyfLlkrHp2QNFtWJkbtwYPSmCslxfHzxEQJLPUgjoo59PR5CQwP92JmXABzj/dl7o1V9OrT/BKq9qacGh7nH65iJMZuWtSycX8VbkY4e7w9uPrmfwKZ+Xwy62b/w2Zu6MKrq+eon0ObS0l1DalF1dRYbfQL9MDPvflZZnXNTzw8JENmwQKpVPntt56bvfjrr9JQriG+vuJMiOloBS1HeuQcWmO1sa+gknKzlT4B7gR7dq18g80G116r4uur4O0tzsoBA8TZ0hC9XrSUe7sqbtRwRY+eQ2usNhRFshk7gq1bJdO2MatWweTJ9veZpdWkFVcT6GGgX2D72To2m2Tnms0iv9OSdezZZ9sbatZx3HES8O5s2bU2ohmOh6Eru0VrNBM3g4EBQe37p7JYJPrVGHOjxAlXgtOxsV0XJQsL00SwNQ6P1epYapSSAvHxIqAumAATb70FOu3xcMzh62ZgdETz0651itJqxyJIBLoxRUVyn3YG3t5y/2dkODsW4+LaVw9Ko3lUW2z8k1bEtaPDOZiso7JcISq26xyLALvJpRe+3daxCBARoGd9kuPCZehxVez/NpK9s/Mpw9wt9SKPVXzdjAwPa3nZKsi89f77zvNnY13lnkTjBl4g6/CGWW4aTWPU6xjiQo+xq1BVSE1V+Ptv+9gTTzhvN2yYpv9+LGHUd+wztLGd3tR4hI8bET7tL2io0zmWaLeECROcnYtTpvQ4x6LGEdD+nMco0dGSxdIQb29HYW6QdPurrrK/N5kkJbotC7y8PIlS/PGHs7GrodEcDh6UEv5lyySbtSEeHqJDUsfOnTBmjKNTuk4/VEOjPcnOlntyyRK7jsysWc7yDHff3fmlyKNGOWpFtcdcrtE6Vh0sJtbfHT93A2v+MjJklKXLJTy2kd1ty6HrCPMzkFtipcpsd8QOn1rN2vleDLAEs0bTXTxqiIuD995zNDofeqh7lkTX1NizKzdtch24B3EyNZ73b7pJ1uMaPQ+93lFaCkS+qWF2qoeHs/672SzZZ7/9JnrMrpzOGhpN0a+fSOg0HuuKasKWctZZjpUyMTFw6aVddjkaHYSWuXiMUidoHBkpzVGGDhXx/8aiwMHB8NJL4mDMy5NJoS2Lu4MHRfC1oLYbYFmZlK+6SvHW0HDFjh2iL1InYDxhgujZ9O1r32bWLCnpf+EFiRiPGCGNNBITpcFFS/R1NDSaQ3KyOO9MJikbOXhQyuDGjxdn4zPPiF7NXXfBnDmdf33BwaJTe801kJ8vC9HuaKgfCyzcl8/YSMmeXfmnkfHH13Tp9ZixkUg+4+nek6JBrxDqZyAl18zgKJGHCQy3EhhuJXjFYP45MY2Z9D3CUTR6CueeK2vS5GTRlhs6tPvpw6oqfPed6IjpdKKve/31YkQ37oI6eLAEn158UUqhr7wSLr748BplGt2bGTPk7/+//8nfe+5cGD1aNPGKiqRBW0O7ymKRcv8bbhDn5HHHybZz5mj3gUbz2LpVupYPGgRr1kiTldtvlzVed2fQIPjrL0n6sNlkTu9kSQiNTkBzLh7DREeLYPaNN0p0rakHW0CALJjag927RZR2xw7pzBYTA889Jw/b5rSjP3RIosPffCPXdPHFmoF8LGGzSalUw85o69bB0qWOzkWLRRb6s2dLR8C0NFi+XCJk8fGdftkaxwDr1onW54IFcu/ddJNkJtx3n4j4T5kipdBNGcdmM6xeDe+8I/fvTTeJ4dGeDV4CAmDatPY7nkbrWLSvgFvHR1JarLBvp4FLb6nq0uvZQTbheONB97duIwIMJGXZnYsA8ZOrKPihH8tO/L0Lr0yjvTGZYORIeR0Jm02aVb3/vgTCb7hBtG07uvFgUpI4E8eNE4figgWSEe7hIUHOxuvacePgyy8lu1Erle35+PpKoO7qq8UJXlgoTsPp011vn5gIt9wi98HZZ8PChRL0UxSppmnP571G96e4GP7+W+atyEi49lpJmGiqkiE3V+ab/fslW/Hkk6Xp1UMPSbLOHXfIerM7zy3R0Vq29tGO5lw8isgtN1NqthLhbWpR99vOmoQKCuCxxyTSAhJ9GTJEJseSEmnScjiqq0XP5IMP5P0//0jG2j//aBPVsUJVFaxY4Ty+YYMYE1ar3GeffQb/93/2z/385KF72mmwciUY/KqpqLER6WNqc/c0jZ5DVlk1lTU2onzcWtTBrzmUlzs2bvnnH3jzTblnPT2PLHq9Zo10b67rsfbTT5LxOHNmu16mRhdzsLiKzDIzA4M8Wb7AQP8hFkztL4vUIjaSQT8Cu/YimklkgIEtKY7O2OFTq3nv/hCq3symUlfTI5ykxwKqqnKwpBoF6OXrhtKBtf8bN4pDp6Y2CXjhQvjhB8l+BFkX6HTtrxeelyeBoTPPhPvvt48vXy4ZOiee6LyP0ahlqR0tJCbK37igwD725JPi7HF1u+fmyjr17LObf780F5uqcrC4Cr1OoZev5qXsCfz2G1x2mf39l19KkHn0aPuY1SrzjK+vOK8zMuCyG8xE97MyONrEFXP12GqVQv7913He09DoCjTNxR5OZY2V4iozi5MKmPjhZvq9vo65P+5iT15FV1+aE/v22R2LdezaJQ7GwGbYNfv3w0cfOY6lpMgDOSen/a5To/vi6Qnnn+88PmOG3F933y1RYHvzFqG4WMqhTz7dzMq8XMZ+sJl+b6zj6vl72Zff/b4rGu1LVY2V73flMPq9TfR/Yz03LkwkubD9/u6q6ixSDbLQ8/R0Hi+prqHC7NjR5fPP7Y7FOl5/XbJuNY4eFu8vYGyED3qdwsolJgaN6KTOPk1QQjXJFNG3hzgXw/0NZBVaqK6x6y6GxVhxd1eJ3DiQNRzqwqvTqCO33Mzzqw4y5K0NDH5rA8+tPEBuuZkaq43CyhpsjSe7NrJ0qd2xWMezz4oO7kcfiTzFcceJ4V1e3n7n7dVLKiT+/NP5s6+/br/zaHRPNm50dCyC3HcHm5B/jYqzcOsdVv76y/mzL75o/XVkllbz2PJUBr21gfi3N/D6ukMUVnat3Maxhtlia9H/eVGRs61SXS3rxjqSkkSybNQoOO88KC5Wee23QtYM3sIz5ev4rHInz3xQ7qBN+/LLEtTW0GgKRVGiFUX5W1GU3Yqi7FQU5T8utlEURXldUZQkRVG2K4oy2tWxXKE5F3soNVYbfyUXcspX2/l0azanfZ1AclEVKvDL3nzu/GMf5eauNVoaY2giT7ZPHykjOBJNBb1TU2XBqHFscPHFdsFsnU4EtadOlczE116T7pKN75XxUyyET89l2CU5XPzLTg6VVGNTYV5CDk/8k0q1pXt9VzTal02ZZVzw/S4yy8xYVZVPtmbx8ppDWGy2I+/cDBTFdblz4yyZ/IoaPt6SyeSPtnLKvO38ub8As1WuwVW3PFUVrcbi4na5TI1uwMLEfMZEemOpgQ0rDMSP7tpWsetIJ44ATD1kOWjUK4T46UnNcTTihkyuxu2noSxD6xLXHViaUsgDfyVTXmOlvMbKg8tSWLgvn1sWJjLug83cvzS5XQN7ruZPvV4arVx7rQSnd+6U4OSqVe12WqKj4dFHXZezdjd9SI32xWoV/eLGuLoXD5VU8eLqA5z66yaSRu1i7n3FTtVabblffkvM58kVaVRZbJRUW/nPH0n8e0BbOHQWGzNKuezn3Yz/cDOPLU8hpbCJrk6NcHWv1Nkv5eWi0f3qq5CZCb//Dn9ureC2NQkkFcnxl6YV8FPNXs443/489PLSui9rHBELcLeqqoOBicAtiqI0Fpk7Behf+7oeeKe5B9duvx7KhoxSTv5yG/+kFZNXacFic4wC/7G/kEMl3asF2YABcNFFjmOzZ0sn3+YQFyf6kI3H8vMlw6eoqF0uU6ObExcnpQNbt8L27dK0pahIHrwgjuZrr7VvryhwwT0lXPfXTrIramicLzFvRw6ZZeZOunqNrmBnrnOqymfbsslux7/7zTc7BkkMBsfuzAC/7s3jmvl72Zlbzoq0YmZ9uZ0N6SWAbNtwQagookv7wQei5aTR87HYbPydWsS4SB8SNhoIDlfxC2zfDK6WYEVlJQeI5wiaJN2MiAAj+7Ic1zfDplST81MMf6J9WboDX27PdjGWQ0JuBfsLK3lh9UFu/j2Rkur2ya6aMcNZ3/D++yWLrDE//tgup6xn7FgJbjacv41GuOCC9j2PRvciPV0yYxs7Ce+5x1GqyWpTeWN9Ov/3ZzKJ+ZUsTMrn5rXbuP2psvptjEZpBtMaqi1WPtyc6TT+y5681h1Qo0Uk5ldw0ufb+G5XLkkFlTz+TxqPLk+l6ghJC/7+8PDDjmMeHvYeB6mpUjbdkEqvCqqtjkHx9VklDJ0iz0NFkfvPZGrDL6TRrVB4fK7C46kKj9tq/23lTGFHVdVMVVU31/5cCuwGohptdibwuSqsBfwVRYlozvE1zcUeysoDxdT5E40655S+YE8j3qbupSXn4yOOoNmzRY9syhQRng0IaN7+bm7SabpfP1i0SDpXBwdLWvmUKdpkeizh5SUdoOtwc5PMgaoqifDt3g2PPy6l0iedBMusUjfv6rsS4+uOVws0SjV6HkGezgJXcf7ueLXjHDl5suiB/vyzOBnPPFOEuesorqrhpTWOtVIqsDS5iCkx/kycKAvJTz6RjIgpU6ScT6/XRN6PFtYdKiXM20SQp5F5i40MGdm1WYvbyMIbE2F4del1tJTIAAPb0hzrvqIH1lBTZCRhr4XSgdX40MVClsc4w0K9+H2fY71ojJ8bf6cWMShYtCKWJhexv6CKURFtFyAcO1bWlV98IfpkV14pc/K8ec7bhoe3+XROTJ8u5//lF1mLnnmmNO3QOHoxmUT3/Y47RKLp4EGYOFHuu4bVM4dKqnh9XToAAe4G+gS4k5hfSfiIcu6+2xuDQapxxo9v3XUY9TqGhnqxIaPUYbzue6bRsezMKae42vFZ/lVCNg9OjWFQ8OGfraeeKk2gPvtMGrpccomUQIOjXVOH0eLstvFzMxDfT8/tt4vW4sSJbf6VNLoJtY7ED4C6L3Nv4AOFx1F51MXTrRXnUJRYYBSwrtFHUUBDo+VQ7ZhzJKMRmnOxm1JtsXKoxIynUUeEj/Mi2aeBUbwnr4ITYv35O7WofuzNU/oR5dv6xfWuXbJI2rRJJqsZMyAsrNWHq6dXL7jiCnm1hqgocU4uWiTR5+xsiRY//LBrbTONY4O+faVZUJ1A9qJFcMopEg3+9VeYMjOOS/sYOVhSzfgoH9anyyJsVLg3L8/qS5WlfcpjNboXqqpyoLiKAYEenD8kmO93SSTfoFN4aVZf/N3bT1XfYBCjYvJk158b9ToCXZzP30PmcqNRjIvffpOmQz//LJ8/8ogEVBpTXi7aPF98ARERcOGFmjHb3VmUlM/YCB9UFVb9aeTy/3SdMJINWEIyY2hWILpbERFg4I+torvoZpR0MZ0Ohk6pJvWXUay4L41TGdDFV3lsM3dYGB9uziK/VoMsyMPIyDBv4isjWb9IFmvXnVKBmyLzX055NSVVViJ83FoV9FEUCeY0DOiAOH5+/dWux+jjI46/9sZoFE3H445r/2NrdB2Hs8XCwyW54ZJLxLYJD5dGbFde6XgMo16Ht0nPbf36YdkTyJ4FRmZOqaa3UeXaF9t+jTpF4eZxUfy4O5eSasmWi/Q2cVr/oLYfXOOIuLtoDuim12FqRm2yj484GE891fmzuDhpYnrvvRAUBBddV82AXiYeiIzm2VV2n89rs/tx0UgPLjqlTb9Gl1JUJMGZr78We+7882HkyK6+qm7BM9gdi3V41o632bmoKIo38CNwh6qqJY0/drFLs0ptNOdiNyQpv4JH/0nlmx05hHiaeO2Ufpw5IAj3BtlVU3v7EehhoKDSwtc7crhkWBhfnzuYGqvKgCBPRoa3PhMhNRXmzLE3EvjpJ/jvf8V50xxtxPYiI0Och6Gh4lSsY/Bg0aBYvVr09aZPF8fi3r0yGWtd+HoOlZVyv5lMor3ZWp0QnU66RY8aJQLb48bBrbdKJz+An382cfmN0WwalsDoXt6c1j+IkeHeLEjMZ+YX2/Ex6Xl+ZhwXxYfi46ZNi0cD+RU1fLw1k8eXp2FVVe6YEMXSy4aTVVbD4BBPRoR1rCBWRYWUM+v1sljyNOl5+PjezP5ye/3T2c/NwImx9tTt4GBpSnTGGTK3BQSIbISrOe2PP0Tgu4633xYtsbqot0b3Y9G+Ai4bEUbyHj02m0JEdNcFNbbUBp9j8e+ya2gtRr1CqK+B5Bwzg6Psab1DJ1WT+OMAFt+3VnMudjHDw7xZffUotuWUoaqSRbV5nY5rLvGs72z6w5e+TFxp44+yfG5asI/U4ipmxQXwwsx+DGvDGrYhkybJWnH1allnDB8u2UAVFVpAWuPwNMcWO+ss6fS8bp0E+SZPhthY+zGys6Eg140fZ47mjutNbNkg+y76zZPULSqTP5JKnMaUlcn6wWSS9cOR7JqxkT6suWY027PLMOp0jAz3om+gdoN3BsPDvBgR5sW2bLv8zmPTY+kT0LaSE50OrrsOxk60soc8HluXxDsra5g7NJTlV4wgrbia/oEejAw//Fq2uBgOHRJNz96923RJHcb338P119vfv/22zNmDB3fdNXUTYlo43mwURTEijsWvVFX9ycUmh4AGAg/0AjKac+wu01xUFCVQUZQ/FUXZV/uvy+JYRVFSFUVJUBRlq6IoG1u6f0+jxmrj+VUHmJeQg02F7HIzF/2wi02ZZQ7bDQj0ZP5FQ3l2Rh8eOC6G60aHc/agYC4bEc6EXr64GVrvBUxIcO5Q+sIL4gTqLP7+WxxEo0dLucvSpY6fDxkiunoXXSRlL0OHyuuee0QHRaP7k5wMV18N8fEwbBi8+CIUFrb+eP7+cPLJUjpvtdodi3XM+9DEQ0MHEmp0J6QwiCXby3h/cyYWm0phlYXrf0tk7aHGgRuNnsry1ELu/VMaClRZbDy36iD7C6u4ZHgYo2u79TYXVVXZm1fBH/vy2ZheQrn58OWsKSlybw8bJq8HHhAjY3qsPyuuGsmjx/fmlVl9WXHVSIY1cHKuXQunnSbBnXvukcVloIsmvqWl8OSTjmOVlWLkaHRPcsvNJBZUEh/iycolRuJH1zTZpKyjqcbKfPYyiSiXoemeQGSggcQMR83UfqPMlO7xZWG2tgjoDgwI9uT8IaFcEB/K8DBv/vreg4Y9tGw2SCyq4PSvd5BaLFm8i5MLuXnBPvYfbJlkQGWNlc0ZpSzal8/u3PL6btQ6nawhL7pI1rXTpsma46qrZJ7W6Plkllbzd0ohy1OLyC5rH635GquN/60+si3m6QnHHy/ZZZddJo7AOpYvlxLVYcNgzWL3esdiHd9+qzitU0E6BF90kUj/DB8uz/q8ZsgnDgnx4qKhYZw7JERzLHYiUb7u/HThUD46YyD3TolmwcXDuHZ0BEobH/CVNVZ25JeS613Izct2k1Neg02FLxNy+HZnLhcPDWVStB8eh5F12rlT1pRDh4o9/fXX0pG6O5GdLU2xGlJUJJWTGhxo4XizUOTm/AjYrarqy01sNh+4vLZr9ESgWFXVI5ZEQ9c2dLkf+EtV1f7AX7Xvm+IEVVVHqqo6tpX79xiyysx8lZDjNL4jt5wVaUVszSqj3FzDZ9uymPbpVp759wCfbcsio9SMUd8+f05XDVRtNtfjHUFKipRiZ9T6x7Oy4JxzpNtfY5YsEaeUxSKv11+3N/bQ6L6oKnz8MXzzjfxcWQn33Qdr1rTP8SvNzjerzQaBRhOJX0SxcqEbCzKynLZZdVDrrne08LMLMfNPtmZRY235RPZXSiGj39/IKfMSGPfhZp5bdYDSagvpJdWsSCtiW1aZg3j3t9/KC8TR/fLLYmiY9DqOi/Hnsel9uGNiNMMbOBbT02We27tX3h88KOV7rowPm81e5tcQq9b0vNuyZH8hoyO8Mep1rPjDSPyYrvtj/UYiEfgQhW+XXUNbiQo0kJjpaCUZjDBkvJms+b04gDaXdzcsFmdjO59Kp4aEK9OL2JTUfAu43GzhtXWHGPvBJubMS2D0+5v4I8lR7/Hvv+G55+xz5Hff2edojZ7L3rxyZn25nRM/38YJn23l1HkJ7dKFPKvMzJfbnW0xV83hXLF/v2Q11iVlVFa6djSpqvP7Dz+EhQvlfU2NOBfba22s0THEBXhw9agInj+pL6cOCCLQw4jZYiMhu4x/UotIK2qZBEq52cKraw9x/Jeb2FbonPTwxfZsso7QkLCsDO68U2R2AAoKpGnQtm0tupQOx2ZzvXbtLJ9DN+dBoPGEVlE73hamAJcBJ9Ym721VFGWOoig3KopS1zr3dyAZSEJ0H29u7sG70rl4JvBZ7c+fAWd18v7dEm+T3mUqdUFFDcd/upXR721kcVIhj/+Tik2FUrOVjFIz18zfS1JBZbtcw7BhzvqKt97qmOrfkRw86JzBVloKB1z46X/4wXnsq6865ro02o+CAtd/p9Wr2+f4hvByevVyXLVdcqWVjw4mY5m1j2nTVfp4ezjt19tP65xxtDAkxLnWaGS4N4YWZCwCZJVVc9Wve6mokZVOtK8br69LZ+XBYsZ/uInjP93KqPc28tSKNIqqaqioEKO1Tx+ZS+tK/ZcsOfx5DhyQZkQNKS93nTHu5ycZug0xmSSDQqN7smBfHmMifMg8qCM3S0fcoK5xLiaQzTayOM6h2qXnEe5nILfESnm14/9j/KRqPH4cxh8kddGVaTTFddc5j/UJd866CfIwsmuLnqKi5h13R04FD/yVgoqsoUO9jFz96x4OFdsN+sbVLyDBzYq2+6E0upDvduaSkGN3+G3KLOO3xPw2H9fbpCfOhS1WVGVhdzMcjGlpUo5aR3q6c4nnaadJY8qGFBZKiWhj2mttrNE5lFVLwGPUe5uY/tlWxn6widUtSF7YmVPBg8tSsCH6jY3pG+COj9vhKxSzsuDPP53H9+1r9mV0ChER8NBDjmNeXprED1DXtOU6IA3RO0wDrmtrMxdVVVeqqqqoqjq8NnlvpKqqv6uq+q6qqu/WbqOqqnqLqqp9VVUdpqrqxiMdt46uFBcLq0uvVFU1U1GU0Ca2U4EliqKowHuqqr7fwv17FAEeRl6b3Y9Tvkqoj+aOi/QhrbiaUC8jjx0fy6bMMk4dEES/QA/e2ZBBSlEVlRYbOeU1DDiCfq/ZLA+pL74QY/TSSyVtX6+XKEFysmyzaJE4f9auhcsvl4dgZ2kZBgfLuRpm5hgMEBLivO348dJpqyFNNVTQ6D54e0uKfmPHyYB2ksn67tBBbnglhOR//EjcYuLUc2oIHFlMld6PoNxgfvtRzw23xrI2q5jK2mYug4M9mdbbr30uQKPLOWtgMG9vSCe9VKK7Ae4Grm9FqUp+hYVDJdVMjfFjTv8gdueVE+JpwmJVuW50BPP35rMlq4yn/z3AiX0CmN47gP/7P1i8WIyLSy6R5lhjxhz+PIGB0h2wYcmKosh86IrTTxc93Lffli6DN94o5X/N+p3yxdgJCIDonu1j6hHYVJUl+wt5e05//vnGyNAxllbry7aFVIr4mp3MoT/uPVxyW69XiAowsi/TzMhYe6Bo8PhqvnkljF9KV3O9zxG+dBqdypQpYuy+9ZZkaN16K5j8FM7oG8z8/ZJprgB3DuzHgd/d0JmsbM+qBAX6BXjg2USjl6yyavSKwr1TorHYVLLKzAwK9iSvsoZetQFDV4bqcceJ/mJHkJQkzblWrJD188kna3Nte6OqKn8mO2vp/JNaxF2T2vafHeBh5NVGttiEKB8ifUysSC1iYLAnutq1RMKhCrZvNPDb93oCAxUuu0QhNFRBp7NnX338sTSetFgki/acc+Tl4+N4Xm9vaUqUnOw4Hh/fpl9Ho5PZnlPOvUuTOX1AEKMivAn2MLIzp5xfducS7uPGyX0DGBratFZiWZ6BhwYMJtlaTG65mVHh3mzJkpJ8o07h5ZP7OTUkLCmR+WbePJlrzjpLSusbZyqGdkNvydy5YuO//77YgdddJ8F5jXoHY7t0hu4sFLVxTnZ7HlxRlgLhLj56CPhMVVX/BtsWqqrqpJuoKEqkqqoZtc7DP4HbVFVdoShKUXP2r/3seuB6gJiYmDFpjQUFuxk2VWV7dhm7cytwN+j490ARf6cWc8eEKG5cuK++s61eUXjh5DjuWrwff3cDm68fQ58A52yshvz1F8ycaU/F1+ulQ9OQIfDee/D449L2/uyz4ZVXZILqbCPIYpEH8Y03ynX6+oozNDpaurFFNGhuuXu36JPVOamiosSo78YPYifPRk+7P9uLjRvhpJPs0d1x4+wZX23lt715XL8gEW+TnidP6MPNCxOxqip3e43l0dvlOxIcDHc8XY7/gDJ8PPVM6+9NbBsFmI8CXHreeuo9mlxQydbsMmyqyrBQbwYGt1yHKK/CzFnf7GBGXABP/GP/vaN8TFw2PJycCjNFlRZ+2pPHJ2cOZLgtgilTZB6t45VXpElLXFzT57HZ4KOPHEWtn3xSGrx4HGZat9laNkdv2QJXXCHausHBspg7/XQJ4PQQetwcuj69hLk/7uLDMwZy89k+TJ1lZvDIzs1c3EUeX7GdE4jtkU1cXLE1pQqrChdOdgwKvfOAHwfu+oOi80/HrfOdqEfVHNoR1K0/FQUe/yeFCMWLkgI9ReYaPCo8WfiRF9dcqSMivpLrVm8lo9TMpcNCeWZGHNEuqgu2ZpXy2dZs5ifmkVxon3hfOrlvvZNp/3644ALYvFk+i4qSIHp8vHxWXS3VOd7t0OcrO1u6vzbUDLvsMnj33W7TRKbHzaFN8drag9yx2FEz6f3TBnDdmMg2H9umqnyTkM3Bkmp83QxszRKd7tER3nxyxiCGh3uzI7uMxYsU7rnKXilhMokMyp9/OmrJvfQS3H67PK8P98zesgVmzYLcXHl/3HHw5ZfdtxlHB9Dj59Bvd2SzNbucVQeK2ZRZyn+n9ebBv+wir0EeRlZcOZIhoY4VNjU1MH++rAMLCmDocBtXP1bMXmMOsf4eBOhNDPDzYkiwN2Ghjv9NX30lCUN1eHtLAPrCC2VuS0mBU06B115znazTHWjperYL6aly1Z1ChzoXD3tiRdkLTK/NOowAlquqOvAI+zwGlKmq+mJr9gcYO3asunFjszM7u5ytWaV8sjWLlMIqTor7f/bOOjyuauvD75nJxN2Tpu7uRoFSKFq0uLvLvVz08uHuXC5ygQtc3Cm0aIG2WJFSd0/bpHF3nfP9sZpOJjOxRmaSrPd55mnOnjNn1nT27LP32mv9VgRLdhXweT0tseMGR1JVY+eWGX04aqCz8v8q0vmDvRjAdJIYbY9n7lyYP19EgufMkfOCguCQQ1xT6m65RTRqPPFDr6wUIdrMTNFiuPJK0WBMSpKbbH1bd++G9etlwjpqVOelbx8gTQ5IXa1/NkbDvjfW7R6DhOdv3CjOk1GjJAKrLeSUVbEkuYCPN2aTFOrH0KhA3lufyc+7C7l+TB9iNwygslJ2937+WV5z223w/POiaaM7Zc3fML25j9Z9/59symZkTBBzh0c3uTvcUlakFTPnvXVkljpr3Nx7WD/u/XEXrxw/hIzSKg7vF86fn4Rz003Orx8/XjZxGkYpNKS8XMa95GQZ60aPlgliBdX8RRorSWdoXhIRGTH0jfQj3v3PqlFyc2HWLHEs1mG1yiJ47NjWXcuDdLkx9O4lyWzLLePU3r246MhQ7n2xtNOcuSVU8TXbWUcmRzKARJrphF2InOIavltTxt2nOa+UflsQwHd7s/n4Q4MjGdjIqzuMLj2GtidZlLKMveymgBG7BxFeHsKQ3jan6rjf7cjj1z2FHGztxe9LfLHboffQKtL9C1hels3AaD/CA3x4+Jc9PHfsILdOo6paO++uy+SS+Vuc2kN8ray7ejJ9w8UhmZ4u42t1tWyoh4XJ5so998hmUN3Cu2Gqamv58UcZZxuyerXXjLNdbgxtjF0F5fxj4XY+2yyp0GePiuXR2QPoc4ASN6vSi/l4Yza7Cio4a1QsFmBNVil3Lnau/nPRuDheOX4o8zfk8fQ1kfy+1HmhdMcdJuvWGUyZIn0rIEAc2y+/7MhG2FNYwV97i0gvqWZ0bCCTEkMI8pUbQ3KyBE/4+0PilCLWB6eyk3zGEccUkginZZ+vqkqCL6xW2bjvLo4bb++jy/cW8dKKdF5blc6ZI2NZnVHClgZaoK+dOJRLxic4ta1aJRko9fUGx4yzM+2OZE4cGs31J4eRnCzf5dtvSzQ4iCNy6lQJxjnmGHn9/PnwyIsVpPrl8cOuPKYnhjNzcDB/JW7FDyvT6c0ovDCMsWugzsUm8GSswgLgQuDRff/Ob3iCYRhBgMU0zeJ9fx8F3N/S13d1duSVcdy760jfJ9r6xdZc/jY1if7h/iTvE4ctq67l9ROH0j/CeTv0T1KZxZuUIxX3grCxjMupqYnh/PPlRvPkk3LuZZdBlqtuMR98IA5GdzsctbWiDRIaKrt07Y2fn6TN7tgh/xbt07NNTZVUghUrHE7Evn171I6e17OMvRzGG05970cuYhKui4LBgw98Ip9XXoXdhOhA6YCmafL6qgxu+8GRT5IQ7MuN05IYFRRG/La+PPyoaCwdd5xU93v8cXFK19ZKX1PnYtfFNE3+tzqDW7+v+/6zefGvNH69ZDyDIpuO6G6KzJJKIvyt+6uP1qeubUV6Cd9sy+XYgRFuK/FVV4tjrznnYkCATCwbpjd/zXbOZR6PLD+Pmy9NZMNaC0lJEuF9yCEi3B0VRbPVh9PSnB2L4Oj7XrLo7ZZ8sSWHC8fF8/M3voycWNPhjsUq7Gwnj9VksI5MBhHJGYwkoIunQjckKthKVY2d7KIaYkIdn23UwZV8fklfPq1cxJF+ne5cVIAyqniAn/m9PJ3jPzyFuTdGUFAAxx5r8swzBkOHwpqMEs76ZCN+PhaGjI5mU7LB+hU+HH53Os+t2+fM2SH38Rum9mL+lhy3zkVfq4VgNxVTK2rs1NYrFpOQ4Jz5snChbC7W8c03Uu33X/+SOXJ9iotlwR7WAuWUxgoReCiWo1vTLzyAN08ezt155VgtEOZno3eo3wFda0NWKbPeXENhpcxd31+fxWsnDnX7xX2xJY/cw6uprLFTW2Pg7y9OHZtN+lVlpWTnfPGF8+vuukuci2nFlZzz6UaWpjiKdbx+4lAu3uds6t9fHtmUch7z+Q7HvPYRjuAWDsLaTNmEPXvgoYekQIyvr+jaXXVV41IrSvvRJ8xvf4HIQJuF0mrXTIXyateBYscO1/Fj7WoLL/Tpx6lHWvev1ZOT4bTTpI/16iVd9JRTZI732GMyfl15pcn60jzu/EmqAn6+NYdJOwIZemYm7watJAw/fuQixjUS/FFHa8Y+RQHPFnR5FDjSMIxtwJH7jjEMI9EwjLp6v3HAr4ZhrAGWAV+ZpvltU6/vTqxIL9nvWKzj1ZXpzB0u3r7+4f5cPSmR6ABXMcRXWbnfuQNQSjVvWFbz979LevEbb8iCt7oa/vMf2dFtmK4xaZI4DxuyZQv8/e+iIXbJJR1beWrPHodjsY68PPfFXRTv4DU3fe991rfb9Ysra3h/XSZTX13FpFdW8sqKNHLLqtlTWOmUtgqQXlJFtK8fI7L783+3WSktlZvwV19JysnIkXLDrKjwTh0SpeWkFLl+/5mlVazZp1PTWtKLK3nytz1MeGUFJ324nsdmD+DkoVGMiZOwG38fC7Z9YQDxwb6klVTx9tosJk921ac94wzXYi0tJYcybuI7zsuczr/P7MOGtfKeqalSTfqtt2SsvuMOV52mhoSHu19YaN/vONKLK9lZUMGo2CAWLbAxZnJN8y9qBbWYpFDEUlL4gPU8wW/8H4v4iq34YOEsRjGTvt3OsQhgGAZ9omxsSXP26IdG2onvV80ni8swUY+OJ9hCLs+zjLkrjuC+iyP2F2f55huDBx4Q58uCLTnMHR7N7Qf35tHtm1k7eRUPvFXAa9tc7+OBNiuH9g1v9P1GxQYR0kCT8apJCfQOa9zRtGqVa9vHH0OOIzmI0lL47DM49FCYPl2ihZorNDN8uERG1mfuXBg0qOnXKQdGgM1Cdlk1F8/fwtTXVvLAz7tJqVfMp6X8lVa037FYx/0/7WZ6b1evytSkEML8fRgY48elN1Zw773i8Fm3TtZHs2cbLvf8yEjROQZxrNd3LALc9N0O9jSwex1ZTo5FgIf4mVRcKwg35KOPJDLXbpc57l13OSoHKx1LVKAvh/SRfvPVtlzOGuU8yfKxGEzp5brbHBsr48SECY4NjthYMKusLkFAGRn7CgEWVzJv117CIuy8+65sGFdVwXPPGVTvCsPX6th1Xp5SxqCcJAAKqeQLnKO963MgY5+igAcjF03TzAWOcNOeBhy37++dgNt4isZe350oqnRdhNSaJv3C/fjPnMGszSzh3bVZWA2DIwZEYLNY2JEn4tdBkf7QYIG7iwIOOUSitRry1Vei1fDKvnI54eGyWPVrMC8rKIBLL4WlS+X43XclBeT33ztGrDo6WgbY+mXqbTbdefNmduNaEW2Pm7YD5Zc9hZwzb9P+4yu/3EqIr5WDeodS62aHOT/LQvouk4ZR7N99JxEK114runajRrWbiYoHME3TKUqlDnd9oiV8tCGbpSlFXDo+gSCbFYsBIX4+DIoI4LrJvfCxGNy+aCeH9g0jrbiSGrvJ9vxy+k2RneNffhE90SOOkBT8M844sM9VQQ25lBG3J5Hknc59uLxcJpe7d4uERXIy/O9/jWs09u4tY/zppzvG1Btu0IjdjuSrbblMTgwhP9PC7u1WLr6x9YvehpRTzRqyWEsGO8gnBF9iCSaaAKaRRDSB2Dy6d9x5JEX5sDGlkoOHOWtXjT+ohh8+HsLqYzMYT0Ijr1Y6ijKkIl/ZVtcd6o8/hocfhj7hfpjAl1vzOGpgBIYBf+bmU+sm8s/Px+DkYY1P/IbHBLHogrE89XsK67JKuXBsPGePisXmptJqHe40cCdOlE31lMIKMkqqqMj15fLL/cndV4D4ggvEaXP66Y1/9oQE+PRTyf754QeJMDr55PbRc1RcWZlewjHvrqXu9n/Pj7uorjW5f1a/VhVwczN9oMZu0ifUj5ePH8Le4kqW7iliTWYJ9x/WjwCblUm9QkgLNLnydsdr7r9f9DXrsmNA0pFfftmRZVVS5RrJVlBRs19Tv44ynANMjisfxrTk0dywNoVhUeK0Gp/g6qQqLRVnUEO+/Vb6otKxWC0G10/pxbfb80gpqmR3QQX3HdaPTzZmExNk46bpvZmY6Pq92foWMfPRTDJKqjg9JI7F/wvnjrtrqQqu5LbHfHj/hQD27JE+HRAgjscP1mfx9ZZ8iuf3crneqiX+DD0i0Kmiev0p8V6KG/0Mv/0mmyJ1tGTsUxTwbFq00oDiSqlKGuRrJSbQRkllLZEBPuSVO5yMV01MYExsEMe/v57ifTenBVtz+eLsUbyzNpMPN2RjAOeO68Vls6bxaugf+197PmPw85MKy/XL059yitxswsOlsmlJSePpqtu3OxyLdezdC5s3d4xzcdgwePpp+NvfHG3//nf7VRVW2p/LmcBCnAW2L2BMu13/443Sx48dHMmEhBCKK2v5cEMWpw6P5taDenP/z46oh4RgX/rG+1DWtwJwDs0dNdokLNxk3jwLo0Y5onTz8kSXqaQEhg5tugiH4j30DvPn1hm9ua9e9GKEvw9j44KaeJV78sqqqay1k1VaxQM/52A1DC4cF0dJVS2fbc5h3uYcPjptBNdO7kVFjR2LYXDLQb2Z1TeMpCRx3O3cKYvJ++6Tis4Hmv6fSAjXMJnaiDICAyWt/4QTJFqxulrSmcPDZePno49EP2z48Mavd8IJIiuxYwfExYlTXdNdOo7PN+cwtVcoS770ZfTkGnxcEw1aTB7l/EAyK0kniVD6E850kghouJPYg+gdbeOnTWVU15rY6kVojD20km+vHczHNb8x3kedi53NICIZShR+MRUYBhx7Ug0TDqukOM/K2l/8sfjV8PaaTBYlF+BjMRjuG0GfqgjCAnx44dBQLl+yfn/MaVSAjeMGRTEkqulqKJN7hfL2KcMpr6kl1K/538T06XD44bB4sRyHhcGDD8Jv6XmcM28TWaXVRAXY+Ofrw3jyykgyMqR/vfCCzJubkjcYNgzuvRfuvrvL6Nx1WdZklLg4Bl/4ay9XT04kMaTlKdITE0II8LFQXs/B99yxg3j41z28sToDEzhtRAw/nD+GsfHiGPKxWPj2C9drvfOOFOY48UTRjh840DmadVh0IH5WC5W1dvqF+3PaiBiGRgUQH+zcb4cRQzj+FFBBHEGMXjeah7/P4ZrBA/DbFcLX6XZsh1Qyqr/z5/T3lyKJa9c626UbiZ3H6LhgFp43hs8251BVa5JaVMmAiAD8rAbj44P3VxuvY1V6MUe8s3p//yvsXcl9/7ayNKWIN/7IIL2mipueHcTnD8SybpWVF16AsPgqnv9qLz4Wg8mjq/jzT2edsmGja/mtXgbkyPgA9sZk7D+eS+OTxQ8+cG178UUZ+0pLZZ1UUNA2eSule6LORS9hc04p13+zjR92FhAZ4MOzxwxib3EVt87ow7rMUnYVVHBo3zCGRwfw857C/Y5FgMgAH37ZXciHG6S0mAm8szqbp/sMZcz4nZRTwz3MZCb9ADj7bEmL3rtXdiIyMqR6KEjY/sKFjoGibgDJzBSNQz8/mSg11IRoqpppW7DZpCT99Olib1KSpLJ2ocqmPY4jGMD/OIkH+RkLBnfX63vtQVKoLw8d0Z+vtubx4M+7iQzw4a5D+1Jrmlw9KZE+YX68sTqTsfFBnDMsgYu+3MixsYmMnejHmhWSZxAcDP+4xU5EqJWRIx39Ny0Nrr9eKqyB4/fQUANP8T4shsFVkxLpG+bP66syGBsfxKXjExga3Xrnoq+PwZacMn7bl7ZUu0/P8/5Z/Zi/JQe7Ce+szeSGqb14ftle5m/JJcBmITbIxvQ+1Vx9tY1DD5X+1KePjFkHusC0YHAtk/l04CZue76QTd+FUVzsqEIZFiaREv/4h+gqNaeBa7fLzrWPjyxAGkanK+1HWXUtP+0u5IqJCdz0uS+zT6pq/kVuqMLOt2znd1IYQQxnM4qgHuxQrE+Ar4XoECs7MqoY1svRmSPja4mMr+Xtnwp5uFvnuHgncQTzCWewaGI2L30WzNtZO3gwrYDIIB8ef3QwmTUBLEouAOCeESN47ZZodiXLYnviRH++eH4yj2zcyoSEYC4ZH8/Y+JaF/VkNCynbLezcKXrhI0c2rnXbuze8/74UBCwtFYegT3Q5h7+ykYIK2dTPLa/mnvUbueHmiTxyszg34+NbPp6rY7HjCfFz1duMDbLh30TUqjvGxgfz40XjeHl5GjsLyrlmUi+ySqv532qHM+aTjdkcnBRGzd4Q0tJkrZTgZu8iPl42rOsKbjRkVGwQ350/hg/WZxLi68MLf6VRVl3Lop0FPHREfwZEyKR0EJF8x3ncw4+MLO7F6z/l88CIUTx1TSQZ+8z6YZadN9+QuUYdVqtk5Xz2mWyYg/TvI49s1X+J0kaGxwRRVWty74/JLNlVyKx+YdxxSD8S3Di9f9lTuN+xeP2UXmSXVnHk22vws1q4fGICO/MruH/NFr58NYgEM5SRI6HGsBIf5MtvqUVcckwxMfOj9lcZHzgQjjvKQnB5b75JzuHIgeFMHmXjhqA/GE409zGLGTQeFRQX59oWHy/64f/8p2TJgIyv334LBx3U5v8upZugLhovoLy6ljsW7eSHnQUA5JXXcP5nm/n23NGc8P56+kf4kxjixztrM/ny7NFU1jpv0Y2ODXbR7gD4eUsxP42/CDsmkfWitkaOlJS9detk16HOsQhyE7rjDrkhgaSN3nmn/O3jI+LEN94ITz3leM0JJ7jqy7QnAQGyAzd5cse9h9J+hOPPRYzjBIZggFPfaw/OHBHLP77bsV8sOa+8hhsX7mB6UhhTk0K5dEIiF41LwGoxWLa7hG355WzL38FlN9ZwSnkUtVUGo0cZLFtv4a5rA3nmGbj6anGyLFvmcCyC8+8hqPU+KqWTiQ/24+LxCVwwNh6r5cCLuVXU2Fm8q8ClfXdBJdGBtn0RLT78uKuAz7dIvlxZtZ1bvt/JqNggjhkUxZQpB/z2LvQmjL8b00g7u4xfg03OPMPx2QoLJUriuONEG6epSNvaWpGyuOwycTIahkSCX3mlq06k0nZ+2JnP0KgAClJ9ycm0MHiUaypcc+yhkDdZQxQBnMkogtWp6ELvKF/Wp1Q4ORcBJh1Sw6IPB7DhiCxGalXMTmcUsfSOCefC8i38mlYAyP36sm82sfA8CaEaGBHA9kVh+x2LACtWGOxaEcRPV49r9Ti+cKFk4lTt8+PfcovMYd3ph4OkFR5+uOP4592V+x2LdZRW12KJqwQCsdlkA1Kdht7D5MQQBkT4szNfJCcM4IkjBxIZ2PqxckqvUKb0CqXWbmK1GJz0/jqXcz7ZnM28N3rx888GNpusi4KCxEENslb6299ciwLVxzAMDu0bTmlVLce953iPDzZk0S/cj4ePGLA/pXsyvfiUM0ilgtUxyfz6Qeh+xyLAj0ss/PKLZJ7VZ/x4+OMP2LhRbBozpmMyzJSmGRsfzHunjiCvvIbIAB8C3BSfAkeBwIRgX+wmfLAvYKi8xs6//9zLA7P68/W2XEpsFUwYJQOaH1bun9WPo99Zx32bN3D984MIKQhlUFQAa1dYmX2oD5GRfTj7nN5cfrRBUjRM4zKsWIig6aigU0+V+WFdv7bZ4LrrRKu2zrEIUvDlhhtEAiI8vG3/V0r3QJ2LXkBGSRWfb8512/77peP5c28xfj4WpvUKZWRskGgq2qz7q0/tyC/nrJEx+50tdRzcJ4zwRgaPukpkzz7r+txff4nTMS3N4VgEqKmRqMfffpMKpStWiKNyxgyJ8FKU+kS1s1OxjkBfKz/szHdp35JbxtQkueHWLUh6RdiIC/Ils7SKV7fvBiRl9r+BQ/n0ddmWu+kmOOwwGDdOtOsaUvd7UOdi16EtjkWAUF8fDuodyq4CZ328xBBf8vfJVFw6PoHzPt/s8tqlKYUcMyiqTe/fGIn+gRQWuLavWwdPPimLh6YkprZtE0d6XeS5aYr4/MyZmi7VEczblM20pFC+/8yXcdOqW+2QWEoKX7GNQ+nLICI6xshuQL9YHxauLuXUqaaTxtq4mZV8d/1Q3nvxNx7yUeeiJ8grqWXBFtf57d6iKg7rF4YfPmz4yzXc+o8/4NprWzeO790rmuBV9QKEn3hCUlMPPrhl14gNtO1PV63Dx2IwcZiNxx+XsVIzGbyLgZGBLDx3DH/uLSKnrIZJiSFMdqNn1xrq5hCH9A1jwVbn/jvCP5yv9+kfV1eLs/mHH+DPP6VQ0WGHtbyPLE9z1bx7d10W/5jem5ggx+8iABuDQ2xcNaY3t9zvunRf30jNRE1Z9Q4CbFZ6NeJUrOOQPuH4WS1M7x3K4mT3a5zeof4khjiPlzP7hbP0knH8lVZMiJ/BlASDJ2637ncAZmXBs/8ymDRRaitE07LFzMSJEoj0888yptaNfW++6XruqlWyTlLnogLqXPQKQv2sDI4KYGtuuVN7TJAvExNDmZjovOU6Ji6YHy8ay/9WZbAjv5zrpiQSG+RHTJAvK9KL+XRjDqNiAzlxaPNVT9xpc51wgqSTuKukV1Agml8nnSQPRelsQv2sEu2Q7/x76RPqx+bsUmpNkwERAXIzD/Xj9ZOGMvfDDfsXCzdOSSLtt3BWr5CVvt3O/ipsTf0elO5Bcn45hZU1JIX6ER3oPofY18fCLQf1ZnFyARn79GqO6B9ObKCNE4dGceXEREbHBTE2LsjFATkosmOc6nUMHOjadtxxoqXr79/0a3NyZPFTn9paXKoQKm2nxm5nwZZcXjh2MH+b58eFN5Q3/6J92IEFbGEtmcxlGOE088X2cKKCrdhNSMuvoVekI1opKqGWqPhaXl9SwINHmhi0bdNBaT0hvlYGRQawLc+5/8cH+/LqCcP4bkcuO4+rYvly57H4qKNa/175+bhU6AX3bTllVaQWVRLu70O/cMcm/OCoQF4+fgiXLthCrWliMeD5Ywdx/PhAbI2kuCqeZ1BUIIOa0eQ8EE4cGs07azNZkynhW0MjA0nIjSU11XHOtm2SYVVfG76O0qpakgvKsVksDIz0x6fBDlNd+nN9xsQFE+zr3hE1a2gwc06y8/y/nK8zbVorP5jidUxIkLT873fkgQmbcsqcnk8M8eW8MbGMaaAj7mOxMDUpjKlJIp6dny8OwfocfFgt1vgKNufAgPAAfH1attM5frw86uNuDnr00bpOUhyoc9ELiAr05YXjBnPcu+uo3qdKfNLQKCYkNK4xMykxlEmJoZRX1/LuukzO/nQzJVW1TE4MYdEFYxkSFeBW06EhU6aIgPV998kO3LRpoqXg6ysaiz4+ErFYR58+ontYV45edymUziY60JcX5wxmznuO38tDh/fjy225PPvnXmrtJmePjuWhwwfQL9yfYwZFsvLKiezMKyfMx5cvXg/knocdQ5+/v0Orpqnfg9K1qaq189mmbK76ahsFFTWMignkrVOGu620CDAuPoQ/Lp3Alpwy/H0sjIgNJDrQl+umJu0/5/8O6cvi5IL9GrgT4oM5tE/HVkeZNEkqUN55p+wmT5wohQOKiiQNq6n05qQkiTKv02ACicitr9WktA8/7SokIcSXjA2B+PqZJPZ1UwLXDbWYfMgGUiniFIYRoNO0ZjEMg/6xNtbtqXByLgJMOayGH94dwl9HpjEF12qaSscSHST364bz2/EJwcQH+3F1ZBLJ0bBzvUiSGIbINBzRCp3M3Fy5RyckSAT2unqZrIbhuhhelV7MBZ9tYn12GeH+PvxnzmDmDo/B12rBajE4e3Qs4+KDSS2qoFeoH8OjA5usOq10X4ZEBfLNuWPYlFOG3YTI2gCmjXTe7Bk6FHq5GVp25pVz8/fb+WxzLjaLwe0H9+GGqb2cNjUP6h3K5MQQ/toXwRjsa+WuQ/s2mjobGWTjhmtg83qJlrRa4eabVe+uO2AYBtOSQpmWFMqKtGIW7yrYL9EwMiaQk4ZGMzo2iBC/pucEYWGS0lxXqfyaf5aTPmwX5y7NxPKbwQ1Te3HLQb1b5CNwx/jxIpl2222yWT1mDDz2mKRPW63Nb3Ir3R/DrF+TvAcwadIkc/ny5Z42wwW7abI+q5StueVE+FsZExfsFBLfGL+lFDLjdecQw2MGRvDJGaMIamTnqyE1NVIFuqxM9LrqHIa1tVLt7NJLZSekXz/48EPYulUcMBYL3HUXHH9844LZigtNhk54a//0Nur/XiL9rWSUVnPuvE1O5zw2ewC3zhCvyebNcjP84gspDnTYYaLFFBwsxY2OPdahodTY76GH0GxoT1fto6vSi5n4ygrq3/EmJATzw/ljiQg4cB27zTmlbMwuI8DHwpi4IHqFdvzMqrZW+mhpqSyqn3gCvv9edo9vvlnkKhpjyRLRZkpPF72xN9+U1zWVTu1ldIkx9IovtmA1DLb9ty8h4SYzj61u9jV24H3WkUEJxzIYX9Sh0VL25lXz+9Zybj/ZOXyiINvCI5dHcFHGD7zsf2xnmNJtx9ADpSXz29JSqWBvscCgQS1boGZmwkcfwdNPQ1QUPPCAOBjPPFPmqaGhUt309NMdG4T55dXMfnsNK9NL9l/HAJZfMZEJjWw0dUO6xBjqjVRViQb3lVeK3vHAgVIUqKEmvGma3PPjLh742VlrZ/5Zo5yyylavhh9XVFIeUkJQuJ1pgwKZMqD5tNWiIti5U/r14MHdTjNZx1BgW24ZG7JL8bXK3DKpFXPL7dtFB3HZMrjgxRSe2bTD6fl35w7nnNFuKra0kNpaGa+Li2WD+j//gU8+kQCNO++UTe9uTteZMXsA3RL3EiyGwZi4YMbEtawiXh3b81xTrb7dkU9WaRX9fVtWwtnHR6qINcRqlZLz48fLznCvXvD773D++Y5zzjlHHDbHH98qsxWlTTT8vVwy31X77v31WVw/pRflxVYuuEC0EwE+/VR0Q3/5RZwrDaO2Gvs9KF2bHfnlNNxKW5leQlpxVZuci8Oigxh2ABWp24LVKtESaWmif7tzp7S/8Yb088WLpW+7Y9YsOScjQ85Rgff2p8ZuZ96mbB45aDBvLfbln0+VNvsaE5jHJtIoZg5D1LHYShLCfSipsJNdWENMmGNqGx5jJ2lINe9+Wcm/T6vBT6e9nU5L5rdBQRIB0xo+/lgW0AC7dsGcOZIO+OuvkJIiG4MNC1ylFVc5ORZBfns78sp7knNROUB8fcV5PWWKBF0kJbm/1xZW1vDBele9kV92F+53Lu7eLRvbGRl+gESRTZ8OX30FEc1I7IaGik640n0ZHBXI4ANM9R80SIKB0jLsXPCTaz/8cmtum5yLVisMGSJO7tNOk81tgM8/lzH4r7+aLi6odG909trFME2T3LIqKmskDS8uyHVRPCw6gFC/lkUttoR+/WQXIj4eXnvN9fn6VaOaY/NmeOgh0Qh79VUR4FaUtjLRjYTAwb1D8fOxsGOHw7FYR3q6pPb36QPJyfDcc3DMMRIBsWOHy6X2U1pV61JJUukaxLmJBI8P9iXcv2XOhoZjb3tQVQU//ggXXAAXXih/1y9G0Bzbtjkci3Vs2CC71k3Rq5eM6epY7Bh+2JlPYogfa74LYcT4GoJDm88Q+Z4dbCGX4zRi8YCwWAwGxPqyaleFy3NTD6/G+r/xzGeLByxTGrJlCzz6qDhWXn5ZHIHuyC2roqKR8TY/37UgoWnKwjYmBiZMcL+4jQiwkhDsei+Ic9OmKI3Rv7/0scY28YJsVqb2cnVWj4wNJL+8mvLqWrZswanqM0gAR8N7Okj66ZIlUpDjooukn1c3HwyvdAOKi8XhfOqpUq152bKWvS4kBIYOtnCIG6meyW76Zkspr64lr0w6X3Kyw7FYR16erPWVnovOYLsQO/PLuWtJMpP/u5KzP93IX3uLmJAQwnmjHXc3fx8LLx43hKhGChW0lcRE17akJNc2d6SmShGYO++Eb76Byy+H++93LTCgKK3l6IGRjK0ncpwY7MsVExOxGAYBAbit0hoYKGkt110n0Q8LF0rl6Isvlkjd+lTV2vluRx5Hvb2Gaa+u5OXlaWSXtsILpHic0XFB3DDFIYzkYzF49YSh9AptXndmR14ZdyzayaT/ruS8zzazwk2FxwPht9/g8MPh7bfhrbfk799/b/nrAxvZ1A5oWdC60kG8vTaTmX3C+ewtP6Yd3vwKcDlpLCWFOQzGj/bbGOxpDIq3sWKnazbH2JkVVPzSi2czGympqnQa6emSpvzPf8K338JVV8mcsKxe7YLdBeU8+PMupry6ktM+2sgfKYUu1/H1dV9AoLmIr8QQf/57wlBsFkdW2/VTerkUSVCUtmCzWrhpem+iAx0BIFN7hRDsa2Xyf1dy4vvrqU0qIDHReeOpMc26X38VHdJ33xUpk1mzpKK60v35+mvJDpw3D154Qao2r1zZ8tdfNC6BpHr6iiNjApkzOKrVdpimydI9hZzy4Xomv7qCR37ZjRlS4VaTvrG5qdIz0PyQLkJFTS13L0nm3XUS3pxcUMGi5AL+unwizx4zmMsnJlJQUcPgyACGRXfcr/rSS2UhXLEvOCAgQHbSWsKGDaKDU59XX5UKayNGtK+dSs9i0D7R7fVZpVTbTUbEBO6vADl4MPzjH/Dkk47z586VytBbt8qNuz6//CK7bjPqVYb8a28xx7yzdn9a7VVfbcUw4IqJbrztilcS7m/j/ln9OGNkLNllVQyMCGBETPMLytKqWm75fiefbc4BYFdBBYt25rPs8okMimybF++VVyTapg7TlOjwmTNb9vqhQyXi8c03HW1XXSXtimcoqarhyy25/D12FIYBA4Y2HemaTAHz2MxJDCWY7iWc1dnER/hQUWWyN6/aqbCLX4DJ2EMqWfVWLFtvyWUIrV9YKe3Dxo3OBVdA5pQ33yzFWKpr7Ty+NIUXl6cBsDO/giW78ll22URGxjrG66AgKbx2zDFg31crKTq6ZWNnXZG37XnlxATaGBUbRJi//vaU9mVcQgh/XjqBjTml+FstZJRUcfrHGwGRafl5TwEvvDyBy09wRJHdfLPMWRvy4ovOcwW7XTYkDzmkoz+F4kkKC6XGQX0qKiRydcKEll1jVGwQSy8dz4asUqwWg5ExgQekDb4us5TZb6+hokYG3DsWJ5M3vZqnnxnIddc6Nmtmz25a91vp/qhzsYuwq6CC99Y56yYUVdayMbuUk4fFcGjf8E6xY8oUWLpUdtEsFnHANCxT3xpM0/mGqSgHSkKIn9vqZ35+UtVs5kwRzx42THRtmotwqM+Pu/Jd9Pqe/j2VM0bGEK6Lki5DmL+NGa2s5ryroHy/Y7GO/IoaNmWXttm56G7ss7esqDAgukuPPCIR4evXw9ixMHWq7hp7knmbchgdF8Q3bwZz8FHVTRbKyaOC11nF4fQjCg03bSsWw2BQgi9/bS+n1xTncXnaMRVs+vdknrv5F54zOqWwi+IGd2Ne/XlgSlElr6xMd3q+rNrO+qxSJ+ciSGG2X3+VOWlYmMxHW7JRbbUYjIoNZlRs6zTOFaW1DIgMYEBkAKlFFcz9aIPTc1W1JpaEUj7+OIRNm8RZNHUqbiPB2jpXULoujY2ZraFPmD99wtpWbHBtVsl+x2Idzy1LY+3lSXzd358VK8QxPn26+6hypeegzsUugq/FQoDNQlm18w87wKdzM9sNQ26ALd0xqc/IkSIyW18P7OKLpdqaonQk0dGSVtCw8NCQIVIpd+FCR9v06a6RX2FudPmiAnywucu3VroVvlYLflYLlbXtP/ZecQV88IHj2DAkOrw1JCRI4a1TTmmzOUo78PLyNMb5xPLuOiunX+qq/1dHFXZeZSVjiKMf4Z1nYDdnWKIv85cXc8LEEKxWh2e3/6hqgsxg3vg5n4dmVhJK83IISvszYoQ8Nm50tJ17rswNAXytBsG+VhdtY3fjrc0m9+vp0zvSYkVpO75WgzB/H4qrnCPZg/0tnHZa86+/5hqpUl2HYYhWs9K9CQuD//s/KZ5ah58fHHpo59sS4OMq2RLqZyUk0ODYY0VDV1FANRe7DP0j/LnvsH6O43B/HpjVj0ERXSfaISkJFiyAe+8VbbGXXpK/3emLKEpnEBYm6SbPPCMaNo89Jqkm0dHO5x3WL5zIAIeD0c9q8PjsAeRXVFNdq9vH3ZkBEQHcNbOvU9vMvmEMjpJohLZ8/zNmSGXnM86As86Sv+un4ytdi805pWzJLWPr59HMOLIaWyPSxybwHusIwY9xxHeqjd2diGArYYEWNqQ6O3YNAw6aU0Hgv2fwMss9ZJ2SmAiffip627Nmyf32zkcrKbGLhnFSqD+PznauxDI8OpBx8RplqHQMWaWVpBd3rPh7bJAfjx/p3K/7hvkxwU0xQncccgj88IPolZ5zjhR3Uad6z2DOHFk7z5kjG9I//dSyAJ/KmlpSCisoqmyfyj8TEoIZGOG8YH/iqIFuM8aUno1heign1TCMSOBDoB+wCzjDNM38BucM3XdOHQOAu03T/JdhGPcClwPZ+567wzTNBupprkyaNMlcvrxrTiwLKqr5PaWIrNIqNuWU8/KKNML9fXjiyAHMGRxFgE2F4LsATSTJde3+2d1Zn1nCj7sLqKm1MygqkLuX7GJrbjnnjI7h1hl9GBTZLXJRm+yf0DP7aF5ZNb+nFvF7aiHj4oII8vXh9h92srOggvNGx3LTQX3anCKttBivHUOv/2YrqbsMfrh9IP98qpTARtaNi0nmD/ZyCsOw6R5vu7N5byV7cmq45uhIp/aKUoP7z40ieP1rpCRdil/HJO/oGNpCMkoqeWtNJo8vTSHQZuHhI/pz8tBoTOCP1CJ+2VNAv/AADu0b1l3ur96C146hnUlxZQ3zNufwf4uSqay1c9uM3lwwJp7YDqocXlpVw597i/lpdwG9QvyY2TecoR2okd+F0TG0jWzKLuWBn3czf0sOY+OCefKogRzUu3WSQO7YllvGT7sLSCmq5JA+4UxPCiHIt0cmwTbbR3synuwRtwOLTNN81DCM2/cd31b/BNM0twDjAAzDsAJ7gXqB4TxjmuaT9BDC/W0cOziKJ37bw2NL9wBQUFHD6R9v5McLxzGzX7hnDVSUbsyouGBGxQWzLrOESf9dQVWtbMz8d2UGhRW1vHnKMPzdpA0oXZ/IQBtzhkQxZ0gUqzOKmfLflVTb5ft/aUU6JVW1vHriUPz0+++xFFfW8M7aLMb+MZGDjqhu1LG4mRwWs4tTGa6OxQ5iULwvv28tJ7OwhrgwxzTXP8hkylGVbHv2IF59YiXXMsWDVirzN+dy2w87Acgth/M/28zCc8dw1KBIjhwoD0XpKH7dU8hFn2/ef3zL9zuJCLBx6fiEDnm/IF8fDu8fweH9WyH4rSitpLCimiu+2MKvKUUA/J5axNHvrGXF5RMZ0kZn9uCoQAZHqUNcaRpPzmxPAupqXL4JnNzM+UcAO0zT3N2RRnk7uWVVvLSvil59ftlT0PnGKEoPZFNO2X7HYh0fb8wmpbBj02oU72BTdtl+x2Id763PIrWoykMWKd7Aa6vSGW5EsWKxHzOPc98XsinlbdZxJP0JoWOiYxTwsRqMSPLjp42lLs8dOreM/FeH82Dhn5TTPuliSusprarlP27msl9vz/WANUpPZMGWHJe2l5anUVFT6+ZsReka7C6s3O9YrKOkqpYtuWUeskjpaXjSuRhnmmY6wL5/Y5s5/yzg/QZt1xmGsdYwjNcNw+gRW0H+Plb6hLrqG8R3UBi/oijOhPi6RqdFBtg6vbiS4hlC/Fy//+hAGwE2/f57KlW1dp76LYXSL/tx2Bz3UYvlVPMKK5lEAr0I7Xwjexij+vixKrmc4nJnR0FkfC2jp1Xh/9wM/s2fHrJO8bUaDIhwFdxua0VTRWkp7vra4MgALdSndGkCbRa365FQN3NXRekIOnQENQzjB8Mw1rt5nNTK6/gCJwIf12v+DzAQSZtOB55q4vVXGIax3DCM5dnZ2Y2d1iUI8rVy72H98LE40v17h/pxSJ9wzxmltInu1D97AuPigzm0j7N2yb+OGUhSN14UaR91MC4+mOlJzs6hZ48ZRKKKWnsMT/fPt9dkErQ3mrStfhxyjGvUYi0m/2MNCQQzutl9VKU9CPKzMCjelyUbXKMXDz+7lJx/jeHRwuVk4fp8R+DpPupt2KwWbj6oN35WxzIkNsjG0ZoK7RF6Yv88YUgU0YG2/ccBPhZumNoLq0Xl1LyRnthHD4QBEQE8ckR/p7ZThkUxKjbIQxYpPQ1PFnTZAhxmmma6YRgJwI+maQ5t5NyTgGtN0zyqkef7AV+apjmqufftDiKwdtNkVXoJazJLCLJZmZgQzCDVQOgqqJB2NyClsILl6cXklFYzPCaQiQkh3aWgkgppt4CUwgr+Sismt6yaETFBTEwIxr97fP9dAa8aQytr7Ax6ZhmVz03khNNrGD25xul5E/iIDaRRzHEMxqo64J1Gcbmdj34v4p+nRBMa4Pz7/OCJUIrG7mbyw5t5k1Pa8211DG0hpmmyJrOUVenF+PtYmJAQogUuOgevGkM9yeacUlaml1BdazIuPoix8SGeNknRMbTNFFfWsDytmG155SQE+zIpMUSrOrcvOpFrAk8WdFkAXAg8uu/f+U2cezYNUqINw0ioS6sGTgHWd4SR3ojFMJiYGMLERL0JKoon6B3mT+9uHKmoNI1+/0odzy9LhZ/6kpCAi2MR4Ht2sJ18TmaoOhY7mZAAC0MTffl2dQlnTHeONj/6whKeunIIOVf9wuI+yRxO/0auonQUhmEwLj6YcfGNVD9SlA5mWHQQw6I1okvpXoT4+TCrfwSztHiQ4gE86Vx8FPjIMIxLgT3A6QCGYSQCr5qmedy+40DgSODKBq9/3DCMcUhgwC43zyvNUFMDq1bB2rUQFgYTJ0J/nV8rXRi7HVavhjVrIDAQJk2CgQM9bZWitA979sDy5ZCXByNHypjtq3K7HiOjpJL73s3H/HU0lz3iKpa+lBSWksIpDMcPjWz1BBMH+PPB0iJmDA2kV6QjBTIi1s7BJ5eRcsNcLvr8bdZxNWHohkFns3EjrFwJpgnjx8OoZvOPFMW7WbtW1lY2G0yYAMOGedoiRekYMjNhxQpITYVBg2ROGhbW/OuU7o3HnIumaeYiFaAbtqcBx9U7LgOi3Jx3foca2ANYvBiOPVYcMgBDh8JXX6kzRum6/PwzHHmkOM4B+vaF776DIUM8a5eitJWUFJg7VyZyAIYB8+bBySd71KwezZUfJlP74QjOuqySsAhniZll7OVbtnMSQwnG1sgVlI4mwNfClEEBfLC0kL/PiXLSUzvi7FKeujyavp9N4rJTFvARp2NodGmnsWoVzJoFhYVyHBICS5bIAlVRuiJ//gmHHw5l+/aaoqKkT48e7Vm7FKW9KSiAW26Bt992tD3yCNx8M/h4MnRN8ThaEquHUlAAt97qcCwCbNkCy5Z5zCRFaROlpXD33Q7HIsDu3fDLL56zSVHaixUrHI5FkEifG26ArCzP2dSTeXdVFgsfTmDKQXZGT3JOh15KCl+wlRMYSrhGw3mc4Um+GAYsWudcvMXmC2fcXMjWq6azKr2EJ/jNQxb2TD74wOFYBCguhtdf95w9itIW7HZ4/nmHYxEgNxcWLPCcTYrSUWzc6OxYBLjnHti2zTP2KN6DOhd7KOXlEsbckLy8zrdFUdqD8nJJG21IZmbn26Io7U1RkWtbRob0e6Vz2ZRVxiUXG/QO8+eEsxzVoU3gW3bwHTs4iaFEqmPRK7AYBrNGBvHzplK2Z1Q6PTdgdDXT5pTjc/qZPFX9Jx/2HPluj+NuEaoLU6WrUlPjvv/u3Nn5tihKR+NuTlpVJYEeSs9GnYs9lPh4uLKBSqVhiOaNonRFoqPhqqtc22fM6HxbFKW9GTECrA1k+y6+GBITPWNPTyW9sIopx5YRURbC5X+vwrJvFlVJLW+yhtVkcArDNWLRywgJsHD4qCDe+LGAzELnSNMjzy3FhpWBl13INebXfMJGD1nZszjvPNe2Sy7pfDsUpT3w9XVdV4HImShKd2PIEIhoUC9m/HgYMMAz9ijegzoXeyiGITfBW2+F0FAYPBg+/1wKYChKV+W88yQ1OiwM+vWDjz+GKVM8bZWitJ1x40QTd+RICA6G66+H228X0Xilc9iaUsXgKRUElAXyj/+r3l9MJ5UinuR3KqlRjUUvpk+0jamDA3hxYR5Z9RyMFiuc93+FZKwKYdTVF3O1/Sue4XdMzCauprSVWbPgP/+Rze7YWHjuOdFMVpSuypw58NRTstmdmChp/gcf7GmrFKX9GTAAvvkGDj0UAgLEif722xAZ6WnLFE9jmGbPmjxNmjTJXL58uafN8BrsdkhLk4EhyqVsjtIBNKkWr/2z7Zim9Gk/P5ngKa2i2WoG2kc9S16eaDrFx/dY0WyPjKGvfVzOlZdb6D26guuuMrBaDcqp4Tt28Cd7mUFvhrrWnlO8kI2plSzbXs5Fh4UzKN5vf3t5icHrd4UT3reSgrffZ2xIBC9zPFEEtubyOoa2kowMuW8nJHjakh6DzkM7mPR0sFggLs7TlnRJdAztQhQXSx2H6GjxJfQQtPJbE2jkYg/HYoGkJHUsKt0Hw4BevdSxqHRPIiNlzO6hjsVOZ/1GO2NnlXH5ZRYOPq2Yv11rocpawyKSeYhfyKCEMxmpjsUuxIgkv/0p0vP/KqKyWirbBQSbXPlYPr6mleKxl5CzKJ7hvMALLKOKWg9b3X2Jj1fHotK9SEhQx6LSMwgJgd69e5RjUWkGdS4qiqIoiqLso6wM3v2wltEzKhg7uZa02lJueLiI4bOLeY/13MfPbCGH4xnCEfQnSNOguxx9om2cMT2U9IIaHpiXzfdrSygur8XHF06/sZjjLythw4UHEX3klbzwfS597f/iXn5kB1r1TlEURVEUxR0a+6AoiqIoSo+kshJ27YLla2pZ/Fs1v/wCOzfYMKNLCR9dxJgHcihJyONFo5AoAuhPBGcxSnUVuwGBfhZmjw4ip6iGtXsqWbSuhF5RNoYl+tGnXyVXv1jG5p+C+OP6IygrnM0bc/bw1GG/ETelgL+GnEoEGqqhKIqiKIpSR4/TXDQMIxvY3Q6XigZy2uE6XYGe8lk743PmmKZ5TGNPtmP/bIqe8n1Cz/qs0PbP22T/hA7po935O+rOnw088/kOfAwddkoEm+c1Xcswsgx6FUFEBVj3zY+qa02q7Cb2upNMA4xuPnnqCZ8RnD6naYDdz8Du66qnVOgHe0Ohel/J9mGHbGXzr8VuLuiJMbQ1eNOYpLY0Tkfa09nzUG/7v+0I9DO2H940hnbX77U7fq7O/EzN9tGeTI9zLrYXhmEsN02zR9RW7imfVT9n96MnfVbomp+3K9rcUrrzZ4Pu//kaoyd87p7wGaHnfM46vOnzqi2N4232tIXu9FkaQz9j96S7fubu+Lm642fqqqjmoqIoiqIoiqIoiqIoiqIoB4Q6FxVFURRFURRFURRFURRFOSDUuXjgvOJpAzqRnvJZ9XN2P3rSZ4Wu+Xm7os0tpTt/Nuj+n68xesLn7gmfEXrO56zDmz6v2tI43mZPW+hOn6Ux9DN2T7rrZ+6On6s7fqYuiWouKoqiKIqiKIqiKIqiKIpyQGjkoqIoiqIoiqIoiqIoiqIoB4Q6FxVFURRFURRFURRFURRFOSDUuagoiqIoiqIoiqIoiqIoygGhzkVFURRFURRFURRFURRFUQ4IdS4qiqIoiqIoiqIoiqIoinJAqHNRURRFURRFURRFURRFUZQDQp2LiqIoiqIoiqIoiqIoiqIcED3OuXjMMceYgD704alHk2j/1IeHH82ifVQfHn40ifZPfXj40SzaR/Xh4UeTaP/Uh4cfzaJ9VB8efihN0OOcizk5OZ42QVEaRfun4u1oH1W8Ge2firejfVTxZrR/Kt6O9lFF8V56nHNRURRFURRFURRFURRFUZT2QZ2LPZzaWkhLg6IiT1uiKO1PZibk5nraCkWRfpiR4WkrlO5IVZXcx0tLPW2JoihKzyMrCzSYTulMKivlvl9W5mlLFMUZdS72YHbsgBtvhJEjYfZs+PFHMFVJQOkGZGXBv/4F48bB5MnwwQe68FY8Q1kZfPwxTJ0q/fHJJ8XprSjtwebNcNllMGIEnHACLFvmaYsURVF6Bjk58MILMH48TJoEb72lwRpKx7N+PZx3ntz3TzsNVq3ytEWK4sDH0wYonqGyEu6/X26EAH/9BUcfLf+OGeN6flERFBdDbCzYbJ1rq6K0li++EMd5HQ8/DL17w+jREBrqObuUnscff8AZZziOb7kFgoPhqqta9vrcXKiuhvj4jrFP6boUFopjcelSOV6yxHEfT0iAggKIiQFfX4+aqSiK0i359lvZyD75ZHE0XnklREXBnDmetkzprmRnw5lnwsaNcvzNN+JcXLZM1jntTXk55OVBZCQEBLT/9ZXuh0Yu9lBSU+Gdd5zbqqocg1V9fvlFFiwjR8J118G2bZ1jo6IcCGVlspNcxx13SN+dMweOPBJ+/lkjdJXOY+FC17bnn5fNmqaoi3icMgXGjoXHH9e0asWZ5GSHY7EOu12yEk44QaIaLrkENm3yjH2KoijdlZoaSEmBmTPh/fclmuyhhyQLTFE6ih07XNfqGRmwdWv7v9fatXDOOTB8uGySr17d/u+hdD80crGH4u8vuxANNUJCQpyPN24Ux2J5uRy/8orsmrz7ru5gKN6JzQb9+8tO3qGHys3w66/luWXLHJE9o0Z51Eylh5CY6NrWt2/z0WQNIx5vu00iHq+5pn3tU7ouAQHg5yeZCHVccw2cdZZELYLcq7dvlwib8HBPWKn0NExM7mIJJiYPcYSnzVGUDsEwJFDjtdfkOD9fMhPefdezdindm6AgsFhkI7E+wcHt+z4ZGTB3rjgzAb78Etasgd9/h1692ve9lO6FRi72UHr1gmeecW6bMkU0weqzcaPDsVjHZ5/B7t0dap6iHDA2G/zjH+K8OeQQSRmoT0WFRvIonceRR4qcRB02G9x+uziFmuL7713bXnhB9ZwUB4MGibxJfWJjHY7FOv78U6IcFaUz+I0U3mQNL7KcPRR62hxF6RDS010zwOx2cTIqSkcxZIhsNtfn4oslurA92bHD4VisIyVFsxeV5tHIxR7M3LnQp4+EPcfHS+GLhrsR7vTpwsI0alHxbg46SHbX0tMlWqfhZK9hhK6idBQjRsBPP0m0bHk5TJwo4u/N0VjEY3NOSaXnYLWKxteECbJh0qePRDU0xNcXAgM73z6lZ/I5mzmcfhRQwTw28XemedokRWl3AgJk7dRwwy862jP2KD0DPz+46SZJx9+6FQYMkGJC7a0nHxQk0bkNZaR0/aQ0hzoXezCBgZI2euihjZ8zZowMYD/95Gh74glZ5CqKt2IYsuAGeOop0R2r4+CDRcNOUTqLYcPk0RqOOALi4hyVpW02+Oc/1bmoOBMWBrNnywNEeP3UU+HTTx3n3HcfDBzoGfuUnsfXbONaprCbApax19PmKEqHEBUl88sTT3Q4YIYPF0ePonQkUVEi8XT00R33HkOHSmHMp592tF19tbQrSlOoc1Fpkvh4ePttibpJTxedOr1xKl2JM86Qnb3166WC6uTJ8q+ieDMjRogw/PLlEvE4YULLIh6Vnk1kJDz3HFx4ociXDBsm92wfne0pnUA51eykgMFE4o8Pn7HZ0yYpSodx1FFSVGv1ahl7J08WzW9F6eoEBMiG9lFHSSr0gAHSv9tb21Hpfuh0sweSmSkpVBaL7LLFxDR9fu/eHVPeXlE6g6Agib6dOVOOU1Jg0SKJ3B0+XIscKO1PXh5s3ixOwaFDISnpwK5zIBGPSvcgN1fu09XV0ofcpck3RkKCVItWlM5mA9n0IQwbVvoSxl6KKaaSEDTkWul++PrC9OmSzbVlC6SlyZwyMtLTlik9kZQUSZVur/VNdHTHR0gq3Q+vLehiGMYxhmFsMQxju2EYt7t5PswwjC8Mw1hjGMYGwzAu9oSdXY0tW2QXYtYscbaccgrs3OlpqxSlc1i9GqZOlRTCgw6Syqrp6Z62SulOpKZKGv6MGdLPZswQXVtFaSm7d8PZZ0tBqsMPl/v1hg2etkpRmmcNGQwgAgArFnoRwg60woXSfdmwQdZThx8uY/bZZ2vRS6Xz0fWN4i14pXPRMAwr8AJwLDACONswjBENTrsW2Gia5ljgMOApwzB8O9XQLsh77zkvdJcuha+/9pw9itJZVFSI9lj9m+3770slVUVpL5YuhfnzHcd79sAzz0gEmqK0hCVLnKuFb90K//2vVCJVFG9mNZn0I2z/cQIh7FTnotJNsdvhpZdg+3ZH23ffiaSJonQWur5RvAmvdC4CU4DtpmnuNE2zCvgAOKnBOSYQYhiGAQQDeUBN55rZtaitlZteQ37+ufNtUZTOpqBAHD8N2bat001RujHr1rm2/fija0VJRWmMZctc2xYtgrKyzrdFUVrDFnLoXc+5GEcQyepcVLoppaWweLFr+/LlnW+L0nPR9Y3iTXirc7EXkFLvOHVfW32eB4YDacA64G+maeq+fhNYrTB3rmu7aikoPYGoKDj2WNf2EQ1johWlDUyc6Np2wgmq7am0nEMOcW076STRj1UUb2Y7efQiZP9xHMGaFq10W4KDpVp0Q2bM6HxblJ6Lrm8Ub8JbnYuGmzazwfHRwGogERgHPG8YRqjbixnGFYZhLDcMY3l2dnZ72tnlOPVU5wHo7LNFg7Ez2bULfv9dtR7r0P7ZsaSkSH/btQtuu81RcddigVtvFY0SpWm0j7aM4mLo00e0box9d7GpU+XYavWsbd2Z7tY/Z86ESy91Pr7wQkefKi6GlSth1SqJnFG8n+7WR91RTS17KSahnnMxkWC2k+dBq5SW0BP6Z0dgGKKxXFcw0DDg8svh0EObf23dOL56NZSUdKiZ3QLto+4pK4ONG+HKK3V9o3gHhmk29Nl5HsMwpgP3mqZ59L7jfwKYpvlIvXO+Ah41TfOXfceLgdtN03STUORg0qRJ5vIeHq9eVCSh0lYrDB7cudEQ330nDs28PAgLg7feguOPl4Gwh+DOcb4f7Z/ty88/w+mnQ1aW9PPXXoPDDhOx7YAAGDIE/LSIZX2a7J+gfbQxkpPhH/+Azz+HadNkwTF0KIweDRERnrauW9EjxtCyMrlPV1fDoEGOyNfkZLjxRoeu51lnwWOPiVNb8Qp67Bi6gzwO5Q3exZEik0w+j/IrW7jeg5YpDegRY2hnUlAguos2m6yrAgObPj85Gf7+d1iwQI7PPlvG8d69O9rSLkGPHUNbS1oa3HsvvPqqrKPvuQcOPliqPOv6pkNpto/2ZLzVpfMXMNgwjP77irScBSxocM4e4AgAwzDigKGAxsK1gNBQSd0bN65zHYvJyXDmmeJYBCgslIWRakIoraWiAjZtkkIHNY0oraalyYQtK0uOS0vh3HPleMoUcfrojVdpL+bPF8ciwB9/wBVXwObN6lhUDozAQBg7FiZNck6p//xz54JBH3wA337b2dYpiivbySMJ5wSiKALJRMNrle5NeLiM1WPHNu9YBPj0U4djEaT4xsKFHWae0s3Iz5cq5T/8IMXeTFPqKtx9t2h86/pG8SRe6Vw0TbMGuA5YCGwCPjJNc4NhGFcZhnHVvtMeAA4yDGMdsAi4zTTNHM9YrLSE1FTZ3atPebk4iBSlpezeDVddBSNHwqhRslNX50CsT1qaPOpTWyuvV5T2xDRh3jzX9i++6HxblO5LY/1s/nzHpp2ieIpkCojDecc6BF/KqaGcag9ZpSjexapV8Nlnru1ff935tihdj3XrpFbCySfDN9+4Pv/ZZ5pmr3gWr3QuApim+bVpmkNM0xxomuZD+9peMk3zpX1/p5mmeZRpmqNN0xxlmuY7nrVYaY64OElFrY+Pj6Rp27UUj9JCPvwQ3nxTFtrV1fDww/DTT67nRUe7Ro0ZBiQmdo6dSs/BMCQVpSGTJnW+LUr3xTDgyCNd24cMgV9+6Xx7FKU+yeQT28C5aGAQTSDp6GpXUXbtggsukMiyhtTpNipKYxQVwQ03wF9/SfBEv36u5xx+eMuiZxWlo/Ba56LS/Rg0CJ58UhyKIJqPt98OL78MubmetU3pGpSVwXvvubZ/951rW79+8MYbjtQAw4CnnoLhwzvSQqWnMnSoc98aM0Y2U8rKPGeT0v047TTnCpBjx8oY9+WXnrNJUQB2UkAcwS7t0QSQTrEHLFIU72L3bli/HmJjYdgwR/vkyaI/ryhNkZEhac8gc8vSUtH4rmPgQCko1IPqGCheiI+nDVB6DhaLRI3dcYekp9psohc1eDCEhDT/ekXx95cb6Zo1zu3jxrk///jjJQVl926JnB0+XK6hKO1NSQnMmAFnnCHHu3dLlIL2N6U9GTYM/vY32LtXNkx274bHH4fnnvO0ZUpPZxcFHEpfl/YojVxUFEAyanx84KGHpOjbGWfI2uiUU8QxpChNERYmxdv27JHj556T2gW33y7r6KFDoVcvz9qoKOpcVDqV8eMlenHpUjmuqxitC3ClJVgscPXVoilSp7M4ahQcdVTj5w8frtGKSsdz1FHw0ksSlQASmfDdd7qDrLQvFgtMnSr9rSVjoKJ0FnsoJB7XKoER+JOmkYuKwpAh8MwzcP31UuEX5FjnqEpLiIuTbL8TTxRZKJDMhRkzxHGtKN6AOheVTqVvX/jkExGkLSuT9K7Bgz1tldKVGDtWqvFu2CDRr6NG6U6d4nkGD5aqvevXy6Rv5Ejo39/TVindER0DFW+jghoKKCeSAJfnIghQ56KiIOP1pZfKBlFKCvTuLXMFm83TlildhaOOghUrYPt2iIqS+39kpKetUhQH6lxUOp34eHkoyoHSv786bhTvo1cvdfIonYOOgYo3kUoRsQRjdSPlHo4/GZoWrSiAaDFPniwPRWktFosUBHJXFEhRvAFN2FIURVEURVEU5YCQlGjXYi4gzsUsSjvZIkVRFEVROht1LiqKoiiKoiiKckDspoAYAt0+F4Y/2ZR1skWKoiiKonQ26lxUFEVRFEVRFOWA2E0h0Y04F8PxI0edi4qiKIrS7VHnoqIoiqIoiqIoB8QuCoh1UykaJC06V52LiqIoitLtUeeioiiKoiiKoigHxG4KG3UuBuNLOTVUUtPJVimKoiiK0plotWil1eTmwrJlsH49DBoEU6dCYqKnrVIU96Smwh9/QHIyjB0LU6ZAeLinrVKUtrNnj/TtPXtg3Djp26GhnrZKOVBKS+XeumKFVB2fPh369fO0VYrSPE0VdDEwiMCfHMrohQ5QSvdj3Tr4/XeoroZp02DCBDAMT1ulKG2jfr+ePh3Gj9d+rTSPOheVVlFVBU8/DQ8/7Gg7/XR4+WWIiPCcXYrijuxsuOQS+P57R9tjj8FNN4HV6jm7FKWtZGTA+efDzz872p59Fq6/Xid/XZWPPpLxqo4JE2D+fEhK8pxNitIcdkz2UtRo5CJIanS2OheVbsjq1TBzJhQVybGvLyxZAgcd5FGzFKVNrFoFhx3m6Nd+ftKvp0/3qFlKF8Br06INwzjGMIwthmFsNwzj9kbOOcwwjNWGYWwwDOOnzraxJ7Jtmzhn6vPxx7Bxo2fsUZSmWL/e2bEIcPfdsGOHZ+xRlPZi7VpnxyLAHXdIhK7S9UhNhZtvdm5buVIWrorizWRRSiA2/JuIVxDnYmknWqUoncP8+Q4HDEgQxr//DbW1nrNJUdrKvHnO/bqyEp5/Hux2z9mkdA28MnLRMAwr8AJwJJAK/GUYxgLTNDfWOycceBE4xjTNPYZhxHrE2B5Gebn7G2aZanUrXkipm7VMZSVUVHS+LYrSnrjr26Wl0r+VrkdlJRQWura7+54VxZvYTQEJhDR5Tih+5FHeSRYpSueRlubatmePrJU0Q0bpquzd69qWkiLORYvXhqYp3oC3do8pwHbTNHeaplkFfACc1OCcc4B5pmnuATBNM6uTbeyR9O0Lkyc7t8XFwZAhnrFHUZpi6FAIC3NuO/JI6N/fM/YoSnsxfDgEN5A4O+UUGaNbQ0mJOrC8gaQkuOAC5zZ/fxg50jP2tJSaGigo0GiGnsxuColrIiUaIAQ/ctW5qHRxioslyKI+c+e6nnfttZIerShdlTPOcG275hrw8cqwNMWb8NYu0gtIqXecCkxtcM4QwGYYxo9ACPCsaZpvdY553smeggo2ZJdiGAajYgNJCvVv1+uvWyeh/uedJ4VcfvgBZsyQNNPWLmgVpTMYOBAWfGlnfWYZWdXlJEX4MrlvECEh3jr0Kd7EjrxyNmaXEmCzMCo2iPhgP0+btJ9hwyTl/847ZWw+80y44QYIDGzZ60tKYOFCeOQROb7jDjj6aAhq2kegdBBWm53L7yxj/DnllGX5kroiiDNO9mHUKE9b1jgbNsicYPFiOP54uOoq2dBReha7KSCGpgeeYGwauah0SVKLKlidVkZurknKiiB+XODP7beLzqLNJuugDz+Eu+6SCPR//hOOOcbTVivdgVq7ycbsUnbklRMdZGNUbBDh/rZOee8RI+Cll+Cpp6Sgyz/+AbNnd8pbK10cb11hu5OjNxsc+wATgSOAAOB3wzD+ME1zq8vFDOMK4AqAPn36tLOp3sGGrFLmvLeW3YWSEzckMoD5Z49iWHT7rBRTU2XxsGePHE+YAH/7G1xxBcTEtMtb9Fh6Qv/0FGvXwp/5ufxzw0ZqTRlCri5P4tF+/Qj199bhz/voiX10ZVoxR76zhrzyGgAOSgrl3VNH0C+8fTdt2sK0aQ69p9jY1qVg/fQTnHaa4/jUU+Hrr+HYY9vfzo6mO/TPL7bmcvpHjnHqH0clMXZKP7x1mpaWBied5NCv/de/4LffpA9FRXnUNK+kO/TRxthJPnGNVIquIwQ/slH9HG+lO/fPtrA5p5ST3l/P1jxxjPcO8ePy08Zw9NFB/PKLFG0JDpYoryOPlEhuXRN1DD2xjy7ckcfJH6yn2i7zgmsmJfLg4f2JCOh4B+Mnn8C998ra32aDBx+UOWd0dIe/tdLF8da06FSgd73jJKChqkUq8K1pmqWmaeYAPwNj3V3MNM1XTNOcZJrmpJhuOuq/uy5jv2MRYGteOQu25Lbb9bdscTgWQYTm77wTdu9ut7fosfSE/ukp9hZX8PjmrfsX7AD/WZPKilTNA20NPa2PVtbU8ujSPfsdiwC/pRbx6x43ongeJigIEhJar+303/+6tr36avvY1Nl09f65p7CCK75wHqee/iOV9ZneO05t3epaGGvZMti+3TP2eDtdvY82xXbyW6S5mKvORa+lO/fPtvDZppz9jkWAlOJKdodlkpTkWlAtIkIdix1JT+ujacWVXLZgy37HIsCLy9NYm1nS4e+dlSURi8XF8P778NZb0rZsWYe/tdIN8Fbn4l/AYMMw+huG4QucBSxocM584BDDMHwMwwhE0qY3dbKdXoHdNPllT5FL++8p7bcQ9nOTDWgY7tsVxVuo9a0hp6zapT2nvMoD1ihdhZKqWv5KK3Zp35zjvc6e1uJu97kHzNe9kvxy9+NUZqn3jlON3ft1TtDzSCafxGYiF0PxI0edi0oX48+9rmurLRWF9OtnEtK0P11R2kRBRQ3pJa5zgMxS17lCe2OzQWioa7vK5igtwSudi6Zp1gDXAQsRh+FHpmluMAzjKsMwrtp3zibgW2AtsAx41TTN9Z6y2ZNYDINzRrkWyz5lePutFEeMcE2Xu/ZaGDy43d5CUdqdwXG+DI9y1oKyGgZDYgI8ZJHSFYgIsHHmSNfx86DeYW7O7ppceqmz4LyvL1x0kcfM6dEkhfoyMsZ1nBoY6b3j1LBhkhZdn8sv1+JuPQ07JqkUEd8C56IWdFG6GicPc92Fmx4Uy65dBjNnesAgpceQEOzL5ERnD7YBDIro+HlBRIRDj7uOmBiY2rD6haK4wTvFfADTNL8Gvm7Q9lKD4yeAJzrTLm/l+CFRrMwo5vVVGRgYXDM5gdkDItrt+pGRIuz6yy+wZo0MMAcfLNUsFcVbGd7Pl5ePHc4V32xic24ZkQE+vDxnCCNiWlj1QumRWAyDyycksjmnjPlbcvGzWvi/Q/swrZebrdwuytSp8OuvUhTGYoEjjoBJkzxtVc8kKtCXN08ezvmfbWJTThlRATZeOcG7x6mICHjuOdEaW7VK+tOMGS0vKKR0D9IoJhQ//JpZToTiR746F5UuxlEDIrl+SiIv/pWOicm5w+OZGh7F+V/i1cW2lK5PRICNV04YyoWfbWJtVinh/j78Z85gRsV1Tvjg0UfDkiVSvDUuDg4/HIYP75S3Vro4hmk2rJPSvZk0aZK5fPlyT5vRIVTW1LIzvwIDGBARgK+PVwam9nTcFSvaT3fun54kp6yKvUVVRPj70MeLCnJ4IU32T+hZfbS0qpbkgnJ8rRYGRgRgtTT736N0PN12DM0tqyJVx6muTo8aQ39kFzeykGc4usnzCqjgEuaTx22dZJnSBN12DO0Iqmrs7MwvxwQGRPjj59NKgWOltfSoMbQ58sqqSSmqJMzfSr9w781m6GHoYqAJvDZyUWk9fj5WhseoIIKiNCQ60JfoQN/mT1SUegT5WhkV23S6n6K0F1GBvkTpOKV0IbaQQ1IzxVwAQvCliErsmFh0XaZ0IXx9LAzTtZXiISIDbUQGdnx1aEVpL9S5qHg9BQWSdrVnD/TtC+PHQ1j3kT5TOoHsbKlwnpEBgwZJH9L0PaWjyM+X/paaCv37w7hx7sWxFcUdO3fKPa+qCkaP1vQ7xXvZRA6JND+4WbEQgI0iKglHo3KV7sXOnbB6NVRUwJgxOmYr7U9enswr9+6VeeX48WhRIcUrUeei4tWUl8PjjzsLy95zD/zzn1qVUmkZ+flw003w9tuOtpdegiuukIrnitKelJbCgw/C00872h5+GG6+WSrwKUpTbNokWkcpKXIcFASLFqmQuuKdbCSbmfRt0bl1uovqXFS6E1u2wDHHwK5dchwQAIsXw7RpHjVL6UYUF8P998OzzzraHnsMbrxR55WK96GifIpXs3kzPPqoc9sDD8jNXFFawvr1zo5FEGfjzp2esUfp3mza5OxYBLj7bti61TP2KF2L7793OBZBnNVPPQU1NZ6zSVEaYyu59KZlqSTiXKzoYIsUpXNZvNjhWAQJinj0Uais9JhJSjdj0yZnxyLAnXfCtm2esUdRmkKdi90E0zSprrV72ox2p7AQGtYcstulXVEaYjdNauzOv4P8fNfzSkuhpKSTjFK8jo4cKwsKXNtqaqCoqMPeUuniVNXrjzt2uD6/aZMuVBXvo5QqMiklsQWaiwDB+GrFaKXbkZzs2rZ5s6RIA9TY7dh7WPFUpX1xt+atrm7dvLLGbqfWrv1Q6XjUudgNWJlezFVfbmXmG6t5bVU6GSXdZxUyYADExTm39eolehOKUkd1rZ0fd+Vz6ofrOe7ddXy5NYfSKgn1GTxY0lTqM3ky9OnjAUMVj7I2s4S/f7uNQ99YzQvLUkktav8omoEDITLSua1/fx2zFFe25ZbxwE+7OOR/q3jgp11syy3jaDdFdy+7TNKjFcWbWEMmA4jAp4VLiRB8NXJR6XYccYRr22WXAX41zNuUzVFvr+WsTzbyy+4Cde4oB8TAgRAR4drWknllaVUNX2/L5fj31nHqR+tZnJzvtKGpKO2NOhe7OJuySzn8zTW8sjKd31OLuGzBFl5enobZTXbJ+vSBL75w6E3NmAGffw5JSR41S/Ey/txbxBFvreHzLbl8vzOfE95fz6LkAgCGD4dvvoGRI+XcY4+F1193vVEr3Zud+eUc9fZanv1zL3+kFnHdN9t55Nc97R7F2L8/fPWVOLABZs6ETz+F+Ph2fRuli5NbVsVF8zdz94+7WLa3mLt/3MUFn21izNQqXnpJHNT+/nDHHXD66Z62VlFcWU0GA2n5jTQYX/I0clHpZhx0EPz3vxAVJWP2bbfB2WfDt9vzOPWjDSzZVcDHG7M5/K01LE8r9rS5ShdkwACZV06aJMeHHQaffOIafOOOH3cVMOe9dSzckc/8LbnMfmsNf6RoKo3ScWhBly7O2swSCiudxZgeW5rCxeMS6BPePUSzJ0+GhQulUlZUlFZdVVyZtymHhhvCT/+ewjGDIvC1Wpk5E376SVIIYmM1Cqgnsj6rlMzSKqe2l5enc8OUJIZGt2/p8GnT4LvvJCU/Olor+imubM0t57cGE/w/9hazp6ycK6/05fjjJZ2+d2+w6Daw4oWsII0BrXAuBmlatNINCQmRSMXjjpNU1aQkKK+p4bEv9zidV2M3+X5nHlOTdBGjtJ7p02VeWVDQ8nlljd3Ov5ftdWozgQ82ZHFov/COMFNR1LnY1bFaXMvd2qxGt1iMlJZCbq44FMPC5KEo7vC1uv4O/KwWDBztUVHyAMjJET2cxERduPcUrG5Kg1st4GYIbRfCw6GsTB7qXFQaYmmkVH1dP+3Vy9GWmytFAhISwGrtDOsUpXl+YQ83c1CLz9fIRaU7k5jo+NtiN9zOS20tnHCYJuzdC76+siGu9Gz27gUfH4lUbE3WlYGBv9V1kePn00ETX0VB06K7POPig4kL8nVqu3dmP5JCu3bU4urVcNppMGQInHwyrFzpaYsUb+aUYdEuk7abDuqNrcFNtaICPvsMpkyBESMk5XCP8+ay0k0ZHRfEwAjncfHm6b3pH9H+Y2VmJjz+OIweDePHw//+pwVdFGeGRgUwZ7CzOOexgyIZGuUQiK2qggULJBJ2+HC49VbYvbuzLVUUV9IpJovSVqVFh+BLrjoXlR5AoM3K/x3S16nN38fC7AGRjbzCwd69cP/9MGqUpMF+8IEEWyg9j4wMeOQRmUtOnAhvvQXFrcist1oMbpjai/qrIx+LwRkj1GOtdBwaudjFGRQZyKILxvD55hw2Zpdx2ogYZvYN97RZbSItTRyKdYuoH36AE06AP/9UrUXFPZN7hfLzxeP4eEM2JVW1nD0qlum9XVNPli+HuXMdx489BjabTOQaCSRSugl9wvz58pzRfLEll5XpxZw4NJoj+kfg0wGhq198IbpLdVxyiew4H3dcu7+V0kUJD7DxwnGDOXFHPouT85nVP4JjBkYSHmDbf86KFXIvrJNQfvppiVx89FGNuFY8y2KSGUs81lbEKATjyy4KOs4oRfEiZg+I4PvzxvD++iyiAm2cMTKGiYnNpzF8+CHce6/8XVgo+o2LF8OsWR1rr+J9fP65BEGAyOxceKHMJd0VfmuMQ/qEs+TCcby/PhN/HwtnjozV1HylQ/Fa56JhGMcAzwJW4FXTNB9t5LzJwB/AmaZpftKJJnoNI2ODGRkb7Gkz2o0dO1yjM9LSpL2+c7GqSjSpAttXLk3pglgMg2lJYUxLCqO0VES13aUPrljh2vbKK3DttVpwoycwLDqIYdEdK7hZUQEvveTavmBBy52LtbWSBhvcfYZ1xQ19wwO4YmIAV0xMdPv82rUOx2IdH34IN9wgCwybze3LFKXDeZd1TKd1u70hmhatdFNKS8HPT1JX6wiwWZk9MJLZA5uPVqwjP9/9/GHJEnUu9jRKS6UvJCRI7YFdu2RO8PXXrXMu+vpYmNkvnJmqsah0El65920YhhV4ATgWGAGcbRjGiEbOewxY2LkWKh1JSIj7KLI63bKaGrnRnnSSVGJ9910p9qL0bJKT4eGHJYXw6qthzRrXc6KjXdv69FEHtdJ++PiInEND+vdv2evXrYPrr5d+fN99sHNn+9qndB0iG6xJzzwTLrpIIvnPPBN++83V+agoHc1eiviVPcykb/Mn1yMEPwqo6CCrFKXzSU+HF16QitHnnScZVm3B39/9XKG+Bq/SM/D1lUJBp54q88AhQ+CJJ2DgQE9bpihN45XORWAKsN00zZ2maVYBHwAnuTnveuBTIKszjVM6lmHD4JZbnNtuvFHaAZYtg9mz4dtvJc31vPMkKkjpuZSXS+rA//0frF8P//0vHHWUOBzrM22as+PHahU9E61ArrQXPj4SWRbgkM4jJgbmzGn+tXv2SHTjf/4DGzZIatTf/946jR2l+zBpEowcKX8nJsrj/vtFk/izz+Dww+VvRelMztn2I7b/TuaZzwooLq9t8etC8FXnotJtsNvlXn3ddRJR9uGHMiavW3fg1wwIgDvvFMdSHUlJcNhhbTZX6WLU1MDSpfD887Ku+eQT0fI+qOU1tBTFI3hrWnQvIKXecSowtf4JhmH0Ak4BDgcmd55pSkfj7y96ZbNnSxh4374iZFsXXbZkidzU6/PEE3DKKVpRuqeyc6dM7OqTlQUbNzrvAg8cKE7pFSvEYVNXcENR2pNp0+CPP8Tx4+srfWzo0OZft3kzpKY6t33xhfTvsWM7xFTFi+nfH778UsarwECJWqxPZaU8p2OY0lnckP4Lv84L5NzpEWxLreHOD7N4+oJ4rC2oghuML4VUdoKVitLx7N0LTz7p3FZWJo7G0aMP/LoHHyzzh7VrZT00YQIMHtw2W5Wux65druua7GyJllUUb8ZbnYvuZikNk3/+Bdxmmmat0UwlBsMwrgCuAOjTp0972Kd0MJGRcOSR7p8LciOZFhrqrHXSldD+2XZ8fORRXe3c7uvrem7//i1PUVUE7aOtZ8wYebQGdxp6FkvXHds6i+7cP/v1k0dOjnv5Bv/2L3audADdoY8+xW+88m0hc8bHMKFPIOOSTP7zXT5fryrmhInNh/8H40sxldgxsbid5iueojv0z87GapUxubyBjKi7eWdrMAzZMNJNI2d6Wh+1WmVOWFXl3N7W/qUoHY23pkWnAr3rHScBaQ3OmQR8YBjGLuA04EXDME52dzHTNF8xTXOSaZqTYmJiOsBcpTOZNcuhv1jHXXe5dzp2BbR/tp0BA1xT6SdMcKQUKm1D+2jnMHKka8rLDTfAoEGesaer0BP6Z3Q0PPSQc1tMjKROK95PV++jK0jjwbRVBOSFMHOQTMAsFoMTJ4fwxo+FVNc2L/5pxYI/PpRQ1ey5SufS1funJ0hMFFmd+vTqJXNPpf3paX10wAC49VbntjFj2hYVqyidgbfGQ/wFDDYMoz+wFzgLOKf+CaZp7o89MgzjDeBL0zQ/70QbFQ8xdiz89BN8840UcjnhBJg6tfnXKd0Xm0206SZOhB9+kD4ye7ZM/hSlqxAbC++8A4sXS7rrrFlw6KFShVJRTjwRvvsOvvoKeveGY45xaBErSkdyOz/Qb81Q4gcFOaVA946yERdmZdG6Uo4Z13x5+1D8yKecUHRQU7o+Z5whmojffCPOoKOP1oIbSvtQp989fjwsWiSORV3XKF0Br3QumqZZYxjGdUgVaCvwummaGwzDuGrf8y951MAeRGamLHLT0+WGOXGia9SgJ9CUAaUhMTEwd648mqKgAFaulGIvvXpJ5I+7KtKK4gn694dLL5VHR1BQIIWwdu0SB9WkSRAV1THvpbSM/Hz5TvbscXwnDStFAwQHi1xIY5IhitIRpFLEMjMN68Z+HDPb1Sl40NBAFiwvapFzsa5idOvqTCuK95CRIeN1ZqZoIR56KBx7rKetUrojLV3XNMQ0Yc0aZ91OzYBROguvdC4CmKb5NfB1gza3TkXTNC/qDJt6Gvn5cNNN8O67jrann4a//U10wBSlq1FdDc89B3ff7Wi76iqpwOYNTnNF6UgqK+Gpp+DBBx1tf/ubpNt2VVmJrk55OTz2mDzquPlmqQpdv+K4oniKD1jPmPSB7PCxEBfmumwY3suXT/4oYk9ONX2i3QjH1iMYX/K1YrTSRcnJgWuvhXnzHG3/+Q9ceaVoJSqKN/Dbb1K5vE6vsXdv+P77lhUWVJS24rXORcU9m3NKWZFegt1uMi4+mNFxze8UHygbNjg7FgHuuAPmzIEhQzrsbZtl505ISZFom6FD3RdBUJS9e2HHDoiIMKmKLGF9dimxZeHcd59zBYSXXoKLL4YpUzxkqNIlqKq1szK9mI3ZZYT7+zAxIZi+4e3r/cnPh61bwW6Xsc1d9Fpb2LoVHn7Yue3ZZ+H88yUqXel8tmyRzY36PPUUnHBaDamBudTYTcY3uNfn5MC2bSL4PmQIhId3rs1Kz+JbtuO/ow+DE9xXErBaDMb182fRuhIunhXR5LVC8CWf8ibPURRvZf16Z8ciiN533/Fl7DYLGR0XzPR+wVjU06jUo9Zusiq9mHVZpYT4WZmQEMKACJk/ZmbK/TwgQOZ9wW1c1ldUyAZy/UIwKSmwZIk6F5XOQZ2LXYi1mSUc/uYacsulJG6QzcqCs0cxJDKApLD2LxlZWOjaVlEBJSXt/lYtZskSCQ8vKBA9in/9Cy65RCM8FGdWroT7Hqpl3KxyRk6r4oL/raey1s6dA0ZTW+v6Wykq8oCRSpfiq625nPrRBurKFkyID+azs0bRp53G3uRkuPpqWLhQjg89FF5/vX31m4qKxHHZEHdjvdI5FBVJClN9TBN+3FLMPcmbALnXf3PuaGb0CWP7NoMLLoA//5RzTzhBorH7ap6p0gFUU8uf7KXvjoFMG9h4mdJxff349M/iZp2LQfhSoJGLSjtSWlXL9rxyDAMGRfoTaOu4pa27e2VJCfyWXMKDW7ZgsxjMP3Usx44I7zAblK7Hj7vyOfqdddTuu9kPjvTnm3PHUJ4WyGmnySYjiBzOgw9CfLwc78wrJ6+8ml6hfiSEtEyntqJCgnAakpraHp9EUZpHk1u7EJ9vztnvWAQora7lxb/SOO+zjSzamd/u7zdkCISGOrdNnCiaYHVUVYmmwzffyL9VHVgEMD1dImwKCuS4pgauu04iLBWljuJi+PKnSnxP2s6PwdtYmVHMP/uO4q5e4+gXZ2XMWOeVfHS0apEoTbMqvZibvttB/Z6zMqOEFenFLudmZ8PPP4sA9969LX+Pr792OBZBrvHJJwduszsGDnQevwHi4kQ3SvEMgwZJQYD6JCZCtp9jx6O0upZ/L9vLpxuzWLi4dr9jEeCLLyTdyR1VVaK79M03sG6dyEIoSmtYRQbx9mB2pNUwILbxNJG+MTZKK+3syWl6EhiETdOilXZjd0E5l8zfzLiXlzPupeVc89U2Uos6pn9t2yabcw8+CIcd5mifNsPOuspcAKrtJo/8vousgtoOsUHpehRV1vDPRcn7HYsA2/Iq+D2lmGeecTgWAV57Df74QzJl3l+fybiXlzP51ZVMfXUlf6S2bBc4PFzS9Bsya1YbP4gXUFYmdSC++QY2bXK/Wa54HnUudiG257mmkqQVV2K1WDj94w3sKmjfVJPBg+UHPHWqpB6ffDK8+SZE7NuYrq2F998XodjjjpN/331XnH4dQWam+8W67sYo9cnPh6qkfD5JTuf4hATWvprEvRdE8sDl4dxxfjgPPF7DkUfbsdng4IPFqdOvn6etVryV3LIqvtuRR1qx66K5qMJ5sEtOhtNOg5kzparfoYe2fPOjvmOxji+/dI1qawvx8ZLSddRRMqbPnCnv0bt3+72H0joSE2HBAjjiCPlOZs2CJ/5Xymvbdzudl1ZcyX+Wp0Nsqcs1fvrJ9bpVVfDGG873508+ad/+pHR/VpBGQmY8kcFWAv0aXzIYhsHI3n78urmsyesFaVq00o4s2JLLRxuzATCBN9dksnB7Xru/z6+/SnDF3Llw553Qpw+cdBKcOLeWE2/KZcGuzP3n7i2toKBYvR6KUF5dy57CSpf2rJIqt/fudetgU3Yp583bRHGVOKlTiio5b94mskpdr+OOs86Cu+4SLfnERHjnHZg2rU0fw+OUlsIzz0jBu7o5jbt5s+J51LnYhThtRIxL2xEDIvgjtYj8ihpSi1o26LSGgw6SH+/27fDeezBypOO5rVvhiivEyQjy71VXSXtHEBcn1X0b0jDqQ+nZREXBhso8DKB6TwjfzLfufy4rC/79LwuPvFjO9u3iWJw82XO2Kt5PRkk1763PYu5w55LiFgNGxDhXQVm8WCIO69i5E/7735btrh51lGvb8ce3v0j8uHHiYNy+XaLeJk1q3+srrWf8ePj8c/lOFiyAoL7llNc4d5rD+0fw594iasNcHTMzZ7pec+tWuOYaR9+rqZGUq23bOuADKN2WVWRgpITRN6Z5cesRSc07F4PxJU+di0o7YJomH+9zLNZnwZbcdn2f3FyRLCmul6jw1lsSHfa3B0q5Y+0Gp6yGU/skEheqYvCKEBvkyxUTE1zaJ/UK4eCDXc8fNQqSCyqwN9gI3JFf4XaT2x2JiXDffbBxo8hEnXtu1y/at26dOPbrqKiAiy5qXYaQ0jmo5mIXYmbfMF45YQh3Ld5Ftd3OhWPj2ZxTRlm1nQAfCzGBjevhtIaCAtEw9Nsn7xAWJo86tm2TH3ltrWsadFWVOHBGjDjw9y8pkYVRTY1ET9ZFSiYkwNtvwymniO5JneZifYenogQFwcF9Q/khJZesFCv9+8PZZ4uTprwcFiywEmoG0KePnN+wvytKfSIDfMgtq6FXqB/njI7l88059Arx45Ej+jM+wVl5e9ky19cvWSL9rrmJ3Zw54uz77js5PuQQOPXU5u0rLJTU15QU0d0bO1b68pYtshgaMMCh31NHUFDrJ5r6O2lfamtFSmTzZkljGjeO/WPSzL5h/GfOYO79cTfVdjsXjYtnU7bc64ck+jJ1qkNz8fjj4cgjXa+fkeHY+KujvFz6y/LlcjxokEQyBgdrYTTFPavIwEwdysCo5pcLg+J9eeunQorKawkNsLo9JwRfdlHQzlYqPRHDMDi8fzi/7HFOFz20b1gjrzgwCgqkkEtDcnLg6GmBvDhrOI+s2ElhZQ3nDkzitCFxTmsmkHHX11fuocnJ4hCJjZXHqlWQliaSJWPHdn0nkOKMYRhcNiGBqlo7L/yVRkygjaeOGsiUXiFE3gy//+4IyrnkEpg+HXbXuK7nYwJtRAW0/EZtGAcWfFNcLMXiAgNbdn55ucxBd+yQuea4cRLkUVIi/oKqKplrREW13pb6ZGS4tmVlye/QXeCR4jnUudiFCPO3cfmERI4bFMmqjBKu/nIbqcWVWA2Dl48fwuCotlU1SU2V6MRXXxW9xTvukMjF+qxdK+l+2dlw220Scl1/Ny84uG2RhKmpcOutkm4NssB+7TWHJtisWbILs2ePaOVptWjFHSePjOT9TRkMDq/lggvgscdklysyEp58EhZ+Y8F2PHz6Kbzyitz46vq7FvlT6pMQ4sfrJw7lpA/XMygygKsmJTI6NojZAyLxsTgH/x92mPSn+syd27LFQv/+8MEH4hQ0TRmDm5uMVVTA00/D/fc72v73P9i1S3ShamvluvPmyYTvQEhJkfvCa6/JeHvHHTL5VdrGDz+IQ7nOATh7tsiOJCZCuL+Nqyb1YmxcMB9tzOKD9VmkFVdxw5ReTO8XzJdfymLEapXvxF216N69ZSFbXi9I7NZbJZrhq68c7zljhkRM3nSTRFAqSh12TDaRTUT6MGZOa36iZbMaDI73ZfmOcg4f5b7kqUYuKu3JWaPi+HBDNptzJGJ2bFwQJw6NbuZVrSMmRiRO6mclgGzcxUb4cPGUOGYkRlBaaadvhB+JiY5JZHa23H+ffVbWMRdeKBHkBQXivHn8cbmH1xXgeOEFyQCzaF5ht6JPmD8PHzGA66b0wt/HSnSgjKejRomsybZt0h/qqkWHVAVx32H9uOfHXQD4Wg1eO2kovTugeGsdeXkik/PEE1Jv4c474fDDm95QNk1Zr196qaPtiivEP3D//TKnAZgyRaJ921Ktum9fWZ/Vl3YZONB181zxAkzT7FGPiRMnmt2Biuoac2VakfnllmxzTXqxWVlT26br1dSY5q23mqb8bOXh72+aa9Y4n3fLLY7nY2NN88knTTMyUo4jI03zq69M024/cDveeMPZBjDNu+9u00fzNnpE//QGMoorzEW/VJsWi3N/SkgwzWuuMc1333Vu9/MzzdWrPW21x+kxY2hrsNvt5sasEvPLLdnmHymFZnFFtdvz0tJM88orTdMwpE8dfbRpbt/ecXatWuV4r7rHQw+5jqGzZ5tmcXHrr19TY5o33eR8rYAA01y7tt0/Smvo8v0zO9s0Bw50/Z6+/NL13M3ZpeZXW3PM31MKzKJG+p07amtNc8EC0wwPl2sPHGiajz/u+p433miaUVGmGRNjmjt3tuOH7Ll0mzF0j1lgRlc9afo++KP5xPYN5jPJG5t9nPrNcvPIecvMJWay28e/zT/MKeZ/Pf3Rejrdon/Wsbeo3Px+e665aGeemVZU0SHvsWqVaQ4a5JgrPvusaZaUNP+6F190Hmvr1kt1D5tN1jj1111btnTIR+hKdJsxtC2UVFaby1ILzS+3ZJsbMkvM2rYsrlvAO++4zg9+/LHp12zfbprBwa59+rXXXK91880yLzlQKitN8623TDMoSK7Xq5dp/vbbgV+vjXSor6qrPzRysYvi52NlfEII4xNC2uV6e/fCv//t3FZRIenPY8Y42laudPydlQWPPAL33CNFX+Li2l4Y48cfXdsWLIDbb5coDEVpKXHBfizLd9W7S0+XSJ+G2qCVlRKZO3Zsp5modBEMw2B4TBDDY5oOQUxIEMHpa6+VyryDBskOcEeRn+9aoKPMjeTZ4sWiGxXsPpioUVJT4bnnnNvKyyVFbPTo1l1LcVBSIqlxDcl1IxU2NDqQodEtzE+qh8UCJ5wg9+ysLNn1v+gi1/NWrpRogt9+k+qLDauJKz2XbeQRlRlDYLgPPtaWhfQPTfTlpe/zMU0Tw00aQDC+FGq1aKUdSQzxJzGk4yK6QCL/ly6VrICwMLm3W91n/u8nL08yZeoICJC2+lRXO8tXVFRIVKOiBPn6MLlXB04g61FWJnPXhnz1lXtN5zqKimQ+U5/ERPcSQV9+KdGQDSUDWoqvL5x3nhSmycuT7IzExAO7ltKxaOB1F6SwEHbvlspJ7YXN5n7h6d/gfn3++c7HubmSujd1avtU3HUnbnvUUa52gCyqd+yA1atdb9iKAqLD0XB9ExMjGiDuJobu+pmitIaAAHG8TZjQvo7FPXtE1yY5Wcb/qipxBDVMnXb3ntOnO7RrW4OPj0hfNER/J20jIQHOPNO5zTDaljLkjoICcTKOHi2pQ+6KBo0ZI2nRoKl4ijNbycUvM5SEiJbHIUSHWLFaDHZlV7t9PhhfCtS5qHRBYmMlvXPo0OYdiyDrqvr33aoq1/uz1eos7TRokPu11K5dos2Y7Vq/RvFy0tNlo7bhRnBLSU2V7z49vX3tqo/V6l6GJzKy6df16eMcgAQy73BXKHP27NZvcDfEMEReYOpUdSx6MzqV7GL88YcsEAYMELH/NWva57oJCaL9MXAgPPCA7C48+igMH+583jHHwN13y+IyIEA0FdyJyR8os2fDLbfAvffCXXfB//0fXHyxq4OovBxef112E8ePFxvWrWs/O5TuwYgREpE7bZpE2N5zj1TvnTpVJol33SV97ZprZEI3YYKnLVYUZ+x22fGdOxcWLZKxbtAg0bWx26UITF0U4cSJMoa+9pr09ZNPFmf6M8+0ztGZmQkLF8Ivv8i16kezDRyo2nxtxc9Pxp25c+XeFhcHH33Uvv+vS5fCEUfIXOHcc2WuMHKkaH/NmiXnjB8veqBFRaKztH07vPuubNopymZyqMkMJD6sBZ6UfRiGwZAEX1bsdO9AlMjFyvYyUVG8lpAQ0bit45tv4I03ZN55110wbJjcm//4Q56fMEHuC/n5jtfU1Ig2+IQJ8pgxA/76qzM/hXKgFBaKBveYMbKWfvBB90VJGsM04dtvZV43YYKsWRrqfrYXfn6ik1h/gzE4WNb87igtlWyH77+XNdahh0r7gAHSX488UjInQJ578kk47jiZWyrdH02L7kLs3Ck/zrobz8KFEsHy88+ygGwrp50mDsNzz3Wkkv7+O7z8six+QP695x5JrzIM2bVoz2gHm01StN97T45HjIBzznE9b/VquOwyx/HKlfD3v8P8+W3fGVG6D/7+EiG0e7c4wk1THOkvvwynn+4oRjRmjNwQBw70rL2K0pDNm2VsvvNOKchRl0L15puSUvX66yInkZsrqf2XXeaoJHzssVI4pOHOclOkp8PllzuKflitUmhm3TqJBD7ssPaJUu/pDBkC77wj97vAwPbdhd+6VRYFdelK06eLI7OuaMBFF4njuLxc7rVvvQXXX++Y+MfGSr/R1PeezRZyKcnoRcKw1i0VBsX78teOMk6b5rqj4Y8PtdippAY/XYIo3ZzZs+X+/PvvIk1x4YUy77TZpNDg99/L+u2uu+Q+fc45svnz6aeyltmwQeawdff9bdskg+yXX9pn3ad0HL/8Alde6Ti++25ZQ19xRctev22bBBHVSd2kpspccPlyWXu3N4ccIjZ//704xg8/3H0hwOpqCdK48UY5tljk+KWXJPoxNlba33xTChS+9hrcfLO09e8Pn3/eujmp0vU4YLeQYRih+/6NdPdoq2GGYRxjGMYWwzC2G4Zxu5vnzzUMY+2+x2+GYXR7pbTt2513tEAWnnULhrZSWipRg/U16ubPF0defSwWGSD69Wv/NKpff3U4FgE2boTnn5fdu/q4i6xYvFh3RRRX1q2TXbO6lIT0dHjoIUf0DojW4saNnrFPUZpi925xGlZWOmszgTj99u6V1JXBg2VBUudYBImUqH/cElavdjgWQd7z1lvhuutkUjxkyAF/FKUBAQEShdre6T3btjkci1OmyH21/jzhjTfEGV1cLPqZ33/vfO/MynK+Dys9k2Qzn5xsWpUWDeJcXLenkppa1zxAA4MQ/MjX1GilB+DnJ5p155wDN9zg2NCurhaHy6RJ8PbbkjFWF1X+3XeQkiLnJSe73ve3bJH7vuLdfPGFa9srr4iuZkvYvdtVQzs729E32hubDQ46SAKI/vEP945FkPnFrbc6ju122dQuL3c4FkEkAXJy4NVXHW3JydLXW/p/oHRN2uIaqpt6rgCW7/t3Rb3jA8YwDCvwAnAsMAI42zCMEQ1OSwZmmqY5BngAeKUt79kVcCeCarO1n65XSYnsjDTEnch8R7HcTc9ZuNBxQ66jLpKyPkOGHLhQrNJ92b3btW3ZMkkRbO48RfE0dZO1+rpMdcTHS9QbiJ5TfadgHUuWtO793I33u3a5jsGK91L/Pjh6tHtx9Y0bxalptbovLrNiRcfZp3g/JiZ7SssxTIMQ/9YtFYL9LUSHWNmc5j79ORQ/1V1UehSZmeJoqY/dLpuGdezcCUlJUnCw7r7ubq0TFdW8Fp7iedxlQg0f7n4u546YGNcAHn9/iI5uu21toaBAnOP1MU33tQ8aFs4ECQTSOgndmwN2Lpqmefy+f/ubpjlg3791jwFttGsKsN00zZ2maVYBHwAnNXj/30zTrIvj+wNIauN7ej3Dh8NVVzm33X+/RD60BwkJMGeOc5vF0v4i800xaZJr2zHHuDpQx48XLcY6AgLgP//x/KCreB99+7q2TZsmETv1mTKlc+xRlNYwYgQ8/LDsVtcXyTYMqeQcHy/Hvr5w/PGur68fodsShgxx1bidO1fuD0rXYORIuOAC+XvtWtGYbciIEfKYPVvSphty7rkda6Pi3eRRjpETREKEj9uqz80xMN6XlTvL3T4XjC/5uH9OUbojcXGuacwWi0Q21nHMMSJz9a9/Oeato0bBP//pOMfHRyLBOiItVmlf5sxxdg4HBYn8SEuKAYGs+etXG7dY4MUXJUvFk/TrJ07w+oSHi95iQ9xluhx+uDrHuzttFjwxDGMGsNo0zVLDMM4DJgD/Mk1zTxsu2wuoH/ibCriZHu/nUuCbJmy8ArgCoE8XHpFDQyWc+OSTIS1NUpMnTGj5LkhzBAWJqLvdLul08fEykHWmNsLBB4ueyNtvy/GoUZKO13Awjo6Gp5+GSy6RHZDBg0UcuSvSXfqntzJhgujVPfSQ7K4lJoqw8nvvyc06JESKF02b5mlLvRfto54jIEDSqTZulMIbubkiYTF8uGsBorPPFgHw33+X4+OPd18huCnGjZPiItddJ9EWxx8vv52AgHb5OB2C9k9nIiLkXn722SIDMXAgbNok6Uwg6e3Tpsmc4rbbRNeruloWtaYp8ihHH+3Rj9Dt6Gp9dBcFhGSHExt6YMuEwfG+/LGtnAtmuj4Xgq+mRXsZXa1/djX69BGN3dNPl/u4r68U0aybh559tujrXXqpc2GvkBBxLp54otyPBwxwLbTZU+hqfXTkSNEwXLVK7q9jxrROx9jPTwKKDjlE0uD79pUNwfaWI2stiYkwb57oSa5aJWvv//7XvXNx6lSZSz7/vBwPGCD6ov7+nWuz0rkY5oHWRq+7gGGsBcYCY4C3gdeAuaZpuplStPiapwNHm6Z52b7j84Eppmle7+bcWcCLwMGmaTabwDtp0iRzubvcW2U/paUykIWEeCZapbhYQqkrKsRpGBsrA3NxsaR7tXTXx0tpMgRA+2fHUFEhOjV5eTLJGzhQ0kj37JFJXheYp3QWzYaoaB/1bnJzZfy0WmXX2M9PUq/Cw1t3nbQ0kcpISnKkaHkJOoY2QU2NVKkMC5MolzoyM8W5GBAgi4GgIOfX2e0OaYg+fbr8fdaTdIsxdB6buP7rbUw2EzlsZFDzL2hARbWdez/OYf4tvfGzOa+GH+YXLmQs56Kq/h5Cx9A2UlIiGzEhIa173Y4dIj8VEyP35/R0uT/37u0cxdjD6RZjaHcnP1/0maOjZb7g6+t+rlhWJvUhyljizMMAAQAASURBVMok07Iu26aL0/pw/h5Ee5RqqzFN0zQM4yTgWdM0XzMM48I2XjMV6F3vOAlIa3iSYRhjgFeBY1viWFRaRlCQcyhzRYUMDPn5jkIuHUlICEyc6Dhev16Ejhctkiiaa67pulGKimfw8YGMDInAysqS1ITTT28/SQFF8RaioiTN1W6XXfMHHpCU6muugVNOEedjQYHsILuTDKijvYuMKB1HZqZsnlit8O67Er169NEyzo3Yp1YdF+dev6uOukJtigISuViV5U/c4ANbJvjbLCRF+rA+pZKJA5zDnoM0clHpopSWwg8/yH21ulqiCo891lnnts6ZUlQkG9m9661mBw501uKr/5yidCUiIiRI46OPZI2ekCBRiYce6ryxGRjonGWTlye/D9MU2TWVM+t+tIdzsdgwjH8C5wGH7ivG0tZE3b+AwYZh9Af2AmcB59Q/wTCMPsA84HzTNN1IhnZPMkoqWZ9VSkWNnRExQQyI6NhctaIieOYZuO8+GQiiomDBAqko1RmkpcFJJzkqXT73nKT8ffGFOEFbu2uo9Ex+/hmOO85RCf2666Ciys7lV9cS6t9OugJKj2FHXjmbsksJsFkZHRtEbLDvAV+ruloWI6GhrlqHbWHlStHTq6mR47//XSoNvvWWOBujomQcdae3p3ieTdmlbMsrJ9zfh1GxQUQGuB+nNm6E006TNOfff5dJO8BLL8FPP0lBn6aciorijj0UUpbrS+zEA18mDIjzZWVyuYtzMRibai4qXYrs0irWZ5WSsy6YM052jMVnny0poqecIsd5eaKR/NRTchwXB19+6V5Pvi2YpqzPgoKcHTmKd5GcX87G7DJ8rQajY4OID2l5eGpNjTiz23tu2J588IHMLUE2OH/5BX79tXGZqeRkqSy9eLEcT50qMmie1pFU2pf2yNw/E6gELjVNMwPRS3yiLRc0TbMGuA5YCGwCPjJNc4NhGFcZhlFX0uRuIAp40TCM1YZhdPv46OT8cuZ+uIEj317LCe+vZ+qrK1mV3rElPNesgXvvlRsZSNTLlVd2XgXpLVscjsU6li8XZ9Hpp4uOQ0ZG59iidE0yMmDpUodjsY7n/23hg7/yySurdv9CRXHDirRipry6ghM+WM/st9dw+scb2F1wYAvlNWtE/27KFNnx3bGj/exctcrhWKzjlVccRbtyc+Hqq7Vqnzfy0658Jr6ygpM+WM/MN1Zz3dfbyCqpcjmvtlYKmW3aJBEwdY7FOjZtcl+tUVGaI7mqkMpyg4igA18mDI73ZcVO1wjFYHzJVeei0kVILarg/M82ccO323n/fVcvzwsvwLJlsH27FNCqcyyCRJXfdJM4AtuLbdvgjjtk3nDVVbBuXftdW2k/VmcUM+21lRz//jqOemctJ324np15LRv31q+XbJMpU0QX2Zvu4wUF8Mcf8nj6aefnamulvTG+/NLhWAT480+JfFS6F23e79jnUHy63vEe4K12uO7XwNcN2l6q9/dlwGVtfR9vJ724klUZJeSV1xDub+Xc0XEc3j8CPx+DLTnlPP17Cq+fNAybtWMUXlNSXNvWr5eFaVRUh7ylE+5EXw1DFlHTp0tUZUkJ3H57x9uieD/VtXZWZ5SwJbecCH8fxsUFs2alH7W1rueGh5usyipmcKaNWf0jmr12cn45qzJKKK+2MzouiDFxwR3wCZS2UF1rZ01mCZtz5PufkBBMQit2ihujvLqWleklbMsro6SyliMHRPLhhiwAft5TyG8pRfQNb10U+a5dkrqamSnHDz0kC5P33oPgduha7rRvwsKcd4jXrJGxXCv3dR6mabI2s5T1WaUE2CyMjw+mf70MhLyyKlZllHDzQb2xWgxyy6p54a+9XDA2nmMGOX9RxcXw3Xfyd2NSIb4HHlSr9GB25FUQGWbBYjnwkJl+sTZ2Z1dTWmknyM8xRw3Gj0xK2sNMRWlXUgorWJVeQkl1LSOiAxkTH8yyvcUs3JFPv3B/QiNc6xQEB4uTb/t2+N//JJqwtNTx/K+/iqxUYLCd1RmlbMouJczfhwnxwSSFta6yRVGROJ1++EGOt26Ve8DSpZpi7U3U2O38+8+9ZJU6gheW7S1mye4CBkQ2PVfcu1cK+CQny/ETT4jz+vPPW6+dXVxZw6qMEnYXVJAY4sv4hJBGsyBawsYd1dx5q5XP5lk49VQIDTVpKD/Y1Px10SLXtm++EXkBTxeqUdqPA3YuGobxq2maBxuGUQzUH20NwDRNM7TN1vVwMkoquXj+ZhbuyAfkP/bR2QN4ftleCitrOKJ/BMOiAyipqiUioGN+le40ucaN6zyNhOHDYe5cSTuo44wz4PvvZbC97TZ48km48ELPFJ9RvIuvt+Vxyofr9w9IZ46Ioe+K4QT4W4iOhpwcx7l/v8nkb9vSOHRo856cbbllHPfuWrbnSxSGv4+FRReM5aDeYc28UulMvtku3799XweY3T+Ct04Z1mYH4/vrs7h0wZb9x8cMiuSUYdF8tlk61NbcslZfc9Mmh2Oxji++kEjtMe1Q52D8eBHOrh/ZfemlkoZdx6RJqnfT2fyWUsQRb62hslZCqQdH+vPVOWMYHCXe4FUZpdz+Q/L+54dGBXLjtN5klFS6XCs0VHSI58+XhchJJ8nfdZx2mrMWmKK0lLQcOwmhbavqY7Ma9I2xsWZXBQcNdex2BOPLJo1cVLyM3QUVzP1wPSszxPFtsxgsPG8MKYUy79tVUME1s0oIeCOC8n3d12aDmTPhH/+Q41tugbPOgtdec1x35kzZwPthZz7Hv7ee2n2pYFN7hfDR6SPp0woH444dDsdiHSkpEnChzkXvoay6lt9TXcNV12U2v6myebPDsVjHTz+J87o16fXVtXb+szyN235wpP/dND2J+w7rR5Bv690/qUUVfPGDyWfzxDn51Vdw//0Gt97qOCcmpmnZtGOOcZ6jgDhS1bHYvThg56Jpmgfv+1dV7zqIVekl+x2LIB7cZ/9M5axRsby8Io1FyfmcNzqWcP+OE9wYMwYee0xC8GtrZbH60kudF+kSHi5CsccfL7t/ffvKwPvrr/J8ba3skthUNq/Hk1FSxbVfb3Pa6cgoqSb1VwurVsGtt0qUa0kJjBtnUpKYTeGOWga3QLf0590F+x2LABU1dh74eRfzzhhFgE3LqnoDmSWVXPPVtv2ORYAfkvNZlVHSJufizvxy/v7tdqe2b7fncd9h/fY7F6f0av1emrvKkD4+7RdpFh4uEQ7FxVI9eMAA+PhjWej4+4sY94svyr9K51BeXcv9P+3a7zgE2JZXwa97ChkcFUhpVS0P/uz8/JbcMs6wxTAk0jUU1WKByy+X9PcPP4SxY+Gee2Sx2bu33Bu9rNK30gWoxU5hrsH4kLZPrAbG+bJiZ7mTczEEXwq0oIviZfyVVrTfsQhQbTe59YedPHu0o/LfE7s2ctcbwynZEoJPjQ+BgYZTamhyMhxxhEQw2u0yDj/1FFT7VHHjwu37HYsAf+4tZmV6caucizabjPsNZX600rR3Eepn48yRMdz3026n9pl9w5t9rbvv0jBaPzfcmlvO/y129lI+9bv4ECYltn7OuiGrlK0bHcEYFRWil/ivf4m8TmwsHHaYo4icO449VtbzX34px7NmwamnttoUxctRGVgvJr+ixqUtvbiKqEDH1+brY8FoR6XXvDyorHREAYaEwI03SjGMumrRSUnt9nYtIilJqk89/LDcuOtSXAMCZMB97DGNvlGgtKqWtGLn6J6NOaVcc2wtS5daufdeWWwHBEDsgGpWpGbx7YmTGBjcvHNxT5Fr1NDW3HLKq+3qXPQSSqvsLt8/QH656zjauuvWUlzlmldfbTfxs1q489A+TEtq/URt5EiYMUPSmeq46SbnSpJtISpKJCy++krStLIki5s77pAIt5iYzh/Lezpl1bVsc6O5tGdfZExpVS3b8tw5XUzGxge5veawYeJQDAiQe6GPD/ujtJ94Qr9jpfVkUIItN5jYdnAuDknwZd4y5wgedS4q3khmqav+9o68cuJDbDxx5ADuWrKL7LJqFlek8OI1gynaZXOJJBs2TBwsq1ZJCnNSkjgEi8rt7Cpo+/xk8GC44QZx6NRxxBFNO3QUz3DB2Hg2ZJXyyaYcbBaDWw7qzcF9mk8lGD4c/u//ZFN46VLpS1dfDUOGtO79iyprqKm/276PggOcE2eVVtNneDXg8H6uWwdbtpr8+1mjRYWF+vaFd96RdH67XT6TbnB3PzQQ1YsZFh1IQ7mbowZG8uueQgB8rQZjYt0vOFpLeTl89plUeBozBh58UCo1g9wYR42CQw7x3EJl4EDRVwza93GDg+XmetRRsguiKIkhvswd7uxlzi2v5ugTajniCDkuKYGDDjI59jArkYtGccZhwZxykrVJAWKAQ/qEu7RdOj6ByEANmfUWEkN9OW1EjFObxYChUa3TQmxInzA/Durt7Dz097Fw3KBI1l49iX8e3JeIA9CwiYuTXd///U82cObNE+die0Vh+/lJkZg+fcSxaLHAzTfD0KHwxhsy1h93HPz2W/u8n9I8UYG+XD7BVb+jbnyJCbJx8bh4l+cnRkYQaGt85h4ZKSnvBx8sUYwZGSInctxxmm6ktJ69FGPkBhLTxrRogN5RPuQW15Jb7FjQhuBHvjoXFS9jrBsd7YvGxdM3LIB/TO/N2qsmsfaqScw7cySDowIZMUKKStZFlMXFweuvS3DGmDFgtYpk04gR8Nq/fDl/dJzTtQ1kndca/PxEY/6TT2Te8OabkoKtARbex4CIAN48eTjrrp7Euqsnc9+sfsQENR9+uHmzFD156y3pO99/L3M5dzUImqJ/hD99G0TFRvj7MLAZzcfGGBYdyNbADM680OGEHzfB5LprW1exPCwMJk+WStHqWOyeaOSiFzMmLoj5Z43m2q+3klJYydzh0Rw3OIrLFmxhaFQAzx83mJHt5Fxctw4WLhQ9rpwcx0B2883tcvl24fjjZQcnLU3SswcOlMjF0lJYvVoqWg8aJNGWStcgP180ZPz8ZEe2tTfP+gTYrDx8+AAshsEnG7NJCvXjxTlDmDzIh08+kQp7FgskJRmcdZaVH3+U1/30kziply937AwWFIjDPT5e+ti0XiG8cdIwbvl+B4WVNVw/pRfnj4lrzBTFA/j7WHnw8P4YwMf7vv8XjhvM2Pi2VUcJ87fxyvFDuf2HnXy1LZdh0YG8cNxgpiWFtjlqvH9/edRn925IT5cUkwEDGn9tYSGUlTn6qDtGjXJo9QQFyebQWWfJZBVEXqKu7zdWEERpX84bE0dOeTXPL9tLmJ8PTxw1kKlJctPKzzc4p18SxaUmL6xOIdzfh3+MHEjaXyEszhG948YKqQ0eDAsWyDjn4yNjWXsUBlJ6HmkUU5Pn1y7ORYvFYHCCHyt2VnDUWOmQGrmoeCMTE4J5/9Th/P3bHeSUVXPx+Dium9IL674ojzpd3DoCAuDKKyW1Mz9forLqAjC2boXzzoNTTpHAjNISCxPK+3DxWJO31maSEOLLv48dxMSE1i9Y4uIklXTGDHFsakE27yXQ18qo2JbfiDduhCOPZL+m57vvynrkww9b/97xwX58duZIrv9mG0tTihgXF8wLcwY7FZBrDePigzlrahVvWLfxwJxYRkcHMSDBxrAh6kpSnDFM0zVktjszadIkc/ny5Z42o1kqampJLawk0GbFZoXiKjuJIb6YpmjLhfpZiQpsuzhXdbWIA996qxQXOPVUCVV+5RVZ9P71V+dUhT5Q9uwR2+sG3pNPlgjHfv08aVWTNOmN6Cr9sz3YsgUuuUQipywWuP56SdmMjW3bdStqakkrriLY10JskKt4ydq1ok0GMGGynaPPqKS8xOC4Gf4cdpj8Hm67TaJ/rrlGIoLqhLLTiyupqrWTFOq/f8LZzWj2Q3l7H63//ccE+rKnsAK7CX3C2vadlVXVklnafmOvOxYtEudfTo4U63jjDRG7ttZb49fUwJIlMu6lpsri5vLL3RffasiGDeJwbMhnn8nY2UXo8mNord0ktagCX6uFhBA/ysulYuIdd4jT+IILTIaOraao3GT3ej9Wrq3lkDmV+FmsnHGsH0OHevoTKE3Q5cfQJ8uXcfszJTxxdny7yO4s3VJGfomdO0+VyHITkyN5mzL+D19UVsQDdPkxtCNJL66kosZOUqgftaa5fy2WGNpyYcOFC2Wu+dBDMqZHRkqq65wT7fhFVxJocz8/bQkZGZL18PTTEgX2yCNSKCOgbUka3kSXH0MPlHnz3GsQbt4MwQmVlNfU0jvUDz+flo2bq1bBi69VE9e/huSNPkwfb+Piix1ZgAdCVnEVK//w4a47DHbtMrj0UqmW7sXr7o6gWy4A2wt1N3sh2/PKuHNxMh9tyCY60MajswcQHejDh+vLyCip5MJxCQe889CQ5cthzhyJ+gMp1lI3SCQltS2SrDP45hvnHZ3PP5ddxBtu8JhJSguorZViEnUpmXa7FO6ZOVN2eptjb1EFG7LLsNtNRsQE0Sfc0VH9fawMqPf7KK6sYcmuAl5enkZMkI3L+w0gIMCPy26uIGvIbh7fmUFIgJX+gQMI2xjLnDk++38P990n/95zj0SHtbXqsNLx1H3/OWVVPP5bCvf/tItaO9w4LYnrp/YisRXf4c78MjZllxPgY2FkbJDTuJtdWsXCHXn8b1UGo2KDuGhcPOMPIAqhjt274YwzRPcWRK/p7LNh5UpnPaVVq0QUu0579qGHoKoKHn20+RTYgACZVJaWOrdrtHfnYrUY9A139KU//nBeUDz+uMGDj1oZOMRObp8yQsYm8/DubGKCbISlDiKxTzQhAeqUUTqGjXklBIeZ7abnPSzRj+e+zcNumlgMAwODUPzIp5w4NLxWaTs5pVWszy6lpLKWIdGBDIk68EpWdfO8bbll3LXEsRb758F9GBUbxLSkUEL8ml4++/vDvfdKdgHIff3++2HWLAtDB7Vt/fbxx+yv0FsngbFkiWg9Kt7DuswS3l6bybK9RZw3Jo7jBkc1O/90NxebMbOWP0pzuOXl7eSWV3PmyFjun9WPQfWKvO2fq9osjIoNIjbIl8JCCZD44w8bIHo77wHjx0vU64GSstWXE46TjW4QreeyMnF2tyY9Wum+qBqPl1Fda+eRX/fw4YZsTCC7rJpLF2xhfVYZ763PIiHEjy+35vJnaiG78svIL3cVIG4NK1c6HIt1fPqpaDXdd1/bdjc6g7qKU/X59FPXz6R4FwUFksLXkJZsRG7NLePYd9dx9DtrOfa9dRzyxirWpDsq/JWXyy7fzp3SD37eXcAfqUVMSAyh1oQrfl3D0/+yUz0igw93pFNrmhRU1PC3/2fvvMObKt8/fJ/s1Tbde0FbVtkbAQVFBUTBPVDce++v44d7770nqIgDURFQ2XvvVUahe6+k2Tm/P962aZoWQRlFc19XL8jJyclp+uYdn/d5Ps8fu8iprw1oO++8IyZwLalzuCmsc+BpxTA5yPFnQW41D/6xl3qXF4fHy3NLD/DLropDfv26wjoGfLies77ezKlfbuSi77ayv1rkqsiyzB97q8ivdXB2p0jMehWPzt/H9jLrX1w1kJoaETVeUOATFhtxOETl3+asX+8TFht57z2fR+7BSE8XImRzzjwTunc/7NsOchBkWRQf277dJ+TKskxRnYNqe+CY/eefgdeY8pmS+tgqcuL38+t+MR8otbq4Y8l21hRYAl/QgNUq0uobJ/5BghwuuytthIUcucCMyBAlOrXEnmJn07EwdFQSWNwoSJDDpajOwTU/72TE5xsZ980W+n2wlhV5NYf02vJyyK9wUmr1L7bi8nh5fqn/WuzuuXtYXVjH3L1VB72m0ynmuI3CYiM1NVBX53ssyzI5FfWsLayjzOrkUKiuFj6PLZk//5BeHuQYsbfKxqgvN/Hisjw2lVjZXGpl7p7KpjlkW/ToQZNHfCN3Pl/HlT9vp6zehVeGr7eU8tKyPFweUTJ8bfO56hcbuWi6mKuWV3rpPdzOGWd5/Dae9/kXkD5stm0LnF+8/75YcwUJAkFxsd1RbHHy1ebSgOP1Li9bSq14vFBQ62BNUR3fbC3nvTWFzNtbhd0VWM20EZfHy7ZSKyvzaymx+AbQnJzAwQ9EWuq114oKze2d1nbqevYUVTL37z/mtxPkEAkNbb19HYrI8WtOBZtLfSLOgRoHby0pYe1a4d945ZUi0qt7d3j5FS+llV7iTRo6RRoYmhzGC6d3IOOUGmaXBCqGm6triPavCUJsrH+6iSzLLNpfzRlTNtH93dXcNWc3e1qpABvk+PLj9vKAY59uKG6akDWyp7Ke5Xk17K/2eYA53B6eWbKfiobNGwnIijSwLK+WuXsqWXqghtdX5vPSsnzWFVuwubwoFRL7aw7dR8zlEqlTI0aIneTCwsDNHKVSmMODEM2/+MJX9bk5MTGHlhIlScJgft48ePttEen90Uf/3IogiI+6OrEh0aOH6Icuuww27rPzxMJcer2/huGfbuC3nAqczdphbCv2rXEJXiySkxm7ywKe21vbysCN2Jx5d6qDD3+rYersevbtC258BDl8CirdRIQc2cjYzglaVub4xsnQYFGXIA2UWh2szK9le5k1YHw+FFYX1jFzp2/jsM7p4f4/9mJxtL3DUloKU75189GKEk6euo4+76/jjZX5TSLfwdZizy85gNXZ+prrwAGRObV+fWBxNr3e19fbXB4+WV9Mr/fX0O/DtQz7dD3ri+oCL9gCrRYSkwL79dbGkCDHj80lVkqsTqINah4ZnsrXm0u56qedDPhoHXP3VLb5uvxKJ5MmV/Ph/Aqm/25jzhyoUAaO91M3l1JidYq56mLfXBVg4f4adpbbeGrjLr6JWIPl7M28Mq2WsIZC1YmJ/+x3a83LOTpapOqvXv3Prh3k30EwgLWdYdIoyQjXsaXMvzPRKMUu8v4aGwMTQ5m2tYzfG3bPzDoV0y/oymkdAl196xxu3l1TyCPz9uHyymRG6Jl+QTe6Rpp46SUwm4VXV6MQJ0nwwgtisXsicM458M03voi3Ll2EB8kDD4jJw/PP+/uVBWkfqNWiMu78+b7IrLPOOrRQ/dUFgROwTTXVvPO+l/BQBd9+K47V18N99yr4cX4Ii6v38+lGISZqlQq+H9+DzpEGcqv9FzcdonREREBZw3pekkQbMpt952wptXL6l5twNEyC31xVQIXNxSdndzpkH5QgR5/sVopd9Y4zoWrwXfR4ZWbsKOOqn3ZS5/QQZVDzzXldObVDOHUOD6sLfe3sgaEp/Lyzgg/XFQGQbtZxVe84/m9+Ll9sLOHcLlEU1TnZUmrlzIxDM6ldu1ZEiHsb1lJ33AEvvSQWJi6XSHF+6y1foZV164QwePfdopjVnj3iuCTByy+37Y3rdosJ35w5YnEzapQQNEeMOKTbDHKYrFoFt97qe7xhg8wXm4t4Zb0YZEutLsZ+tZmlV/dmcLKY7Z96qhB4G4VjlQouusmGQa+kQ7iO7eX+84EoQ+DULScHVuTV8pplKwV1DnRFCp7QZ6CfE0vfnkr69w+mLAU5NCoqZDJDjmxj6ZyoYdH2eiYONwOiqEswcjHIhmILF3y7ld1VNtQKiadGpnNTv4S/TDtuTkGtI+DY+mIL1Q43pjau8+OPUBVRy//Wbm86dsfs3YRplUzqFS/WYhE6NpcGrsWUUttF1H74QURxZWaKqs7PPCMyDVQqeOMNSEgQ520qsXDtzzubXrezwsYNv+xi7sQemPXq1i8ObCivYfz1ShYvMjVFj8XGBsfz9kZj+7iqdxyPLcilrkGMLrW6uGD6VtZd35eOEf6p+xv32blz8U6WFIm1fbRBzfPdexDVSnvICNdhVCupbTFXBRjXKZKnF+9n0QERvbu0sJrNFRu59dG+2AsN9Or1z363Pn2Ed/eWLb5jt9wi1kpTpwq7q7i4Q79ebi4sWCAKy550EgwdGtzwPtEJTjXbGeF6Na+dmcHoqZtxNaRb9k8IoaBODJ7DU80U1jmbhEWAarubpxcdYHBSGEaNv7ixvtjCA3/4YpVzKm3c+/tuPjylO9OnK6mrEyKPTgd2u4j6+6cdz7EkI0OkRs+fL1LQCgvFYA4ieuSOO3zFOIK0L3r0gKVLRWEXvV4Iw4dS9W5MZiRfb/HfUT4pNAanxicsNqdStjUJiwAOj5cb5m7jxUFdWXSgmnqXUHeyow2c2jGMkb8IMaaqSgyiffr4X297eX2TsNjIN1tKeezktIBqgkGOH+d0juLt1QUU1IlIhHCdiuv6xDf5iO0sr+fSH7bj9Ih+trzexcXfb2PtdX1JCtNyQddoXl6eT4RehcPtZWuzlOd91Xb2VztIM+vIrbbz044KHhiazOzdldw7JOWQ7m/tWp+wCKLv+ugjWL5ciEwJCeI7oWmoHZOTI/59/XW4806xe2yzwRlniMlYWyxZIsSrxvd64glYtAj69j2k2wxymDSfcAOceb6TL3f656zLwNoiS5O42LUrvDW9js3rlbjsCsLS63n2wE7OC4nm8VPSuOyH7U3zgeEpYfRNCDRmKqx28mbe9qa5gt3t5f4lu3gqxcSwYaH8+afwtA0S5K+wVKpITDyy/sId4zR8saiGmnoPYQYlpqC4+J/H4nRzz5zd7K4S7cDllXngj70MSgpleKr5kK/TKSpw3jWhcxQxbRReq6sTtjwhlwRGhb+zupCLsmMI16t59YzW12L/G5aKQR24kexwCHEFxHg9fTo88ogIeJBlYUmiVotNwr3VgVG7qwvrKLY62xQXax0u7p67m6JaF09MyaI214BG5+XkIQq6dGnnBvn/MXrEGEkK0aJWKJqExUZqHR7yap0B4uKaktomYRFEKv70ogM83akDJyWHsjSvFgC1QuLlMzII16vxyjIXdI3mlRX5Ta/rGWviyUX+qXu1Dg/Zp9czLsvQauTh4ZCaKr4/8+aJ9VtEhAjyqakRPwcOHLq4WFoKV1wBixeLx6+8IvxEn3oqMPI3yIlDuxUXJUk6E3gdUAIfybL8XIvnpYbnxwD1wJWyLK875jd6FBiRHs7q6/qwscRCjd3DxhIrn6wv5tb+iRyotmFrJdJ/fbGFars7QFzcWxU4eftzbzXeUW66dlWydKnYbVAoxM7aww+L8ObG3bUjjcslOqOyMkhJERE4/5TYWNEJPfGE//GoKJFCEKT9kpx8+OLvqelmbu2XyLtrC/HKMmenxeDaFMWWLWI3raVHnVUR6GVTUOdk32Y17/frizrBisKjIF5hwlmiIytLiNZtYdIETirDtCp0qqDLRHuia7SRRVf1ZmOJBY9Xpkesyc/kPa/W3iQsNlJe76KgzkGKWccNfRPYXlZPQZ2TnFbS3reWWekQLsTFcL2KWoeHEWnhh3x/jSkqF11EU/Xf2lrRJ7Ym/DXu5Ho8IlJRkkTq//33+wTIlrhc4tzmImZ9vZgYBsXFo0NSkv/jylIlMR00lNX7ey0aFSrmz/eNg+/s3cOimmqUkoRru2iX28usyLLM9Au6UlbvIt6kpXe8qVVTeLfOxa5Wxvt6jR2PJ5TXXxcidDCSP8jBcOHBU6Ul8QgXL1MrJbLiNazIsXFGT1NQXAxCudXFvNzqgON7q2yHJS72SwjhldM78tC8fdjdXoalhPLQ0BQ0bczJ1GphQRKpChTkUszapuyGEenhrLquD+uKLDg9XhxuL52jjAxNCW31uhoNDBjgy6TasUN41995J3z+udiwfvBBsSEYbwoctNPNOsJ1bS/Li6s9rC+y4vB4eah2E2qFhMchk6TMYiRHadEW5G+RFq5n9sQebC21olZITQI1iOjXGGOgcravLjD9eXN1LRpJyceju7GxyILV46ZHvJHeiSIzRyFJ3Ngvge3lVn7bXYVGKdE12oBOpcDu9g+CCA2R/rGw2Eh6uggQuflm4THaiNEI4Yc+DWbrVp+w2MjLLwt7qy5djsitBjkOtEtxUZIkJfA2MArIB1ZLkjRTluVtzU4bDWQ2/AwE3m3494RHIUn0jAuhZ1wI9U4Pe6tt3Ds4CaNGwY2/7uKS7EBzjbOyIog2BHZWSa1MEPslhBARpuCxx0RacX29WHz26SOKCjgCMwyOCDYbfPKJGGjdbuG798MPgea1f4c+fUT64I4dvmOvvBIMrf43Eh+i5eUzOnJ6RAKr18os/ELPT/OUDBokdruWLhUiDcCAgTLhJgUSIlqokW7RBrauVpO/UcOoUUYuu0y0T60WPv1UVO1taxHeK9bEoMQQVjRLz3759I4khwV3jtsbHcL1fpXDmxNn0qKQoHk9nhCNsmnSlxlp4Jvzu7K/2s6KgtqAYjCDkkKZsqkEgNsGJDJ3TyUfn93pkO9t4ECxIfLLL76K98OGiaIuzdPwG+nTB8aPFz6JIBZIr77adjo0iH69ZZEYEIucIEeHAQOEF/CCBeLxvFkq3r+3Axf8uLmpD0oL07FzfghX3y+qQ/70E5zfNYoF+6vxNqso1TchhGq7m5OSw4gytqEgN5AarSIpVEt+ixRBvVvMASoqhDAdFBeDHIzdjlpwKQg/CtXIuyZpWbzd2iQuVtC6d2iQ/wZmnYp+8SbWFPkXqDpcYTtUq+KOQUmclRWJze0lzawlVNt22JNOJ9I4l+6OJFyXR5VdRGxolQruGpiMqqH6hUKS6BUXQq+4Vkr4toIkwfXXw3ff+SwuOnYUgkvjmFtXJzb9esWZuHNgIq+tLABAr1Lw4bhOxJpa/91zcuCV19Wc3CecuXliLtIoWGW0MccJcnzpFmOkU5Sed5yZ3PDLLrwyKCR4e0wmWZGBf7P+iYHtbHRqFAqHmnGnS+TkiLZxxRUiCrbRjzsz0sC087uRW21Hq1Rgc3u4sV8CrzWLZjwl1UyXVt7zn9C1K9x7ry9bEISVz8GCM1rSmt7g8fgLlkFOPNqluAgMAHbLsrwXQJKkb4BzgObi4jnAF7Isy8AKSZLMkiTFy7JcdOxv9+hh0CjJjvFtNXw+vgt7Km08dnIqzyw5gNMjMygxlIeHpba6S9c7PoRb+ifw9mqRlhWuU/HG6AzMejWDB8Nrr4lIL5VKeHj9+KPYWfN6xeOqKhECfSTMgrdtg9tu81Vyrq2FiRPFLt8/NZhNTxeL9BUrxKDerx/07//P7zlI+0SjUjCiuxGjDcIccPP1MGiQaKurVom2Fh4O4eEy+8pD+eqMXty5ZCslVhcZ4XpuTezMHXdpmDNHeD3aGgIoHA646iphDdDWrllCqJZvzu/KqoI6ii1OesQa6ddKmmKQ9k2XKANvjc7k1t9y8MpiN/nTczr5paqEaFVkx5oI16u5vm88H64tQgYu7BrNmR3DSQvT0SFcR5hOxbV94luNKGuLzEwR6bBqle/Y4sUiqvDOOwPPj4uDDz4Qfn7V1SLaMTFRpFfrdL7rNUerFdYQy5b5H58w4ZBvM8hhkpwMX38NmzaJys2dO0NGVjjLwnuzvtiCTlKxc14ILz8s2lldHVxyCfy5OoqJ3WuZ2lBEYGKPGMZmRtI12oBBqWbbNrER2KFD6/YRGXFaPhjTifO/39Jk9XBjpzTmfiQiHG6/ve0I1yBBGllbWYkm1N1kH3Ek6ZqkZcbqOuwuLyFqbTBy8T+OWa/mzTGZjJ66meoGge/W/on0iT/8+ZRCkg7LlmboUDCZTGQ7e7PfVYtCIzMkNYRecf8stKtnT2FtsmWLEEiWLRNCEIh11OuvCwuUREnNEyPSuSg7hop6Nx0jdHQ6yP1//z28/7aS5z7uQGm0kw1ldWiVCp45Nb1Vm4wg7QOVQsEVPeLoFx9Cfp2DpFAtXaOMTQJ2c4amhfLw0FSeX3YAt1dmRKqZ2wcl8uIjUpMtDojCfmefDeed5zsWolXRPVa03VqHm06Rep4emU6lzU24TsXw1DA6RBxZ2yajUdQ3GDMGioogLU1k0xzO0NGli5jblpXBxReL+U18vLhWkBOX9iouJgLNkxvzCYxKbO2cROBfJS62JMqgIcqgoW9CCBO6RFNqdWJQKQLSoRuJNKh59tQOXNEjjhqHm44Rvkgeo1FEDX7+ufAJGTQI5s4VX/RPPhGLEZtNCHfffisEu39Cfr5PWGykuFiIgf9UXASxQ3gk0qyDnBiYTDBypPhpTqdOos1OmwZnnaXAatWRnKzjiy8H4ImxsHWRnq9f0TJ9ujjfavV/vcMhJn8HC8lPNetJNQd3i09kNCoF1/SOZ0hyGEUWBylhbU/uE0O1vH5mBrcNSESWoWO4HoNGyRmHsUPbSF6NnZ0V9UQb1Pz+uwlRi9rH7Nmti4sgLCsaI7137RKRjIsWiWi0u++G++4joNr56acLsev558V35qGHYPDgw7/vIIdOXFxLzyEFg5LDGJQcxsyZ8PwD/ueXlICjTMcH4zpx/0kpSEDHCD16tZKqKnjmDRGV7XZDv/4yL73jIj3TS0qLaOkzs8JZf0M/9lTYoF7Dd+/psVSq+OYbOO20o/1bB/k3sLmyDp3p8Cv2HgomnYKUKDWrd9sI7aJhN21XTQ3y32BQUhhrr+vLniobYVoVXaINh1XM5e+iVAprkL4YgcDib/+EDh3EDwiLqT17xCbSxMtlbrhBoqZGCI1ff61ixIiwv7yeLIvgCYCHrzdy/uU9OKu/ncRoJdcN1KNUHPmNgCCHh9XpZltZPeX1LtLDxVyycYNGo1LQKz6EXn8hmkca1Ew+JZXLesTg8HjpEK7DUavm998Dz920yV9cbE6oVsUVPWPZXmaj0uYk1az3swQ6koSGHlohzrZITRVz3q1b4dlnhRahVovApltu8dkHBTmxaK/iYms9pfw3zhEnStL1wPUAKSmHZrbf3im2OHlk3j5+bkjVizdpmHVZ96bw/b1UsZ0y9KjI1sYwIKl1j5AOHeCxx+Cuu4TYqFaLSJrrrvOds28fXHutMG89lIIbbZGcLHY0mguMCQlHJiryRKY9tE8rTrZQSjEW0jDTlWjUnLj5c1u3CtPsxraWlwc3X6ti5FNVFOkK6XyHhk5DElBWGzGZwNIsK0erPXqeoycq7aGNHg00KgU940z0pO1ohZ2Us4sKwlQ6smNiiODvi8qbSiyMnbqZ/DoHkXo115/Snz//9A8nGzPmr6/j8cCbbwphsfHxiy+KaIyzz/Y/12wWO8JnnSW8dQ3/wppDJ1L7TEkJHAfj4sQ4qFcrm6IPGlm1SozRjaxZLfHqixKOsTk8e3qaX8qeJElkRRrIijTgRSb9lVqKvRZ0JhmJaCBoQny8OFHaaE5VPaajGAjVPVnLvK1WzuiipSIYudhuOJ7ts0OEng4R/67NWg9etlFG2ZAqHvs2HNvmCEYOVTelgZaUCL/lNWvEmHAwJEmM60uXirF+2mdq+EzN22+D8j9k9d1e+9Bah5sXlh7g6cUHAJHiPvOSbE7rcPgLZrVSQZdon9jtMguPzo8/9j+vZeHVQmrZShkevHQlmhS1+YSJaO3USVgENRbDc7lE/YeBA4+MbVqQY0977ZbygeZlHpKAwr9xDgCyLH8gy3I/WZb7RbcM62hnWJxu3J6/3jVemlfbJCwCFFmcPL/0AE63l/UUMZCPOIuvOZUvOZ/p7Ke6zWtJkliANlZmys0NPGfjRjEY/hO6dYP33vO9j9kMU6YcGSFHlkUZ+2nTxA5ffv5fv6a9cLzbpxUnL7GMQXzMeKbRlw+Ywc5jfh9/h7a+L7m5/ov32Fi44nKJ7jXJ9HemoHSo+WZLKR07ijZobBjL9XqRcpCVdWzu/0TheLfR48Vi9tOXDzibbziZz7iVWZRgCTiv3uXB4fa0cgUfLo+XV5fnkd9QzbfC5sKZWcYpI3ztd9QoIQKCiOqeMwe++grWrxeLikaqq0X6dEsajeRbw2T6dwqLcGK1zy5dRHp7Y4pyWJjogxITwe7yYG/Rjpr7CDeycK6adE0Izy050Ga7m81ubvbMYukGFz9P1fDjYivFdUEjo+PFidJG95Y7CTMdvUioHqk6VuXY0Do1QXGxHXGitM/2wIEDYvz99lvYvr31c35mF334gPFMo4/2PdaV1gX4y5WVCZ97AKfbS72r7TnEeefBySf7Ho8eLX7+S7TXNrq5xNokLALY3F6u/mknxZZ/XsDAjYcHHvKQne07ds01/tknOVQwmqmczhRG8xXD+YwtlP7j9z4SHMq6vKxMRC+2ZM+eo3tvQY4e7TVycTWQKUlSOlAAXAxc2uKcmcCtDX6MA4GaE9lvsbDWwQ87yvhwXRFdo4zcNTiJAYmtRxsC7CizBhxbcqCWapeTF1XLKG9mlL2YAyzmAKmYm465XLB3r/h/hw7+Jd8bTWKbk5Lyz6IWQUSEXX21iK5prBadnv7PrtnIkiViYd44ePfvD9Oni5DrIAdnG2U8xsKmxx5krmMm/YgnncMo+3UMKah18P32Mj5e3/r3pXkbVirhf/+D99+HcePUaDRq7EVG9BPKkZE5+2yJ9etFKnRcnPCua8UOJch/jGrs3MFsrPiq/H7NFi6nB6PJBKCy3sWvuyt4Y0UB0UY195+UzNCUsFb9dCxOD4sP1Pode3lHDh8+qeZZZQySJETt8HDhX3PVVUJcBNGGf/5ZLCbKy0Vbvf9+sbtbU+O7XteuR/5zCHJk0WpFJcQhQ3zjYFSCmx+3V/HSsjzUSol7BqbQQWEmJkLZqtVHr34edlnr2FlrodbhIVrlH2VeQC2vOFbR7c2zeeRhX/TCo0+6efg+cQ9BgrRGcaWbzC5HL2shRK8gLUbD7p0SFd2DBV2CnFjk5MC4cbCzYf/dZII//xSFvBo5QA3XMhM3vo3DysRSFIoIvM32wk0miImVWZhbw4vLDlBscXL7wCTGZkYS2aJAZ8eOogDmrl1ifpqV1Xrht5Y4HCL7TKkUa71gQa8jT2FdoIiYV+ugot5NXBsFev6KaruL2bureGV5Hmadipe+T8FcG4ZKUtCpE35Vn2eRw6YGMXGAI5lR+/qySemmc7qop3C8aFyX2+3icZ8+wju0pZ9ieLhYsy9c6H88KemY3GaQo0C7XELLsuwGbgXmANuBb2VZ3ipJ0o2SJN3YcNosYC+wG/gQuPm43OwRwCvLvL26gNt+282mEivfbC1l5Ocb2VIaGCHTSGuGx+d0ikTSelhB4PbAVsqa/l9YKLy5srPFz/33i2ON9Owpiro0YjAID8Yjkb6sUokF8MknHzlhsa5O3G/zXcHVq2HlyiNz/X87rUVi1eBot2brjd+XO2b7f1+2lvoE9+xsXyrh6aeLieDo0aKS2VNPQWG+gi5SJApJQpKEoHjyySI8PygsBgGowc5GAsO1i5t9X37aWc4VP+5gTVEdv+2u5LQvNrG6WRXx5oTpVIzvHBVwPCpSYtAgkQIS3qDlr1vnExZBRC3ec49Iixo+XPTRzzwDjz/ui/weM+afed8EOXa0HAfn51Zz7rdbWZZfy8L9NZz97WZ+21LLsGHC0+jyy32vjYqCc26qY15+Bed0iiRcH7h6qMbO0J19eOdR/3nC05NVTYviIEFao7pKIi6k7Uq7R4LeaVqWb3BRhf2ovk+QIEeaefPw60MtFnjhBf/qtlXYAqJyp3deyBOvOJrmlyoVvPWeh3JNHad+sZFfcypZW2Rh0owd/LijjNaIiBDe+AMGHJqwuH8/3HSTyBrLzhbzhbLWLx3kH5Aergs4lh1tIM709/vRWTmVXPL9NlYX1vH73ipGf70RV0wtffv6C4sAKxHhr9fnnUL6HZfwbLeeXNktgUcelf9xxuHfxWIRm9/2Zl38unWi6GpLTCbxHWoewHT55cITNciJSbtdRsuyPEuW5SxZljvKsvx0w7H3ZFl+r+H/sizLtzQ8312W5YMkhLVv8mscvLLCXxC0ujxsKgmMTmxkUFIo9w1JptHHd0hSKLcNSCJKYeACujWdp0GJGgW9bUns3CkiFn/7TVQsc7vFz2uv+Yckh4bCI48Ir6dZs0SH0LJoRnvCYsGvklYjha0myQdpSRrhqFt0BWmEkUTbkbPHk7waO6+29n1pJsabTEJAX71aCM/p6fDKK6LaKgjhZvavCrxeESW2YUOwvQTxJwYjZzVEKDYnAzEDqrG7eWFZnt9zHllmQW51q9dTSBLX941neEpYw2O4c2AiQ5ICHasrW6lzMHQoTJzoS8MqLBRte8oU4b34xRfC1xbERsu2bSKl1hnMhG3XeLwyb60qCDi+ylaCJIlK0o89BvMWennvGxtXvV3AIzs2M7hhzG8tSjYeE4Zqk1+UDIDXK4zSjxV79wrj+WP5nkH+PvUuD06bRJzh6JYV756iY2eBC1udAicHt5MIEqQ90Vqq5pYtovhlI4mE0olIv3O2a0tIGFfI5K/Kefi9Gqb9Uc/4CTJLDtTgaVHp8oWleezOd/FPmTYNPv1U9PtOJzz5JCxe/I8vG6QF2dFGPhrXCb1KjMUpYVo+OaczkS360ZoaMR7u2RNY3LQ5VqeHl1vMLWVg9u7WC2CNIZMI9Jhm9GTa+3q8XrHWf/45iXnz/tGv9rexWkWUbUsKAqc6gBDMV68WWsTSpfDGG8F6DCcy7TUt+j+FUiGhVymwu/1XAppmTr11Djd1Dg/RRjVqpQKzTsWt/RO5ODsGryzTMVxPmE6Fw+3lBlVf8qihGzFYcRLq0VG7I4ThZwrT1O++C7yHb78VKcuNGI0iTPlEICZGLLpffdX/eEvD2yCt04UopnMBVzOTSmykY+YrziP2IEUu/oqNFLOCfCQkBpFED47cKKFq4/uibeFsbTCICudeL/z6q/81evaSqarzsnatknPPFV4gCQlCoAkaCP+7KLM6cXpkEkI0eGVwe73U2N0oFAqiDG3vLOtR8yynUoSF1RRiQM0LnEYfRM69UoIQTaCwY9S0nXeUFWngp4uz2VNlQ6NUkBmpR6cKPL9Tp8CiHz17e/nwQ//3s9uFYD52rO9YXp6IUPj0U3GNm28WImSwSFH7RJJEVGtLDAoVdrsQkUtKYMRwBUPcGnZXhnHFKb1JDNESrg9sv8UWJxqlilPTw4mJgdJm1kvR0UcuY+Bg2O1iYXvbbSKzoF8/kf3QvfvRf+8gf5+9VTYUIS7MCvNRfR+tWqJnqo4NGxKoHGYj7h/MNYL8t6i2u7C5vMSZNE3VeI8lI0aI4mnNufJK/6q2URiYwrlcwnfspgozOj5kHEPj4jkw1EmoRkmUQYXV7UanCpxDGFRKJv+fxFmjhNdimaaWFeSznxqyiWEgiYQRGC0H4PR4UQAOu4KpUwOfnzsXzj337//+QQLRqZVc1TuOYalhVNncpIRpiQ/xT4dev9nL7TdLLFkiYTSKSL1Jk3x+781RKiBUFzgvNDWbW8qyjMPtRadWcirpPOYawZQp5oDXzPxZ5pzzPBg0KmRk1lPEKgpRo2QQiXQj5h///q0RHS2iD1t+V/r0afs1zausBzmxCYqL7YDEUC3PnJrOTb/6wu+SQrT0jhO9zrK8Gh78Yw+bSuo5v2sUN/ZL4J3VhUzbWkrnKAOvndGR3Go7768tZE2hhUk9Y7m9yzBGhn6IDTcowdRbw6NTJ/HUVQlcein88Yf/PQwadCx/4yOLUgm33iqifb78UqQLvPSSEEf37xeGsm63SA3IDAxE+s+jRME5dGYdcVRiI5FQYmhlxDtEVlPAyXwm2h5gQsMCJtGXI6NuJIbqeHpkOjfP8n1fUsK09IptfYGiUEDXrjIgYTbDo+/WstRZSI7DyhJHLGddEs17L2opLBSTrnXraNXnLMiJRb3Lzc87K7j/j71olAqePTWdH7aXsbfKzhkZERTXORmWGsY5naII0bY+FHYlhjlM5AA1mNDQgXAkxILGpFUx+eQ0zvp6S9P5oVolJ6eaD3pfZr2aDnY1GzfC9lLR1rp39xX4ALExMn266NdKSuCsczzEDKjBaIzA2iKgPabF3HDmTP/Kgm++KdJLJk36q08syPFAIUncNiCRH7eXN0WwaJQS2VI0n+eCTgeaCAegpd7lZUuplTdXFRBj1HDXoCSGJIehVEgU1zn4YlMJLy/PI0yr4sVRHfluho7bblZi0EtccKGMzuhly24v5nAVoSFHb2G+caNYcDeyZg3ccQf89BOEnBgFLP+T5FTa8IbaCaFFxE2pijU/hrJvjQGHRYE53kXWSfX0OLMWrfEgITgHYWCGntVLYykbaiVOCoqLQQ6Oy+Plz31V3Pf7HorqXNzUL57r+yaQHNa6yHa0GDxYjKkPPyw29m68ES5tVhGgqEhEp9XVJfBN55vRZFcQgpY0zGCACK2GOXsqOfubLRRbnHwwLoswrYoah7vpGpcmpDH5axVffwadh1p5KPlnZrO76fnnOY17GYICXx9ucbj5c181r67Ix6hWcNfgZMadE8amTf7iZY8eR+uT+W+jkCSyIgMr5lXZXMzLqeGTyeEsWSL+FlYr3HKLSFUfPjzwWjqVkv8NTWVh7iYae1ejWsnpHUXWzKYSCx+sLWR5fi2XdY/lvC5R3GDuza7BXlat8v97x2U6uHD6Th4anoYq2cIwPm2KFjejYwGT6EncEfsc9u4Va24Q34uqKrGxaDYLobG5N2mQfy9BcbGdcGl2LClhOmbvriQjQseoDhF0jDCwo9zK6V9uwtpQRezj9cXkVttRKxXUu7xU2dwU1jnZUV7NsrxaNpdaWVtUxzVVMXQaFc0GpahxY8HJtp5bUKkS6NFDmMgfaChulZoKF1545H+n/ftFBENKiqjCezTp0EEU7HjkEbEYS0oSvihjx/rSGCIjhagajGhsnVTMfkV//i4fsa5JWATR9r5myxETFwEu7R5DapiO3/ZUkhmhY2iKGbcsY3V6Wo0c69jbzsBBOkZfXs9j+zZS5xTfpzWFddw7wkX27DQG9JeIixOeNEFx8cRnZX4dF3+/nYQQDS+NSmdTiZU9VXZWFdSxsqCOuwcn8cAfe4nUqxmdGdnmdcLRE07rHdip6eHMn9SLX3ZVEGVQcWZGBD3jDr5Qrq4W/dQ774jHkgRTp4r010Y0GhGxMHgwVFpdPJ00i3t0e7nvnSt5/MrwpojGhx/2L+Li9cLXXwe+54wZBxcXi4vFJDA+/tC8nIIcWYYkh7Loql7M3FmO0y4RVx3Fy3cLFe7eN6spzSwHMvh5VwWTZvjKR/+8q4KlV/dmQGIo320v44E/RJW2UquL8dO2MP+Knjz+mYyjUsvcGSqmfaLFYlHy9LNebrxeoqRERNwc6ajW3bsDj82fL9pZUFxsv2yqqEVhcqFBjKFeD/z+diQLP46kw4B6Erva0Rq81JaqWP6NmRlPxTDyhgpOuaYS5WHai6VEqVCqZWbvqaR7RjD/LcjBWVdUx9ivNuNtGPueWnwArwxPjUw/phGMZrPY9Dv7bJF6mpLiK4iZlyeitRoLU+h0KubOjaX7sGa/R2Ed53y9pUk0uuGXXXxwVha7yuzsLnKRrY7k66dDm7zqcnSlzGY3Q+3pnLy/J3atnQ/TVjKBzmQ2S71ell/DkrwaBieH8uuuCk7/ciOzLulF1LtmysvFOdnZwoM8yLFjQW41K3Y6+GNW4LokJ6d1cRHglFQzv1/ek1k5FZh1KsZmRdInPoR9VTZO/3ITJVbhd7OuyMKWUivvjs3k+msUfP+tELgBOnSUMWXX8Ou2Kubvr+H166JxRvtsKKqxM5OdhyQuWq2ifRuNPvudlmzdKtpXo8VUQoKwYXvgAVFErq3XBfn3ERQX2wmhOhVjMiMZ02yRW213sbqgrklYbOTPfdU8MjwVtUKiY4Sea2buwunxcmn3WAYkhvLx+iI+X13Gff2z2RDpK6BdbqwhPFwIfosWCZ8QEANOaip4kdlJOcVYUKIgmxgi2lhUHwyLRSxw77sPamvhggvg6achI+PvfTaHilbr/x6zZvn7o1RUwHvviUV9sGgHuPGylVLKsBKGjkwiMP+Nv3dLcqkOOLafmsAT/wFhOjVjsiI5rWM4c/dUctZXmymyOBmTGcHLp3ekc5R/5OUGWyVXTIrH09lC3UL/79M76/P4+s147rhSR26uaDcffihS+Q4Vb4PaozgOaTpBWmdebhXDU8MYmhLGdT/vwuL0MKFLFCclh/Hqiny+2FjCBV2j+X57WZvi4qZiC0vzaqiod9Er3sTQlDDMOt8qWqdWckqamT5pOiw42UAx+RTSjZg2K61v3eoTFkGkPt90kxASW1bRS0gALzZ+YRsWnEy/6FueyB6JfZ+J3vFGTu8e6pdWo1DAsGHCs6Y5bUWmy7LYcLn2WrHZ1K8ffPAB9O7d1qca5GigUigYkhxGarLEHdY/idjUk6vftKJPszA9ewGZigHU2N08t+SA3+vcXuHx2SnSwFurCltcU6LS7ublNXksy68lLV3HE1OyeOW2cLRqBWefLdpJfLzYmBs9+shVlmzulTTpfgsDLqkgItaLOyIECCxqFKR9sKmiDmOIsBtx2iQ+vSkJa6WSC54pIiTSf9zMHmWhqkDF0qnhrJ0RxhVvFhCXeegGr5IkEZ1p55tVVdx3lOeGQU58NhRbm4TFRt5bW8gt/RNJCP17FXn/CSkpsLHYwtNLy9hXZWfSkAgK1phZuNB3L3Y73HuvzJy5YA4Tc8ONJRaa/xq1Dg83/ZrDqosHcdmDKr5Y5f8+Hq2T+/aOZcej3Xnmay0mE9zyXGdsE7002qKXWhz8sbead1cX+q0FZ+WWsnatmY0bhQCanR2swHs0cbq9LM+v5ZutpeiUEhd2i+G3nAoqbdClm5eN6/0XnomJwnNZIeEnkDs9Xn7NqeDmWTmoFRLDUsK4oJtIUdlaam0SFhv5fGMx9w1Jpnt3I0uXwpoNHraWW6kMqebZ7fsAqHd5qSiXINr/ngvwL0C4k3KKqEOHmnTMxGJi5064+26xNoqIEH6I554bGDQ0bZq/d31hobBce+qpv/NpBjmRCYqL7ZglB2qwOgPNro1qJRqFRP/EEP5vfm7T8c83FnPP4GQi9CpsLi8ehb8n3ZA9PfhJDaecIsTE1FTfc3U4+JEdvMFKFEjcxSD2UkUcJrKJOaziHqtXw/XX+x5/+61I3Xv11SO3eDkUGkOzm7NmjTA21h3bTIp2hQsPOyhnC6XoUDGDHaymkAvpxuX0oCMRf32Rg3A9fZnLXr9jV3B0cjE2lVg5u9ku8KycShSSxLTzumJoFsHYMUzP5E8UjLk3UPzTKBUsXiiRmyseb9ggosZWrBAL74PhcHtYcqCWN1fl4/bC7QMTGZYShl7dtu9ekGNDYoiWMzMieOjPfU3HvttWxnV94kkz63B5ZJwemRijhg1FdXSKMvj93XIqrCzIrWZxXg3FFiduWUwex3aNYHvDJkwUBlZTwBusogPhjKIDT7IILUrmMJHurXiNtlatsaZG/LRGAiHcQn+eZylbtcU82ucrlH0kVnANIa30yxMnis2d/fvF486dYfz41q+9fTuMGycKwIDoHy+5RJi+R0e3/pogR484TCQb9Tw6+MumY6qGjT6FBHp14K6YTqVAo5RICtWws6K+6fgFXaN5fGFuU2G43Bo7/9u8hTff6MP7T5lYu1acV1QEEybA2rWiCvnhUIyF7ZShQKIr0UQ32Gn06gVXXQXGTjVk37Ob31V72EwpJ5PKRc7udKyPJc18lNMZghw2ORU2QrLA7YSPr09CkuCsB0pRtDGchSe6GXtfGdvnm3jzglTOf6qY3mfVtX5yKyR0cLNlvZN9VTbSw4PtIUjbtOZLG2fStNonHm2ceFjtKOZ3VwlEa4nWwNPhvzOgeBzgL3Tu2CHxxapSunZUMSwljIhWfHITQzVExchMnizGaldDLZebb4Y+mhjmvpPMz1+J69bVwXO3hHFKppceo8R5S/JqebFZAZDPNxZz75BkTGoFKSlCCA1y9Fl8oJpRX/pSmd9aXciXEzpzxY87ePHBRB66KqSpqOSjT7moSqhi1JcFJIbouLl/AoOSQpEkiY3FFs77dmvTdb7aUorF6eHr87qiUgauYZSShLKhumt6OshmJ1e/txFLob9+kKINzKqZQOem/68gn22UUYeDbZTRiSgmOLoxeXIos2aJcyorxRxz6VIYMsT/WqtXB34mq1YFHgvy7ycYv9VO2VJi4bqfd7Ekr5aTkv2rid46IIGsSD2bW6kmPT+3ikFJoTw4LJm+YWbSMNOBcN61jmeoJ5UZM1pP+fydvUxiBmspog/xvMdaruInRjOVoXzCZlqvZ19QIH4cDhEJuXatEGda8tVXrS+qjybNixw0csUV/21h0YOXr9lCHz7gUn7gQr4jnXAceHichXzMemT+nodSIyNJ5yPGNbW9zxnPcFL/+oV/g10V9QF3+8uuCgrrhFridgsBRV8VwtkXuHHsNxFn9PeTenhQGlPf858QHjjgE2cOxrK8Wk77ciM/7azg15wKzpiyicUHjmyUZpC/x8h0M4W1gZE0s3dXMjw1jGv6xDFvXxUmjZLeH6zl/bWFONy+yVhOhY3/zdvLd9vKWHKghscX5pJrtfGudw19+aCpb6zFSSU2ZpHDI8zjVgZQhIVPWN/qfWVk+NKoGunRo+2IAgUSN9OPRxhGDEb6kcBsJtKb1pXvbt1EZPqsWaLy3u+/iwIxLSkoENWkG4XFRnbuFOkvQY49ShTcySAeYigxGBlAAnOYSC/iCGnw+GyOUa1keKoZvVrJ9X0TUCt8C4+u0YYmYbERh8eLK8TeJCw24vGItnA47KCc0/iCkXzBKXzOeL5hl6cCq9NDVBS8/DKMvbuCJ1QL+Z7t7KKCD1nHM+pFzC8ubXXjNMjxJa/SSXiogh8ej8NlUzDyhoo2hcVGJAm6jrRw1oOlzHgyltmvRR20EmpzQlQqMjrCG6vy//nNB/lXIcsytQ4XnoZwxQGJIWRG+CbvEvDCaR1bLWp1NHHh4Qs2crL2Yx5P/plnuv1AhwE2cjRldOnmDTh/wkVudnuqGPXlJpbm1dIvIYQuUT5vPoUEL47qiFmv5owzhOf3jz/CkiXwzDNgrglnzleBXn7r1/qW77NyKgKen7+vmgmd/36UuN0uAjTWrBFWLkEOjscr8+qKAr/1iNsrsyyvhi5RBp7av4l7Pith8nt1/Panm7TR5Vz64zbm59YwZXMJp3y+gXVFYmMmp9IWsK6ZuauCIouD7BgT3aL928O9Q5LpEO77bqSbdTx3qn/ltlPTzQyMMfMOY0gmlEwi+Mp7HtE5iaxbB0s9eYzlK65hJncyhwpsLCWPnCJnq0VgW6sEffHFgcea+5G2RqOGEOTfRTBysZ2ys6KeYouTqZtLuLZPPKd3DMfi9NA/IYS3Vhfw084KLu8Ry/Rt/opd50gD4ztHsiC3ht0/KZl+ykTSwvVEGQ3Qq/X3kpH5ELHa0KAkGiPv41t97KeGN1nFO4xF1aBHV1bClCnw2GNiYXL77WJx8v338Nxzge/RpavMPquVvHwvXaINbRZQOJKcfLKojv3MM0JkuvFGEaHxXyaHSq7nZ9yISZAbL8+yhPs5iadYxJus4ib6kUzYX1ypbcLRcw19OJtOKJCIJHBidKQwSoHtKClUi0mjpK5OpDf/73/gdKq5/34Zq03HzV17Up5SQQX1jM4KJ1kOa/IoaUSng/DWs1r9+HRDccCx99YUNBkvBzl+ZEUa6RUXWJgoM1LPhV2jyatxcEXPOJ5tSDW9Z+4eRqSFN3km7qmyU+/yXyy4I6w8qJjTNPFz4OE5lnANvXmZ5VhxNYnzy8nHiafJv6yRrl1FYYvrrhOTqv4DZF583Q16GVoUUmgkBTNPMIKb6Y8RDaEcPA3sYNEK5eXw+efw5JPCC6clJpN/5csgx5ZUzDzFSG5jIAbUfn/rUR3C+f3yHvywvZxoo5pzOkXRq6G9FtbZeXxEGnUODyqFREaEnhCNsslftpEQpYqoKJp8uBopKoKVK2HgwEO7zylsYiu++ccy8vmifhuLvzNxXd8ExmZGUKW2UoXN73XzpH1MjBjI7sp6esYFDRjbC/UuD3U2Gc/8VHYsMHL+k8V/KSw2JzrNxbmPF/PbyzFUFai58NkilH8xzTOiQdXZyWe/lvDkiHRMmuCSJAhsKKpj6uYSfsmpZHhKGLcOSKR7rInfLuvB6sI6qmxuesWZ6Jtw7PuPnVRwE7/iaRjnXXi5VzWHOxlEXd9cHv1I4o17zNTWCv+5zh1UmCvS6BVp4fMNxXw+oQu/XtqdNYV11DrcZMeY6BMv+nClUqQuZ2f73q8xnbnlHLX5+N41OnCe0yPWSLeYv1eYsbRUrONef134OJ90kigS19omZRCBjIzdHbhhZnF6mXFxNkvzavDKMr3jJJJCZQZ+5G9x4vTILMitocrmarWCeHLDuibWpOWHi7L5bXcl64vqGJ0RwYi0cFTNvL4kSeKKnnF0jTaypcxKYoiWAYkhJJl03ER/zqMrBcUeXnvEyKUfKznrEgcVX/5OZbOx+nu28wQjWBayh6ysSLZv94+YjGpFtz7jDDGnfPVV8fjOO8Wx1igvhy++EGt0SYLJk4VfaWTb9udBTiCCI3k7Rafyzeo+WidGFaNawSfndGbRfhEZNTLNTLpZx75q4fxr1qm4oFs0i/bXkBSm5aVleXy3rYyV1/Yhqg2/bA9eHHiaCnnEYCSvFX+8+eRiwYkZsTsyf76o/tjIU0/Bo48KUSY3VyxQVq4Uz+n1cMkdFoZ/tQ6PLHNzvwQeH5FGlKH1hfSRIipKFDy47DIhgKalBUYM/dcowYID/wHQiQczWk4hjRwqUHNkUnqj/2bF6c0lFublVlFt83BqupkBSaFolIGDrd0OuxaFMDIhknmFYudWIcGrp2YRF6Jl8WK45x7f+S+8IHH5lV66pTpRuDTst9awr9bGtno7t96TyFsv+7rD1147tMrimlZSFFq71yDHh5Hp4fSMNbKxIYLLoFZwU78E1hdbMKmVvLjsQJOA6JWhrN4X6dhaupVdbw/YUa7GjrbZUKps2IC5jO4BwiIIX8TRo+HX+U5mb61hdW0Fp/1ewsgcMx+MyyK1jXRRCYl4/vli6vff4d57ff+fOFFsFDXy1luiQFaQ44eERByBKUx6tZLTOkRwWofAzYvBSWaGfiqiZb2yTLxJywujOnDTrzlN51zWJZ7y7Uaefs7LTdcr8DZo5+ecA/PmiYn+mjV//fd34+GPFtYXABu1hVQ7OvDZhmIUEqwt1fK/8AvQpVl4MOIXvMgokaio8aIIDfrTtif2VNrQe1Xsfqwn4++tQGM4/AwGo9nL2Q+XMPeNKD6+Lpkr38lHo2/7OiY01Jks9I6L4dP1xdw2MGgI919nS6mF22fvbsoA2VFez57Kev43LJUlB2oJ1ysZmRZOduyxrzDuxEMRdU2b843YcCMhUW6s5ferv+dlw+XkbtOwdKnY3AYtz07NYHdDgc30cP0h2wAYDKJfXrZMFNUAUXG3eUrqmMwI3lyVT261SEMI16m4dUAiyr9pLr9smU8gApEC+8478MorQgANEohKoeDcLtH8ua+66ZgEDEwMCfh7V9vdflkGjZRanZz9zT7O6xLF6I4R/LanEhBpz++MzSLWJDYasyINrVambk6IVsWI9HBGpAdGSdRWSPzwtYovPlbSrYeXax6r4SJlYcB5TtzkRJby5psDGD3al64/Zgz07Rv4nnFxQgu49lrxOC2tbSu0P/7wX5/ddZewobroooP+WkFOEILiYjule4yRjuE68modXJwdQ3KojiHJoeRU2HhkeCoxBjWZkXrmTerJxmIr1XY3e6psXP7jDixOD0a1kkdPTuXBP/ayqdRK91YG4rUU8iar2EgJl5DNdfThUza0WohgAp39Iii++irwnhctEgUB3n1X7Fg88gjU27yU6Kt5fPt2PA25Mu+sKeSczlHHJLpLoQgulJuTSCgmNFjwiSgmNChRICPzEqdj/ouoqKPJ5hILwz/bQLVdVJt+fCHMntij1bZSUAAP3Krh4ms68fgpFlwqF1qLAdU+I/SAffsCXsKXnynpd5GHDY4KhqWZeXbxAWocbl47R8v008Lx1GjJ6OTFFWHhqy31ROrV9I4zERfS+mcyqWccn20oaWrbEnBjP1F61e72sLtS7AR2DNcHfRiPEPur7awvrqPe5aVbtJEescaDVot85fSO7KgQf4dSq4sbf8mhwuYiyqDm3iHJPLFQ5L8b1UpSw3ypJUOSwzDrVE1tEaC3PhIVCr/FRRwmohuic9MxU4ud6+nD+GZeNi3xeGU+ysnlrY2+Cd3cvVUs2F/DpKPsRffpp77/z58vdpbfeUcYdXfoAN27i53kICcW/RJCmD+pJ2+uKqDM6uK2AYkMjjfjzA6h1G1D69Kw+nsTd3+r5n+Tnfyy3saucjt6pRJttYG9eV56nQ6FpXo6dDh4X6VCybl0YSX++Uzd65LZ6fLSLyGEy37Y3nQ8K0rH9IkTuSZsOpe6e1BXpCEjLeix157YVWHDOTOTpFMqiOlw6IVZWqLRyYy+u4wFH0by9iUpXP9pPsbw1lPgTWioxs6VnSN5bWU+twxIDBZF+w/jdHtZkVfrZy2jVkiMzory87IL16lYdFUvsmOOjcBow8UCcnmJ5YynEzpU2PHNC8zo6O9J4HflHm4sHY7T6CDzTAuqvk5GXqNl2Q8myndruerKv67K2xqDBgk/u61bRbXenj1FsbdGOkcZmT+pFxuLrbi9XrJjTHSK+vsZQ2vWBB776Sf4v/8LRpYdjBiDmudP68CcPZVolApGdQjHqAkcS806FZNPSeOi77Y1HTOqlYTqVNjcXqZsLuWy7Bh+uLAbXlkmzqTlQI2d6VtLSTXrCNOq6Bih84tWPBwO1NrZvDwUjQaufLyKN9fkMTQtjXmaPX7nZRDBmWQweITEmjXCMsdsFjY+sW0ELKlUh1a89fPPA499+WVQXPy3EBQX2ykpZh0zL85mV6WNB/7Yiy5NweQFuawpFJ4MSkkiM1LPmRmRJIVoOffbrfy8y+e7YXV52FdlJ86kQdtKdNVOyjmVL6hB7HRtoJg7GMhrnEEIWm6gLx+wFhkYRgrX0gcFvut06RJ4z0lJPkPXoiIYNQqKbE46vrEpoMpbQa0j8AJBjjodCWca53MZP1CNHTM6HmYYz7OUYiwsZD/zuIIRpP/1xY4C83Kr/MQcGXhy4X6GpoRhaCHOGQxigPv6Iw185BMfG42Hm0++GunZS6bcW8/O8nq+a7AUMGmU7LNZ2U0dr16UyXfbyrnw021NE9mxmRF8fHZnYk2BkbaDk0NZeGUvvt5SgkeGS7NjGJQUSn6tnccW5PLJepE2fWWvOB4/JY3ksP+w4ecRYG+ljXO+2cyWMuGKrVFK/H55T4anmgHh07Szop7iOid5tQ5u+203NQ43N/VNYGleDZtKfR505fUuZFlcI1yn5ovxncmI8AkeXaON/HJJNjN3VrC/xs6ELtEM1oXxEWdzK7Ow4CQaAw9wEgq3gidLxpFRH0esQcOQRLNfNGNL6l0evx3uRtYU1jGp599bgBwq2dkiYrGROXNEAY6bbjqqbxvkKKNUSAxNMTMkOQxZllEqFMgyHFiu5uWXfYV/VCroPsbC+JmbcXpEL5cdbWBUZgSvrchnb34sKTXppDTrqyxON9vL6qmxu+kYIaIwLqAri9jPr4jIyHH2rpRvMTGuk4F31/iLjrvK7WwrrudzwwQoMdG3V0Rws6Wd8dtcL+59oXS9fQ/8wwhppQpG3lDBimlmXjs3lRs+zyMqxRVwnrFBXOweY0SrVDB7dyVjMoPqxX+VWoebCpsLhUTTmuH0jhF8s6XUL2Ogyu5m4f6aYyYuLiWPMYiIip2U8zin8AyLqcFBBHq+ks/lDGUGyWVxvLg4n/iQEl77w+cjesW5SQyJSKFTlIGlB2pwerxkRRpIPIwq1126tL7uaiTNrD9iRbK6dQs8Nnw4hB56Xc//FC6Pl7VFdSgVEnsq63F5ZOqdbnaUWbmga1qrrxmTEcGsS7vz1eYSog1qYkO0PL/Elyo9dUspl/eMJdKgZsTnG7E02JskhWq5tk8cSknilv6Jf8tz1OOFzF4uvF74uiiXdaV1vHigLwUdqtmpqECFghvpy5dsYhxZnKRIoUcPISoeKbp2FZ7gzWmt3QU5MQnm77VT6l1uNpVambwgl10VNpJCtU3CIoBHlrn9t91U1DvxyDLl9YETtzqnm4xwPb3jAgfgzZQ2CYuNvMNqRpPJFfTkNc5kAzeymuuYycVk4T/hu+ACEenSSFiY2E3btUuENj/4IGi1EG1Qc2orYdnp4UGR5XggITGGTNZzA0u5mskM54UGYbGRr2mlzPYxotYeGOFQYXPh8gQaZcfHixTO5oEOp5ziq3jauzfcfIvvdWFh8OxrLhaVVLC5mch0Q98EvttWxpDkMAprHdw6a7ffRPbXnEo2llhoDZVCwUkpYbw1Jot3x2YxLNWMWqngt5xKPl5fjIwQSD/dUNyq6XaQw2N5fm2TsAjCp+bRefuwOIUgPXt3JX3eX8vivBqu/GkHNQ5x3Or2UOMIbFthWiWrr+3Dmuv7cnpGhF8EZE5FPZf9sJ2pm0vZVlbP5T9sZ1NRPbXYuJn+PMQwLqE7j7GA91Vr2PF0V+Y+m0A3ddRBhUUQgvb4VszWhyYf/dn75Zf7eyqGh7duxB3kxEQhSU3pcJIEV1/tb/Fwy71OXt+0r0lYBNhSVk+oVoUkwdStJfzSbKOyot7FQ3/uY8BH6xg1ZRP9PlzLyvxa0gnnK85lLdexwHYNnpkZfLigEq1S0WQ1EKJRkmbWoZDA5YYatY1RSdEkhgbH//aExwPfvWJGPXYPoUfI91BSwOBLquk6wsLr56axd02g8GFCTQ12JEni7E6RvLYiWNjlv4xZL9reJdm+sCiTRkmtwx1wbq098NjR4lM2NP2/gDpeYTlPekbywv7zePPAhZziScfu9vDCggIyI428tcp/c+WL3fmEd7Rx5YwdDP10PSO/2MjJn61nS2nr88rjzdChcNZZvsdJSSKF9b9uK9UW8/ZVc9In6zn3261sLKlnbGYEr53ZkTfHZLYZUGDSqkASaf9mvZoXl+ZR1axNa5QSHcL1vL4iv0lYBMivdVBj9/Dy8nxWFdT+rfvtEm0kIruWLj081LrFez70dSmD/xzOIzvH80nZxayliD/Zx3MspYQj304vv1xEQTYSHg6XXHLE3ybIcSIYudhO2VpaT16No6nao8Md6FuTW23H4vQQadBwx8BEluf7dzTjsiLpFWeiY0RgeLyTwIW2FhXKhuhEHSp60EbcM0LAWbpUVIb2esWOg83mq0yanCzOM2qUvDiqIxdM30pOpQ2VQuKJU9Lo/RdG7rIsKk//9puoZjpmjPAZacu/IcjhkYaZFMJ4meWUUe/3nAeZdRTSh1ZC/44yI9PNTF6An7h3z5BkwnStz2rGjYPly4UBtVYr2mFjxGJkJAy8opzYIUr0XhXJ4Tp+/VVisKYnj4x2s0NZSq3Lw+IDNYzOCGdYShi1Dg8l1sCUsErb4U1kf9gRWBp9+rYybuiXeFjXCeJPQV1gxHNOpQ2r00OVzc2kGTuwub043LJftPTs3ZVM6hnHi8t8ZZCVkoj06tFGX7Szop4ah4dqu7vpfR9fmMs5aTIvKJY2nZdJBL3kOO69S0GH2EPb3Zckiat6xbH0QA2LDtQgAdf2iW+KwGyJ2w2rVon+UK32ed78nQzC3r3Fd2b9emEb0asXdG47gzvICU7XrrBgAWzZ5qWk3kldVBXfr3DQLyGEYouT/IYsgjqnG61Sgc3t5ZstpdzULwFJklhXVMebzRbLlTY3t8/OYe7EHoTpdGKc0EPosDCGJVRiUCm4pncsEfVhuHebKdyi5PrBTqKNJewhj0v5nuc4jU78/UqmQY4sU6aAS/bgHpiHkSNbtaH76RZCY9x8fF0SY+4pY8hl1U39lgkNtTiREWP/h+uKyKmoJ/Mv/MSC/DtRKRSc0zmKKnsxj52SRk6FjYGJIQxNCeW233Y3naeQ4OQ08zG5JwtOtC28k0uwMs99gH2/pbG5tJzuN0Rh1qn4eVcF90QZcLdM1QKK7HZ+zalserynys7bqwp4c0zmIaW37twp1le7d4tCMUOG+IszR5LkZJG2un27WNc1X9MF8afG7uJ/f+5pmm+uLKhlZUEtn4/vzMCkMLyyTLHFiUGlwNwsyjCvxs6VM3ZQanWxt9rOI8NTeXrRASpsLkI0Sj45pxPxJo3fZnojRRYnkXo164stnJFx+JHeSaFaLhgaQk6qg+iaRO6bvxuXV+azZZUolsMzVylYjtjo0aFq8hE/FHbsEO10zx7RTk86qfUCgb16CW/PDRvEPLZnz4NH5gY5sWiXUo0kSRHANCANyAUulGW5qsU5ycAXQBzgBT6QZfn1Y3unR49ahxuTRkliiJaCOgdGjUhKbj5kTewRS0KDF9wZGRF8OaEzLyzNw6hW8sjwFEamh7eaerSfarZRRgphHGhWvOVRhpNyGFWCO3c+tEVpzzgTi6/qRW61gxCtkswIPeqDFL0oKIBNm0RlZ4dDGAgrlfDnn2JBPH68GFjXrIFvvhEeYRdeKP4NcugokLiNAcxgB96GlqVBSReiGcUU1nBdq/6bR5P+iaHMntiDJxfup8Lm4p7BSZzTqe3Bs7paDGLffuvzoUlspt99k1PMb7srealzb66YoEWvh4cegm8+1VBTk8hZ57t5ZkgMnZM06NVKQrQexmZG8muzKEOlJBHm1LNrF2RlHdrvMTTZzOzdfl1Wm8JRkENnQCvVIa/qHUeMUcOGYgtlDRHcWpW/6lZqdVFR7+KNMzN4Z00hkXoVF3aLYd6+SmJNar90ov37hYg367cIrunfF7lzJa/sEKmfOZU2+pGICQ1GWc29qy5gw5QY7GUa8idJZB6GHp8ZaeCni7PZXWVDrRA2FwZ160Py0qVw6qkiwgjgmWdE+n9RkbAH6N1bmGcfKn+VYhXkxGbLFvjhBzGOXnghjBgBp5+mwOXRsHJXKPeG9mP2DCW9s9ykDqnjqZ3biDKosblFxOGINHNTFG9rgr7dDuvWKDiwB6KjoU8f6B0fQu948f3cvMvFhLNU7Mlp+B5+pOH+lyDs7kJmSDtRouBLJqAnGApzvHE6hZea3LsI2ehstQjVPyW1l50J/1fCnDei2L3cyIXPFqEP9aJBiRKJelwYlWrO7BjB26sLeO3MQ6imFuRfSecoIw+clMKeShumrkrSwnXUOTxoVQpeXZ5PlEHNo8NT6X+MKkUvI49sYvy8lpVInFrVhdtKyjg3JZ7dq3Sg9tAj1kSp1UlqmI79Nfama4TrVMgBpeBgXm41FocHs95/PbRmjfC1z8uDSZPEOuvMM0WxTIBp04RP8sKFUFkpirINHSrmAkeKiAghDAU5OHa3lyJLYOZglc1NbrWdd1cX8NH6IpJDtbw4qiMj08NRKiTK612UWsXrKm1unly4n0m94hieEkaPWGNTUNA1veP8hHWA7Bgj324t9bPxOVwyIgxkREA/q44wo4JXV+QTZVRx/nATbyXMbTrvFvoHiOuN2GxibjplihAQJ0wQxQLXrhXPv/46vPceXHWVOO/zz0WA0AUXQH2DZjp48OHNXYOcGLRLcRF4EPhTluXnJEl6sOHxAy3OcQP3yLK8TpKkEGCtJEm/y7K8reXFTjRcHi9fbyll+rYy/u/kNB76cy9TNpXwzKkdeHNVPsUWJ5dmx/DQ0BSsTg87yuuwe7wMTwljXGYkKqWiVRPZRvZSxfMs5UGGYsFJIXVkE8MwUpD4G6Ewh0CsSdtU6aot9u+H4mJYvFjs0jka1jQ33QRTpwoRCeDHH+GGG4S/47p1wmj4/fdF53UoFX6D+DiJZH7hEqaxFTVKsojkVVZQiY29VB1zcVGjVHB6xwiGpoTh8njbjFgEETH73nswebJ4/NNP8MEHsGQJdOwojp2VFUlutZ3Vs4zExsLzz8PNN0NNDYDEd9+p+egjNb2vEecbNUpePr0DGiXM2FFBSpiO29Izufl8E/VWmDXHS99ef72Ld17XKKZsLmFHuRhBMyN0XNgtuul5t9eLzeUlRNteu+D2Sf/EEL4Y35l75u6hyu7m+j7xXN9HRFjFmTS8cWYGbq9MuF7Ftb3j+Wi9qM6oVkiM7xxFWpiWpQdqqLS7uXvOHjyyTLHFxctndESlUFBbC3fcIdoSKGCmnoEnxXHxDVa+2VvILf0TsCqcPMwwktZ24tqTo5v6qe+nwfffw7nnHvrvY9ar6deKZ86+faIyZEoKmEzw5ps+YRFE3/jFF2JxkZsrIgt++eXQjLSD/LtpjBgoEk2f77+Hp58WVUtVCgXzftAz+ZGGPuxXJTFTtUz5oTfPbdsFQIdwHRdnxzRdL83sn9YVY1RzuTqbkcN8c4yzz4YPP5SpUtjIq7FTsSXMJyw28PZkEy+eG8+j9efh1DkoSbeSpjAf+Q8gyGHx6acQHeulNNaCSas8SjNAMMe7OfexEpZ/beb50ztw4bNFdB1hJQQt1dgxouasrAhumbWb507rgE4V9OT8rxKuV9Mv0Tcu6lRKruuTwIVdo1ErFBgOsr450izmAJ+zgacZyU4qkJHpTRw7d0iMTopB+qMD536lQqlU8fK0jjy9fQsPDUvlq80lrC6so1eciRdO60C9y8NVveL4bENxk8w4LiuKUJ3/HHDDBjj5ZJ/48t138OGHUF7uO+fOO0UKaePc48svYeZMkckT5NgSa9Jyc78E/m9BbtMxCWFx8/rK/Carh0qbm9FTN7Pi2t70SwglzqQhOVRLXkPmQJXdzRsr85nUM9Yv23BC52gK65y8sjwfnUrBDf3iWbS/mlEdwhmU9NdpMvUuD0oJtG30p9FGDdf1TeDCbtGsVRSwQpPHGXSkL/H0JJaf2MlYMulCdMBr58+HsWN9j997D558UkQvXnopxMSItfwf82TOGiPRUPeSTz+FF14QQmSXLvDzz741W5B/B+11ZXsOcErD/z8HFtBCXJRluQgoavh/nSRJ24FE4IQXF/NrHXy+sQS3V+bV5fk8ODQFjxc6R+qZd3lPNCoFCSEaSq0urpq5kxk7xKhzU794RqSF4/VAijaEzjF6wlvRhszo8ODlKRZhRkcMRmawnfFHOB0mP18skkNDxeJX14bNksslFvM33CB24bKzhWfjZ5+JdMDISJ+w2MhHH4lz1q0Tj8vKYOPGoLh4uKhRkkwYSziAHTcfIT5QCYjg+FXzNKiV8BeG//v3w3PP+R8rLhbROh07gs3loUeskYeGprBur4KrrxaeoDU1/q957DHo319EQaanQ6dkI1PP7cr3c5zMm6Nk8iMarA0WjV9+6yYqzUPqXxhnd44y8sflPdlWZkVGFAdJajDvXl9Ux+sr81lbZGFi9xguzo75y+sFERg1Ki7vGcepHcKpc7ixOL1sKbVS7/KwvtjCPXP34PLKaJUKvpjQiXFZEchAxwgDXaIMTN1cwrRt/inrH6wr4p7ByaSYdeza1Sgs+li5VMnZN8fQbYSGK3vFkUspL7CUSX/2bJrcN/LMM0LYMR3EZ16WRWpTYSHExYk+qzErqr4evv4a7roL6upENMJ770FVVeB1rFbQNzSbnTuFqB4UF4Ns3OgTFht5+mm47DLx/+ef8d8cKS2F8r1igVRe78Irw4EaO+lmHTq1kt5xITwzMp3/W5CL2ytzY0Y6z17jv1E4cyZMvNbNpE1rsLm9/F90P8D/S3DvvfDDzen8MVuJXg9RT3kZf7b4HoSHizmCJrBmVtP3paBAFPDKyhKZDEH+OS6X6LPOvcZOYZUCSTq6kaRqrczwK6vI22Jj+sPxJHazo384iso0G4mEEB+ipVOUge+2lTGxx9EtbBXkxONgm82NVNpcbC21YnF6yIw0/KPorgMHoGtuX64Kj2VqpyVUaqxIQKHbirKkK+MjM7jhK3FPHg88fl0Yt07ujVlZx+ST04gxqvl5Zzljv9qMyyvTI9bIw8NTeWrRfoYkhXJdn/iA6uhLl/qExUZeekmIONOmQVSUmA+0nHs895zIbjiS0YtBDo2re8eBBG+tKiDWqOG50zoQaVDz/ppCv/M8sszW0nr6JYQSH6Jl6rldOH/6VkqtLvQqBe+OzaJrlNHvNYmhWm7qlM64hHjURg/VLhejMyLoFm0k2tjKgNlAtd3FbzmVvLI8H7NOyaPDU9GplZRanKSYdXSJMvhlEIbp1Mh4eZh5RGHAjI5pbCESAyEEvo/NJoI1muN0is3uV1+Fp54S35+xY2HvPpqERRCBIatXi4jc7dtFenRzcbGkRIz5Op2YFxxsPh2kfdJexcXYBvEQWZaLJEmKOdjJkiSlAb2Blcfg3o46OpWCKIOaYouTgjoHTyzcD8BPF2fTKdrX8Sw+UN4kLF7dO47t5TbeXSNWFRF6Fa/07sHwjFDSWxT+7UI0jzKcJ1hENXaqsfM0I+nE4Xs3tMWmTSLicNky4afw8MPCELg1j5AtW0T5ea/X9/iVV0SI9fTp/p1SI7Ic6DfW2nlB/ppORHI9fXmAP5qOPcQwOrdzTyxZbrttONwePlhbyF1z9ogiBUOiuOxCBQ89FHi+2y1STN5/XyxeZ86Evn2VvP+8niVL/M/dt0vJ8ryqQxIDE0O1AdUAd1fUc9qXG5s8HB/8cx+7q2y8NTqzzZ3FIIFolBIvL8/nw3WivzOoFTx7ageUCgmXV8bh8XLVTztZfk1vesT60qdMrUQ8xBjV6FRiktVWHzIwIZRTh5sBMBLDFfRs1VfJG1h3KICffxa7ularmDx9+qlIE1Eqhe3Dtdf6zl2yRPSdt9wC8+b5X2fAABHV0Eh+sBZCEFpvg16v+JGk1tu4xwtXz9zpd2zeFT0ZkR5OmE7FvUOSObtTFHUON9pqE09UBl5ja56jKa3aG20lNNREbYMNdPfusC9X5o/Z4vtns8F99yjwuuHZZ0XBgKuvhuuuC1xI/PabmB9YLEJ8/OAD8f0JFhf453z1lYguUcXZMDhA2coi8miQnG3noucK2fhbKDvOGcunZ9Zy7y0KElK8nNkxnA/WFgXFxSCHTVGdg9t/y+G77WJdFKZVMWdiDwYeQoRXS9asgdtvhxUrQlEounLL00msvWUm600H+D/FMNLGRLN2of/3paoKnrzTwCNTrXxsP8AF3aJ5crGvAvCmEitjMyPYcENfUsJ0rVb6bav/btxIzMoSIk5LXK7gGuh4kRiq49HhaVzXJx6dSoFZp6bM6iTWpCG32u53bojWNwcdlmpmzXV9yat1EGVQkxGhb1VsPucciYoKPUqlEJFvugmM/hpkAL/lVHLpD9sB6BVnYsbOCl5tiKJUShJTzu3MRd1i/IoY9iCW8+nCd2ynnHok4G3GkNSGXZq7FSt6rRZee00IiyACfyIiAuPhG+cjIDYYG9m6VcyHd+8Wm+WjRomsi7jgcHBCcdyqRUuS9IckSVta+TnnMK9jAr4H7pRludXSSZIkXS9J0hpJktaUlQUWWjieON1eNpVY+H1PJTvKrXhlmfgQLa+d4R8j3CfOFFD1eW1D9WgJSA3TsSC3uum5SpubLw7sZ/WGwMItOlTczWAWMIkvmcAiruQ2BqA5AlqzLAtB8Z13RBTYiy+K3YmnnhIL59bYsydwQF23TnhFnXSS6ERbmglfdhn84dPCiIjwVQk+0Tje7VONkpvox2Ku5EsmsIBJ3MeQdu+HlZoqomGaExUFPXrA9nIbd8/dgwx4ZcjJd+P1isVpy0H5mmtEqj2IHbObb4baWrj66sCZWr9RNn7aWR5w/FDZUmYNKA7zyfpicqsDfc3aE8e7jbZkfZGlSVgEqHd5eXdNIed1ifY7trfKzuL91SzaX02pxUnf+BC6x/g3gFfPyCDGJBYJmZlw2mn+79W/P/To5hsqzeh4lOGMO00dIHA8+D+ZXKuFBbnV5FbbyMmBN96AK68UvqBbtwp/pMZIWLtdeCrtbNB1cnICf9effxbC4TPPiHsZMgQ++UQIA80ZMuSvP7fWcLlEFHA7+LP+bdpb+zye9Oghov2bc++9ou/T6+GBFgYzkZHgjq4LuM70ZhG+aqWCbjFGBiWHkZmuZNTp/n2jWg2KaFvT4y8L9vLBdzbOvsBNdjbc9YCLWb8GLjAsFrFQyswUkeNbtvg/n5srvi+WhmKVTqcQ33fs+MuPod3R3tqo1yv6lIsvFpGqaoP3mI75Kg30PaeWzJfWYHN5uWFcCP93k5Gw0gi2lFrZU2n764sEOWIcz/YpyzK7K20syK1me5kVTysbd4fCmsK6JmERoMbh5sE/9lLXSrXpg15njbAdSUoS35GTToI3Hgzlno3jWcW1DFEkkxCio1OWImA+2b2nl71yFXVOD4v2Vwdce96+GrpGG1sVFkG8V8ssr4kToV8/EQU2fLiwS2lZ3PLaa/9acDrRaW99aEviTFrMDdG10UYNr7RYx3eLNtAn3n8dnxymY0hyGFmRBix1Erm5Imul0uZi9S4bV18tU9FgAe/xwH33webNB7+PepeHl5f7ihdO6BzVlJ4NIoLyup93safK18eWWBzsPODigepTmeW9jClMYCXXtpnRqNcHrr+USjF/3tYsf3TNmsA5tSTBwIEiahHERjmIuejLL4tU6YcfFv8qFIHzgiDtn+MWuSjL8mltPSdJUokkSfENUYvxQGkb56kRwuJUWZZ/OMh7fQB8ANCvX792s7fjcHv4ZH0xt/22G48so1MpmHZ+V3rEGkkK1bH06t7sKKsnVKekX0JoQEn7Rr8Fo0ZJhS3QUHZzZS0lkpvNm5UBxU7C0HEyaYCYWO60OIg1yQHvcbisWiXM4xt31r76SoT033efbyejJTGtxKXGxgrvxdGj4bzzxKA6ZYqI5LnkEhH+v2qVSAHo2ROuuOLQi220N9pD+wxBy1BSGXo83vxvolSKiK6MDJkvvoAevWQuvQwyMhTM3eP0qxYshTgBHa+9Bo8/LkLyi4vhnHNEW2vuZ7N2rXg8ZozE//7PzRsvq1Cp4Lp77KxS53F2+t/3oVS3UhVQq1T8VQb4cac9tNHmlNe7MKqVWF2+zZMd5fV+4mKIRviHDf9sAwMTQ7moWzQDEkOYcVE31hZZKK930TPWRI9YA1tKLdhcXjpG6HjvPQ3ffy8iWEePFpsc0S3sZiIxcFpf4Xn4wQdCmLvuepmauDJ6vb8djyxzT7eO/Pp/SezYLkSVzz8Xk7HUVP/JktMpdm67dhVtsSVdusCKFUIAHzFC7BZv2iR8mbZtE0baL70kJmuHy549InLsiy/EBs7rr8MZZ5x4UWHtrX0eT7KyRPGzTz8VG3qXXSYm6JmZoq18/LGwHPniC1Gx8byL3byytzDgOmHa1julfVYLY29zoNCYmfurko4ZMq++4eXRfbkA6FUKkkK1fFm2m5KRLjLO0jNbkuicncmSBf7XNBhEgS1ZFu27okKmZy8ZlRp2Vdg4sE9DVZV/Y3S7RYr0iVbArb210VmzRLvo0wem/WFDinFhPA4biiEhEmEX5jNpnJ6VC9Q8e5cJr6ovd5RZ+PYJfTDN8xhxPNvnb7sruei7bVicHjRKiQ/GdeLS7JhWCz+W1zvZX+0gVKskI0LvF3mVXxu4SbumqI4ah/uQ/a23bRPWJo1WJNOnC+ucTZugJEfL+CFGGo1Js7LE9+jWW2U2b5YYPtLDuFur+d+WInQqBZd2juP77f6b0ed0ijxoQcs+fWDBAmH9lJ8vCrn8/LPo0xtFmFWrhGfdwoVi4+W00/wLGf5baW996F8xJiOCJVf1ZlOJhUiDmv4JIX7FA5uzerWww1m+HJ56087i0F30Uceya5f/+eHhkLvfy6BBbbchhQShzdq70xNYTsji9FBicWFzWah1uHl7dQFfbxGC7dW94nh6ZCfiQg5eJ2HUKNE233lHzC1uvlkEeKhUvqhGr1es3af/4OHrKUpUKuEN+vzzYu3/8su+dt1YoLNrV/Gda2TmTOHvmJJy0NsJ0o5or2nRM4FJwHMN//7U8gRJjCgfA9tlWX7l2N7ekWF7WT23/pbTJILY3V4mzdjBjX3jeW5pHhF6FV+f15XTO0a0+vqhKWFc0zuOj9cXE2vUML5zFL3iTHi8MhqlRLJJy77qImbs1+AwmemXHjhL+31PJZf9sJ2yehfRBjVTJnTh9IzW3+9Q+OEH/5B9WRaD7+DBgdGHjfToITqld94Rj1UqePdd6NtXLLa1Df3bs8+KjqpRn+nUCS6//G/fapAjRC0ObLiIwXjUCgK1hV1rw9ujmrFPulGrJD4ptKKKTyAlTItOpcDekKa3X1/O2ROMzPxRyb33iuq6DzwgfD779BHedosWiWv27i0THiETFanghjvdxJ5USW6Ng6/z8hlkCuGMNr6Ph0KPWCPdog1sLfOZ6jw8PLXNCUcQf8qsTlYV1OH0eHl7TAZFFievryyg2OLklLQwtpWJEKdQrZLXR3ck32Xh9oGJlNe7uPf3PXhlGN8pkpfPyKBDuJ6KehfPLsnj+aV5eGSZPvEmvpzQhfvvN3Lvvb6+pjUUCtGvDR4sHq/Mq2X8J74tW1N1WJOw2Mjrrwuv2ObiokYjqpyDaIsXXCAWNCAiGJ59VhxzOESKKAhh/dtvxWRUo4H4+MP/LBv91j75RDzeu1eI7cuX+yZ7QU5MevYUqUler/A/aowwsNnERt28eWKxKlDhjE7ix50VTXMRrVLBhC7RAdd1eby8tjKfT9YXM/zscP53ZTR5diuulDBe7ZTB8vxaahwenB4vJyWH8cAfe1lTUotCgldujWfj2jDqGoIkhw+X2bdPYvwlLnqcWo9X4aFun4FfV7mpMdVy46853NGpI+HhSX6eoyrVf2MhfbR5/nk4/3wRRZJb7SC8gx0jxz70SY+KWhzo9HDyaBfDznCxdIWHX77XkvypzPXXS9xxRzAt7t9KbrWNiT9sx+IUG4VOj8w1P+2kT5yJ7rH+UV4biuu49PvtbC+vx6BW8NoZGUzsEYu+YXc2KzJwHjUuK5Jow6GJ5gdq7Cxerqaqyn8T5NNPxdioi3awp9ZBRpjvvoYPh89/rmdnZT1FNgf7amwoJBiREAG7IzgzOZrZeUK0OTXd7FfYry3CM+oZc7cVq9ODzq6jYmoIoGTVKiFC/fCDyIZITxcbNHPnCquIIO0LrUrJSSlhnJTSelpxIwcOwFlnCf9jrRas0dX8tq2S2EwjGZkx7M6ROO0sFyMm1lJAHZUpWjaXhAR8PxrRqZQ8ODSFjcUWrusr1kNPjkjnj71VLGyIpo0zadhSauHGX3OQgPGdo7htQAJlXhtejZO1RXWM/Qtx0WQS9z1mjG+u7HLBy694ueN23+T5rAud9Bnm5fwJ4nvldsOwYYFzV7NZBArdcov/++zdK6I1g+LiiUN7FRefA76VJOka4ABwAYAkSQnAR7IsjwFOAi4HNkuStKHhdQ/JsjzrONzv36LQ4h9dBVBtd6Ns+JZW2txc9N021l3fl/gQ4d2gUShIC9ehkCTiQ7S8cWYml3aPBWSW5tXwWEPFqniThnuGJPP4YvH4/V0a5k3qRVakT2DcU1nP+dO3UusQg3pZvYvzp29l/Q19/apVHQ62VjJZHA4hAvbp0/przGa4/34RJVRZKXYtevdu3bT9YIv9IMcWN14WkMv/+JN8armJflxFL5Lb8Oc40lTZXNw1ZzczdlY0HZvUM47XVuTx2pkZTL+gG1fO2EG9y0OvjhqWnruHx8+JwWVV0rEDfD9VR02NGqdTRq8XIlB0NFz5cB2f7qjm+r7xpIbrmDRMSU6ljYmSSF34JxWek8N0/HhRNr/vrWJbmZXTOoQzLMUc4LMSJJC9VTau+HE7S/OE+0VGhJ5JPeO4d0gyn28o4rlTO2BxeriuTzyaMDfPRM9lu1TGpKy+6JZFNPW1M3ZWMCAxlP8NS2V1YS3PLPGFVK8rsvDC0gN8cFYnNKrD62wOtIiakAMdKfB4xKTKYBCm7VqtEPc6NWSexMaKjZWbbxa7uFlZ4tgttwiRSJbFJs2zz0JIiPhpxOkU6dUlJeKcrKxAX9rmFBaK6LXmeL0i5TQoLv47qK6Gt98OPL5okYiCbWRoShiLruzFrzmVaJUKxmZF0Dc+JOB1dQ5Pk/3KosIqFhUK1S8xVsllPWJ4aXlek+3D6yvz+eicLK7/KQe3LLPMm8/bP0nk7ISIUAWdIw18N8dBTb8cHs8TfXhimJZhYZ0ornXh9srMKi3glXdiue06tZ/nYufOR/Rj+s+xZo3wtJo8WRQ+q7a70OltGDEf83sxoqYUa9NjhQKGDlawgnyu6pDKtkVGOncWqaGPPtp6dHeQE5dii4squ3/askeWKah1+IknNXYXt/yaw/ZysTFb7/Jy/S+76B5rZFCSmHP2Swjh+dM68H/zc3F4vAxMDOGR4amH5Gf9+55KrvhxO1fTB1oUM3Q4YMQYF9OHz2am0cNkTqYnQu3eWmrhrvk5LNwvKgUmh2r56tyu2Ip0XH6agTPO7sTkMSlkZMmMG6SnxuFhW5mVpFCtX3RZI7sr6jn9y43sr/HNJ954uhsPnRuNxSLmDz17CpFx+3Yxl+jePfi9OJHZs0cIiyA2UfY7xQ7ctH0FPPt0DJ8/Z6LnlcU8vKWhsukOGJAQwoyLs4kP0VJqcVJW7yLGqG4q8nJKqpmvzuvChd9ta1rjX94jljGZEWwstvLG6Ayu+kn4i8hAfr2NM8/y8ophMfWSi871Q+hUrSfD/NcbTs3X5Wo1XHetgv4DvOzJ9RKXINOzu4Jos8+fVKUSGTwtUatFQdfWvBxbOxak/dIuxUVZliuAU1s5XgiMafj/EjjGYVJHmORQLSqF5FcYIMaops7p+xZV290UWZw8PG8f32wpRadS8PgIYRxr1qkxaJR0CNcxZ3cls3J8LuuxJg0hGiVPjEgDIK/GwcqCWj9xMb/W2dTpNFLn9JBX60CnUlJjdxMfomnTG6Q1zjsP3nrL31j41lvh7LN9hsQt2blTpDo3ejKefLJYcHfocMhvG+Q4sJ4izmQKnoaA+8kswIWHJxhxTCIYt5XV+wmLAF9uKuaR4amUWF2clRXJ2uv7UmJ1MPH7HeRU2YCG9L8yePL0XkyfYubKm9wkp3kZdKkVi8HKI7tyqdvioW98CCM7hGPWq+mf6P8dsLs8rCu2sL28nki9in4JISSF+iwFyspEasusWSIyd+xYX9p+ZqSBzMi/J97/l5mzu7JJWATYXWljb5WNSL2K7y/M5rqfd7Jwfw1RBjWPnB3DWZ7eDC1VIinh5M5aFm+xkdPg4/XttjLuGJTEllJrwPv8mlNJhc1F/F/s2rYkJUzLI8NTWVdUx285ldSH1xEbG0pJie+cK68Ui4P160Vqp14PGzaIQhZnny36vshIOOUU/2s/+aTPe65jR1+kYyMOh+gzb7tNCJh6vYhsPOustu/XYBCeUrm5/sdbK7oV5MRErxfj6L59/seTkvwfqxQKTkoxc1KK+aDXC9OpGJsZyZurCpqOnd81mh6xRn7fU8Xdg5OZt6+Kefuq8crwyYYiPrw5DkNVKO8tK+GKReu4pX8i261OyqxmUoep+HiTrw8vqHPw5fZChiSHkmDScK25G088pOaWW8TCo3dvkbJ4oqXttzdeegnGjxeLvD3lDmJNGmoUDkzHqKBLcwxoqMV/Y0aSJPrGm1hnqeD+241MnAjffCNE5fvvF8UBW6ssHuTEI86kJlynahIYBySGcHqHCCINaryy3LTxWmJ1sSw/0FZ/b5W9SVwsylUTsSOZd7pHEZvkoWeqjqSov+4s9lbauGD6NmocbvSd69Hp9Nib1eG49V4XX54zjT+1QtxZQyHLuYZEQlmeX9skLALk1Tr4Zmspw7RikJ4zU8WcmSF8Pd3DL7vLuW1WDlV2N6ekmnl7bCZdo/3FmzVFdX7CYmqYDo/Jzst/FqFTKOmfGEJ6vFhMZWb+5a8W5AQgtFm9ocJC6KAJBQqwub1M3rORt9/vzPVz/QfxVYV1bCqxsrvSxpUzdrC32k7HcB2fje/M0BQzFqeH+37f47fG/3JTCT9dnE2/BBPXzdzl99z5Z2i5wfhj0+MHDbOxl2vouaA3SUnC87MlLo+X9cUWtpRaCdOKdVCqWYdeD4MHKhg88PCjgXr3FvPh997zHYuOFqJjkBOHdiku/lfoEm3giwmduW7mLqwuD5F6Nf8bmsLjDdWhATpH6vllVwVfbxHbGja3l/t/30vHcD3nNqQtpZn1foNRlEHNeV2iueGXXU3HBiSGcGamv1dctFGNuqG6aiPqBrGz34drKbY46Z8QwofjOtEzrvXw60a8yOymEuXQehbvC+W1e8MoL4c77xT+iG0JiwBff+1f7GXhQpH+1zI0Okj7YhMlTcLiKaRxMqmoUJBLNen8fV/CQ8XmDgwN88rC8ytCL7q2VLOOwnJ3g7Doj8Ls4NmPrGgzrWxz23nqwF6/53dX2RjZxu/x064KLv7OlwI7NCWUb8/vRnyIFo8H3nxTCEKNvP8+/P5729YAbZGbKyLckpP9o9T+iyxvZWGxqcTKqHQzr6/Ib5rgl9e78FbpePi7oqbqtTFGNS+NTueK70SfeHJqGHqVgsxWIrRPSg7FrDv0odHu8vD99nJu+00sGoamhPHUyHTeXr2faTNj+H6KmuXLhffdmWeKiKHISLFze/LJvirPn34qohPvvDPwPQwGMelqSR415FGLZNHzwkuReDxiIWaziUIxa9dCWlrr9x0dLYrNjB/vK6g1eHDbEeZBTjz0ehGdtnixz66kY0eRyvd3UCokbu6fyMqCWlYV1HFGxwhUCpqqUgLcPjCRUquTnmlaenRR4gq3YghRsu57C7FGDVqlxHfbykgMqeHiroHhNmuL6ji/axQTEhN56W4TRUUihbeRVatEYaMgf4+CApg9W/hgAeyrthNj1FCI87h4LhpQUddCXARRxPCl5QXc6fESEaHg5ptFauq77wr/2ilTWl/wBjmxSDPrmXJuFy76bhtX9IylzOrimSX7eWl5Hg8PS+HGfglEGTSE61RkhOvZ3WIuF99QjG3vXrHxkJcnAWJcf/FFIUT/VWJIfp2DmoaiL2/m7eDL7wbw5QdqSkrg4itdLDpvdpOwCJBHLbuoIJFQtjezuGlkQ7GFGwbqSUsTc7i0NJnYHlZGfu3rJxfsr+aB3/cy7fyuGDS+yEqr0zevVSsk7h6cxKa6KhJSvEguJd8vKuKVUZl/O7ssSPujSxe4+2545RWRVrx3npnLRsQxdXsxNQ43ZW4bNldgGfFqu5tLvt/VJMzvqbIz/putrLm+D26PzObSwLZZY3eTEKJjYFIoG0usXJSYTLRGh0ezHwlRj+FKemFEjSHFxoG5Tp5+WsP77wfODX/fW8W4rzc3ZQX1jDXy08XdSTX//doNGo3wYe7aVWTWDBgA118v5i1BThyC4uJxRKVQcHG3GPrFh1BW7yLOpGHJgWrqGnYT1AqJ10dncOMvgSVE5+yupFeskQ4NA0x2swqoF2fH8PrKfL/zVxXU+UVIgvAneWdsJjf8sguvLExgnx6ZzurCOm7sl8ALSw+wurCOq37awR9X9CSijQhGJ26+8m7lZsUv2JRuYlKNPP3UBfx8fypr14oFq6kVbTIvT1RHjYgQkToLFvieW7gwKC62dxqjHK6kFzXYeZyFAPzJXj5gHJlEHuzl/5isSAMJIRoK63wmn73iTJycaibGKKLO6hxuioqhZ7SJjQ1+fI2YY93cuWENp2jMjM4IFBETQ1oPjSisc3D7b/7fySUHallXZCGzSMvOnSIipEsXXzW0nTuFZ8ihios2G0ybJoSmmhoYOVJEBHfpcmiv/zdyWodwvtxU4ndsYFIIA5NDuXC6T+jtnxDCvH3VTcIiQKnVxdZiG5F6NSaNgjM6RpBbbWdAYggXd4vhm61i8ybWqGHyyWlNHk6HwvpiCxN/9C0a1hdZiDaoWHp1L9LMaob3F5GFW7fChAki7TgpSfgvelvMFydPFtHfLdtJWZl4nUIhonciI2EJBzifbynBij5Sxf1/jOXb8dls3ySG9cpKkRablyfeJzk50FbizDNFsZht20TEYt++gVFtQU5shg6FlSuFz6deLxYI6emHd42yMlHBMj4eOkcZ+O3SHuyuslFtd3PGlE1+5767upCvbkjjqcjZTFUWIwE3K/uz/LbB2KvVXPmz+K4UWZyEGQK/ZyPSzNS7vJi9eoqKAp6moCAoLv4T3nxTFIBonJPtqbQRblKiQ4WKY+87Y0SNFRceZJTNMh7MejWJIRpW5tcyLNUMCK/NJ58UnqFnnimEowceCNrlnOiMyYxk0439mLq5hHdWi+wSu9vLo/Nz6Rpt5Nwu0UQbNbw/LouzvtrcNLbfOiCBXg2BD5s2ibGuOY8/LvyKU1JkNhRb+HNfFV4ZRqVEIJcZqa6WSE+H2BA1WqUCh8dLqdXFhvx68vPDiIyE+vhKZkSvC7jnRiF+SHIYr6zwX2+dFBnFPddrueY6LzEdHYQnusizBQo9v+RUUGx10kHji77oGWtqymgbnRmBNt7G0v6L2KEoR4nE1akD2VYZExQX2zkuj5fVhXXM21dFiFbJiLRwerThkWgwiOrIo0eLNpyaqkWTnEB2gh6b20vHcB1jMiP4tVl2YohGSZxJE2ApUGFzsb/aQZRBxcDEUFYW+G/KJ4WKtdEFmbFI6+N46XodtbVwyqhwnn/LgDqrhmdYTBn1GFDz3AQTQ7Z3Y/16JeHhwgc8Ph4q6p3cNXu3n7XbxhIrawrr/pG4CGKuetttIoJRq/3rzYEg7Y/gkHyckSSJzEgDQ5LD6BCu5+LsWNbd0JffLuvO+hv6MjQlrGnwbE60UcOf+6rY17CLNyQplJv6JqCQIEyroqI+sHp0y4WsSqHgih5xrLu+L1+M78zkk9P4fGMJD/25j7dWFXDvELHCXV9sYX1RHTaXB7s90PtgWXU51yh+woZ4ohQrj3f6gbhedTz5pL9oKMsimuaXX0R101NPhTvuEH4hEyb4zjv99MP/LIMcW/qRQBeiSCKUH9nRdHwB+/mSTQd55ZEhJUzHrEu7c1G3aBJDNNzQN573z8psqqIOouLo2uJaronJIrWhErpWqeCBk1L4bGMxLq/MgMRQhqWa/aLVLsmOJtao4d65u7lw+lZ+2llObcMgXu/yUGYN/H4VVrjp3Vuktz7xhIiyaKxoOn68EAlfegl++klUqm6L+nohRF11lXgNiMXUo4/il6rzX+PUdDOTevoinU5JNTMuK5KesSZijD4hONqoprAuMBKm3OLi0ZNTOKdzFOd8s4VLvt+G0+Pl+r7xvDs2k/fHZvLzxdn0bsVr7mDsqhCLhiGx4TzToTfXOfrTo6wDtcVi8SFJQugbP14IhCD+xlOnwsff2rnqdt+9Op0irbk5OTkivXn4cCEUnXsubKu0cCnfU9LgVWbDzRPpP3HJU2VNr7v4YtFusrOFKP3YYwSINWq1EGomTRLtNSgs/vuQJFEVeuJEGD9BplRdy3NL9vPaijw2FFsO+lqPB+bMgUGDICNDFA3YsQMiDGoGJIb62Z80cnbnCH6N2MhGpejkZOBtVrPLUIKlSEOWUXy/vDJsLLZyRc9YFA2LhwHxIVzSMZGl+2vJ7qAmJUXcf2NRN0kKmrr/E+rrRRXa8eN9x/ZU2TCGeAk5DinRAEoU6FBjwRnwXK84E3P3VPkdkyQxb3znHZEqffbZUBsY1B7kBCPGqGH6trKA43P3CEGlqAhWfB3O/aH9+L+MbKaN6s1DAzs02Ta53b5+ohGnU7T56TO8fP6OBtvWcCylKt5/XUO/fhKnnio21Ep3GPhgXCbKBhVDE+5k40aRbfLzaxFMLB/kd90r6UlnogDhV3vrgMQmWXxgbBgpZfFs2ijx6MMKKhwuJi3cgL4VD+eO4TpCNP4bLL3jQ5g7sQfDUsI4NSOUGXFr2KEQFac9yHxoWkFVRPVhfbZBjgwlFic/bC/joT/3Mm1rKQWtVCdvZNH+GoZ9up5H5+dy5+w9DPt0PRsPMt4qDC7qEsv41biFOZ497K2v539/7uOJhfsZP20r53eN5tb+iSSGaBmbGcEfl/ckwaDhjNRItM2qj6sUEpEGFbsrbVyUHU2HcLHu0Sgl7hmc3JTVVb5Hz//dpWvqOxf8rkSzI5bnWUoZDb6muLgrcgan3lbOwoXC2ql/fxH4UGvzUlAX2GdXO46cOaJOFxQWT1SCkYvHGJfHS4nFSYhWSZguMBJQo1TQI9bkt8Nxz+Bk5u2rbgrb7x5jpN7lIb/OyTUzd/Ddhdmkhet56tR0xmdGI3skJnS28MMOn5eRWiHRJcp/p2trqZVVBbU4PV5cXpl3VhdSYhWdRXm9C5dHRqtUYNQo2H7Azc55Mp99IHYV7roLTjpJDPiLSqvx9vJfZeRTS1S2BQjhl1/gwgvF8WXLRIXSujr/he60aWJH+scfxU5jUFxs/3Qkgt+4lMkNEYvN+YmdPMhQDEc5zapnXAhPj0xnVUEdZfUuHG4Zu9uLXq3EYgFvrZqltaVcExbFJFdveoyzssNSy2cbS9hdaSMxRMuImCgW76/m7kFJqBpsAiqsTj7ZUMy7a8Qu+vRtZXw+vjNX9IwjKVTLRc2i3UAM6JU7DdQ3bE57PCKV7/HHRbRQeLh/Nb/LLxcRJGHNat/s2SNSvX78EYYMgaeeEoJQo5j/449ClGwrzfXfTmKojnfGZHHXoCTsbi8pYbomX8T3z8pi/LQtuL0ySw/Ucu+QJNYV+U/kMiL13DV7D4091cqCOpbl1XL5jzu4e3ASBrWSvTvKqHIIP6RDLegSbdQQZ9Iw0prFQzf5IhB+7Sfz449CsDtwwJf+fPXVIlr7zz/hs7c0nHGZDUulgulT1Dz1tIwq3EllvYKIhgqX330nUkEbWbQItlbUkRfhv6KWAVdCDRBPTIwQJCdO9D3/5JOi777uukP6tYL8C1maV8PIzzfiaVAFTRolC6/sRZ82BPUtW0Q7auyDZswAh0Nm+nQJoxHSzNomvzSTRsnkrGyUThUvq34PuNYqdxGGdUkMNCQyX19Bhc3F99vLmJAVxc/je7NimcSu5XrOuU3NA1/auHbeFj7/pgc7i5xUehxEe410NITQrZuYttpsQrSPigoUFYK0ztSpIvK5+SZCbrWNDlna4+K32IgJNTXYCcP/D9kz1sjPuyqwOD2YWogwMTFis+7tt8VG9Zw5QeH5REanUtAvIYRNJf4+yN0bsrJmzBDRXSLlWaxlZs6EceMgv9aOK6OO26c6CHcZmf1xCIv+UPHCC0KEfustJaAEtLz3gZEnX/aN7VVVcOONMG9+LBtuCKHS5iJaryH9M5kbrpdYsUBNxtND+eaJDhwwldHRHUkfTyKhOiHaxJo0vDiqA5d3jeePeV7Wz9Pz1FTfvLc4R4POpKDO6eH0juFNYrlaIfHu2KymAhyNKBUSI9LDGZAYSiG1PKLJDfisKrRBNf1YU+90M3nBPt5f61u4XtA1mg/HZQWs5e1uD88s3u8X1Vfr8DB3T2WbFmPfbytj+rZyOkcZWJZfi0Hja6N6lZJH5+cSoVMy74qexBu0rFqu5P9elKmzZPPoxHr+kPayoLiCF0d1oFOkgRq7m4u+287EHrFc2l2DLMOc3RXc2l94ge7cGXgPFdgpxn/e7EFmv7eGn36K5dE3LTij69goy8SVh3DHoESeWewriCgB2S08RO12qKgQ2Ta6fxbQGOQEIiguHkNyKup5Y2U+WpWCOKOGQUmhnJQShiRJlFmd7KqoJ6/GwarCOjpF6hmZHt4Q1RjKR2dnsanEilIhUVjn4KN1RdwzJJn5uTXsLK9ncHIYEXo1Ca5wnnkGeg/VoMpUM3NfKZkROl47I9Ov8trGYgunfL6B6oZoLK1SwbOnpXP3HJ+viN3tRauS+L+TUymcH8qz/xPNZfVqEXm4bJmY4Et1IdDL/3eNwUjdPjEBaPQKc7uFp1inTv5mrY2EhoqoxszMoL/ciUIq4Qwjhc/Z6Hf8NNLRH4PuJa/GzoXTt7Gu2Y7glHO7kFEfy333wdatOsZMyEYzqZ5TUrRMHBfBJTfpuayDCSLBstfIruVqUvq6WFFVg0YtE2VS8daqMm4ZkOj3Xo8tyGVMRgQhWiV3D05Cp5L4ZmsZGeE6nhmewa1n+U8aZFmkR998s4hCbM6XX4q0/4EDxePaWlH4aPZs8XjjRpG6eMMNvmqvXboEvxcGjZKecYEfwpkZEay5ri85laLAS7XdxW0DEvlyUwk6lYL7hiTz864KWgZa5VbbuXNQEt9vL2NvlQgLfWFpHlMmdGFTqYU0s46R6eFNhbByq21sK61HpZTIjjaSEKqlT7yJh3tk8uiF/saya9ZIbNwoFvIREUJkbkxHfekl8e/69Qp+m2Xki+lOLrvGzjJnAd3fK+KazGT6qxKIN6uYNStw67Zwk4HYTGNT5GIjp3YO4fTFoojHQw8Ffn4ffSSiFIPFEP57uD1eXlmeh0eWOb9rNF2jDYRqlByotjMrpwKFJHF6h3D6JoQgNYQL7NwZmKnw228Sy7bYGdpHTaxJw7OnduDj9UWcEZ7IM9eFk9XNTe+xqRSEbvF7ndkSil3j4bEbw7htch80mRYkWYFjv5GZC3W8/77vXG+thv8bnM4b2/eyKM9XLOGN0RloNIls2CDx2GPCPmXUKBHV3RglHqR1ZFl4el17re9Ytc2F3S3j0jmOi99iIya01GAHwvyOGzRKMiP1LDlQzZkZgTYrarXIfPnuO2G/M3cudOt2jG46yBFFqZC4tX8iP+0QGw8AXaIMnN4xAptNjF0t+eUXGDDCyaQZO5i3r7rp+CO3Z3DN5YlkZkoBHsZ5+wM3DbdtlcjJd/G/9bvYUGLlnE6RTD4zjQ0bDJSWQnKyEU9ZJqsey+T5z8Ua5rnnRHE2AJ1KSbcoEw9NFZuGzYlN9DIuNpI3VhaQGann8VPScHllTkkNY0R6297kRo2SCLuRfo5k5jfzewRIdoeTV29nZUEty/Nq6R0fwsmpYSSHBdWbo8WuSpufsAgi6OCewckMTPLvOz1emXJbYHZTyxTmRsqsDhweGZvLw0frihiZbuak5DCi9Cqu65uADFTbXQxNDsPjldmwVsGoUSDLYpxettTIJ1O68Nw1VrJjjKiVCrJjjFzfN76p+JoEfHVeF9LCxTy1teri2joDEeipxOdrKgHWnBAeeqOOZ4o2UFsiUmte26ng54t6UNnbwxdbikgwaXn1zI70ifetgzZtEgEW8+bBiBHC9qdnz4N+zEH+JQTFxWOE1enh4Xn7GJIcxrNL9lNqdWHSKHl7TCYj08J4aN4+4kxaXlzmMw3pHGVgzmU9SDHrGJoSRonFyRebSkgK1TL5lDSeXiQKvzQumKuqRFTM6tXA1wb6DsjinvPTmHSWksxk/85v5s7yJmERwOHxMn9fNX3jQ1hbVIdCEt5lEXo1BUUy37zjP2g5ncLHafhw+PmRGO7qPorXk/7Ai4weFfdvGc/Tz4WRlQVjxojXuN3CT6KyUkwE583z/4wyM4PFBE40CqkjgwhGksY8cgHoTCTX0OeYVIzeUGzxExYB7p6zm0tqzCxeLKIgpnysorrcxN1PW4lPVPPyo3rAJwRNW1HNRb9sborm0akUPDEijTqHhxijmptSM1FWGEiKUbG/0MO03AO8vDyfXnEmnjgllbFZkYQ6TDhapCwrFMIXyuGg1fRBazNdaM8en7DYyL59YrcPRGTOG2/4HgfxR6mQ6BlnatoVLqx1YHF5iTdpiDSoGJocxrJmIgVAuE6FQpII0SqbhEUQ/enkhbkMSgrl+aV5ZEbomHt5Typtbs76ajNFFhHd3TPWyHcXdiMjwsDJyRFYrXDTE3VEn1yGJEvkz43GahX3k5EhIlVzcsQGS3Nqa6GqTEFOXD4vLM/jhox0lrySxFd7ldx+u4jkHjVKpMp/+614jak6jM8Yz7lMw4YbBRLPcxr9jTEYhopzOncO/Jx69BCCd5D/Hh5ZptTq4pHhqfy+p4rvtpXx8ukdufC7bU1F3R5fmMvCK3s3WUuEt7L2jY6GmbklaGLDODnNjEeWiTNpiLWGU1UFK5eoeHH5SWwYdYB8hYiwOV/uimWPkS1yMWeMM/Lc/aIPVqlEhPc7X/uur9XCmf307JecLFrk/5393x/7OCUhknHj9Oh0wnPPbhdRayEh/92o7kNh3jwxb2s+x8qptJEcqqVaqsV4HCMXDaiobqWoC4jU6Dm7q1oVF0GkzV1wgWirI0aIYoB9+x7Nuw1ytOgdH8KKa3uztdSKSqGgR6yR5DAdHo8Qjde1sD7s1Ak2l1j8hEWAVzfvY9ONkRRtD6wk2VpxyZ69ZD7asZ8leaK/mrq5lNxqO99f1I2sLC02G0xZWYHh3EruOEPPovejOP10HatX+6rYGo1CPFm5EiwNU9L+gzx07O7C7dbxxaYSNpZY+K4h9XvgxdlNlbDbojBHw9lVI9k6vJjSho3ECVW9cO2KYnJxLp9u8PnrjM6I4MsJXYg0HL9Ngn8zdre31eOtFZZUKxTc0j/Rr6iqhNgEb40yq5vJC3Ipb7Az+3lXBbsrbfx0cTZXzNjBnob56XtrinhmZDrFM5KbhMVGPnxbxbzzwtA1dONhOjVPjEjj/C7RlFiddAzXkx3riyrs10+sTxrXHToddFSaeWzfeO5Pn469YV75SPkZHJgdhWtAnl91aZv7/9k76/Amr/aPf56kSd1doLi7DmcDNsbcfXvn22/ubszlfefuynxjG4zBBBgMGO5OS4G6uyR5fn/cTZM0aWlLqZ7PdeXacvIkOQ89OXLL97bx4aY0TuwfyLSEQeTu9GN0sA92ufK0NJE621ddJ/OHH6Rw6/LlEBfn+d9Y0XFQx4wW4kChVOR7bnkKmdV6bf4mI7tzyjAZNE7pE8GVP7nGKe/ILmVjRjFdQ3yICfDmwsHRJOWV83tSPvN25TI42p8uQWb6hstquXdvtWGxmrX/Glj7rw+ThkDvWgUCUgrdxduyS6sYHOWP2ahx9/gu3Pv7PnbmlnHtgK74+elQy1hk9rbRp4+B02aY+Oas0Txyfw9ih5UQWhDMvt/Cuflm2czaq1T6+Ei01mWXSeROcrJMPAaDRHaFhBzJv7CipdlOFmfwFTvJ4VwG8j+Opy8RDCeGWFomxK6o0n1hzym14BfkuhGY95OBM2608tgzFlZvr8LiX465ykzpfl8+33OwxrAIsolIziund5gP93cdwr2XBtZoHU473kbXSwzYdFiXVsy6tGJ25JTx1kl9+PxzA+ecI0Z+Hx+Jzh04UIxHgwdLQRc78fGiX2LHaJRDUm0j5IgR8N13cq2KyGg4cUHeXDIkhgqLFZPRgEHTeGpaD3qG+vLt9ixGxAZwz4SuJOWVs7FWGhZAVklVjTbN7txy/j1YxC+7c2oMiyDi1Qv35tErzI8+3Y28szSbJ0d9yR4vkaMYNC6Ga4rPBsIxGEQnLDhYjCeVtaRqfMwG3l6bhgbEFkbw8VojTz8N994rxmmQ9190kYynadMgkZ6s51r2U0AkfvQnAh+n6KNTThGDtF1+IihI0r9U8YPOibeXkXsnduGHHTmsOlTI4Ch/lh8oqDEsAlRadT7dmF5jXBw6FE45Refnn2Xt1zS45bFSXko5SGwcTOkWQnygmcHR/hQnWaA6rfXB02K45rErCRuXw+RRJkb4RbA4oIjAvuVUJKRx3u3+FJfbCNF9Kd7vh31v4e8PX35jY0vfHaQmuxd7Kamykp1vo7xc9hIPPODQkt69WwyVah/hmf/+Vw57zvaM3bmlxAWayaSULrWiBlsSf0zk41lQeGCkH99uyyKntJJwv7oNoNOny7o7cybMmydVRhXtj15hfvSqVazEaISbb5bU6KIiaUtIkAIYOzzsAUuqrJRZbPTqJQZI5xTQNWvgwQcl8tBikeIUT71Yxel/p7p8xvIDhXy3LZsZ3UPZH5DF7VO/qNEFPW/EKGbceSzbt/vVGBcPHIDnn9e59VYNTZOo2gHHVHHuH+t586Q+Lp8d5utFv1rpo84cKqxgR3YJXuUBPHxyHFfNvpqA4Tl4lZlZ9m4EZRfCR1tchbt/3ZPLjuxSJnRtvd9xR6ZXmC8jYwNY6yS30zPUpyarxc6hwnKeXpbCwYIKHpqcyLfbsgjx8eLhKYmMjfd8LjpUVFFjWLSzPbuUrVmlNYZFOx9uSONU73hql8wICHDf2wWavYgPMmMyanh7GThUWMGe3DL8TEYGRPrx5psmvv9ezixGI9x5Jzz+ZG8eWnctpREFmPP9iS2I4MTzvHhml/v8nFJYxrt9V/G3IYUHwk5h686hREdLJ/budRgW7SQnw549yrjYGVDGxRbC18tAhJ9XzeE00GzknoldePDPJEqrbNw3satLdVM7zt6SUF8T14+OZ3hsAKlFlSzen0/vMD8OFcmmy99folJqpzH5e1jDzuwXyXvrXBen8wZGMTTGj/SgXBZtyOClE3vzwj8p9Bhgodvjedx3rsPrEhIC/Y6pwGz25aabYOJEE+npMez6BK552PW7ysoc0TqzZsmB99VX4bzzpNx8bq6kidZX5ELR9viczexEDClfs5Wv2crTTGMp+ymlinMZyDEkHNUKlAMi/TAbNSqtjgPyxYOiWfScq3ZTWBgYjDasQWV8btvOnv3laMCHZ/Tjn43uqQrlVTozu0ZxwY3eLkVU/lhoYPbZkYBDZ2Terlxyjqti2jRv1q0Tbb3ISInENRhEE2zOHDn4LlggqTQPPeSqe9W7t2jhvfOOo23SJNFejIw80n+lzou3lxgoKq02liTn8922LMYmBHGwoIIDBRWc0DMUX5MBg4aLPs7ZAyKZ71SZL7O0ks2Z7kbI1WkFPMpuDN4apaMsNYZFgC3mdJaH7WIM4wCJmHjvPdGrnT3b8Rldu+oMG6YTl22mtMpKQaaRM8+EN990GBZB0q2++Ub0aIOCADT6EkHfamH52gwcCH//Ld5iq1WiFjtztXEFjIoN5K5FsuP3Mxkp9nAwdz7kREXB62/qHHdOKdnZGn6x5XyRtZfs0iqi/cWQvWR/Ph9tyOCJkUH06OnHvr0aFRXw6r1BPPVUEMdOEtPh+ASNuTuyCfMzcf580T41GzWeHz2Qxx+PICFBxqfeL4eztF+4O3I6/iYjJVWOPs7qFQ4VBu66C1JTZX5ctkxee+cd0Ri1pyoqHOzaJRFVN9/s2r4zWyIXd1DGAFpvoQnATI5TKp4zZqOBwVH+/L4vj/MGecjlc2LiRFlzTzpJ1loVwdhxGDUKVq6UVEuzWRwfPXuClu3nNk9M6x6Chk50tKTMP/UU/P67fMaECaJtfd99siaPP6GMnbZcFycLSAbLgcIKFqZn8ubA+S4Fh76KWMPj1/QnoKBHTdvKlfDzzxo//+z4jAlTTMy4LAIMOvdM6MLXW7MYFRfIPRO60ivMl5ISiXKMjHQYhrZkFnPqnC0k5ZczIiqQ8y4dyou3BWOXDBg01IZ3XAG6q+oEIBloiqNDhJ+ZT8/oz+urDzFvdy7Hdgvh9nEJxAW6njXm7c7l9eqK54v3FzCjZyiXD4uuM/IaINDb3ZHmZdA8/j2T8ysYPbUSnxe9as4mmgZ33w2HzHn8xl6WkMx51kFoeyL4fFMGVTady4fFcM3Pu2rqKpzVP4JXZvbmxBO9eeEF+PlnKdw2fKjG8ZERJCdHENxTjPNGI1zsF8XcpEyXvkwb5cMzhkPY0Hm22zzG2hKgeh1xtjsYjeL0iYnxnA2h6Hgo42ILkRjiy+i4wBrx8/MHRfHiioOUVsnksWBPLucMiGTOFsePN9BsZFCUq2WwR6gP3+/I4u7f5YAwf3cuH2xIZ/nlw+nVy4+HHpLQfDvnnOM54mli12BemdmLl1YdpMKic+PwBHZ/H8ULc8ycc4uJAWenYrTp/HT+YNYbUrmz2y88s3A6G+eGEBZv4ZjjqjiYFMCH/4qBcNw4WfAvuMD9u1auFIOnl5cYWi66SA4GSUliaCwpkcmnZ88j/3dWtAxWbPxJklv736SQShEbSOd1VrOYy5hEYrN///79os9psQTw45lDeHT5PvbmlXPZsGguHxDPDd4Og2a3bvDsczov3BvKwQMap188nOnD0nlrdxLX/rqTV6b14+8D+S6ff8HgKPxtPuzc7v7d5fleaDjkCCZ0CaqpNN2tm+fUvIEDxbCUkyOLa21hY19f+d1OmQJ//SVRF9OnK8Nic7Eju5Tr5+3GquvszZcd2YaMYjZcO4phMQG8Mas3b6xJJa2okgsGRWHRdfbkymE3wGykpNLGpK7BbtV1E3vqPMXfTKArlV7uhpq/SeG2auMiyN932zZ4/nkplhEfr3PGGRr9eht5TuvBSV9sJqJ7BT4VPnz5pft9FBfbDYuuZGRIylhamsyjI0ZImmjPnmpeVTiICjAzJTGEXTllrEkt4vHjurlV4718eKzL8y7xBoZNqmTGp5uw7JRZr0uQNxO7hgAwOTGEF1ce4uZ/tnLv030p2xFMVpKZM04xMnmyI1Iur9xKjzBfklNsPBY3kopCL/xjy/kuJYV3zvOnb7RkYCy2lVCpWXkjYilPXXIKX/5Rws7Mck7rG8H5/aPYu6eSjRt9+P13jeHDJSLvgQckPTrT9eyjqObFF8XgVnvd2ZlTxrguQeRTTlArpkUH4s0ucut8fURsAAv2HN64CGJwttnkMPvHH+JUUXQMBgyQhzP9Ivz58uz+PPV3CjtzSpnRI4y+Eb78d+VB3jqpD4MGGfjwQ1ixQuaJu+6S923cCHc/YOHiJRsYGx/EtO6h/JHkmAv/b3Qc32zN4tLIILZq7lWstfgihnZzPD9wwO0Sdm41cqaPPxkh2QwYprN6/AgCzEa8vYwsWyZO5u3b4dJLJasgsZvOm2tSSareo6zLLKLP6CTe/Kgbf/0OXftboGcuPx/KZ3RcIKtTi2q+64we0ViTg/ngL9HTGzXKs66eoun0j/TnpZm9eGyqhSBvL0xG18AJXdf5fFNGzfOCCgvfbsuiympjVm/PTmAQbdGLB0fx2WbHAnbViFhCfLwIMLs6Ac8bFMXbabv4ZdFAli4ykZ8PU6dCfqGNBVsKeWDQH+RRzqn7j+GSr7Zi1XUmdQ3mtX8P1RgWAb7bns1Fg6M5o38kb70lBSRDQhyyAfGukvOMiwvhyZH9eG9vMhabzsWTglnTYyvlSHBGJVYsYSXYjYt9+8JNN0nm1d13wxdfyJktOlpJmHQGlHGxBZnaLYQ3TurNf37cSZS/mQNOZezXpxczrksQ90/syvc7shkU6cfdE7rSv1bo/MHCCmYv2e/Sll1axcaMYvpE+HHTTeJ92LZNRP1HjxZjhq5LFIx9c2k0aHy1NYsJXYI5JSqOG88MrtmYv3hXILcbYhl7bTl+ZiPdCGa/Vxb3zniXnjNCOfPQaP571ig2rJLIBU0TPYXTTpNUvNqH4osvdtX5CguT6tFXXimGxagoiTqovWmoi0OHJK0wIUFSDxQtjxEDZzOAFRx0aR9KNEuqtRdt6LzP+mY3LiYlyViTNGMNb+9Qfv97CD362YgJMGPQND77TCrspqbKIjdrloa1en1+83lvrrollt490tmdV0aYj4mnhg/gm7QUfIwG7jwmkQldgzDqcNZZ4uX28RFDfdeuMGKYhrZCflOxAWYemtINHy93z2NtzGZJwamLuDipKO1cVVrRPKQVVbikvoOk1GeUVIIOD/2VxOTEUMYlBMtmrspKvwg/eof5cvf4Ltzz+15GxQdxat9wft6Zg9GgcdW4SHYk7qEKG5vJ4BKG8g+uJ4yTcaRDffedo8jKF1+I0e+NNzRGjZK2ad1D+eeKERzIqqLUaGX6dCMLF7reRx/X7CpAIr9vu02iY+288oqkjXpKgbbZZP5Ulfs6H14GAzePjef3fXkk5Zczb1cuL8/sxWebMjBqcO+kRCZ1dbdeT04MYcUVw9mYUYyf2cio2EB6V6eDTewSzEOTE3lmWQpvJu3htWkDGB5lonsX1yrOwT5GunsF8dv7QXyw2L4h8OWxV3wo0x3R41HWAIIM3uRSxm1dvuGEC3tzSUU0F5RF8f5feax4qjtbNonF8rffJJLp2mvhtddE21ThSm6uzDcffODaXlBeRUGFhUB/DSu6i6RCSxOEN3l1RC6CpCQWlGexJ7eMXmEeRPNqMXEiVFVJlPeSJbIHUHRcVhwoJMTHi/MGRvHPgUK+2ppJjxAfisptBJgMeHuLNM2sWeKEq6iA8y/QYWgGB7dVUFSRx1Nj+3J9zx4UeZdyqKycRXvz2JtXRmFuCFP0RJZorueuY6JDiXNaQz0Vqjj+tCrCulSyLHYbL2kHmO7Xg3CC2LJFdJTtkWfPPy+OkRdfs7I4Od/lM75MPkT0GLjn2Rgu/H47O3eU0jXIm8/O7M/Pu3KYuzObs/tHErm1G8df6ljwzzlHpHnCPMv8KZqIl8FQpzyDpmmM6xLE0hRXreAx8R48wk4E+5h4aloPZvQMY0d2Kf5mIxlFFYyKC+SPS4fyzLL9bM8u4/ieoVRadWb0COWYMRpx4XK+fuUVAAMBAYk8+uf5vDl6Ln9uL6rZ8/aL8GPuzmy3791d7UA3mdzPJrVtBnHhXrAphkkHwph2bimPjPqIZBz3GYiZXt5B7N0rRsrwcDGen3yy2AXsUkBPPSXSPi++qPS/OzLqT9uC+Ju9OHdgFEOjA8gpq+LHHVlszSqtef2N1aksu3wYN4+JJ9TXhNnLczqpp+IQ9qbQUNlQHX+847WtW+H992HxYjGWXHAB9OhhZFqPEF5aeZCw9d3dPP7fvRLEFRcZwB/iCeIrzuY8vmUveQSv6VFjWLT356abJHpx2jSpSPjxxxKZc+21YgiqzfDhkqaQmip9ru0l8URxsaQF3nUXFBTANdeIRySx+QPjFA3gTPqzgoN8yzY04FwGkkkJJTjS6sqoqvsDmsiaNa76hRUVcP0VJpYuBUN1lEyXLvIA+PBDagyLdr76wMwV78XyekESPaPMGJPDmHEwDIOmEdTNiLGPGAMffBAqq3TGHaPxxhuSvj/lHzO/PzUeW1QxvcP96Koq9LV5EoK8MRk0l9SnMF8vYgPNpBVVMjo+iO+2O6ITYgLMfHFmf0bGBhDkY+LZGT2Z8ekmxsQH8sDkRAZG+vJnv9V85bUegBzKsKFzCn34mV1owH8YxvFI2GB+vn0DKNhsohH3/fcShXvWWRASYmBsQhBjE0AfBgP7ScT3n3/KZu2ll2TerM3Wra6GRYB77pHInd69XdvXrYM33pBU6csvFw22hsy9io7DoKgA/r58ODuyS/D2MjAgwp8rh8eiaZIq7QmDpjEqPohRHg5JEf5mHp6SyCX9Y1j+mw+3namRnS0OxHvvdUSOxQR4451jZPli123nK4/5cs4sh3GxrzGcl4tO5a7AeWRTyr/mA5xeMhhLuUaCNYQtm1z3RWlpcpD57julS+uJN94QY1vtYmA7sktJDPYmXysjGO8WKL9WN36YKKWKKmyYPMioGDSNUXGBzN+dw81jEzx8gjvHHit7g2nTJHVeRcp0XEbGBfLUModUTbcgH2b3GMblFxrJzhY5gBNOkFTo888Xw7N/RCX939lH3xA/rggcyFOX+JOaCqef6c+QC7JYsj8ff5ORM3vGcIk2k/P5jh1k44MXzzODY3xiXPowZoys8fffL2eVWadYOfPmAl7qt5Jl5mQCMWNPct22DRe5HZC95YMPGjmjXwTbslJcXhvXNZgRcYH8edkQMkssRPubiA30ZkLXYO6f2JXMg0aGn+r6u/nmG7jxRim6qWg5LhkSzeebMjlYJMFDPUJ8OLN/3VGLdroE+3DJEKmrUFxlJTHYm2AfOWfPOWsAyXnlpBZXEOxjon+EH74mI99+61rkqLgYVr4VTd9REdhw7HU3pBUxsWsw3293NTAOjvKgmYac3d95R+bN886Ttbx7d7EdPPCAmbsvMHPvnyfzxIDvyaGMCPx4v/wMHroojB9+EGfOW29JRGVWlrvG+NtvSzE2NSd3XJRxsYUxaFpNNOIHp/Xj7K+3cqCwAl8vA6+c2IuRsYH41LHBBzkkPzCpK/f/6UhJDfXxYmi050ni0CE49VSHsOr69ZIe8J//wDDvrtw8yIh1u7u1MirGRryfw0M8iURWczWpFLGpwH2iTEuTie3QIQnzHz5cBJMnTfKs+QjiUWuMV+3ff6Uatp033pA060cfdRUpV7QM3QjhI07jQSZhQKOQCibyocs1V9P8okd5ee5tBw5AaalnPQ9P4y8iAsp0Cx+d1pfsHX6ceSbYp8NnNUlNnjJFFskHH9AYO1a0QwGW/GXg9uvN/P57GOHNoJ2t6zK2v/gCsrMlRWbixLp/N4rG0y/Cn8/O7M8Vc3dSUmUl1MeLL88aQLcQX7yNBk7qHU5KQQVbMkvwMmicPzCKaPz59H0TCxfC8ccH8/fpx3DAUECIjxeDo/0J9OrLuzh2dq+yipVcxeMciwGNnoThVx0NZI9adRaWB/kb33qrpIqcfLKjXdNEL+zHH2Vs+/nVvRErKHBvKytzVKy0s3OnHLTz8+X5unVi2Hz6afEgV1ZK24YN8jsaPVqi3xUdj/ggb+KDvA9/YS22bxfnTkWFjM9hw2SsehkMJG/25fL/OK6dM0eiHt580xHBaCtz39vk5IDB4tiKGg0a4ysTuW3jqZR6l1OSZ2RPkQ9njPcjNdaCweAo4mLnmIlWZhx7+OjxzkZ5uehbP/OM+2vbskrpEuRNNmUE0boOMiMagXiTTxmReF74xsQH8vKqQ1w3KhazsWF/65kzZS489lg5KCtHSsdkQpdgrh8Vx1trUtGBu7sN5LIzfGqcyv/8U+0YniLrW0YGDBpk5sXjepOVbObeC/1rgjZ++M6AyRzJwkfNdAkz0y/CHwjmm/TL2ViQR9EhH2KKwig+RsPfKe04MFCMeSefDOnlZTyV+Atn+22ref1+JhFfXejQz7UGCGAv9qZx2dAYVh4s5I+kfDTgulFxTKku0hIX6EOcU00Qg6YR4mtif6nsf2tjX+sVLcfAqACWXTGMzZklGDSNQVH+DQ5A0DSNHh4is729jPSN9KdvrUzGPXvcP+PQDm/8LDYGD9I4KT2accZ4Cg6ZGDe8kpT8CtakFWHUNO6Z0IUx8UGkpcHChbLXHDNGjPBnnil7QxCbwfr1EvnevbsEKu3fD97evTjDdg2ZhhKCygK49sRgliyR9+zc6YgS9jTWw8JU5kxHp00aFzVNCwO+AroBycC5uq57MCmApmlGYA1wSNf1kz1d01YZEx/EqqtGkFJQQaivkV5hfhgOYyXTNI0rR8TSJdibjzekMyQmgEsGR1NusfHxhnT8TAZGxAbQs7ra2vbt7hWb5s2Tg8Hs2Uauva4Ll11l4aNYnbQ0+W6DAR6ZrRMS6LqBiyeIeILQBuC2wb/kEjkkTJ3qaP/4Y9G8OfbYpv37FBSI/oO5OgJ9xQr3az78UBZ0pU3XOvhjZijiwS2jigVcxMusAuAWxjKRLvW9vUkMGuReWfmqq+pOOe7TRxbFJCeJyEeftNLvmAgsNp2Hbnf9zem6pPZPmSLPk5MdhkU7GzZASop7NEhTWLtWvstevOOLL2DuXHEKKJoHo0HjnAGRDI8JILOkkvggb7qFyCYuNtCbad1DCfXxIqu0kq7BPgwODuT//mOuSUv+6SeNE04wM2dOJKGhUF5lJTIzkk8Dz+Efw37iSsM4NjSWYcRgxv3g6+cnKSJ//+2Ioo2MlAp/xcXw66+uxkU7gYGHl4vo08fxOXZGj3Y3Rm7e7H7YeOUVuP56MSIuWiTpK/bfVZ8+MH++0mxUCFu2yPqeU12zyNtbomrHj3e8Xptvv4Xbb6emomrXLhomk0QO2Zk1Syc+3nUO7hPuz7W+3dmfX4HZz0TXCBNBfkYmDTdww81WXn3J8Rs79wIbY0Yow6InPvxQope7d3d/bWNGCaPjAkgjo1X1Fu0E4U1OPcbFcD8TCUFmliQXMKNnw73SZ5wha+uxx8LSpVJUQNGxiA4w88LxPbh2ZBxWXWf+B/5u2SrPPSdr4HPP2Vs03v8wmhCL1S0b7NuvDDzzZCjdq+MokpPhpCl+pKQ4LCUPPACPPSZ68TWfqMlvrTu+PMIEIm1mdmrZnF85lMmVPdACZZ4bNkx0kZ2jzp57zp5t48d35w5kb245JqNG73Dfw8ruJCZK5pjzGcnfX8kBtBaJIb5U2XTWphazODmfIdH+DI0OQGvmKJizzoJPPnFtO/vqMn4yWYiMsjFgb38efFoiWg0P+/K/twbzyn/KCfI10DvMD003cPdzkhUDYmB8/305e7z6quMzv/pKxvvgwXIm79fP/koIiYSwfgc1hkU7ZWWSnTNihKz/zvuD//1PzcMdnTZpXATuBf7Qdf0ZTdPurX5+Tx3X3gJsB+oXNWijxAZ6ExvYuAiCKH8zFw+J4eIh8utcuj+f6Z9srEn56xbizW8XD6VPuB+eHLzO89vbb2lcfZWJP/+EFSt0CgpgzBiNUaPqXsyGDYNffpGIm5QUibS6+27xjjsbHHVdohYaa1xMSREDy0cfyWR2991yWO7iwU7Vp4+K8Gor+GLiBHoxDQl3OlpVokeNEo3P22+XiNlrrhEDsyd9OZBKmeecI0bq4mJZ7HIyDLz+cBA9+lo8etCcx5Sn6Fp/fzH8NAeLFrlWBQbRJZk2TY3t5kTTNHqH+9VoxTnTN8KPvhGO9pUrcdM7/O03GUtjx8KPO7O56qddzO43iPSv+vLHBiPaZTa6XWaoMzpm8mSJnvn1V0eBq2efrf7+IzgE9OkjlVFvu02M3iefDI8/7h7F60mf1mSSw1FurshNOB+ydu0Sw7cyLipAxq3dsAgyZ/33v7I2m0yeo8YTE10jFHJybTz3nIF335WoixNPhFGjNNIzbfQKcJ3Ay3LN/PWVmffek8PMPffAMceYePA+mDkDNm/R6dsHxo41ENwMEeQdDYtF9mR33un+mtVmY2dOKecMiGAjpQS3cuQiQBBmsuvRXQQYlxDMd9uzGmVcBEcq7NSpcghWhS46Hn4mL4bGBACw2IMsp4+PpCM7c9cdGu+9534M7tpVHHZ2VqyQc4kzL7wg0iJ1rY/GtEB2zE/Az7sr9x8oxqBt5a/LhjEiNpCEBJFxWLFCsr1GjpSoMTvBPiZGxMmCXdtI6omQECkY+Mgj4pgeOlQ07ZRxsXXYnlXCjE83cqhI8oG9jQb+uHQoE7o270I1ebKkHz/8sGSe3HcfXDzLjxu5hJ17jFz6rONamw1uvcbMypVmBo6Vth07XI2IAHv3up95jMa69RGTk2Ut9/Nzj54NDZU9wNy5MtbT0uT8NnbsEd22oh3QVo2LpwFTq///Y2AxHoyLmqYlACcBTwK3t1Df2hSllVYeW5zsoiWWnF/B3/vz6RPux8CBUuBl5UrHe849VwwadoqKZHGLj9dYvFjSjMPDpSDAuHG4GSi9vORQMHq0TCaxsXK4sFhww1NbfVRVyYH7jTfk+c6dcqhftQomTBBNpa1b5TUfH/Ecegq7VrQeR8uoaMdsFh3PCRPEOxYbW78wcH6+eIXt47ZvX9i2TWP3bggJ8eLqq+DX+Q7Ditksxkg7AwdKOr6zIP6LLzaf0cXT5tFi8aytqmgZ6vq3t9ngUGEFN/+6h5t69+TJq0PJzRWZicICA+eeK/PiFVe4Vyn18pK52GQSTdzc6uKoiYmuGrlNYcIEmdPz86VAlq+Hw9WQIRLNmJzsaHvkETlIpabKxq82Kq1KYcdTNdSkJFmzTSZHmvSGDfKaySTRDs7zZH6Rzr33SjTZSSdJJNkTT8DMMyzgFD1ntUpU7fPPy/OMDDlI2Y2SV10FV16hEXF4KatOy5w5Iv8xeLD7a3tyywjz8cLfbCSTYobR+mEkQfiQQXG91wyI9OOnnTlsyypmQGRAvdfW5pJLZF6fPFlkT+LijqS3irbMsceKY7akxNF2661iDHQmL0+KQB13nERhgzipX3vNkQ21ZYtEYNXGZnOXZ3BmzpZMVhwscmn7eGM6I2LFK92tW/2acwcOiFHmiy9EJufSSx0R4J4YMEBSvzMyJMU6JKTuaxVHlz+T8moMiwAVVhvPLE/h27gBeDeg+GNDCQmRugannipj0eHYNlJS4nl8Ome46LrnvW7tM/XNN3s+71RUiL1g8WJxbj/5pOO1c8+VdoNB9r1KYqdz0VaNi9G6rqcB6LqepmlaVB3XvQTcDTRTDFH7o8xiJSm/3K09tXpii4qCzz+XKJyVK2WC2LPHET4fH+8Q/f/1VxFvtfPttxJpU5eXofbG/rLLJBXaebK6/vrG3c/+/SIk60xRkRgUzz5bUro3bBCj5sCB7gd4ReehoQfLIUNkgbNYZHw//rhD+27FCpEOmL/Qyq+/GPDz1Tj9dDEQ2QkJEYP3BReIAaZnT9EUba4MhxkzxEjubIi/915Xz7miZenbV/Ri//7b0TZ5srTnVFnJLq3ClBNAbq4c3nXdkW71zz9yIFixwvOGbORI+dzNm8UAM2xY82y8goOpN4Kre3eZ4+fPl/n0lFPkHjVNDPTXXeeqzWYwqPlV4eDkk92jHG64wXEQGTxY0nDXrhWj9IABEo3jPE8m9rUQGGjkyy8dbdfcVokeXI6zcfHgQdcCSLfcItEZ9oPR0qUSNXl7p3QpHx6rVdaUuvZfa9OK6Fmt7ZVNGSFtIHIxFB/2kFvvNUaDxqTEYD7flMmT0xq/QF56qTh5Jk6UgoLqwNsxGT5c5oiffpKCEmed5Vk66bzz5Pzz2WeiK5eXJ1HSzpWfN28WI2V0tBju7Nx4o2e5ATs7s91FEHdkubd5oqJCfr/vvy/PV6wQZ8GyZfUXsPTxUQUu2wIphRVubXtzyyi32JrVuGjHkyRUz56uwTggY9i5yF+PHnD11VJgxU7XrqJVGxAg+srHHy8R32bH8lxDcrIYtG02CQJ6+mmRSykulpT/hx6C2bNh+XLXM5Wi49NqxkVN034Hj+7SBxr4/pOBTF3X12qaNvUw114DXAPQtWvXxnW0jRPuZ+baUXHc+7ursOJEp/DrHj3k4HjddbJQPvIIBAXJYfnxx8XAWFzsSNGzY7GIUbIu4+LOnbIgWyyyGI8fLxqLr78um9v/+z85vDYGk0mibopcHX41gvCJiR1v8ezI4/NocOiQLHo5OWLsGTHCc6SWnZEjJY3/7rsldaB2UY1Fi+C6m3Ve+K+OyejZYhgRAdOnN+NNODF6tHj43n5bCrpcf70s5m2JzjZGw8IkUnXePDmgzJghzo2wMPCt8ubsAZEYC8WLcvLJkiLlTFaWzLV1RbcOGHB4LcUjITtbjDwHD8oBaMQIMZL36+esl+PAYJBxZzBImk1cnBhLRzZ/PaajQmcbn63B+PHiqHzwQXHu3XOPGKidGTZMHnXRo4fO/e/mseE3f5K3mZh4ahkFXTJJCHY9HZlMcjCpqhLD/aBBYjh3jrp46ilx+NSltdvWaMkx+sUXItsxYoTn19ekFjM8JoAyqqjEin8b0FwMxocsDm98OSYhkCeXprAnt4xeHoofHI4LL5SotgkT4OefJU1P0fHm0BEjXMe/rst55u67RfLjwgsl2srHR+aQuuYRb29xdDz8sLxv714511xyieeMmS1bJADiJGt/Rg3O5aU9u8gtE8/x5cMbFiG8b584apw5eFAMRR3t/NMY2ssYnd49lOeWu4b6Xzcqrqb6c0sQGSna8Y89JuN+/HiJLHT+Z/P2lvV82DBHhOxFF9UduJOfL0bDpCRISJDP8vaWDLI1ayQSd9s2V/mBqiqRolDGxc5FqxkXdV2v86iuaVqGpmmx1VGLsUCmh8smAKdqmjYL8AGCNE37TNf1iz181zvAOwCjRo3qcMmGFw2OoqjCwiurDhHi48XzM3owNkGCOauqJOXNz08mm8GD5YCQmyt6CPaoA4Ohbk0uT2zeLKkE2dWV7f39xRN87LHy0PWGR3bl5YlnbvVq8ap8/DHV1XuF2p7EjkZHH5/NSVqaRB/YU1hAFsULLqj7PVYr9O8vEVu7dnm+JjJUw2Yx8Pc/4vEOCJDfS16ejPP+/Zv3PpwxGOSgM2FC4343LUlnG6OlpZKWVFgoh5DJkyV9CsDXZOTJ47rz+6YSBg+3YbMZPOp91qUBejh0XQ4SBsPhq5taLFJp/NdfZZM3c6bMl4884pCWAPEe33tv3fM5yEbx8cclGs3X17OGXluls43P1iAgQH4Lxx8v486TIPuOHbIP2LtXrhs/3jWaNj7Yhyljq1hn24PXMZVsNht5bFI3N93prCyJTMyrLuMXFCQZDXv3SnturhzsNU0ONvZUwLY8ZltqjFZWyoHx9ts9ryUVFivbs0s5Z0AkaRQQhi9tYckJxpsCKqjChqkeaRWz0cBx3UN5a80hXji+V5O+67TTxFF0wgmiC37uuU3tdceho8+hmiaF8xYtkuCF6GiZQ3JyHM89OamHDxfD4/33i6OuSxc5p3hy0q1eLftFcYJ4ERERxZvvhLNTzyEsoZLpPRo2QWma599uQ/cUe/dKoMe2bXIemzixeYoPtjbtZYyOSwji0zP6cc/v+yiutHLHuC6cM6Dlq44OGiT7wMxMWa/tY6CgQDJsFi4UB/gJJ4h2fV3ja98+2LhRzul2qRKQveLrr4sMEMhZy5PB3VPtB0XHpq2mRf8EXAY8U/3fubUv0HX9PuA+gOrIxTs9GRY7AwlBPsw+tjvXjYrDbDAQFSBe6L17JUz5448lEuWVV0RzztfX/dDq5yeL52mnOdp8fOqO1vrhB4dhESRt4NVXJQ3KYGi4gcRqlcnvwQcdbZMmSSTXvHlyoD/2WFdvi6LzsnGjq2ERRA9k8mTPhpgdO8Tj/N13siF86SWJNPvlF8c1F1ygM2KYkYULXSs0x8TAlVfKb2DxYtd0gqNFWzQsdkbmzhVDip3u3V3T6HqH+9Ftsg/jP7exYzPccIOB//3PcX3Xrk1LKc7IEF25Z56RNJTZs8WTXJfRZPlyKfxj1+188kmJtHQ2LIJ4r884o37NJpC5W2mRKeqjLjmKffvEuL1/vzx/6SWJgr32WtfrRsQG8ubJfcgttRDuZ8Lf7H7y+Oorh2ERxMj/888SuXPPPfKYPVuMjNdcI/P5wIGilTZlSvPcZ3vlzTdlLazLIbshvZguQd74mgykUUwYjY/+OxoY0QjFm0yKiT9MfcYJXQJ5YUUB/6QUML6JRRImTZI1/vbbRabi+efxWNxN0bEICpKHrste8sYbZZ942mkSDV3bkWyXE/nrL5njpk0TLfra2AtYOkdXZ2fDXwuNrF4dyZw5Gk414+qlZ0/Z1774oqOtb9/Dr98gmT1nnCEBIAAvvyy6tvfd13SHp6JxBHh7cfGQGGb0CKXKqhMf5N3slaIbwj//yDhat07OMc8/L+vCl19KJqOdbt3EGO1JJuLgQRlPp52Gyx4XxLC4fLmszYsXy/j09ZUCWnb8/NpeJpbi6NNWjYvPAF9rmnYlkAKcA6BpWhzwnq7rs1qzc0eLogoLWzJLSC+upHuoDwMi/TEbG7YaGDSNhCDHzqiqStLa7JodKSkyQaxYUXea8/Tp4sn4/HPx6p5/ft1pcZ4EjvfulcU0qi6FTA/s3SvRMs78/bdERtg1zBQKOwUF7m05Oa7C3XZKSiTtZcECeb5zpxgPlyzRmXqczrK/YdrxOiecAFVVRu6/3/X96eny38xMWZxbwrioaH0yMtwrrCYlyRhw3nxllVaRYS4hYJiNK8cEMXq0me+/lxST00+vX6y9Ln75RdJBQcbvTTeJodLZ6G3HapXDh3NBoPJy14ItztfWlppQKJqT9esdhkU7998vzpzajp8AsxcBZtl+5pZWsSWrhNwyC73DfBkQ6cfOne4HsUOHJHoyJUX0nQYPFi01u370li3iPF279uhGmrdl8vLEkFBb4saZ5QcK6BchBsVDFLUZ4yJAOP4couiwxkUvo4Ez+kfw4soDDIr2J8i7aUeZ3r3FIPTiizJvf/CBRNsqOjY5pZVs3gKzZpmpqJbH+/FH2V/OnSuSAs707Xv4yssWi7vkDsg+sqJCY+3ahu8hTSa46y5J6547V4I2Tj1VUlEPx6ZNDsOinSeekPNccxUhVDSM6ADvw190lNi7F2bNcpyZFi2SMfDzz2JodiY5WVL5axsXt2eVsHalmU2bTJx6qufik6WlssaffLI8LymRM9fnn0s08HnnSfSvonPRJo2Luq7nANM8tKcCboZFXdcXIxWl2y3FFRaeXZ7Ck3+nAGDQ4Isz+3PeoOgmfV5amkQsOqPrEiZfl3HRz0+0xWbMOPznz5ghIsjOHH+858mnPiorqVncnald0l6hADk0mkxiPLdz5pmSqlKbgwcdhkU7VVWwba+VZy2riD/Bm1cqrezfE8ENAxLJzXWfDisqJMzf0xhVdEwqKz1XSXaek/bmlnHON1tZny5hCpF+JhZdMoSvz296bbHKSolarM3333s2LtpsnvtpMkl0mXNkee/e6mChOLpUVrq3lZS4FqqqTXZJJbcv3Munm6RSgtmoMf/CwVx0URjff+967ZQpkn0xbpzsNTZvdhgW7ZSVifRFZzUuPvSQyGvUVajEatP5O6WA60eKtfcQhQxtA5Wi7YThyyEa5gXpG+7HoGh/Hl+azDPTemI0NC0yKDBQ/t3++ksc8McdJw5vuwyGomORUVzJTb/uom9WIhUVrlqjf/0lzouBAxv/uSaTRFH/849r+6hRYtDx5ACvj9hYuPhieTQGT3vVysr652FFx2PPHvdgjB07xEnn6Xxde9ws3Z/PzM82cWfICMBEerrsIffudVwTGeluMPf3lzTrE05olttQtFNUkHQbYWtWSY1hEcCmw7W/7CYpr6xJn+fv7zmVOCysYe+vqpLKZPffL6l269a5vj54MDzwgEQphoRINcc+fRomrl5SIoafsjKJ7rF7POyEhh7dYgeK9sugQZKiMmiQaMxdeqmk/nvSyvHz8zzes6ylhJlNXBDUm8l7hlH2ZwL79utukYtGoyMFsCNrfipciY+XiEFnzGbXNOc/k/JqDIsgUYyv/HsIi83W5O8tL/c87yUmeo7YNZkk5aU23buLvujEiXLNzJnw7beNiyhXKBrL4MEODWc7t95af7TN+vTiGsMiQKVV59pfdjFiXBWvvSbzb1gY3HGH6EVde61E4M6ZI47MAA8Fg0NCmuV22h3r1km62+WX133N5sxiAs1GogJMWNFJo5ho/Fuuk4chAl8OUNjg60/uHU5xpZVnlu3HYm363KtpYlT88EPZS4wZI4bGBQtcHZmK9s/atCK+2ZaN2d89EiI4WCKgZ88W/US9kap+U6aIpElIiBhe7r9fNLw1rf5CV83JwIHu+95LLmlaJoWifWC1ypnaWUok2INahNks4/LWW13b/f1l/baTX17FrQv2UGaxYYgqxc8PPvlEirROmSL7ysmTRbosMVG+f+VK0fpu6m9H0bFok5GLnZHMEvcdTEGFhbwyC92bIFIeHi6aR6ecIhEuIBGLdVUPrM3SpRKdaJ8gnnpK2uxp0sOGyaYrIkI0Rrp0aVg13bVrpbDAihVy/eOPi45D377w9ddSUer++1WUjcIzBoNo3ixdKofMmBhZMD3RpYtEujh7fk+cpbPFkME1EQO486KAmvH94Suiqff885ImFRkphsvQUKl0poyLnQeDQYSqg4OliESPHrJpch4DmzLdwxBWHSyirMpGoHfjfXZLl0oq9oUXSiSNPYU5Kko2ch99JA6c2kyfDt98IxISPj6S7jJ2rPz//Pmy2QwPl82jQnE0GTRINMyefx62b4err4ZzzqlfzD2jxD3ccW9eORZzFTfcYOLEEyUCY8UKOdysWSPXvPWWaOU99ZSrgf288xqmS9bRqKoSo+JVV3k+VNpZsCeXYTFikU2niADMeNN21Paj8Oc39mJFx9iAMjNGg8blw2L4eEMGty/cy4OTEms0x5uCn5+s+2efLWmEd90lh/bjjpND9YgRUsgjPFzpI7dX7HPOZjKZflIAv89zHINvvVXmldRUCapYurTuTC9nqqpkvNjlVObMkXPRs8/K2rtoUculhvbuLd/34oty3rroInl4t16GruIokpQk9Q7ef1/OPP/9r5yRBgwQXcW33nJc++STEgR0002Ssvzee3LdnXe6rpsF5RY2V+9xX0vazVMf+zD3HX9efdXIAw+ItnFiokM+4J9/pDaCs/b3kiVwzDEt9I+gaHNoeiczL48aNUpfY9+htiG2ZJYw4u01VNkcf48eIT6suGoEUf5N2yxZLKKDtH27bDhHjPCcPlqbykoxSi5c6No+e7ZDD8z52spKzxEEtUlJEeNhplPt7759ZQGPiBDtvKCgpi2CBw9KmpTFIp67utKC2gD1bknb6vhsr5SXy29g504xGA4YYmHenmx+eCKaP/90/VM8/rgY03fsEKPMokXyfOVKSW3pJBz2yNSZxmh2thw4a0dkfb89i7O+3urS9sSx3XlgcmK9n1dcLPNUaqpszgYNkjSTUaNkrIaEwNtvS+EKTZO59cUXxcC4ZUvdha1KS8Uo2kkKEqg5tIUpKZHxd/Cg7CEGD/YcLQ5y0C4vd9ct88TKg4WMe981LWJ6jxB+OG9QjSYjyFx8/PGu7zUa5bfRvbv8TqOjZY8T3TQlmeakxefQRx8VHcqnnqrb6FVaaeWcb7dyz4QuBHl78Q8H2Ewm0+jebP1oDuawhcsZRsJhdBedsdp0/kzKZ+n+Ak7pG8ZZ/SMJ92u6kdGZrCwx0uzYIXN1SoqjWnpEhBgaw8Lkv5GRMv4SEmQP2qOHzN1tkE47hy5LyWfShxsAuLB7Av2qovCt8CHEbOKtt0Qb0c4tt0iQxuFYtUq0Op0TFx57TCK9fH2b5txLTxf9xNJSMQD16dO491dVSXZYUMN/Rm0JtQ9tABaL6Mq/9pqjzWh0nFmysyWiPTVV1skRI1zX5aIi2TOaTHIu37RJ2nr3sfG/PTv5cINkFWjAlPhQnp3Sm9G9/NzWmAsvFIO6MzffLMWEOjDKvVQPKnKxjdA/wo/vzh3IlT/tJKu0ir7hvnx6Rv8mGxZBtOJGj5ZHY7DZPKfheWozm+uOHKvN7t2uhkUQo8++fRKhExnZuH7a2bVLCihs3y7PIyMlCq0p1VoVHQsfH9Hoslf3y8ryIv+fKAoL3deFvDwRz3bWFAHRKe1ExkWFE3VVxp3UNZgHJyXy7PIULDad8wZGceHg+vOOy8pks/Xgg462d96R6Njycnmeny+GxdmzXd9rtdafnlfb+KlQNBcVFRLNfdddjrbXXpMUZS8PO0iTqeEGlWHR/nx0Wj9uXrCbwgoro+MCefH4Xi6GRXA9tNuxWsXwk5cHDz/ciBvqYCxbJlU733ij/mi6X/fk0ifMr6b4yW5yiaYBXuEWJoYAkshvlHHRaNCY0TOUkXEB/JlUwKU/7mBwpD/Te4YyLiHYY0XyhhIZKdISM2c62oqLpVJ5QYE8ioulovmOHWJoysqSA31mpkSSHXOMRPbMmNH0fa6ieRgeE8A7J/fh9oV7+SLpIBO7FPLx+f35vwvNLoZFcE0zrY8tW9znqBdegCuuqHsPUR/794vBxq7fGBQkZ5rGnOUaMw8r2iepqRJ96IzVKnvIUaNk7NV2yjljNzQeOiSR74sWyXM/PwM/ze/Dvq5lLEkpxN9s5PxhkQxMNLutMTab599JQ387io6JMi62EYwGjVP6RrDumgByyizEB5mJaCbPa2Px8RFviHM5eU3zXFSgMXiKZDAYjjxlb8ECh2ERZGP39tsSKm5QqqIKJ9avh6eeNHDvvY4UO5DxfdJJok1Xm4ZE4Cg6F5H+Zh6ZmsjFQ6Kx6jrdQ3zwNdV/gN2+3T3y++abRSfNGV13TY0GuP76uqMWFYqjyY4dcM89rm233SbGkiPVRvYxGblsWAyTE4MprrTSJcibEF/3E3H//qKFeuiQo+2442QOP/30I+tDeyY1Fc49VzQp6zNaWaw2vt6WyfkDxQGiA7vI5Uz6tUxHG0EcgWwni0k0fsIL8zVx9oAITu4TxqaMYubuyOa/Kw4wKMqfSV2DGR0XRGzgkeeHBgQ0LFunokKc51u3yp702msl6veiiySFvymGJ8WR4W/24uqRcUzrEUpJpZWuwd4E+5j4v/+T6F9nLrmkYZ/pSYogPr7u6O7DsWyZa2GYwkKp+PzVV50mO0HRAHx9JYI6Odm1vbHRqqtXOwyLINGyjzxg5Lu5Q8i0lONvNtI9xAfNg/fKYJAI3drFMxv621F0TJRxsY2REOxDQj2aOS3FCSdImPMLL8hEde+9R66f0K+faAI5e1ruv7/x4f612bDBvW3FCtnYNXVxV3RMMjMlgmzZMklbmTtXor7uuUcKYLz0Epx1lkNrdNgwh86oQuGMl8FA34iGhwxmZ7uLXJeXSxGs6dMlMgHEKfLFF/D555JC/Z//yEFURSEoWoOcHPeonKoqaW8uuofWv1B37Soaoi+/LGv7pEkiIfDFF6LZ3BkpKhKH2EknHX5vNn9PDmE+XnQPFctEKoWYMBBM2xNi60owS9hPFTZMTaw56eNlYEx8EGPigyirsrE9u4RlKQW8vy4ds5eBQZF+9A33IzHEh4Qgb6IDzJiNze+J9vYWw3j//qLjWFkpKdY//ST6uMcfDzfeKHqOSsOxZelRa8459lj47jvRLzabZU84YULDPmvkSJFj2lqtlKJpcnYKD29a32pnz4Ckt9rTWBUKEIfSSy9J8Sn73nLo0IbXVrCTmuretnkzWMq9GBx/eC/K1Knw/ffy2/HycpynFJ0XZVxUeCQkRCIXTz21+bS8goJEE+jMMyXsv2dPCd0+UqHhE0+UKn/OXHyxMiwq3OndW/67aBEsXy4byoED5b9msxzUli+XNJfwcNk0qogxRXPQrZtEaZc41YKJjZUIh48+kkNnWpocREeOFAdPQ7XrFIqjRWKiROY4y6JERkp7SzJkiIjTb98uD10XZ6V9Tu9MlJbCySeL/uWFF9Z/bVGFhQ83pHP5sJiatvWk052Qo9vJJuKLF5H4sYNsBnPkJe59TQZGxAYyIjYQXdfJLKlif0E527NLWZpSQHZpFXnlVYR4e5EQ5EOvMF8GRPoxPCbAYxTtkWA2O2RaiovFoXTVVdJ+++0S0aj2ra1DYKCcTWbOFONgY/4O3bvDzz/LGp6XJ9GpR+KUHjPGve2CC5purFR0XE480XFmCQ2VM3Vj1+b+/d3bzjlH5MoaQmCgGDhPOKHxvx1Fx0QZFxX10txaXpGRMhk2J1OmiI7Zc8+JwO1ll0n0mUJRm6FD4bPPJFogP18el17qSM133vwrFM1J797w44+ibXPwoERsf/SRGBfB8V9nVLSiorXp2VPG7aWXwoEDUqTi449bx+liMomRsTPrKefkiGExJEQKThwu4u3llQcZHBlA12DxENuAtaQzo40VcnGmD+Gs4mCzGBed0TSN6AAz0QFmcJpvrTad3DILmaWVpBZW8v2OLJ7/5wBdg705vkcY03uG1mhVNhcBAZLSf9ppYpT68EOJZrz+erjhhjZRmKhT0tQzT/fu8mgOjjkGnn9etGTLyuQ8c+21SuZJ4U5znFlGjRLd3nvuEafHiSeKxnJj959K+1thRxkXFe2eqCh45BE5/FitssAfaTSkomPi4yPRARMnyiKakOBZL0ehaG40TdKf//1XUqRjYpS4v6J9MHWqY9zaK+IqWp61ayW99phj4OqrD29s+GF7FtuyS7n1GIclbSuZmDEQyRGKXR9FehHGSg6STRkRHP0wGKNBI9LfRKS/iYGR8u9isdrYlVvGPwcLeG99GlMSQzh3YORhU/gbi6bJ4X7UKKlE/f334ng6+WTR5B0zRqVMdzZCQiSS9YwzJJU+MVEZbhRHj8BAcWrMnCnZMl27NkxTVqGoC2VcVHQIvLw6Z3qUomm0dEqfQmEnNlYeCkV7IiZGHoqWp6gInnwS3n1XotqOO+7w7/llZzafbErn/0bF1+gJWtFZwB6GE0tbtld5Y2Qw0cxnF5cytFX64GU0MCDSnwGR/hRXWllxoJDbfttDn3A/LhwczdBof48FDo6Erl3h1lslun3BAjEkh4RI6vQFFzQ8TVHR/jEYJGpcoWgJNE2yEhSK5kAFWSsUCoVCoVAoFG2I1FSYPVsOfRs2wDvvHN6wWFRh4fnlKXy6KYPrR8YT6e/IbVvEPgxo9CT06Ha8GRhGDHvJZx1prd0VAsxGZvQM5YFJXUkM9uGZZfu56qedzNuVQ2mltdm/LzhYinh9/LEU9Pr1V+jVSySAXnkFdu50Lw6mUCgUCkVbQEUuKhQKhUKhUCgUrcymTfDLL1Ide/NmSUl//nkpCFUXVpvO7pxS/kjKZ8GeXIbGBHDrMQn4miR+oBIbv7GHNaRyBv3bdNSiHTMGZtKT79hOERVMpCvGVo6HMBkNTOgaxLgugezILmXhvlxeX32IEbEBjO8SzNBof+ICvZstotFgkMIgI0dKuuLq1VKM7umn5fVx42DsWCkg0revZGR4qVOdQqFQKFoRtQwpFAqFQqFQKBStzPHnlpGR4oVX10IM4wpZYLWx4BugOlJNt2nYrBo2K+hVrsY2zWTDN9SHjUWVrN+dRhU2qrBUv2oiioEsxOD4sDaPL34M4kdK+JH9AJjwwoQBAxpaPWbSljKgGi2+/HsAlv9VDBS79sGoY/DSMRh1MICm6dIx7Qj7OFLDlu/NT+sD+GGBH5S5V1445fFD/PSghyphCoVCoVAcRTS9k8XWa5qWBdW7lCMjAshuhs9pD3SWe22J+8zWdX1mXS824/isj87y94TOda9w5Pdb7/iEozJGO/LfqCPfG7TO/ak5tGXoDPcIzX+fRzaHHv/7INIGNbwknckK3hYw1rWX16HCpmOxb/Z1DbQ2svFvRF+8NA1vY9sPurRqUGEEi1Fur6W/u9gsjzN+Lef9s7fWcWVLz6GdYS5R99h8tMY+tC466t+1I95XS97TYcdoZ6bTGRebC03T1ui6Pqq1+9ESdJZ7VffZ8ehM9wrt837bY58bSke+N+j491cXneG+O8M9Que5Tztt6X5VX+qmrfXnSOhI91IX6h47Jh31njvifXXEe2qvqIIuCoVCoVAoFAqFQqFQKBQKhaJJKOOiQqFQKBQKhUKhUCgUCoVCoWgSyrjYdN5p7Q60IJ3lXtV9djw6071C+7zf9tjnhtKR7w06/v3VRWe4785wj9B57tNOW7pf1Ze6aWv9ORI60r3UhbrHjklHveeOeF8d8Z7aJUpzUaFQKBQKhUKhUCgUCoVCoVA0CRW5qFAoFAqFQqFQKBQKhUKhUCiahDIuKhQKhUKhUCgUCoVCoVAoFIomoYyLCoVCoVAoFAqFQqFQKBQKhaJJKOOiQqFQKBQKhUKhUCgUCoVCoWgSrW5c1DTtA03TMjVN21LH65qmaa9omrZH07RNmqaNcHptpqZpO6tfu7fleq1QKBQKhUKhUCgUCoVCoVAoWt24CHwEzKzn9ROB3tWPa4A3ATRNMwKvV78+ALhA07QBR7WnCoVCoVAoFAqFQqFQKBQKhaKGVjcu6rq+FMit55LTgE90YSUQomlaLDAG2KPr+j5d1yuBL6uvrZeZM2fqgHqoR2s96kWNT/Vo5cdhUWNUPVr5US9qfKpHKz8Oixqj6tHKj3pR41M9WvlxWNQYVY9WfijqodWNiw0gHjjg9PxgdVtd7fWSnZ3drJ1TKJoTNT4VbR01RhVtGTU+FW0dNUYVbRk1PhVtHTVGFYq2S3swLmoe2vR62t0/QNOu0TRtjaZpa7Kyspq1cwrFkaLGp6Kto8aooi2jxqeiraPGqKIto8anoq2jxqhC0T5oD8bFg0AXp+cJQGo97W7ouv6OruujdF0fFRkZedQ62tmxWKCiorV70f5Q4/PoYrVCeXlr96J9o8Zo/ZSXy/ynaB3U+Dw8lZVQVdXavei8dKYxWlGh5sP2Rmcan4r2iRqjzU9VlewNFIrmpD0YF38CLq2uGn0MUKDrehqwGuitaVp3TdPMwPnV1ypaGJsNli2Dc8+FqVPhiy8gtz4VTYWihVizBq64AiZOhDffhLS01u6RoiORkQHvvw+TJsGll8KqVa3dI4XClaIi+OEHmDEDTj0V/vhDHSYUR4e8PPjySzjuODj7bFi6VJx7CoVCoWg7VFbCokVwyilw/PEwdy4UF7d2rxQdBa/W7oCmaXOAqUCEpmkHgUcAE4Cu628B84FZwB6gFLi8+jWLpmk3Ar8BRuADXde3tvgNKFizBo491uGpXrlSDtxXXNG6/VJ0brZtk0NOUZE8X7tWjIuPPgqG9uBWUbR5PvkE7r5b/n/NGvjxR5n/hgxp1W4pFDX88Qeceabj+W+/weLFMHlyq3VJ0UH55RdxstiZN08cz2PHtl6fFAqFQuHKihVwwgmgV4vJLVkCP/0kxkaF4khp9SO2rusX6Loeq+u6Sdf1BF3X39d1/a1qwyLVVaJv0HW9p67rg3VdX+P03vm6rvepfu3J1ruLzs2yZe4pMM88I15shaK12LTJYVi088ILcOCA5+sVisaQmirznDNlZbBhQ6t0R6Fwo7ISXnzRtU3XJZJRoWhOCgrc50OLRQzZCoVCoWg7fP21w7Bo5+WXlZyFonlodeOiov3j7e3e5ucHRmPL90WhsOPlIS7bbFbjUtE8GI2e5z6TqeX7olB4QtNkLa6NpzaF4kgwGj2PK09zpELRESikgqv4ibtZRBUq/1/RfvA0V/v7y55BoThSlHFRccRMmgSBga5tjzwCQUGt0x+FAmD4cIiPd2174glISGid/ig6FtHR8GStePmICBgxonX6o1DUxmSCO+90PTB4e8Npp7VenxQdk4AAePhh97apU1ulOwrFUedy5nKQQhaTzEP81drdUSgazNlnS7CFHU2DW29VwReK5qHVNRcV7Z8hQ0Sv4eefpcDBGWfA+PGt3StFZ6dnT1i4EObPh1274OSTlc6Yonk56ywxMs6dC927yxjr27e1e6VQOJg0SVJTv/9eIhNOOw1Gj27tXik6ItOnw++/S9p9ZKTodw0b1tq9Uiian+1ksYRkvuAsCijnGn7hdsYRhX9rd02hOCxjxsDff4tOeFmZ6DIrbVxFc6GMi4pmYfhweSgUbYkBA+ShUBwNgoJg1ix5KBRtEbNZnCrKsaI42vj6wrRp8lAoOjLvsI5Z9MaMkUj8GUcCX7CZWzmmtbumUBwWTRMD45gxrd0TRUdEpUUrFAqFQqFQKBQKhUJxGH5iJ5PoWvP8OLrzKRtbsUcKhULRNlDGRYVCoVAoFAqFQqFQKOphFzkUU0kvwmrahhHDbnLJpKQVe6ZQKBStjzIuKhQKhUKhUCgUCoVCUQ9LSGYEsWg4KmV5YWA4sfzOvlbsmUKhULQ+yrioUCgUCoVCoVAoFApFPSwjhf5EuLUPI4aF7G2FHikUCkXbQRkXFQqFQqFQKBQKhUKhqId/OMhAIt3aBxPFcg60Qo8UCoWi7aCMiwqFQqFQKBQKhUKhUNRBIRWkUkQ3Qtxe604IaRSRS1nLd0yhUCjaCMq4qFAoFAqFQqFQKBQKRR1sIZMehGL0cHw2YqA/kazkYCv0TKFQKNoGyrioUCgUCoVCoVAoFApFHWwkne4eohbt9CaMtaS2XIcUCoWijdHqxkVN02ZqmrZT07Q9mqbd6+H1uzRN21D92KJpmlXTtLDq15I1Tdtc/dqalu+9QqFQKBQKhUKhUCg6MhtI95gSbacnoawjreU6pFAoFG2MVjUuappmBF4HTgQGABdomjbA+Rpd15/XdX2YruvDgPuAJbqu5zpdcmz166Naqt8KhUKhUCgUCoVCoegcbCKz3sjFXoSxnvSW65BCoVC0MVo7cnEMsEfX9X26rlcCXwKn1XP9BcCcFumZQqFQKBQKhUKhUCg6PbvJoSvBdb6eQBCZlFBAeQv2SqFQKNoOrW1cjAcOOD0/WN3mhqZpfsBM4DunZh1YqGnaWk3TrjlqvVQoFAqFQqFQKBQKRacjlzLKsRCGb53XGDHQnVC2kdWCPVMoFIq2Q2sbFzUPbXod154CLK+VEj1B1/URSFr1DZqmTfb4JZp2jaZpazRNW5OVpSZ8RdtCjU9FW0eNUUVbRo1PRVtHjVFFW0aNz8Ozk2y6EYLm8ejqIJFgtirjYrOjxqhC0T7wauXvPwh0cXqeAHWW2TqfWinRuq6nVv83U9O0H5A066W136jr+jvAOwCjRo2qy3jZqUhOhs2b5f8HD4Zu3VqzN50bNT5bhtRU2LQJSkthwADo16+1e9R+UGO0bVBeLvN2cjLExMCQIRBcd4ZWp6Ejjc+iIpmnUlMhMVHWZ9+6A2UU7YSONEYbS2YmbNwIBQWy7g4cCFr99hlFC9OZx2dD2UkOXQg67HVdCGYzmS3Qo86FGqOHZ98+2SMajbI/7Nq1tXuk6Iy0tnFxNdBb07TuwCHEgHhh7Ys0TQsGpgAXO7X5AwZd14uq//94YHaL9Lqds3UrnHACHDokzxMSYMEC2fApFB2R5GQ4/3xYtUqeBwTA77/D2LGt2i2FosHoOsyZA1dc4Wi791544AEZz4r2T2kpvPACzHbaybz1Flx9NRhaO89EoWgCqalw1VXw66/y3Ntb9ptTp7ZqtxSKRrObHGIJPOx13QhhEXtboEcKhYNNm2DGDHHmAPTsCb/8ogIpFC1Pq25XdV23ADcCvwHbga91Xd+qadp1mqZd53TpGcBCXddLnNqigWWapm0E/gXm6bq+oKX63p758kuHYRHg4EH4+uvW649CcbT55x+HYRGguFgO8GVlrdcnhaIx7NkDN93k2vbMM7BtW+v0R9H8bN/ualgEuPVW2L27VbqjUBwxa9c6DIsAFRUypvPyWq1LCkWT2EMusRzek5dIMDvJaYEeKRQO3n/fYVgE2LtXjIsKRUvT2pGL6Lo+H5hfq+2tWs8/Aj6q1bYPGHqUu9chcTay1NemUHQUkpLc2zZulBRElXKoaA/k50NJiXu7kh7qOGRnu7eVlytDjKL94ml+2r5d1t7Q0Jbvj0LRVPaSxyQSD3tdNP7kUEoJlfhjboGeKTo7VVWez/Hr1rV8XxQKlWjTCbnggoa1HY6NG+Ghh+DCC2HuXDn8KhRtkTFj3NsuuggiItzb8/Phxx9lXD/yiKQaKBStTdeu0KOHa5uvr6REX3013HwzrFgBNlvr9E9x5HTvDv7+rm0JCaK92B7YskUiL88/H777DnJU8E6bpKAAfv5Z1sAHH4QNG47ed/Xp49529tkQHX30vlOhOBokk09cA9KijRjoQhB7yD3stQpFc2AywSWXuLefdpp7W04OfPutrNOzZ8u6rVA0J8q42AmZORPuvFMmI5NJ/v/44xv3Gdu2wbHHwhNPiA7Y6afLZKVQtEXGjIGXXpKDu6bBeefBNdd41jH76is44wwZ17NnyzjfsaPFu6xQuBAdLWNz8GB5npAAn38uG8T33oNXX4XJk1UUenumTx/46SeHCPuAAbKuxsa2br8awu7dMG2aOGS++koMSJ980tq9Unji++/h1FPhiy/gySdljTta8gojR8KHH0JIiDw/8UR4+GHRXlQo2gtFVFBKFaH4NOj6BIJUarSiRTntNLjhBinm4u0tjqNjj3W/7pNP4JxzZJ1+5BGYPl1Jryial1ZPi1a0PLGx8NRTYlwBiZbwauRIWLfOPVXr4YfhlFOUR1rR9ggOlsiuU0+Fyko5vHtKh05Lk3HsTG4urF+vRJEVrc+oUfDXX5CR4RjT6emO1y0WiRgbN671+qg4Mo47Dv79V1Kko6M9R1e3RTZscNV7Anj0UTnEJCS0Ro8UnsjMdF/j8vNFG3HAgOb/Pl9f+M9/5JBbWiprb+3oXIWirZNUHbWo0bAy5/EEsQMPOhcKxVEiIQFefFH2hQaDnO2NRtdrDhyQddmZjAzJROzdu8W6qujgKONiB6HCYmVHdhm5ZVV0D/WhW0j9QnIm05FNJDYbDBoEMTESKVNUJAdblZKnaClsNrBaZSw3BE2TxbasysqO7FIKMiz0CPWla7DDE63rMo5rY7U2U6cVrUZJpYUd2WUUV1rpFeZLfFD7DJ0JD5eHxQKFhY72nj3lYVYST+2e6Oi6nXTpxRXsyinDx8tA/wg/Ar3bxjbO0xxZ155A10UjSo3Vlsdm87zGObdVVcmh9EgrlOeUVrIzW6qm9Y30JdFP/cEV7ZP95BPTgGIuduIJZJeKXFQcBSotNnbklJJTWkVisA9dg+S87+Ul5yFPUhR26jrjqLO7ojlRadEdgKS8MubtzuXsr7dy3CcbGfnOWpanFBzV70xMlEiuoiK48UbRbHzggfaRvqVo/6xaJdEQU6fCp59C0qEqkvPLqLDUbwXMK6ti9pJkRr6zlmM/3sjod9ey+pDDQhMXJ+PYmYAAGDas2W9B0YLsyy3lxx05XPrjdqZ+vIGx761jfVpRa3friPDykqqrJpPIU0ycKNHkAQGQnNzavVMcDbZkFjP5ww1M+UjG8PXzdpFaVFHve0orrSTnlZFXVnVU+zZ0qETTOnPvvdCli2vbhg2yZ5g0CV5/HQ4dOqrdUtQiJsZ9jfPzk/Tl7Gz47DNZVy+9FFaubPr3JOWW8dmmDD7elM5JczZzxldb2ZtbekR9Vyhai/0UEIlfg6+PI5DdSnNRcQRkl1a6nWtKqyy8vvoQI95ey//9vIeff7Ny+uk6s2ZJZWhPRf+c6dJF1mVnQkJgyJDm77+i89I2XN6KJpFfXsWXWzJ54M8kyi02LhsawzGVQXy2KYMrf9rBssuHE+Hf/J7ibdsk/bmo+my+ahXcfz9cfHGzf5VC4cbGjZJiVSYBEfzzD9z7hI1PfNYztXsIj0zpRp9wz5vA9enFPLP8QM3zzJIqbv51NwsuHkKwj4RAXnIJREbCO++IAf3aayVKV9H+KKm08MOObO5cuI/8cgvnD4piSmIIb65J5b4/9vHduYPwNxsP/0FtlKlTYdEiMQSkpEjb6tWweTN88IGqhN6RqLLaeHHlQXbnltW0fb45k9P7RXD2gCiP79maWcy9vycxb3cOg6L8eO3EPkzuFnJU+te/P/z5p+h/btoEV14Js2ZJxLidPXtE38le6OXff2HvXnj22YZHoCuOnAsugLAwePttiXa+7jo5XL7+uhh+QdbV776TIlGNda4l55fx1PL9fLIxgwg/E3eN78K327L4cUc2d4zv2uz3o1AcbZLIJ5KG5/PHE8Q+8g5/oUJRC4vNxqK9edw4fzf7Cyo4f1Akj0zpRu9wP7ZklHL7wr0AXBXZl9suCkDX5X2LFomB8aST6v5sTRNJtIQEeP99mfevukrJPimaFxW52I75O6WA6+ftJrfMQmmVjTfXpBIf6E2wtxc7c8rILj06kQobNzoMi3Zefln0dBSKo826dQ7Dop0PX/XmlPhYvticyQN/7KO8jgjGlIJyt7aVh4rILXPkCURGioFx8WJ4913RuVO0T/49VMQlP+wgo6SSCquNjzemo2mQEOTN3ykF5JUf3Wiuo42/P5SXOwyLdr78Ugw5io5DQbmF3/e5H1Y3phd7vD6/rIor5u7kl9056MDmzFJmfr6JHdmHCW04AkaMgDfegCVL4PLL3VO7N292ryD92muwf/9R65LCA+HhcOGFYgz+4AMpeJaZCU8/7XpdeTmsWdO4z7bpOm+tSeW9delUWnVSiyp54M8kzhkYyYI9KpJL0T5JJo/oRhgXw/GlhEoKqT+yXKGozab0Ek6Zs4V9+eVYdZ3PN2fy4J9JlFusHCiUM0zvMF/WLvKtMSzaeeUVz2nPzkRHy/q8ZIms1yNGHKUbUXRalHGxHfPzTnex4N/35TG+SxADIvyI9D86oQC1BWJBog6OVJ9HoWgInooPmc1QpYtoyHfbszlU6HlDlxjsXulvQpcgwv3cfyuexrmiffHPgUK3tl925XBc9xCO7RZCmE/7D5fyNE4NBjV+Oxohvl7M7BXm1j48NtDj9SmFFfyb6uoFLLPY2JVT5vH65qSuseep3ctL7R1aC+e/h8HgOXq0scX+MooreX99ult7bpmFU/qGN7KHCkXbIJkCohqhuaihkUAQe1RqtKKR7MgpxVrLavjNtiwOFVbWaMRbbDomb93tvb6+rtkC9aH2iIqjhdrStWN6h7mnfnYJ9qbCYuW9U/sSfpTEs4cPd49IePxxiI8/Kl+nULgwahSEhrq2XX57GT+kpAEQHWDGz+R51RwRG8AjUxIxVC++8YHevDyzN0FtpCiConmJD3KfA7sE+aDrOk8e1wO/dpwSbWfgQNG7c+aGGyTdUdFx8DIYuGVsAoOjHNEzVw6PYXxCkMfr/U0G/D3MgyE+rTfXDR0K3bq5tt13n3ubouWJiIDZs13bQkJg9OjGfY6/2UD3EHcnXrS/iZN7t5PS5wpFLQ5SSEwjIhdBdBdVarSisXhao2MCzPiZDAyK8ue1E3txsLCCIceWuTiENE0qRSujoaK1USfqNkaV1UZmSSUBZmONBlxdnNQ7nBdXHiStuBKAALORG0bH0Sfcz6UCbnPTuzf8/jvMnQu7dsEZZ8CUKUft6xQKF/r3h7/+kvG3b5/OiGll/Fi2l7wCCxrw2om9iA30XAk42MfEfRO7cmb/SArKpVq0vWpwenEFBk0j6ijolCpah4ldgukd5sPuXEklMRs1HpjUlWExAXWOERDNm4ziSvxNRkJ823Z0Y2wsfPMNzJ8vGnazZsFxx4F3+yyGraiHAZH+/HHpUHbllOFrMtAn3I+AOgzkPUJ9+e/xPblu3q6atgsGRTEoyv2AnFFcCehEBxzdQZOYCL/+Cj//LLqMp54quqEqcrFtcPrpMG8efPut/K1OOVUnpEsFRRVeDa5KHuRt4pnpPTjhs01YbBJZMyjKn5N6h9MjTInAKtoflVjJo4wwGjd+o/EnSRkXFY1kWEwAx3UP4c+kfAA04MUTehHpb8LLYOCakXFM7RZKYbmFhX9Y+WWukbIyOO88OOaYVu26QgEo42KbYk9OKc+vOMAXmzPpE+7LCzN6MrVbCFodMc4DovxZevkw1qcXU2XVGRodwEAPB4eGkJUl+jpxcQ3zegwa1PxFLoqKoLAQoqLc03NKS6WQTGYmdO8OffuqA0lnZuhQe7SWRmqRkR5psVxWGkG/CH+Gx9SdulJQALm5RopSAygthso+kG2s5LPNGTy9LAWTwcAz07ozJTISrMYG/x4UbZNe4X4svHgo69KLKa2yMijKn6HRAXXOqQB7s8tZvr6Kvck6YZFWRg4xML57EIaG5po0AwUFUvUvJqbueS47W+bFuDhx+NxyS4t1T3GUyMiAqir5m9b1d4/0NxN5GAdIURFs26aRkBPD36dGcMArj1AfL0bEBRLmZCzPKa3iq62ZPL50Pxrw6NRunDMgktCjZFDXdakoffXVEhWnaFsEBopzYtYs2J1TyiOLk/np1xyGx/jz/IyeHNPFtRx4fj7s2CFzUdeu4vgzmWBqtxBWXTWCrVklBJiMjIgNJNFDNGNtDh2ScR8be5RuUKFoAmkUEY4fxkYm+8UQoNKiFY0mLtCbT8/oz9rUIg4VVVBp1XnhnxQWJ+dxx/gu9Arzc5z1u8LUSa3Tz5wcSE+XedvbGwYMEM16EF383FwpHKYKC3Y+Wt24qGnaTOBlwAi8p+v6M7VenwrMBZKqm77XdX12Q97bnii3WHnwryS+2poFwLq0YmZ+vonVV49kSHTdxpJeYX708pAe3VAqKiTi5dZbxXB33XXy/4mJTf7IJrF8Odx7L2zdCueeC3fcIQdmgOJiePFFePhhee7tDR99JIbIgQMlbcesgs06LXGB3sTVE4VWViZFiFJSRJx+xw6JnAFZ+D79Du5YuhebDoPDAjn4bxATnzC26u9B0Xx0C/WlW2jDdjfllVZ++t7AXf8XiNUqB937nikn5OJSBsU2zXFTH4cOwapVUtDCPpetWwf33ANJSXDFFZLi7Jw2WlkJCxbIuExNlUp/d9whThdF+6SkBH74Ae6+W9a1226TuaexUiMHDsga+sMPUu0eDAQEmJk3L5rJk92v/31fHjfM313z/NpfdhHhZ+LM/pFHdD+eOHhQqlO+/ro4EJ99FmbMUGt3WyS/rIrLftzBioOiWbvsQCEnfL6JNVePpHe47DfXrZMo1IcfBptNnHAffSSFYgwGjRGxgYyoQw+0NhkZ8OGHUlDGbIYnnpCo1q1bpQhQly4wdqz8V6FoaQ5SSBSNP2fFEsjv7DsKPVJ0dLRib0xlldz861aqqiPA16QVU1Rp5f1T++Lt1XpRD1VVUpl6zx74738dBQWnTpV5vLAQHn1UCoYde6z8f23pHkXHplVjvzRNMwKvAycCA4ALNE0b4OHSv3VdH1b9mN3I97YLDhRU8HW1YdFOpVVne5bnEszpxRUs3JvL99uz2JbV9OqPa9bAmWfK5FBeDi+9BG++KZvFlmL7djj+eFi2DPLy4O234c475cAFsGWLw7AIYhC99VbZdE6aBL/91nJ9VbQ/5s6FzdtsXHQRBAU5DIsgnrVnHvPi+AQ5TF8Q3pP7rvFr1d+DovXYskPngVvNWKuLjdts8Oz9PhzYZyQlv5x5u3L4aWc2+/KOvChGdrYYkM46C26/HU44Af74A2bOhLVrZWy+8II8nKv/rVsn6YtJSTIXvv66jNPDVQhUtF1WrJAK9Wlpsu498QR8Pqdxk056unzGmjV2w6JQXAzXXONepRng/fVpbm2fbXIvxtEcfPihHDKyssRodMopja9ErGgZkvPLawyLdgorrOysLga0Z48YFh991LE2Wq0Skbp7Nw2mpNLC6kMFfP2Dhfvuk0OpfV789VeZE2+/Hc45R6qbZmY20w0qFI3gIIVENFJvESCWAJLIb/4OKTosqUUVzNuRy5cbsyi0VuJfS/ZkzpZMDtRRsLKl2LBBHKErVzoMiwCLF4tk2oUXioOzoAB+/BFOO00c4YrOQ2snlo4B9ui6vk/X9UrgS+C0Fnhvm8PXZHBJV7IT5O3unThYWM6F323nhM82cdbXWxn1zlqWp+Q36XvXr3dve+898SS3FNu3S3qfMz/95Ji00tzPP2RkSHqVrosh0tPBSaFIT4eff68ir6wKkIN2bdavMdAnIBCzUSMvyT0C8r335HMUHZ+CXI2yWnZDiwVK8owc98kGTp6zmdO+3ML499ezKcPDYGoEW7fCL7+4tm3e7G4kfOcdiXB0fl+tQoK8/74ao+2Zv/5yb3v/XQPbD5Q3+DM2b4YlS8QpUpudO8VYXZveHjTweh5BJkRdZGTAG2+4tum6Mi62VfzMRny83I8H9v3ojh2SEVB7riovb/jescpq4911aTywaD+ff+SeRDVvHvTr53j+xx8yxhWKluYAhYQ3Um8RJC36IIVYUd5pxeFJzi/jjK+2cPJXm7h91VauWriNR6Z0w1mQJ9zXhF8rRi2CnNl79xbd5NqsXu1+nt+/v3FOJ0X7p7WNi/HAAafnB6vbajNO07SNmqb9qmnawEa+t12QEOTDSzNdy3tO6BLEMA/6casPFfFXcn7N8zKLjXt/30dRReNDVyI8FO9LTAS/5j9f1GC1uhp5AjxkfQcGgk+1RE+3blIFy5kePRxGx8xMieBRKGpTXg79pxZT7lWFxSLjqjYzT9TZXJSHxabjF+y+CezaFfybPyNW0QbpkWh004Lz8wMtuIK9eQ6rTUZJJR9tODJrnj0y22SC6dPl4UnfMyrKMReCZ626Ll2O7pytaH6c18GEBPfX4xOtrEjPb/Dn2T/Lk77R+PEyjmpz+fAYl4IwQd5GLhzk4cIjxMfHs45eWFizf5WiGegV5suTx7nqLJzZP6KmGFBAgBiHa885wcENT13ekV3KnQv3kVlWSXyPKrfXY2IkitEZT85BheJok0IBEU1Ii/bGi2C8SUcNXMXhWZ5SyL+HimqeF1Vamb87h8mJITVt/zuhF3FBrVutLzhYMmjGj3d/bcIEkUCpjadzvqLj0trGRU8K+bViMlgHJOq6PhR4FfixEe+VCzXtGk3T1miatiYrK8vTJa2OTdcZExfIrxcN5s2TevPduQOZc9YAjxVNU4vcLWlbskoprLA2+nvHjhWtLztGo2ghBQfX/Z4jYdMm0RAbN05Sv5KSYMgQ0WVw5tlnRUOsogJ69YJPPnEYeBIS4OabYc4ceX7DDe1bALw9jM/2Snw8BERVkR2cS/9BNpYskfHiVR0oMXw43H2XxjU9evLo8L4MHQIDBjqmEaMRnnvu6P0e2gudZYx27w5ffqXXOF1CQyU9dVH+IbdrVx4sxGJtekRCnz5S1fnxx8XQWFoqc+GQIa7XvfQSREfL/5eXw7Bh8rBjMMD//te5DTXtbXxu3gw33ijr4OOPS4XHhC6OecfbG069qoQfdjc8D7R/f1kjf/pJ9IvtBuleveC11zzPYaPigvjniuF8dFo/Pj69H/9cMYLhDdTJc6bKamNndilbMospqXTsQywWGdvBwaKn51ykpls32X90FtrTGDVoGleNiOXXiwbz8sxefH/uQF47sXdNds2gQaLv+dhjMkeCOKq//rph2q9btsA/6y1YdZ2NmcVMOLPExYEXGSkaXc5p0AEBrpGMiualPY3PluYAhU0yLoKkRier1OhmoaOPUU9yO9uzS7lpcCLPTurDy0OHsfKDCJYsoUa6pzUYMUKcP+HhIk0GEgD0f/8nuovXX+96/Q03qLm7s6HptfOrWvLLNW0c8Kiu6ydUP78PQNf1p+t5TzIwCujd2PcCjBo1Sl/TxnJxCsotvL8+jQf+TKLcYmN69xBem9WHvhGeF7PFSXkc+8lGl7ZrR8by6om9MRkbby9OThYvRFGRbBqHDTvyCrkVFRIGXVoqUYYREfI9Eya4ai+cdRZ8/LGkbK1eLel/9j78+68Ydioq4P775TBSWCgHlnvuEd2f666TggbtSOi73pKzbXF8tnf+2VfE7b/t4c6uA0naZsJshr79dIw+Vnp3M3LxRQaSkuDii0VMfvBgicooL2++30M74rAlkTvDGE1JkTTjqCiZd+ZszuDC77e7XPPEsd24ekQcUQFNr0jxww+ieWvHYBAR7IwM0aYbNgxGjhQB7d9/F/FsHx948EGRgigqEufQ8OEOg3lj0XWZS7OzxXHTDubSdj2HpqSIx9851f2SS+Dc/yth7TqwVmqY40p5PWUXz87owaVDYxr82StWyFpZVAQ33SRZCAMGeI5aPHRI+hIaKobuuqpTH47skkpeXnWIZ5enUGXTObNfBM/P6Eleki+vvCL6TP/5D5x9tqz969ZJ9O3o0WL47IC0+znUpuv8ujuXy+fuILfMQmKwN5+d2Z9xTtWiDx2SQmnl5TI/2Y3I06bJ3zc7G/btk+jG3r3FYA5ilJw4Ec66soxPfNaRU1ZFmK8Xt3Tvi57uT48wH8aMMmA0ynz3zTdiaHzySTHCK5qFdj2HtjRjeY+LGMwQohv93qf4m8sYykUMOfzFCjvtfg5tLCWVFn7Ykc0lP+xwab+6fxdG5Pbghhu0Gn1bo1GKoLakcy4jQ87wgYEyn6eniza40ShOzfBn78JuAAEAAElEQVRw6NtXAoj++EPm+7IyOUONH++oIt2BOOwY7cy0drXo1UBvTdO6A4eA84ELnS/QNC0GyNB1Xdc0bQwSbZkD5B/uve2F1amF3LFwb83z35PyeeGfFN44qY9HY+GouEDePKk3dy/aR1GllVP6hHPHuC6YjAZ0XTZvRiPkxWeQTD7lWOhPJAPxnO7UrZtrNdIjJSdHImmeeUbEvocMgS++kINMbVHX776DRx4Rg47zoXbxYilsYOekkxzCsCAVrlNTRfvn11/lgD1ypGv6oKL12EwGa0jFgMZI4hhUx9hrCUYn+nN7/CDOOdmEpokRpqpK44MvLYCVQ4cM3HgjPPWUpF2Fh8MHH8B557ValxWtTNeu8rBzXPdQbhkbz5trUrHa4LxBkSQXVLBkfz7nDGz62P70U9fnNpsUaPn6a9f2+b/qnHWmYy/zxx9ihDzrrCZ/NSBGga+/loIfpaVihPrmGzxWFlY0D9u2uRoWQcbBrQ968WfPA7z67yG0nXDt2BhielSSTD7dCGnQZ48bJwWriopkM1+XwXnVKjFqp6bKmvnMM/K3j42FUaMal8K07EAh76xLZWRcINuySvh+RzbnxyZy7ekScVtUJEU5kpOlOFFTDkRFRWKsCglxRMopjh47s0s56+utVFRHZu/LL+euRXuZe/4gwv3EmRIVJSn4Z53lqvP56acyhi68UDS9DQa44c4qzrgrl0ERAWzf7k9KCnzwP18e+3Agr6TsYF9+OZ+k7eXD0/oxKdHhVH/1VSnmFxTkKk2ycaN8tpeXfJeKilEcTVIpanLkYhT+KnJRcVjWpxfz8cYM7hjXhTfXHKKsysapfcO5bkwsF5yguRSVtFpFX7kpa+kG0llHGiaMjCKW/hze6rdxoxTV2r1b5tzZsyUa8fTTXa/bulWcS3b5CrNZAoFOOaXx/VS0b1rVuKjrukXTtBuB3wAj8IGu61s1Tbuu+vW3gLOB6zVNswBlwPm6hFt6fG+r3MgRsjXTvSL0d9uzeezYbsQFulvLAry9uG5UPCf0DKPcYqNrsA/+ZiOZmfDuu5J+9P4/WWzPyWH55gq6xXqxd2AqVdFWhtH0/OGtW+VgFBAgnuS4OMdre8hlPrtZzSEmefdmf0U3bDZJr9q0SQw3N9zg/pleXp4PQF995d728stiZPTyksPGFVdItSo7c+bA+ec3+fYUTURHZzWpfMd2iqjgJHrzGItZjYhiBuHNX1zGiCMYe0eCyWjg60/FMKPrYlAB+OZjMzffonPppWLgtrfn5MCVV4pXztnA5ExmcSWL9uUxZ0sGQ6IDuGBQFIOjj0xUpKxMfitJSfLbGjLEs76e4vCUVVn5e38B761PI8jbyOXDYjkmIQijoWnOxkBvIwcKKrh9XBcMGvy2J4+1aUXout5o46LVKmmxO3fC8cfLBsx5vqv9N8/JcS+GAbApu5A09vMruxlFPLPoRW/CG9WX7dvhssscKTaZmXDBBRJF7jy/K5oPs4dAV6MRfK3ePDu9B7NG+fMXSfwc8hevGTMYQjQ/cT6JDTQwBgTUbxzMzpZIQrujr7wcbr1VZEouvFCM29df765zXBcllRbOHRjF9qxSnhvfF0NmIBV5Gi9t28t8v20ElQbQc1Nf/ntxHDfd1PhoxQ0b4LbbxOE4bJj0z5PWk6L5SMovp8JqY2Z8JDPD4okdV8DS8B3cwyIm5/WiR0E0f30WTOohza2A0PPPw6WXOooF2mzw6nMmoqZa+fbExVwUMx3wpqAA7rsohPOvHMGFvas492QTgxNdfxxeXu6SNytXioyO/XvDw6Ug0uDBR+ffQtG50dHJpKRJBV1AjIt7yWvmXik6Gntyy/h9Xx57c8u4YXQ83l4Glu8voDzD203z3WiUKMGff5Zzy6BBkn1wOFZykGP5mHKkPkMYvvzFZS4RuakU8QdJ/MYexpLAjKpe3H13WE1BFotFsiPGjYMhY6r4MzmPjzdm0Dfcl+MiozEYHLIqlZXiULzqqrrPUoqOSWtHLqLr+nxgfq22t5z+/zXgtYa+tz2SGOKuqzg8JoBgb/fq0c50D3Vd7BYskHS5E2bqrMzO5aW/qwVr9kD0OjNdrihmWBN1uZYvhxkzqKmkOnasHIgTE2UyOouv2YSUCfwsYDMX3zuWkcuns3alDLFff4VHHxUvs3Mk+223eT5sBAW5twUHOw4869e7GhYBbrlFIm7UobhlWU0qk/iQSsRC8SZreJ4ZrCMdKzqFVPAVW1rNuAieC7n4+cH332kMGOAwLNrJzpbDt6cF0abrvL0ulYf/SgZg3u5c3l+fxvIrRtDLQ/XVhmCzia7oddc52u66S6I2lBBy4/krOZ+TvnCUFv14YwZL/zPMJa2vMfh4GYgJNPPMshSX9pFN0KdbsEAisO0GvWnTxKA3Z44cpv/zH9fr9+eX4x1kBBzrwYixFrbNWsE7yCT4GZv5gCh+5SLi8DB51sH+/e7aPampUixLzaNHh4EDZf1ctcrRdsst0LMn5BlLuTL8K1IoqHltExmsI63BxsXDkZEhEf+1sVd4vPtuyRro0aMBn1VcwfP/HGBjRglnJcby00uh/LXAxEPrt3F/zDdyURAExKzkgY8ux8ur4SneIMbuc891VJrcsAFmzRLHT8+e9b5VcQRE+5s4Njac8H97Y7k8nYtiP8FSXfH2Q/NaXig7E//eGuYs9/k0MFAkbWqTtcWfT07cxEV9hzNhQhzLl8t+8sPXzNx8s5k+DSjHaLHAK6+4Rkrm5MAvvyjjouLokEMZvnjh3cTjcgwBrKt2tCsUdRHtL46VpPxynv9HatVeNziBRx8ycP75DmcNyDn/rrsc62JIiGSzjBhR9+dbsfEyK2sMiwC5lPEzO2uMi+VYeJwlvMVaAD5nMyO9Yhk26kJY6HoQSU+HrVsyuPHXPQD8Arznnc5tTw3nsRsd1lAfn04lK6WoprULuiiA0XFBzOrlsPoFeRt5aloP/M0N/0XabJLKCXDCuWW8tSLD5fWMkkoyGq4N70JxsXgq7IZFkIOR/XC0lcwaw6KdORH/MvNGh7duwgTR8/rqK3jrLTGifPMN3HmnVEutzVlnOTR6QFJrbrnFMUl5qhqYnY2bF11x9PmZnTWGRTu/sItJJNY830NuS3fLhTNO11wiZL28RIj4vffEiFJbbywoyLNOGUBKQTlP/+1qZMosqWJjepHnNzSA3bslesiZ55+XyDJF46i0WnmhenNmx2LT+XlXdh3vODwGTePakXHEOekrDo32Z0bPxuVoZmRIVJizQe+PP8TA+OCD8Pff7rpihwoqOf7mHJcxevKtebzvv8rluk1ksoXGiZx7MiCGh1NT0EbR/ERHi0zIO+/IOvj112LQM5tlc5/hobJoEZXN9v1hYZ51Ne2Vf+3FhRrCtqxSNmZI2fOhxDD/BxOzzi/n896LXa4rphLbhBQSEz18SD0kJTkOUOCI+ty71/P1iuahf6QfVyX0YvUSM2v6ba4xLALY0PkrciulwUX06oVbVM399+OSwmcnvHcZJVRS5V3Jp586xv+XX8J997nu9+rCYhF92NokJzfu/hSKhnKIQiLxP/yFdRBDgIuzSKHwxIjYQM53yoLx9TJwdmwXFi3SmDNH6g9ceinccYes1c7rYn6+SEhYLO6fa8eCjX0eImidx+Yecnm72rBoZ62WRv+z3feVXfpXMHvpfpe2ggoLxgTXc9CTT0phTUXnotUjFxUQH+TNR6f3Y0tmCcWVVvpF+NE7vHH6HgaDpCovWQJZWZ7zmUL1+qOqLBaJCFi+XDaMEydKlEVxsWcjh73cvBX3naQNHYOXFAuKjpaqgr6+Eg1x7bWHv5/Ro+Wg/csvYjA89VQYM8bxer9+YpS0R5z5+krVTRXl1fKU4b6iVWIlAIch5hKGtmSX3EhIgFdf1dmxAywWjUmTJAV59mwxmr/2mlRvtdnkAPvhh/VE7hyFGlgFBZ4N4zk5zf9dnQFPdcpsR/h3GxIdwPIrh7MtqxSTQWNglD9xgXIiLiwUZ8vq1RLNPX6856qpxcWiiVsbHx+ZvzyhZQRwYNQmnvm7ku1zw/Dy1hkyoxibh4HoaS6ujwED4MUXZcNqs0k/PvqIRhuBFI2jRw/P80scQVzNCF5jdU2bEY0BDdBFaiixsTK/nXaaGBI1Tdbk336T16dN8/z3r6yUQ0xoqMMh6DwCKwrF82fy1qk0uJeyNAdYG5xqbScoSOZjm00ckQaDSKJUVcm87du0QPFOicUihfNCQhxGWqvVsefz8ZE93+DB4GfyIsBqwGSCSmOV22dValYMBnj7bXGKpKSI4/essyRdLi5OPjOtOmBr1gXl7B67mTgC6UUY8d3h6qsbfw8+PuKcWb3atb229pdC0Vwcid4iSFp0KkVyJlI1IBR1EB1g5vWTenP96Djyyy30CffFlulNWJhE7G/YIOtyVJTIg9Rm3TopflqXzrI3XlzPaP5lrkv76TgEayurbOgegn2697QRFibrB0hV6NhYz/vs+HjRcN61C6ZMkVoIis6HilxsI0T6mzm2eyin9I1otGHRzn/+IxvH7z/04ZpBCS6vRfmbGBNdf0rg339LhOEdd4hHefJk2LJFhOEvusj9evsEN4AoutRKxTvfNpjTBoeyaJGkyNQXru2J/Hw5sPfrByefDP37u0Y4Dh0q6YXDhskE9uKLklY6cSK8/75jElQcfU6nn9um6WpGsJtcEgjiPU5hKt1ap3PVDB4M3bppzJihkZcn2pxPPQUPPSRixZdcIovzggWSfmAvHOSJriE+3DPBNfQn0s/EkCPQXExMdDc2+Ps3LDVR4YrZaOTO8a5/H6OmcVrfIw/H6xbiy6ze4czoGVZjWAT47DPRT3zgAak6fu65Mo4++0yite1e5rIykZdwRtPq18sZMtBA2MJBbO+9k3+f/YRdj3xPoK+Bi3DNA+xCUJ1Fu+rC11fm+rVrHWN/1qxGfYSiGfHCwO2M43bGEYIPQ4nmp8qLyFwQw8cfi6RIfdEJDWXaNMd8t3ChODbWr5fIiNdfd5eR2LxZdGiHDxdD5LZt0t4/wo8hURLV4xNdgcEAv87x5fx9k1zeb8LAFGPjRZd69xYN6VtvlQi3p56SCI2TT4Z585py552T7dtF83rECNFY3bhR2pcvlz3f7bfLgXHSJMdrvXsZ2LsXxu9xdwxOzxmId14gW7dK1OHOnSLcP3WqRCAOGyayNT8uquCNf9LwfWMhubFZ/MKFxDdCtsETJ50kVaQjIyUC9+OPZd/XEHbtkvn4s89kTCsUhyOVIsKaqLcI4IMX/pg9RqQrFM6E+ZqYnBjCqX0j6Bfhz4ABMtfZ2b9fzgonnOD+3ssuc48ir81J9OZFTiAafxIJ5lPOYCJdsVqlQOBHD4cxs8S1OlYPQhkTFMmaNbJXWLVKjIc9orx5eIqrFzLI20h370Cee04Cnf7zH9HHte8XFJ0HTfdkeu7AtLXy9bmlVRwsrCDYx4vEkCMvdbxjh2wOI3uUs5tcvt2RycBIf84bGFWv3lhZmVR0+uMP1/YXX5SN/d69ov82Z45oHz73nOiE2SMFt5DJB6znb1I4n4GczYAma0SVl0sUz1NPOdruvFOiH+2pW1VV4snZtk2Mjn/84UgLB0k7u+CCJn390aZe12VbG58NoQory0nhJVZRSAW3cAzH0Y1SqtDQiDqClJLDkZpXyfoNsHePRmS4xphR0LNr3QHZCxbAVz9XEt+vkoJ0Lz5/04eCAjlYDxnS8O/NKK5k4b5cPt2YwfDYAC4aFM2QmCMLm127Vg7udj2xd9+VhbmFOaxrvbXH6OaMYv49VIRN1xkdH8iwGHfdw9IqC0v3F/LO2lSCvI1cOTyW8V2C6y3oUlRhYX9BOb5eBnqE+qI1IMwqKUk2Wzt2SFTVa69BVnUGyezZMmeCRG8vWCAR2NdfL1FiS5aIF/rVVyXyxlOhDzsHD8K27TrWqCJiQ0wMTvDloDGfb9nGl2xlIl25kuGtWpW9Belwc2htrNhIp5iybDPnz/RhbXWmktEI8+eLIbupVFbK2rlzp6RIDx8u63hBgYzT2uMwNVUcjc6pyIMGyWEkMlIqC3+7LYvtGSVMt/Xm/ttMDJ1SxvTn9vJjwmritEBuYgzj6VLjhDpQUE5umYW4QDOR/vUMfCRS8bvv4PLLXdsTEyWCLbL5gjqbizY1h+bkiIam89d16QIrVohj4ZdfXK9/+mm44y4byfnl7Frjzauv25jxeAoL+q9E97JxXvFw4nOi8aowoaUFUpBjpKBAHGEjRsj+0JkyqsihjDB88KP+v3VjSEuT30Nd8iW1sVcyzahW8PHzk0IwzhkxnYgOP4c2F0+wlB1kcxWNjJBw4kbm8x6ncgwJh79YAW1sDm1NVq+W84l3kAWvrkXsLihhVEwQm38L4InHDVRWyjx+992e5U6cKa60cLCwAqtfBZG+ZqK0gJrvGDdOItlvfSkP65nbWNFlG1Ppxn8YWqfTOqe0ij+S8vhwfTp9I3y5cGAMD10VyMKFrte9+644gDZudGRZNqQATRtHhSHXg0qLbkU2pBdz+dwdbEgvJszXizdP6sPp/SIwG5seUNqvnzx+3V3CHd/sYWhMAD/uyOGnnTksvHgIveqIirRYRKC1NtnVMmU9e0pE4COPSGpK7UIXg4jivxxPJdYmCx/b2blTNrjO/Pe/Yiy0R0DOnw9nnOEIyz7mGDl8fPihPH/1VTjzzIbp+CiODBNGptKdiSSio2NC0uMCObr/+AXlVfzwk85Nl3vXjIPjZtj4+CMbCXGef0PWmEKW993O7twyokJN3P9hP165OYycnMatE9EBZi4ZEsMlQxpXoKA+Ro6ERYvk8BMW1vBDU2diXVoRUz/aQFGlpF36mQwsvmwYo+Ndo2H8TF7M7BXGTCct2/rYmV3KDfN38UdSPn4mA09P68Hlw2II9K57Ltu1S6L87EYXX19xiNx+u2tVcpC/6YYNkhL9wANiHHrwQcjLk4JW9RkWQdL6ExI0cIr6SSSEOxjPjYzBjBFN7XU6DEYMxBPEt4upMSyCbP7vuksMIk2tJD9/vqyN9jlz+nSJ+q/rYLJ7t7vG4ZYt0h4ZCX0j/HhgciJJeWWc880GTv9fJGFGH1YuMfLS9DMYFhOEsTpJxqbr/Lo7lyt+2kFmSRV9w3355Iz+jImvO5otMNBz+lVurhhKFfWzd6+rYRFkHjp40POeL7RrBXcvSuHVf1PxNxt47o5+jDYkcn5RF77Ymcrrm7LYmLGVMVHBTEwewv+ec7z30Ufh3ntd912+mEjAQ67dEVK7ivThmD/fYVgE0RV96SUZ+3WlEioUhyg8oshFgGj82U++Mi4qGoXFItrrq1bpXPC/dJ5d5BCcvWBgJP+u74u3wYvERM+1C5zZnVPKrQv2MH9PLr5eBmYf242rhnsT4mti/XqHDvhLt4YS/fQEJk4by50vGomOqntfGe5n4tyBUZxbrRWZlwf79rlfFxYmxsv8fHkeGSkBQaoIV8dFpUW3EnllVVz9kxgWAXLLLJz/7Ta2ZJYc8Wfnl1dxz+/7KKmy8c+BQvYXlJOUX87iPUVk1lHUJTBQCqbUxjmFz8dHvA11lZTX0I7YsAiSDl37MKHr0g6yQbzxRtdrVq6Ebt0cz2NjVYWqlsYLQ41hsSXYmWzl8Xu8XcbBn4sMrF7rORo7vaiCGxdvY3eeVCbKLKnigc1buOOpUnx9xfhTUdECHa+H0FBxDijDomfmbMmsMSwClFbZeHfdkVVirLLaeHZ5Cn8k5dd85i0L9rAmtf4CPYsXuxpdysokCmjSJElPqR34aN+86bpELj7xBLz55pEfbL3xcjMsHjokhuo//nDoninaH540V1NSRCuxKaSnS3qs85z5+++ulShrU5euYe32ebtzWJtWwps7k3ly2w6+3ZvB3b/t559/dHbulGt2ZJdy5tdbyCwRy/vOnDLO/3YbGcX1T7xDhrj/Tm66qfEGps6Ij497wTI7N93k+tzXF/Tueby06hBWXaewwsp1i7eyqjyDzXlF3L0ouaaAz6zQLrz4vOt6P3s2NX9rOykpMt/99Zcjqrs18HTo3bnT1QmkUNTmIEWEH4HmIkAE/uxXRV06Pbt3i5Nj+XIxxB2OigpxYp97bTmv70pyeW3O1ixSjYX06nV4w6LFZuPlVQeZv0f0wsosNu5atI9/q/e4tWVQMjJg8xovTF6Nc1iHhrrbEfr2lX2o3bAIsg58+22jPlrRzlDGxVYitaiSNWmuGhw6sDe3zPMbGkFZlY2MEneX/p6DFs4/X1L5PHH66fDGG1KIYPhw+PlnGDv2iLvTaHr2dI+iiI2VdpCD1aFD7u+zbxK9vOC225Q3uqNTVqq5RCLYcV7EnDlYVEFygWvVlEqrTmiPMs48U6JiP/5YHTbaMvvz3aveJOWXcyTyHpklVXy33f3Uuy27/pK5niqUpqTAcceJLMPrr7u+1rMnnH22a9vNNzd/esj27dKH44+XqLQTT3StLKhoPwwe7G6kvuqqphvVSko8G5vrmjNB9I4vucS17brr5NDgjKc9R2pxBa++pTNihMgA7Msro9Lq+ltNyi/nQGH9xsXhw8VYPmGCRPE+8YT0oS6jmcJBnz7uB76zz5a/30knSVGWHj3EgDtvHixMcZ8LP9+cSVGFa5EeS6nRzQlss0l6vZ1Nm6S41cyZMiedc07rVXY++WT3tmuuUUWBFPWTRhHhRxi5GIU/yeQ3T4cU7ZIVK2DUKJlzJ06UObmuYB87/v4yR/kE2CiutLq97qnNE9klVXyzzX1etwc3jRrlfuZ+9lmJOGws55wDL78swT4jR4pcmSfNxa1bG//ZivaD2pq1EiE+XsQEuOfCRR9Gf6ghxASY+b9RcS5tBg1CygL46y/xIHsiMtJRiW/xYtmM+Ry5DGSjiYuDuXNlM+rlJQVbfv7ZMfnFxUnBBGcMBjmA/Pe/4hUaP77l+61oWRITNI4/yXVxNRphQH/P3rYwHxOBZvfIyoI0M2lpEs1z443iKVS0TS4eEu3Wds3I2AbpI9ZFkLexpiiFMwmB9af1e9LDvOIK0b4ZM0bSo4OCJAr13XfFeP3SS/DNNxLh89NPcP/9zT/HfvGF6xjeuFG+S9H+GDUKvv9e9AV9fMQYfcMNTTeqxcVJRV9njEZ3Q6EzgYFy0PjuOxm3P/wg6a9+tYJ5pnULdXvvqdHx/DHPi9JS0ZMNNbnvbwLNRsJ86g+9MBikWIi96NADDxxeX0oh+PhI0ZWffpK/3zffyDwUEgLh4XJ4Xb0ali6VOW1svLs298SuQQyI9MfXyzHwigOKia41HcfHO4qQWSzwyiuujuAlS+TRGkycKPNwVJSM6SeeqL9wm0IBkE7xERsXo/EnSRkXOy0FBbIftGffAXz6qavkSV2ccQZ0D/Nhepdwl3Z/k5F+EQ2LqA3y9mK4B0347tV1Hnr3Fufde++JJNmSJeIQagrR0bJPWbNGdJnHj3fXSwYpqqnouKjYrlYiPsibd07pw5lfbcViE/fvTaPjGBZz+OIXBQUSaRAR4bk6lKZpXDkiFl2HN9emEulr5vKEHrx7n8Q+r1snh+C6CA+v+7WWYvhw+PFH0VUKC3MN2/bxkYIvmgZffy2RDK+/LpOhilbsPHSLMvPEUxZ8zFZ+/tFIYjedF1+2MWq459TsHmG+vDmrD5fO3U71T46r+3Tly1cdC3RVlXgTBw5siTtQNJYpiSF8dFpfHl2yH6tN56HJiUzv7m7UaAyB3l48O6MnJ3y2qcYTPKtXGKPj3QvFOHPMMbIZu+ceKC6WFMOLLpJIGF9fOdBfeqnMSTHV0pxBQe7Ri40lJ0e+LzbWXavRavXsPFq2DO6448i+V9HymM2SUTBhgqTdx8U1bo3Lz5f9QmSkGAN9feHJJ8Wg+M03InHy2msisF4fsbGi03jmmXVfMzYhiO/PHch9f+yjsMLK+V27cHBRBLmSicXOnRCJHw9PTmT20v2AOD3fPrkPPcIadngPCHAUkVM0nMhIKdh3yimeX3eOUDmtXzgfrE9jV3UWTZcgby4ZEs3AKH8WXjKE+37fx7bsUozB5Xz9vZW7bzeyapUcIl9+WQyMIHPUsmWOzzWZZF2tK3OmMVitYrT09sbNwFkXwcES9XvyyWL4jI93jwpWKJzR0cmi9IjToqPx54BKi+60pKWJcyM93TVy21MGXm1iY+Hyi4yMONiTtzZ48832TAZH+fPUtB70j2xYsUw/s5HHpnZnxcFCCqsj0I/rHsLYBIfWcd++9TsZG4uzHWHmTHHmPP20OAoffbRVilUqWhBVLboVsdp0tmWVsCe3jNhAMyn55Xy7PZv+EX6cPSCSwdHuu+hVqySces0a0UN89tm6q9zqus5X8yr57isDP39jqtGT+/prCV1u71RUSCVLf/92pVGnqvQ1AzmlVfyVnMdXW7OY3iWM4QHhJER4ERdTd0jPypUw52sbsUNKMIaXM7iHmVcf9Gf+j47TekCARMb06tUSd9EmaRdV+rJLKtHhsJVmG8PO7BJ2ZJcRaDYyONq/QZ9dUmlh3e5KdmWUk20qZlqPEEbVU5ziSLBYRB/v5ptlg3rxxRL5WHusvvwy3Hqra9v779fvUGpndLg5tNJiY/mBAj7blIHZy8DFg6M5JiGo3urmh2P5chkH69dL4aGnn3Y4TcrL5cBzNNbOvLIq9ibZOHW6t0sK9sSJknareVvYkllCenEl3UJ8GBjlf0RF7Nog7WIOrY+DheVsySzBZoOBUf4khjjCq4srLBRVWon0N+FlMFBQIA6P8HDXStG6LkWrnnoKTjm3itHn5rOqOJNB0X5cNNzz/rYhpKRIwb7XXxeNr//9TyIQWyPLph3T4ebQo0EOpfTgFeZyZGFWBZRzKT9SwL3N1LMOT7ufQ0Ece19/Lfu0igpxNuflSXYJiCN46lTP7y2rsrIsRfYEIb5eXDgomhGx/mSVWgjyNhJgbnwkze6cUrZnleJvNjIoyp9oD9mTRwtdl0JimtZhsg6Ua6oeVJxXK2I0aAyODmBwdAAvrjjA7Qsd1QHeXJPKsiuG08epuvO+faKfZReCXbBADplLlng+IGiaxuj+3nyaKxObwSDRNZMnN73PFgv8+6+IsRoMEskwdmzrFE/x9hZ9SEXn4+ON6dxR/Xv5dlsWUf4mll0+HOrwMG/aJELKB5INeHsFYrEEMucRidpZ849EK0ZESKpCJzYsthsimtGoaKdvhD99IxrmCbbz2948zvrWIR7ju9TAsiuGMyK2/qjHprBpk0Td2AvDfPihzOuzZ8sm1Z7WeNxxcN558NVXspG7/HLRX1S0LfLz5W82dy5Mv7qAi37biN3V++7aNJb+Zxjju7qnqDaEXbskWqC4Wtb5558lSuL338Ug4+Nz9NbOUF8TA7rBI4+I9nFZmeg2vvaaRO6CF+O6NO2+FC1DQpAPCUGerXUB3l4EeDuODsHBrkZFO/a558ABiDotnYe3yHo9Lxk+2JzK8iuG0zu88RFhH30EL7wg/z9wIOzfL3Im8fFiZBwxotEfqVB4JJ1iIo4wahEgCG8s2CignGCUFbyz8PffIjth5/XX4d57JZL8nntEk7Au/kjK45Q5W2qev70mjb8vH8boI3Be9w73a9KcezjS0yUF+rffYPRo2XvUPkdpWt3FYBUdD2VcbAMcLCzn0SXJLm1ZpVVsSC92MS7u2eNeYWrHDkkzqSv6oGdP+PJLqWpqMskP3rt+KTFAvsfPz/3aFSvkAFtTtv4lMW5OmHD4z1QomoMDBeU8ujjZpS2zpIoNGcUeF87SUnjueZ3PP3M4mkaNkkdAgOhNZWbKb0gtfoqGUlRh4Ynq9E47ZRYbS5Lzj4pxcft2x7xrp6JCNPh++02ef/qppF2//rp4yzVN5vymFC2oqJDfTuiRZZ0r6uCLL+Rvd8w4nUM7D+KcQ2LVdb7YkkFiiA/xQQ1YsGuxe7fDsGhn3TrZK9T397S/50hTj/384OqrxdBdWCjzamTkkX2mov3Rqxc8+r9yhr+X7NJu39/2DvfDatNJyi/HatPpHuKD2avuKNbMTNFNHDpUHsOGiZaZnf/9Tw70w4YdldtRdDKaQ28RQEMjhgBSKGCwMi52Gn7+2b1t0SI5R3frVndQTlmVlWeWpbi0VVhtLNiTe0TGxebGahVbwbPPii0A4JNPZP6dP7/phecU7Z9Wz0XRNG2mpmk7NU3bo2maW8y4pmkXaZq2qfrxj6ZpQ51eS9Y0bbOmaRs0TWvb8dH1oOtgtbm322qlrPsHul9kMrmXka9NYKD82AcOPLxh8eBB0UYYPVqKpqxc6fr622+7HnCtVvEkN5TMTIew+Pffe65cqVDUh44cvt3aPSg8pGdbWbiqjM8/0wgIEM0yEFmB8eMlwuuSSyR9wf6aQtEQ8sqr3CrfAuQX6rz9tqSjNieeooNGjnQYFu18+62kDg4ZItWGm2JYXLFCjJRjxsAzz0j0kaL5OHRIUkZBMgA8zWc5ZRbO+GoLn21Kp6zKsejqugjBv/yypId6GmeexoqPT91Gw+JiKdgyZYpkNnzzDRQVNeXOHBgMIhQ/cqQyLHZmTKY69rdAVkkljy9NZtAbqxn4xmpu+W0PKQXldX6Wnx889JCMKx8fOcg6U1zcegVj7L/Ll16SKN2NG1unH4rmI41iQhthXNR1+Pp/QZwR3YWLe8ez4hfHe6PxJ0XpLnYqevZ0b+va00K+T1G92X46UGVz3xN42icAbN4Mb74pzpV//3V3Qh8NduyQzIT335d9iDMbNqhq0J2dVjUuappmBF4HTgQGABdomjag1mVJwBRd14cAjwPv1Hr9WF3Xh+m6Puqod/gokRDkzX2TXEOmgr29GFpLk8YaVsw5l1a5tN31gIXevY9cN3PLFnjxRXj+eRGR9/cXI+D06a5l5EtL3d9bUtKw7ygtFaPiaadJytRZZ8Fdd7lW0FIoDkeXIG/um+j6ewnx8WJItGtKa1UV/LGtmM0ZxTz8MFx3nYTrP/OMFONISpIFculSSRtdvbol70LR3lm6v4BzB7paTUwGjYCcEK67TjTmnCWBKislquaRR2QTuGlT475v+HD5TDuaVrcAt8XSuM92ZvNmmDYNfvlFouXvu08MWUfymQpXbDYZDwCrVmocHxzv8roGjIoLZHVqEZf8sIMN6Y4wxFWrJFPg1ltFf7P2OANxJF5yiWvbM8/ULfmwdKkYk9etE2Pluee2npHmcKSniwH9rrvg88+V4bu5Wb9e9oGPPQb//CPr6JGQUNd6HeXP4uR8HluynwqrDauu89aaVH7YkVXnZxUWwnPPyd8/L0+0Q2tj1xZvaVasEIflbbeJ/NCECe6/S0X7Ip1iQhoRafjVf4P46c1Arnsul9OuL+LZKyL4d4EYGKPwZ78yLnYqTjrJteCUvz9MPKeYEz7fRHJ+WZ3v8zMZuXuC65zpZdA4oWeY27UbNsCkSfB//ydF+yZMEL3lo0lOjuhHvvqqnP89GTOd94u7dsFbb0kq+G+/HbnjUtH2ae206DHAHl3X9wFomvYlcBpQY87Sdf0fp+tXAgkt2sMWQNM0rh4eS5cgb95fl8aQGH+uGBbrVgnqr7RcqqZW8PjUWMpyTPhHV7KeNPIrexBparoG2datErFgr+oIsoF7+GGZOLZsgQHVJt9rr4UffnB9f0MLBezaBW+84dr2+eeyERs7tsndV3QyNE3j5Ng4Qqd58/XudAZF+nPVyFj61dLL27MHdmSVEhvky5Pv6aSmOtKin34aspzOMDabLMjjxrXUXShaiuT8MixWna7B9afcNZbPN2VSabPx5HHd+X1fHiE+XpzUO4y5j0ooeWmppL6OqnZ7/fWXaObanc/BwWJsHDy4Yd8XHw9z5sjhPydHdOy6dhWjo3P02oQJ0KdP0+9ryxbRyXPm1VdF16xbt6Z/rsJBQgLcfbcYmq1W+PKZEF64fwh/FR8CTSo5vr02teb6XTmlNTqFb7/takApLYXPPnOMM5DU5xdegAsvlKJn3XvqxPaqIL1EIy7QPX3h/ffd+/juu6Lx2ZYoLRWj11tvOdpOPhk+/ti14rGiaaxbJ5Grdofx7Nmi09nUyp66rrO/oJzT+4WTEGTmg/XpLvvb5/5xtwx/ujGTa0fG4ePlHtqza5dI/IAcUm+5BR5/3PG6l1fdBRKOJkVFMkfaHQYg/4bffuv6u1S0L1IpIqyBxsWUnV588XQwt76eQ1iMjehEK5c8kM8z/4ng4+2HiAj1Yz/5R7fDijbFwIHwza8V/LnCgs2iYYwt4cl9O8kts7A/v4JuIXVHxR7fI5SfLxjE66sPEeZj4vrR8YypTomuqJA9X3KyyOUUONmsLRZZ+8eNk6jxo8GePY5gjGXLxIg6b57j9S5dHDaDffskqCMpSZ4/9xy89x5ceeXR6ZuibdAg46KmaQbgmFqGvuYgHnDeXRwE6jMzXQn86vRcBxZqmqYDb+u6Xjuqsd0QFWDm0qExXDwkGoPmuQhRzzAfHl2SzI9U5xLvgxk9QtmSWcKkRC+8DE07OC9b5mpYBDH6nXiiGBKdq/BNngy//iqRNwaD6N00VG+xrMxz6mrt1OiqKpm8rFYJK29KWp+i4/Lvv3DccWYglpGjYths0zC/CdTS9ygvB3OVieI8M+ecI2nRaWli8HnjDTjhBNfrDycvoGhfFJRX8fHGDB74M4myKhv/GRbNg5O70S2keTSPxsQHMnvpfv7eX8CY+CD25ZWzamc5GzY45u+DB+W/JSVyUHee/woKRAS7ocZFEKNUQi332pw5YlyaPx9OPVUMSqGhMoeWlEBiIoSENPw7PFVdDQw8ehvVzoimidB7XBy88w4MGmhkSnwYVw0M4IqfdnLnwr0uGoxR1QWMdF2MhbVJShKji3MaVlSUbOq3ZZVw64I9LFqcR7S/mc9O7U9MVTAGzUDPniKV4ilt2Tnioq2we7cYV5355Rc5YCnd5yNn3jzXTBSbTaIYJ0yQjJbGkF9exUcb0nnwz2TKLTZuGB3Ll2f3JzbQMcHUzjYAmVe966ge7izrU1goaciffSaOQm9vScFvaWNeVRX8+KPn36WS/WnfpFJETxomOvze/aFMOaeEsBiHBkDPoVUMGFfOR48G0/dlf/aSW88nKDoiPlEVPJ69XlKaq2smGDWNMN/6zS+B3l6c3CeCWb3D3WwCc+eKpFO3bhK1WJu0NJmXjtaezdtb9jC6Lk7zm2+Wx/Ll0p8rrxTHt/0sf/HFEtn9++/y/nvuEfuCkqLquDTIGqXrug3471H4fk9WNI85vpqmHYsYF+9xap6g6/oIJK36Bk3TPNZB1jTtGk3T1miatiYrq+6Ui7ZAXYZFgAldgrliWAz3TuzKBYOiiPD1YlbvcGZ8uoktGQ3MTfZAbeF3EE+sn594H5zFsX195cAyb55s6o8/vuHGv1693A/SiYlyYLBvaDMypBDBmWdK2PWVV4p3piPTnsZna2OxSIpmSYk8li7R+PtvqZxemx49wC8vkAgvHz77TIpd5OTIYclicR234eGuKad1kVFcyYGCcjc91I5OexyjKw4UcsuCPRRXWrHqOu+vT+eTjelN/ryyKiv788vJK5M8wfMGRZEQ6E2VTWf5gQKySyvpWhTlkqZ53HHiJLFY3ItxQfNIQvTtK9E7y5dLJFxcnETMnHeezN0nnugqbXE4hg1zT5995hmJnGyrtLfxadN1qnzLOfn8Sv7+Wzz5o0ZBsK+Z60fHYzI69gGn9w3n/9k77/CmyveNf05Gm6R7T7rZe8seggsUUL8quBX33nuLe+/xc+BCXAgoyhAQEJC9obQFCqV77yZNzu+Pp2maJoUWkKG5r6sX5OSck5PkzXve536e5757R4lEip2UbI5BgyTgaI4qs5W75qezcE8JWkXh5i7xzP0/X3r20NC9u3QNZGXBVVc5EzdeXuL0e7LBbHafoDxRrbBtwakwRktLXbcVFx+ZhtfKA+XcNT+DKovMv2+tyeazTXlO+5yTEkL7YAfZGGbSc33fKBRFwVxvI7O0lqJqRzlg584waZLMcQMHyvrz5ZelFfmBB2SeO95jIS0NbrpJJISa45JLju+1HA1OhfF5vJFDJcGt0Fw8mKFj0xIDQye4trqeeXkV86f7YcgJZJ+nLfqocCqO0eyKOm4f6Lx4enJkPJ1CW+fa3JwTOHhQ7tsgsXG3bq7H3HabxO//FCISLEz/uZa+A4RIf+st4Qzmz5fCo27dJOHz5JNS1fjMM5IUf+MNqS6vrDx6uQ0PTm60pS16gaIoFwA/qeoxi6yzgHZNHscCLvk/RVF6AP8HnK2qapF9u6qq2Q3/5iuKMgtps17W/PiGisaPAPr163dKsQL5lWZK6+rRaRQOltdRWlfP9M15dAgx8ubZ7Xn6z31YVZXdxTX0OkKH0iFDxLWq6QJy2jSp/Bo0SCawkBDRi7DjSDIiYWHS0vT115LF6NdPCJ2PPpJAxsdHtkdGQp8+Enj37CnVPa1tvT4VcSqPz+MNi0WqVJojLc11W1UVdEvy5pJLpAUxI0MC6fJy+OAjG9bYMgaMNlFywJtRo6SFoSVUmeuZtauQ+xbuoay2ntsHxnDrgBhi/f8bzn+nwhjNKK5hb0kNft5aQow6/txf6rLPF5vzuG1ADEHGtk1gOwuqeGTxHuakFtMlzMg7Z3dgeEIgy6/pxbb8KlQVLFk+7FhlpGNHmU8nTxZ9vKuukhboe+6BqVMd59RoRNvwSGGzSWvMpk0yd/brJwu4RYukOjc5WaoY33kHHnlEtrUmEZSYKFWQS5fK3D9ixMkvF3AqjE87DpTV8u7ag7z190ECDDpeHpvEpE6h+HjJcmx0YhDrruvL7qKaRi3Zijwvvv9NyJ/OncUN/K23ZJxNmSLJPndBxsGKOhZklDAyMoSz9Ems/MhEgL/Ciy8KYfzxxzJurrtOOhgWLxbybvRo1wqw7Io6qsxWYv29MeoPoUbfCuRU1JFaWI1eq6FzqIlgU+t+j8nJMhZXrXJsS0qCTp2O6nKOC06FMXreeRIcNsWdd8q8UWWuZ1dhDSU1FpKCDSQFOUevB8vrqLZYaRfgjUGnZem+Upfz/7SzgLP927F1s9Q19OljYvEVvdiSV4XFZqNbuC/JwUbSiqp5+s99fLu9gMRAA2+f3Z4xSUEEBio8/LCYD23eLEFq167y/4oKWUd27nx8ZXbKy2WtsWKFJHdmzJD18X33ua8qOllxKozP4428VpKLs9/1Y8BZNXgbXT82v2Ab/cbWsPa1OA68vPQfuMr/Dk7FMfr5plxyKi08OzqRaosVk17L/tIadBohDcvr6smtNBPorSPc9/Dl4dXVYoxqx18rbfywrIa0nDp0Nd7EeBs5Y+w/Y6dhsdpYuKeE2+alcaC8jkvui+Be/3jUIiPDhglPYMeKFfDcc9JF89BDIrfzxx+yzWh07cAxm2U9u2WLHNO3r6xFPTg10RZy8W7AB6hXFKUWqTpUVVU9Gl/0tUB7RVESgYPAJcCUpjsoihIH/ARcrqrq7ibbfQCNqqoVDf8/A3j6KK7lpIKqqvyxt4Tr5+7mhn7R7MivYl9ZLcsyJfO1s7Caq2fv4stJndmWX0Wgtw6bqh6y8rEl9OsHCxbIjz43V/594w0pd7bjk0+ODcEXGCgkz9ixkmW+/35pFwwNlefLyyUQtmeff/kFXn1VWqo97dEeGI0SCN98s/P25tpgBQWiD9qzp2jFvfKKo/V//nx4Zhp8mLaLaF8vvprSmfYhh07z/Z0lxgp2vPjXAYIMOh4YGn8s3pYHR4llmaWcN2MbZXWiIn1dnyj6RLla4/aIMGHSt23hVVZr4fq5qaw4IGWGW/OrOevrLay7vi9dwnwadXN+SRM9mXPOEeLvhRdk3NnbjCdOBI1G5bXXFEJCVG6910p4ewu0wY3S6T0vk3nULpwdFyek08SJjn1++glefFGqevLzpVK8NWjfXv48OPb4emseL/4l5a01lWYun7WLP67oyehEab/TKArdI3zp3mDolpEh1af2BIpGA1/9YOGhN2tZ/bMvzz+vUFUl33Fz+Htr6RBiZJQlmQdvdMxxJpN0CDz6KMycKdWQ/fq5bymtq7cyZ3cRt85Lo6DKwsROIbwwJpkOh5kzW8KOgioumLmNXUVS5XNGUhAfntvhkPpTdgQHw2efCSk6Z45oAd52m6e96ljhtNMksfDcc0LW3XefdKqU1Vp46a8DPLdiPyCGg3Mnd2NYfCA1Fis/7yrk9t/TKaq2cGGXMJ4fnUj7YNfv86HkrowYpmkU9PfzgyVLDJzT15Gkq6238ujivXy3QyqT0oprGD9jK2um9iGozo/zz3eY+Pz2m3S3dOsmwStIG97xJBcTE6XSe8ECWL1a5n9vb0nKtOTO7sGpgTyqDksu1ltg4Ve+3Pxayy3PQydW89btwdQ8ZcZisqLn6JIzHpx8yK6oY312BflVZjqEmOgb7YtJr6NXpB8//7mPvw862lTuHdwORVHYnFvJLfN289eBchIDDXx0bgdOTwxCOUQcHxsra7yff4bEJJW+V+UzeWkqFpuKXqPw+cROBAWH474x9OiwObeSc2dsxW5k/eX2XHS94IP/dXDRM7cbwt11l8T49g7JuXOlU6O5W/bChXDuuY7OhK5dZV8PwXhqotVRlqqqfqqqalRV9VJV1b/h8dEQi6iqWg/cCswHdgLfqaq6XVGUGxVFubFht8eBEOA9RVE2KYpi91+LAFYoirIZWAP8qqqqm+bIUxM7C6oZ/802Ag061hwsJy7Q0Egs2mG2qmzNr+KZZZmMn7GV5ZmlR/RaOp1UKsydK+6A3t7OxCKItmJm5hG+mSYYMADuvVcInq1b5f9XXeV4Pj3dta3l6689TqUeODBxolTA+vhIpuyDD1wrBLZtE2La21tuYs01RV95ScOFsbGsya7g512FANTbbOQ0VOc0xzI3VXAfbcihuNpT23+iUVBl5rq5qY3EIsDHG3Iw6DROrSe+XloeHBqPtxujgENhf1ldI7FoR029jd1Fzi1QQ4dKi96iRRKg338/TJjgeD4kBDqdXkbnB3fidcU2LtnwF6O+3MSuwrZLWtiNLZrOi7GxQi42hdUqVT3nnCPVkx6cWBRVm/l4g6sQ26Hu3WvWOFdm22zw/JM65hVk0/msUnx83M+BAJG+3nx0ele+ftuZCKyuFs1Po/Hw1VUbcyu56Psd5FdZUIFZu4p4cuk+6urb3itrU1U+2ZDTSCwCLNhTwuK9pa0+R8eOQuKvWSPj3V3FpgdHBm9vIbLnzxezqUsvlYTw2oMVjcQiQFldPdf/kkphlZkNOZVM+WknhdUyPr7fUcBzf+1nRHwAnZvMv7f0j+b3n/ROTqEVFfD1184FSAfLzXy/w7nlsd6msquwmq1bXd3Bv/xSglI7IiOP9lNoGyIi4PvvpS26okL0T2+6yWN+daqjjnqqMOOHqwFWU6xbaCQ40kpYbMvzYWiMlfjOFkzf9eYgHqvcUxl19VayK+qosTi+7/wqM9fM3sV5325j6tzdDP98E99ukznswi5hxPg5KhLDTHqu6BFBcY2ZK2bt5K+GteXe0lrGf7ONnYXVh3x9o1ESxlOmwGV3VjNtmxCLABabyrVzUtlddOhzHCl2FFY3Eot2fLE5j+xKs8u+3btLBXdNjav02rRpUFjoeFxcLJ09TXtit28XTV0PTk20yS1aUZQYIL7pcaqqurQhtwWqqs4D5jXb9kGT/08Fpro5bg/Q82he+2RGekkNdVYbncNMrDxQTnyAgUCDjtJaZ5bNq0GbyWJTeWLpPn6d4o+P15FlxYxG+XOnA1ZWBkXl9cQfpcG4j48sWE8/XcqgY2KcMxjuRMNVVbSmPPAAICoKHntMWqC0WvdVK/Yx/Ntv8L//uT5vsznyeov2lHBZ9wieWZ7Jd9sL6BHhw3OjEzmtnYONiXHjsJocZMTYxio4D449imvqXYg+kIqX0xODeGRYHAadhq5hPnQOczUQOBx8vLT4emmpbEY6Bxqc59nAQKmWPfdcIfViY53nrRqLlaeWZTI/wyG+mFVRx/z0Ehen88Ohthb273fepigyrptDVeX30hZTF3cwmyURdYS+YR4AJr2WpEAje0pqnbbH+rccwDZPjADkZCsM1nnxU8k+1m3xp11Ey/f8LuEmtzqFqiqVD5MuUFFVTYv32FQ3gcp32wt4/vQk4gPbttaosUhbVXP8fbCca3pHuTnCPTSaox/PHrQMu15XUbWFH3bkk+MmeNxVWENxTb3b5MiMrfk8OSKB3y/rwebcSsxWG7H+3jz+juvksXu382OTXkO4jxd5Vc6vGWDQUd/COLbj2mudNcKPF3r1EvPDwkJp6fMkck595DdULWoOUwG2+FsTPYbXHnIfgAFn1bDng95kXlVKAoHH6Co9OJ7Yll/Jc8v3Mz+jmOFxATwxMpFekb5syat0WtcB3D0/g9MTA+ka7sOyq3uzJa8SVRUTq+RgE5tyKtiS7zx31lltpBfX0OUw69QOHeDTT+GPPWbM3zlPirX1NvIqLXQKPfL3abHIelLXLNwPNLjG/zH+Xvi4iYNGj4b+/d1rJDdfp1ZXuze/Kitz3ebBqYFWhwmKorwI/AU8CtzX8HfvP3Rd/3mENDhJbcypZHA7f77dls+dpzmLFIxMCGRHQTXBRh3Rfl7sK62j5giqCSwWcbrLypL259xcZ3F3gDFnW1lXWcixktuMjJQ2vual0Wee6UowPvSQp73EA2coCrRr13I7XMeODg1Pnc41EL32zjp+PChWvv38QnjkXh3JNeHYVJUl+0oZ+9UWUpsETSMSAkls4jLsrdXw+Ij4o9Ye8+DoEeajp1ek6wRh0mt5d+1BkgKNXNgl/IiIRYDEQAOvnZHstO2CzqF0D3d/vuhoGZvNyZo6q429pa5ByM6CKrIrDh+cNEVwMNx4o/O21atFMqApNBoxxurfX9qii4poM3JyRBd32DA5vyebfOQw6rU8MTLeyQ03MdDAsPjAFo/p3dt1LJ1/uZmFeXnsK63D6NfyPb+oCLBpePRR5+0GAwwdYeX+R6zccJ2Gu+92tJU2R4jBVQ8xKciAr1fbWWaTXsOETiEu24fHBbb5XB788/gtvYgbf01D5yaj0C/KlzAfPe0CDI1JbjvaBxvx9dISF2Dg3I6hXNAlnNLaeoae55oEuuRSlabeDFF+3rxzTooTpTMyPoDekb507+56z7/hBqkS/OIL6YQJcR1exwW+vnIdHmLx34FcKgnh0NIP9RZYNbd15GKXQXVYMgJYndq2e70HJwfyK81c/P0OZmzLp7imnp9Tizj3m61klddSVut6Dy6rq6faIixaUpCRiZ3CmNQ5jORgGVP+3jr83BQChRzGRdoOb29ICfd2kfnx9dIS7Xd47UZ3KC+XJMnYsXDBBaK73bQ7pk+UL4NjHQ2rCvD22e0J83F9vcRE0cY9/XRXc5nHHnNIoYEUjLhbu3q6Ek5dtKUMbSLQUVXVU8CX79RH13AfrusTxccbcrikWzg7C6qZk1rItNGJ6DUKcQEGFu8tIT7QwPC4ABRF7O2zyuoINupbrb2YmiqtfD/9BD16iMPor79K2fX06dKOddZEC10mFvHgsnTGdwsi2k0V17FCv34yof3f/4lz9I03inbN4VBbKxkSjy7jqYeqKiEAmxPabcX+0lq25FVisal0C/dh4UITd9+j8vzzCm+8pbJmjUrqToWxF9Sx1pjJ/sw6zkkMwbw9lM8+1uLzTSD3f9aRJ3Zsp9JsZWdhNSnBJtZmlzM/vZgXxyRRWy+Lhe4RPvSM8DDeJwOCjXo+Gt+B87/bTlZ5HV5ahceGx2Out3FD3yi6hjtWNkXVFjbnVVJQZaZ9iInu4T7otYcmSRRFYUr3cDqHmUgrqiHCx4s+0b6EmNq2gAs06Lm6VyQP/bHXaXtisJHdRTVE+wl5rarSRmIyuSZfmmLKFJn33nhDyPMXX5TWvAUL4P33ZS684QYh2t9+W5739oZnn5XqytYkbGw2abl9ukHNeM0acaJevVqMEzxoO4a0C2D1tb3Zml9FiEmHQatlQ04FhdUWeoT74OvtvCzr10/0le6/XyUvT+HCK81o++WwJ62W509PJNTNOKyoEJmTxx4TmZFXX4Xvvlf5+P9UgiNtjDm/lh9/NvDlJ/Jaf/8t3+uKFa66nH2i/RibFNRYcajTKLx9dnuX8Z9XaWb5/lJW7C+jZ4QvoxKDSGiSkAH5LV3RI5LlmWX82SDzclWvCEYmBB7FJ+rBPwGL1cb7a8Vf8de0Iu4d3I43V2dhsam08/fmzbNTmJ9RzF8HynhyRAIZJbV8sjEHvUbh9TNTXEyzUoJN/J9pPw+9EM/nbxpQVbjzbhsLf9fw8AMyVidOlKTguR1CWHlNb3YVVRNi1NMnypcoP2/wk5btb76RMTt8uLQh33CDvMbIkbKWDQo6/Hvbml9FWlE14T5e9IjwJaSVpkIe/DeQSyXBHNqwb+sKAyHRVoLC3bQMNINWC9GnF/DrZyYeeOFYXaUHxwsZJTXsaNaynFVRR1pRDZ1CTRh0msb4AOCclGDiAloeP0nBRt46uz1Xz3ZouV/fJ4quLSSt3aF9sJEZF3Th8lk7Ka+zEuCt46vzO5HiRu92Z14Nu4or0WkUekT4EO9G43jBAudOr19+EXmMwYPlcay/gZkXdmF9TgWltfV0DvWhlxttc1VVWZ9Twe/pxXgZFebMj+bHb3Ts2aNw3XWuRobr1wvBeO21sg6JiRFeok+fVn8UHpxkaAu5uAfQAx5y8Tgg0KDnhdOTRJuhtp4JHUKoqbdh1ItAO4hY+w87CthbWsuSBmc+nUZh7uRunJVy+PRteTnccos4OIEIsG7cKIFISYmUNT/wpJkXs7byw7YKOoYYMRwmED9aKIq4QbbWnbS6WhwuX3xRWhHvv1+yLj5HVqTkwXFEUZEQ2W++KVmsBx+U6qjmpfitQWphNeO/2UJ6Q7thsFHHost7Mv93PzLzzJRpa/DyNbFpg57Vf+roOSqabpHRdA008vcWHQ8+KDpe1hzHwPHVa1l5oIxR0zdjbajY9dZq+POqXvSKdDiz19VbySipRVUhOdiAoY2afh4cPdqHGLlvcCwF1ZJm/WpLPn2ifHl1bDIBDZVXxdUW7l2YweebcgHJun7/vy5c0CW8xfPaVJWM4hosNpUeET4MbVJhVVBlJrO0Fn+DjpRgY6sSOmOTgthfVsfXW/Pw99ZxQ99oZu0sZHQDubJ7N3z+OcyeLfPvjTe27GIeEyOGHNdeK9Xe9kzw2LHyZ8f06XD77Y7HU6aIo+lvv0lV3DnnSJuNOxw4IIu8pigvFx1HD7l4ZFAUhV5RfnQJ8+GNv7N4YNGexudeHJPEnQNjqSzXsHSpmPYMHSpkyoQJCoOHWllLLm9t288jw+K4rEeE29dYsULkR+y45BL46isY/OA+vtteSIeaBL761DkoyMqCnTtdycVoP2++mNSJTbmVlNbW0ynURPdw52Nr6628sCKTN/4+2LhtRHwA3/+va2NVw4GyWoqqLUT5efHJuR3ZU1qLj5eWnhG+Ryzl4sGxQW29lfTiGuqtKknBRvy9dWg1CvGBBlZmlbM6q5zS2noeGBpH+2ADw+MDeWLJXr7Y4rAtvaBzKL9N6U6Unzftgw1sz69EoygkBxnx0mlIDjZyz+hI3v47g4ve9GWUfzTXXa6noEDMoyorZZyWlsKll2rp0iWA8f0CnCpcQKpZnntO1qrDhzvreS1dKrrd/fsf+v3OSS3koh92NOqHXdkzgtfOTCHY6CEYPRDkUknQYcxcVs4x0nlg60Pi9mNLWflECrbnPPIipxpMeq242Dbb7uOlpUuYid8v7c7dCzLYUVDNhZ1DeXhYPHtLa7BYVZKDDfh7u84t53YIZsmVPam12PD30tIxzIdAg57UVNHt3rgRxo0T47JwN8tURVE4r2MoG6/vR26VmUhfL5KCnMfsgQOw4WAFU5dvobBBIz4h0Jt5U3o4dfPU1IgBYVPYbEIw2slFgNgAA7GHIE0B1hysYMTnm6izCtmqVfax+pHe9ItxtenIyBDTsJISWYdecYVUfw8ZIpqNHpyaOGwYryjK28jvqRrYpCjKHzQhGFVVvb2lYz04cpitNhbsKebGX9Ioq6unc6iJr87vTM8m7X/b8quIDzTwaUOwDCJ8fcuvaXx9TT2L/FIJxMBIEuiG68y0b5+DWLSjvFy0vJ55Bp5/HtJzLGwsqEABXh6bTPBJlt1dscJZzHvSJCGszjnnxF3TqYj9lLKCA2yngNOIYRDtCD1MS4g71GNjH6UAJBCI7hDKC3PmODuQL1ok32drieWm+C29qJFYBNHhe3/dQT4Y35Hu/l6oqhdn3iiGRaDj55lCDo4bJ22f1dVSlq9oZOkwOjGQXpE+3DwvvZFYBGlt/W5HPgMbWgMOltcxbXkmH67PRlXh6t6RPDkigXaHufl6cGyRWljDHb9nOG8rquaeQe2IatCz25Jf2UgsgtzUbvw1jQEx/m6/r4q6en7ek0uOfzEZ/rlE1/lxji2J/sYINuZUcMkPO/AxKIwf4MsAmz+jAsPx0R+6mrFLmA/Rvnqu7hVFpdnKq6sOcH6nUDqGmigpgalTJVMMsGMH/P67JH1aav9XlEM75dbWwnvvuW6fPRvWrZNWwo8+kox1bKzrflqtEJc1zboZjyQB4IEzUouqebhZFevDf+zlrORg5n/py/33yz14yhSRLgHgJS1vvBnLrlvCifTzbpHQ/ukn123vvgvvfRfB8ysOoIapaDSSkGuKliplI329OSul5dLy9OIa3lpz0Gnbn5llbC+oZrhJz69pRVwzO5XCaguJgQbuGdSOBxbtwaTX8NX5nTkjObjFc7tDOXUcpBx/vInhqHwF//PIqzTz3IpM3llzEJsK41KCeG1CAlZfMzcMiOSnnYXUWW3sKqzm7b8PsvTKnhRUWZyIRYAfdxbywJA4Ag1abvhlN19vzUejKNw6IJoHhsTh76dQHVtMSnQ+XVSYcbm2sR166lRpabZrcW3YAI8/Lq36d9/tnoiprnY1CoBDV3uDkNw3/ZrmZEwwfXMeV/aMZFTiYUoePfjPIJdKAg5j5rLqFxMX39t6YbikZPjLZGH5cmOrOrI8OHnQMdTIXafF8trqrMZtV/WMoFOoCUVRGJEQxKLLe1JhtuKlUXhp5QHe/DsLmypJ5XfPaU/7EEdMlVZUzW2/pTE/owQvrcLjw+PpHO7DgQNw3nkOLdovv4SHHxYDv5bWXUnBRsqCS5nNTmqxcBqxdCeCwHoTX38NW9vlNBKLAPtK65i7u8iJXFQU951/R9INOHN7fiOxCGBVVV5ZdYAZF3RxccJOTRViEeQ929/3BRecGP1cD44NWhMi2N2Z1wNzmj13bAT4PHDBtvwqpvy4s/ED3llYzb0LMvhwfAdUINbPm0qzFaubb2BvaS1z69N5DrF8DsLAn1xFd5yrHIxG0V6qbSYBYp/AZsyAd6freKsuhf7RfvSJ8nPaLz1dKlhUVVqqW6p+ORzMZnGO3rNHnPd69Gi9WPv06a7b3n/fQy62BQVUcQ1z+ANHoPswQ3mSkehpfUVJDhW8wd+8zioUFO7kNO5kIFH4uexbVuZaEWWziUt5W8hFi0VuThsPuorKr8uuxFxvw6DXoiiiARIeLsfYb2ZhYeJKtm+fkIs9enjxadYQEpPgQLGZATF+LNpTQkkTI6WSGsf/52cU8/667MbHn27MpW+UHzf3j2n9m/CgzcgsraXOaiM+wBtvnRaz1X1bkqXJ9kI3zt6F1RbK61z1clQV1m+2Ub43iJpgGxU9s3kyfAGfqwH8armMm+dlMry7kdqBmTxvnI8ODfdYB3MHAwij5bJpo17Ljf1iWJtdwc7CaiZ27ET/GH/8vXWs3eIgFu1IT5fxfSgC8VDQ6UTbds0ax7awMLjwQujSRfR0zGap+DEapQW3aeVabKy0RN9xh2NbdLRUPHpwdCiuqXdKXIAswrNL6nnqKSF1S0ubEIsNeOVlDZMvMaA5BKfWrp0KzYwIwhPqqapS+fPcQexXSnnulXq8Q8zERGrI2GBAr4fkZPfnOxzqraqLiyTI729XQTUXfrcdc8NiZW9pLS/8tZ/LekTw0fpsnvpzH51DTS0mZPbsEZJJo5G1QXlcPrfwK8vYTwQ+vM94xtO+Tfeq/zpUVe57qalg0UFhOdhU0GsUTh/sxWTTd2wgm16xkcy9diJ7Dtbj761jQIwf+koT6/JcCZUOIUbqrSppWfX0r06gfUwsew2FvPn3foa0C8DaNZ/J/AgaiCOAM+MSARPh4fIdNxf5nzVL5qjMTLl3N0enTiIDsWiRY9vFFx9+HVpeZ6XAzb2gqMZ1mwf/XWRTccjKxdxMLRUlGmLa17e4T3MEY0Q3OpMvvujuIRdPMRh0Wh4aFseYpCB2FlbTPtjIgIa1mx1BRj1BRj0/7Szg9SYk5MI9JXy6KZfnRieiKApWm8rbaw42msCYrSqPLtlHio8f1VtCXEyuFi62cf7UWoIDFRICDS4E3TqyGcHnVCNzmA4Nb3M2vfZ3YulyE6WTXLMwm3OdtxkM8MADshZsuu3ss6XLbMsW+bd9e6keP1QSp7nxLMh6x6ZCU3nePXvEBOvxx2Wd88Ybksj28vJInJ3qOCy5qKrqdABFUe5QVfXNps8pinKH+6M8OBRUVaW8rh4fL61boWyAPSU1TsxtzwhfRicGMeiTjRTVWBjfPpgHh8axNNN1kXdmx0D+8t3S+LiEWhZb99Fd60wuJidLVmTLFsla/PKL6HDt3CnP19VBtwRvIgpiWfIb/JQu5dmDBkkL1ZgxoosIIqL9xx/Q8wj8u2fNgsmTHa5St94qumCtEcZ2t8/h9HY8cMZ2CpyIRYCXWMll9KAzYa0+zwIyeIm/mpzjL7oQypX0ctlXqwV/N8FxW9vZ7W7Qj3wVAuQ6PTe5SwRYteyrqmHpnlLOOjcMrVaHXi+GQh9+KK2dn38ugWvHjioTz9Hz2FtVfLI1jb/mlxLp68UDQ+P4ZEMOacVSvjWlewR19VbMVpUFGa5WrjO35XvIxX8IFXX1fLM1n/sXZVBptjK5WzhPj0qkQ4iRrmEmthc4NHH6RvmyZF8Jv6QVcXHXcNoHG9EqihOhMyjWH4vNRmZpLfFN9OH+WGxj3DlemM1egA/Dz4ngiv/T8UXUX2xQckktrGHo+VW8YtwASMXuNO0yehLO/2ihj7kBoT5enN0+hLPbO0tXtNQC0nS7xSKZ3YoKCbgj3HfFNkKng7vuEv29+nq47z6ZH+fNk/n/zz8lsfPuu0I49ukj83FcnOMcl10mhOPPP4t+47nnHjkJ9V9BXZ18T9XVkJQkhG5zJAQaCDXpnUjvEKOeaB9vLBYhW9xVKvj4OI8Js1nI44ULwc9PWuLPnGTh9de9KC2Vfby9YcRtuSwqqeOTi5KZ+YeJWTG7mbkjH/+DOm7rmMTit8LJztbx5JNtN1BLCjZyZnKQk2NmO39vOoWa2JJX2Ugs2pFVXkdSkIGXxiazYn8Zjyzey/V9ojitnb/TmmjLFnk/+Q1FcsnJKnf+UsmyTmKVnkcVF/Ida7mOPrTebfq/jj//lFa0ujoAL4aPSeTKK7TYwir5KH4xuzSFAHjbdHwetIq7vUbw67Yysg/Ct48b6dbfSNfOPmwvkqTe/7qEEemrZ/SXm7GpKpelxFK/KZb0bXFcPRVy1SpeZmHj6++njJSLc/B7L5lhw9y3/JlMIgmxZo3MTz16iP62PfkREiJV1wsXSsfD6NGi5XW4sRvr78WgWH9WZZU3btMqCu3d6JR58N9FNpX0IbLF59fON9Kxn7lN7c1BGKkZvZkfr+/Gu+8qGDwNLqcUQk3u125NUW2pp9pi5fER8SzLLGNpg2TZTzsLeGBwOwKNeoqqLfy4s8Dl2GVplUQccD73DffXUtljP6d9lSMVjiMSmNo7ykkj9id2NhKLIOvRX0mjTufDzm2duejKCP4+WO503glu7KRHjpQCj++/l9h60iSZb++4A77+WvbRaqXzZdw4eayqkgQvKBCpnvh4mNI9nM82Ocdjtw6IQatRJHG/XmK3/HwxP/zsMylyevRReOQRePJJSElp8SP24BRAW5qbrgTebLbtKjfbPDgE0our+XxTLj/sKGRonD+3D4ylhxtjiIhm7ksXdQ3jkcUOAuiXtGL8vLTcMTAW4xnJPL9iP4XVFsYmBXHOGA23653JoiJrLc0T+zt2SKm1vSrgtttkcrj/fnn+3ofqKS/Xcd55sKtBc/bll0Ujr75eJob+/SXQ+ftvqSJ87bW2fR779omuWNMCjnfeEe2dIUMOf/zll4v5i726Q6eD6693PF9XJ5oOVqsEeR4tRmcUUk0urlmtemzU0Tbn8W9wtRudwTa35KKvr2Sr7DcoELJx5MjWv15BgYxVsxk2zArg1omJfJKWicWmcnFSFOlzw3jgB5WQM4vwKwvg2st0jBghGmZms5CLl1wi57pkssorr8Cw0+v51ZrOX9mlAORWmnn4jz28dVYK324r4IGh7TDq4LwZ28iuNHNlzwjqbTgtFEYkeOwi/ymsOVjOjb860rpfb80nys+bF8ck8d3/uvLhumwW7ClhVEIg4T5ePLJ4HwAfb8hh5TV9mHVxV274ZTc5lWYGx/pzSbdw+n20gUCDjukTO9Et3MSqtGqeuTMYs9nxusvmeTN2Q1cY9xcWpZ5zOwfxZ9BKYvFnKn2ox4YeDXsbJAFag337oLhYqgPDw6XiZupUmc/sOOMMh7ZhebkE2Y8/LvNvcrK48R0qoWO1SjJo5Uo4eFBaDNPTHc8/8IAkk/76SxZ5GzbIX1NyMTgYJkyQPw8Oj6Ii0S566SUhCLt3ly6A5tqZ8YEGZl/SjWvn7GJXYQ2dQo18cl4nukYbuesu0RHW6YREaery/eyzzgm0ZctknNjvoX5+8NtyuHPFdixrorCaFUIHFZMbXEj31M48Nc3K9F0H+XaHMHZldfU8u2U306438siUIC6++PCadc3h763jnXPa8/mmXH7cWcjw+ABu7R9DuwADBfU1PH15MHXGWrwrTMxYXEleWT1dwkycO8Nxz/h6ax7LrurNkDjH/LlokYzzwkL5LDMyFNJ+CSG0k4lCJJFgQyWdYg+52EqUlEiwWFcn7saJibBpvY4xF4dRF1fJl5pCAjHw+MFxLFppYUO+ha9TilABfY6JdWsVNqz34om3u5Ceks3milIGt/PnrvkOWYpPUw/w4Agj2upoxgfEEWCtI1A1cEBxBLjP9f6enxffyVvPGjAYZE1gb3NWFElqpKbKfGfH8OES+NrJyMREWe81XfMdDgEGPR+O78At83azfH85Ub5efDi+Q5uMFDz490MMXVomnNfON5LSq20WBF5oMYWbiU+xMW+elvPPP9qr9OBkwoGyWh5fspfpm/NQEWOqm/pF8/66bIbFBeDrLUG4v0FLvyg/5lQUOR0fpjVSXS3zW36+JCVNfQv4cKd0R9XbVB5ctIf4AG8u6ebILOfj2rlVRi1/xW3jtnvas3NVCFf0r2FGxkG0GoX7B8Uxyo2JmsEAySPLGD8yHysqoYSx5Y+gRmIRZD3yxReyRggOFgOWqVPFmDM0VOSuwnwCWXDGafyYm8XGojLuH+J4vTVrJElU1/DT0elkrXPPPRLTLVsma6bDyVt4cHKjNZqLk4EpQKKiKE3bov2AIvdHeeAOFXX13DYvjd8bsvupRdX8nl7Mymv7uLhK9Yjw4a7TYvnrQBkTOobi7cZI5fudhTw/JplxKUGY9BryKi0kEMjuigxokpRQgIFVidCEr6yrkyBlW8Pa3mYT0vDtd20MHgrn3lZKn7EKm5YHNRKLdjz6qAS5L78s1Ypmswhsp6dLMLthg7T3eXmJQcehgt+yMhqrK5qiwDWp4xYDB0rW+rff5D2cfbYjMMrNFc2qd96R5y66CF54wX2LzX8RuVRwE7+STDDh+DjdoMaSRBJtKwEdQDQLcNa960/LFXynny4l+PPmyQ3rzDNbV/m6aZNkutatE3fJnBz44gsvxlXE8cYV4WTuV/ntDQMb18lv5tXewcz71ptbbxWi+ZlnhEy/+mq5oe3dq3L9zTbOPVvL9Q+ZmZZd4vR6NlUciRdc3oNteVUM+nRjY/XbfQv38Oiw+MYKpOQgA5Mbbvrb86vYkFsBQO9IP7q1MXhJSxPS5+BBEVQeMMBDjq/PcSXCv9qSx72DYukS5sNrZ6awr7SGCTO20S/Gn6dGJVBjseHrpSWtuJpzO4bSN9qP7Io6Xl+dxZ3z07Gp0hI3bdk+ukf4EljnS1qqq5ZdbZ433mjpo42kS18DH9VEM9kYwoMswtxAxF9AZwqoctsaXVAgLssZGZIVvvtumfuSk4V86t9fWpDHjJE5rX9/WYSFNCSyN2wQ7R07MjJk/P78s2u1TmqqnHPBAvmNXHihaOk2JRZBnKCvvloSTZGRIv5dUdGGL8QDF6xbJ/cZO7ZulfvQJ59IBWFTDG4XwPKrelNQbSHMpCe0Ial4++1C/Hz7Lbz+unQKFBWJ3MdppzmOr6mBadOck3MVFfDXAj1Z92XwedefCMXI/X9czhdnJpObC/c8XsePvoUu122OKOPpWWbKvH2ANpYuIm7Az4xK5L7B7Rq7Miqp44fgDTwfsgIATRTMsN5A/ooQlkyH5zr0YUFdJktzirCpMGNbHkPiAjCbJchYtEjG9gsviKnNpk2QucFIFL4UUo0ODQkEEnEIKQIPnFFe7rgP7tkjieOrr4aEAANbqjT4483tBSN58osSwny8MGgVIspCqEj3Ba2WadOEPH/iFh86dExhwoX1LA9KdXmdFZV5dNBEccF5OkwmHY+9cjU/XzGTv332AUK0bFunY/ZsqaR8+GG5l6uqBJcJCXDnnc7nXLZM2rndVTq2Bd0jfPllSncOlpsJMOiI9ju0tp4H/z3kHYJctNlg0xIDoy9xJXUOh2CMdBtVxfTp/h5y8V+G39OL+XxzXuPjubuLuGdQO7qGmrh9YGxjVb5Bp+XxEfEs31/WKLk0KCKQvHX+fP6WVO5t3QqhUVYWF+e5vM789BJ6hPvQpcFY7WK68gkbnfY5kxReYDmPXVFF578C2LI9iR9GRRMbA70SxIAwLU3Wmjk5EmNEDSxlgvErUhuonSh8mZZ/O+LlK+tNs1nWOG+/DePHw5VX0piInzhRWpu//14DGLjqqmRmPK6SlODgL2bMcBCLIIny5csl9ktNFc7Ag1MfralcXAnkIHTVq022VwBb3B7hgVtklNQ0Eot2HKwws6ug2oVcDDDoeWpkAl9vzeOmX9N4elSCy/lSgoz4emmID/TFoNeyv6wOo8WL9V924uHL4cfEVQRYjExJH0EXvxiackVFRTB/vus1Zluq8PtjLk957UVlOO3Nrr/0mhrJWFx5pSOo+eMPcaO0ZyXslYS+vkIg9e3r/jOJjZWF5Natjm16fetLojUaIV0GDHB9bskSeOstx+PvvhNNsfvua925/+3YQA4/k4oRHc8wmj/Zx1byOZ/O3Ehf/A8jZt0cF9ONT9lIdkMlZDS+XHyIFlFvbxkrbdGeSU+XNrnChth45Upxy+3aFUJDFJ6520hWlvMxmbv0BPnLze3XX2WbzSbB/ssvw6TzVfr10nLJJVCUoyU60ovsCrPTOSrz9Lzzu5YOp+nw0irU1Dui+S+35PHzxV2pMFvpGuZDuwADG3MqGDl9U6Oen5+XlqVX9qJPtKv+pDvs3StEQlMy6KuvnB1g/4uI9Xcdk11CTfh6ya1Mq1Hw1moYEOvP3tJapm92tGa8MjaZM5ODifbzZk9xDd9sdTYkGJUUxEt/HWBARABnnhvBLz853x5jO5pZxBX0IAIlRkFT35uL+b6RWAT4kZ3cQF/G4tw3XFsrlWyvvCLV4vfc49AYy8iQKp0VKyAqSnTDLr5YEi8rVkhWNyXFfbvf4sXyW2j6XF6eHL95szxetUrmwrvucj2+ulqy1aedJq+j1XpcoI8WdlmRpvjtN7nnutPODPXxaiQV7YiOlor+a66RzH5LrXdWq1S/NkdFucKjDCcSX7z2hPLUBRGUNSio7NyiJfkso6sGqQae3LIT004tS0N70je67UYpiqI0urMD7KCQ55UVjY9vyBrNU5ND2bHZ/tvS8+Bz7Unzq+RgRV3jeuKvv5wdzxculN/Oli0wfoLKbRRzfkEfei4bSNqCQLb00BF9huhBeXBoREZKh8mzz0oyAWSOuPwKHbc+FUc369nU5gXyYGQwW1cYGH+Glqsu0zSu6Xx8JMH80ENQXKQQ7KvHK8wEzZLQHX39WLpUkjTV1fDQzQa+6T6Rx4ZOJ5FAXmIsj/8q5SmlpUIuhoSI9M5rrwmJ2NxwCHCqKD8a+Hvr8Q87uQwKPTg5oKKST1WLmovpm7zwCbQRGOZe6/lQCMZI9IgiPvjAn+JiiaU8+Hfg51TXpN267HL+uLInEb7Oa9e+0f6sva4vOwur0Nq0zP3Eh/dfkXXAgw/CmDEqY28pJj/bxOY856R6sEnH5J928sP/utI+xMQQ4pjFxTzDn9Rh5X90ZSn7mMbpdA70o/s4GDdOA01MOjMypKBjb5Mmxw++9Sb3Ysdr5VCJV4cyFCWU886DtWslwQNyz5gzR9aun34qHRMhIc6dN599pnDaaYpTZXmRm5K0igq5r/zX45t/Ew6rFqGqaqaqqktVVR2kquqfTf42qKraqNqpKMqqf/ZST33oNRo0bswdvXTuHR8zimt4cJH88veW1DKknaNdSK9ReGlsEiEmL3Iq6liVVc6XW3LZUFbKFVM0ZD3Zl/63TWXEtMsZUpVCYqxzjbHJBDfd5KrzZUquYr5XGrXUs4aDdO3qGtQ++qgES8206Jk+XcibpgL0lZVSNm2HxSLVkgsXShAWGCjH2cnHqCjR/Goe4B48CBs3QnY2rcaCBa7bvv3W1cDmv4rchkrFGuq5lwXkUcUw2nEnA2lPy5oiLaEb4azgGn7mYn7mYlZwjVuX8qPBli0OYtGOL78UHbjCQsm+NUdyZyvX31bf4BTtjLVrVYYN1aDVSrVQZY43d6Z0cPqdnhkTxsKvxL31+gtN3N1MMd7fW0vXMB/OSglpNCX4emuek1FIhdnK9C1CdO0uquadNVnc8EsqP+0soLDKNVrasMG1yuzee6Ua97+Mwe0C6N+EoDXpNTwzOhEfLy37y2qZvimXp/7cx+iEwEatGzueWLqPvSW1lNRYqLbYeHx4PI8OjyfYKESHuV7FoNOwMqeUgZcWM+J0+f4CA+GTz2xc0ieUocShNBhlBOsMbtug91JKLpVkZclcl5sr36VdMsJsdjUv2L1bgumFCx3f+8yZkhl+/30hI91VeNtlBFJTHRWHu3Y5iEU7fv9d5vrmla+XXCILwj17hMD89VePWcvRwp0e5ZAhrdcCrqqS73DLFmmdd/e92+HrK2OjKRRF2qTjCeRpRtFvT5dGYhFg/lwdk8OTMOocy79ekb4U1ViwqVBptvLxhhzU5jf4I0Dzdq3wTclNiEXBey8YuCg2Fo0Cl3ST+4U7o7Y//pCOiWG99Xy3+D7O+30c66aH89VHXtx+q4YLLpB1ggfuoaoyzyxbJoSGnVi046svFXyr/blE2xXLyhgevsaPtC16ZnylcVrTVVXB/v0q78yo4rrpmfiOyiLU5EVMk+q/MJOelMpIMpwbGahLD2ANU5nDZHoTxahRzmvfoiKZf+zmQmed5Xx8ZKQYuRxLWK3yuWzZIlWd7mCzSRCekSGVNm05d0WF61rZg5MblciazNhC/c2GPwyk9DoyljsAA6W+FQwcKPd4D/49GB4X6PQ42Kjjlv4xrM2uZHlmKSXNTKOSg42M7xDK2Z2CmDrZi7FjJTYfPx6eft7Kgyt3c37nUAINjnGYGGjAqNOyJa+qUTc2f58evz868dbaa5lReQnDK5N5tfpsuu/rwH3zM3hy6V7WZzu3pKxf70wsAky7z8gF+f2ctq3qto4FC1T+9z8HsWjHli1SIARS4LFuHS74/nvnx5df7rrP2LFSTNE0oejBqY22aC4eDh5p2sMgJdjAHQNjnVykRsQH0LWJHXyNxcr2/Cp2FlZj0msoq5OVzGebcrm8RwRnJAcRZtLTOdTE8IRAauutPLs8k/fWCuv2e3oJI+JLefHNFGyVBgIDncnBigoh3V56SRZwL7wgFVEbN8J5V9Swvf+mxn2HEUd051r++MPAyy9LdeHUqZJZfv991/en17vPShw4IIszq1W0Gm68URZoXl7S6nT++RJUZ2dLANa8umPRIpmQcnPluR9/FE2wgIBDt4n26ydmHU0xbJhra9p/FeHNWsnWcJB4Aly2twWJBJHYxnbqtsBdBY9WK5Vad9whOiWrVjkCp6lTISHEm6ceVujSxfXm16cv4GUB9KSkSKC+/K9gvhzal/SSGsJ9dRRs9+Xx6ZJRzM8H73znip5nRiUSaHRm6VOLalyuc3dhDXmVdUz4dhu7CkUv7KP1OTw9KoFHhsWjaeIAV+N6OKWlx65q41RFQqCBWRd3ZUteFZVmK13DfegS5kN5nYW75qfz005hniPdtLlVWawU11h4YulevmqoWvT10vLZhI7sKKimQ7CRJ0bEc9/CPTy+dQdnTAnlpdsiObtzIN066Giei4vEl7EksZA9Ttvr6m3MWlbLD8/7UFyoMGmSVOfaCUV3809IiCRV3npLMsDffy+VRU2xYgXccINohYIYHNxyi2iIHjwor/HOOy1XuQUESKXjiy8KkXnFFULKd+4s1Y4mk8cM61igf3+5X335pTyOjJRq1da4H+7cKa2gCxaIWc/DD0vV6aRJcN55QnTbkZ8vc9/48ULGvfKKfH+PPipyIQAKCnFRYmJlJ4isVnjp1kA+n9OVjJpKfPRatuVX8c4aBzO3u6iGHQVVdA1ve3t0U0TjizfaRv1eW42rkFJlJQyI8mP1kD70ipTX0+td3a59faXSYvRoDfv2yXx8xhlS3fnpp7I+2bpVdKM9cMWCBbLWqq6Wtrvm0GiEmC7J1THtCdnm7y8ajU0RFweBwSpfV6ayKrWcm/pFM2tXAZf1iMRHL99vjMnAR7e6riOioiC4SfXMeedJR8nff8vj3r1FwgFkHnz7bfluf/hB5rk77nB2s3eHkhKZa0NakR8tKRFpiCeflHvr2LEyhzbNHxYWypw7bZqsW++4Q6rA3VUhN8W2bUKG//mn/H6vuspTWXuqII8qQjE1JhKbY8MiA10Gt01v0Y5gjBykgjFjpHvmppuO5ko9OJkwqVMoX2/NY2t+FTqNwnOjk7h2TioVZrn/Xdo9nDfPau9kxmJHdLSsGSwWmbuMRh2/xfZgyd4SvprUiY25lVhVcWJ+YYUYmmWVWFi3TuTACgvhggt09O8fzDffBBMdo3LmlZXMzinCx1vL9E25/HBRN/o2JOfdxRglJeBvdszPkwr7EPDhaM6bpvDgg+7fc0qK3Dv27YMLLpAkYFMMH+78eOhQMYR57jmZT++5RzoPk5Lk/uPBvwPHklw8otycoihnIaYwWuD/VFV9odnzSsPz5wDVwFWqqm5ozbEnG7x1Wh4YEseQdgGs2F9Kz0hfRiYEEdbQElVXb+XD9dmNwthjk4I4KyWI39Nldfflljy8tRo+HN+e/9uYi1WFUJOO99dmE2TQ8eDQOHYX1VBaW8+q7DLOSgnCx8v5K16yxLF4A2k/+u478Is0s777Vp4IXIMODZfSnY3ksZFchvROYNo0adMLDJRs8s03S7tW0yzunXe6bwkbMkQyt6mpEhzbW13MZllw9ewpE5S7wDYjQxbEFRUy8diD67lzJbh+5BEhEd25Rp91lixWNzZIUbRrJ2STZwITPZmPWMejDOdNVlOBmcG043YG4n1Mp4Vji549JVPWtPX5oYdkLGg0ElT8+KNoiFitQmCff77CuP+ZmXSFjdV/e7O7QU+vSxcoLVGYvcTMuJGgr9dz002werUGkZSVm/BLLzm/ZqTRm9fOSCa/ysyZycEMjHVtH7yyZwS/7HZm2q/pHcnGnMpGYtGO55bvZ0q3cJKDHTf17t3l2puSibfe+t8OnG2qypqD5Xy3vYAqs5Up3cNJCRLGJrWwppFYBKElTHoN1RZHieDohEBq6q2NxCJIpdTbaw6yLFNKuyZ1CmXmhV34+2A5HYKNjE400T5Efg9F1RYW7y3h6615dAo1cUm3cF6PPJPrmMsqsgjEwF2chmZtDLeODW0kEzdtEj3b0aOF3Fu0yNm4RacTY6KXXpLHFRUyz40bJ4GvHXPnyt+118pc7OsryRL7HPznn2JsMHOmLOBWOLpRufJKCZh9fSWhU1PjTFT9l8fVsUZkpJAiN98sVV7t2zsb5LSEqiqpTrZX3OflCYnx9NPy/c2YoXLJJQqZmUJEv/KKzBGvvy5VXi++KN9phw5COu7aJTqf7doJYWI3TlMUuPdBK3urqqizWQk36fl4Q47TtYxICOSbbflMG3105GIeVTzLaF5lFblUEtC1HJMpguqGKTAkBN6YWcHmuiIWrLNwSbdwOoeaGHyujc8+MzWuFRRFgvCnn5Ygxo4FC8Tww74WsVhcLsEDJNl2xRU0fu4FBTIu09Ic+9xyi4yj/HxH4Llypdxf//pLxPrvf6OcXZoCsrzMTIwMpV5V+TtLdMFfWekohfTWKnz5vD9XnWdqfM3LLrfRp49z5iMlRea0nTtlbHbqJKR60+enTZP5sblLenPU1MDy1EpmpeWzp7qKcxPCOat9ECnRXlRUSEW4Vivv2070r1njrGO7cKEkeN54w+HUvnSpEPZ2vPKKfE433tjytWRlCemfmSmPd+6U15o+XT7zqip5v+Hhsqbx8mr5XB4cfxzKzMVaD9tWGTjv5iMTJw7BSDrF9O8vc/f27a5mXx6cmugQamL+ZT3YUVCNUafhzvnpjcTi6MRAuoT5MHVuKomBBqZ0D6dftD/Z2TIvvPmmVJS/8IIk0QB6RfrRK9KPrTmVLN9fxot/OZebd/bz4/bbhFgMCxOCzk4Cbtmi4B1o4IHbE/htXyEx/l5kltU2kovdu+OUdASYersZ7+ga9GjQoDB86XDuelQmp5UrJab+/XfZ1y6n4+cnnVZ5eRLDL1oksT7IXPu//zl/RiaTJJVOP13mQHdyPx6c+jihLIKiKFrgXWAskAWsVRRljqqqO5rsdjbQvuFvIPA+MLCVx550iPD14oIuYVzQJczluV2FNdyzwNFHsnBPCU+NTCDQoGNOahGdQkxc2SuSBxbtJa/KzNdb85h/aXdU4J7B7Xj6z0yqLDKR/bizgO//15ULm7yO1SpBRnPMnAnDLqvm58DNPMhQVGAeaWwilwure/D+/0nQY7E4RMDffFMmwY0bhQC59FLJUBiNstifOVMWTFOmyAJRq3UQPk1RWSmL2aYaizt2iMlHaqoE5IMHiz7kk0/KRGY0Sgvr7t1CPD77rEzGzbpVSU6WNr/t2yXw6NpVAi0PoIga5pLGRvK4nr4Y0bOJXA7SQl/QSYLERBkLs2ZJ6+cFF8gY0WjELON//xOi+aGHZPF/zz3Qu4/KBVOs/LVS4bJrrHTsrJJdVUsgBq6ZouceHw1xXSpJVIJYs8b1NW02qZDp1EnIngF9tfTseeiBNDohiPfOac9Tf2aiovL48HhGJwaxYn+py74Wq4q1WZtsjx5yk37ySSHYr7tOgsP/soPa31nljPh8Exab5LE+3pDDgst6MCY5GIvVObf1/rpsnj89ia+35LGtoIrzO4XyyPB4Vh1wjG8FaOfvzf81IVZm7Sqk2mLlp4u6YfJy/rC/3prHHb9Lz/Ls1CI+Wp/Dymt681vYpXzHdnZSyAy2MWZpb5e25/ffl0RIeLiQfkOGSCBbXS3z5E03OVd9Z2bKPk3JRR8fIWP695fjvvvOtUVv1Spp7bNLVGRkCAG5e7fsP2QIdOzoqd7+pxEQ4Gy80hpkZ8t9rylsNgfR8/rrIh/yww8OQiQhQaq+nn9e7m233CLzo4+PjI0XX5S5cfZsaYM6cEASJV26aDEY4rhi1g4qzDaeHpnAe+uysdpUruoVyYacCrf6pnYUFgqZPW+ezFVnnSXjqjm2U8A0ljOF7gRhIKPLbl75w5c5T0eyY7vCS19UcM1fGxuTAJ9tymX6xE68tG8/L37difXzjdhsCqPOrSOlo4ElS1wnwOxsCcpU1ROkt4TCQlln2fH++3KP9PaW1jZ7sOflJYmG+++X+aq2VoLKJ56A4K4VPLh7EzX1DZPbNvjonE6kLwgk1s/KbX21TN+WQ4SPF7edEUJh8k4+3RDN3jQt5sAqJnYNJizINQscFiZ/zZGeLqRmbq5U4g4YcGhycd2eaq78Ywu5lZKRW7CviAerE7jZGs8ttyjMnSsk9dSp8n5iYhyJ56b44Qd47DEHyfnzz677fP65JHlaup5duxzEoh2LFsm577hDHp91liQdzjwTj7HHSYZcKglqoRlv9wYvQiKt+Aa0XE9TY7ZRWmUl0EeDsdk6IhgjuVSi1cp3/+GHztrwHpzaiPLzJsrPm/1lNWzKFf3CAG8dw+ICeWSxow/Zvn5c8I1v4/08Lw8mTJD2Y7uxycaN8EFaHhpTPTf1i+KbrQUEG3U82DeJPSv8WLtW9hs3TmS/7IiLg+Tzc7lxvoNT+GlnIT3CfUgJMdGrl6xBn3hCEnbX3WAj7/K1zNPs5j6GEIkPf/7sKHiYP19iqmeflfm6uFjWoSaTFGrYzTj/+ENiePv9uHniuqpK1qmzZskce9550KvXMfjgPTipcCzJxSOpBxsApKuqugdAUZRvgQlAU4JwAvCFKgJAqxVFCVQUJQpIaMWxpxQKqkXzqCmeWLqPd85uT+cQH4JNOu6en9EYXAMs31/GpE4hlNbWNxKLdjy1dB9jkwIbBdY1GudqFTt8fSF1lRHrOQrTvJY7PWfYEtO4GAIJdAwGCVLuvVdIQb1eSrq9vaWK0O4EVVcnk8ugQfI4Nta1GiswUFpl7MjIECLHrp30f/8nC12DQSbAzz5z7Dthgiw6CwrkBv3yy64tgVFRzuf3QBCBD90IYxsFvIpDLvVxhh/iqJMDXbrIX1Pk54uwcFaWEIozZwpx3b69SqduNq4639g4Lk0meOLzSvZZy1DVUPQGyKs00z9RWv6bl/XX10trll4Pv/zSOkfrYJOem/rHMKlzGKAS2SDm3DXchxCjnqIm2itT+0SSEOi8kFUUWVzMmSO/udBQ/vP4cWeh09ynAq+vzmJkQiDtQ4z0jfJtdJMurLbwzdY8frqoKzYg3EePt05LfpXjczfqNY1OfU2xPqeSsrr6RnKxqNpMQZWFp/7c57RfSW09G/Mq6RQWgQ4Nr7MaX7zw9nEVeff1lTG5b5+Q4fX1kozx8pKKln3Op6ZjR/nO77tPFoDt2snY/PVXmfMWLnTVTAMhH/39Zf/bbpPxM2GC4/mYGKmebJ6I8eDEw89Pvp/muoF2ItjXX2XnTpg717HUuvhiSfQpilQ53n+/g3D295cqhocflqTLunWuepqjE4O4fu5uXj4jifM6hqBRFL7ckkdupZklV7qf6Gw2Iacef9yxrX17IU+aV2h2JYxSanmPtY3brjrNwnc/nktdlZav00udqosBXl15gM4RJu7dtYH2vcXV8pfUOsadPoBzztG6SLK0by8ulTfdJJUbHrgiMtK5+t5mE0J60yYh0ppCUaTVPCREkhv+/hIALqwqoWaH83f13ros4jaF8tKjBjp0TOadV6PpMrSakQEfUYkFOgIdIZkgbuSaVl+v3dCsaWXlN9/A5MktH7Mtv6qRWLTjzQ37GR0awdy5UoWmqvDxx3Jvvfxy9/qoffvKe7ajRw/4+mvXfXSHiJ7cVSJqNM4JpN9/l9/mvffKGtmzTj15kENFi2Yum5YYSOrhXp+mpNLK7HXl7Myqw9egpaLWSqdoL84fGECgj6wngjFSRA1WbJxzjoabb5ZCDZPJ7Sk9OAWRXVGHVtEwrn0wP6cWcW7HEL7c4iyYXmWx8tf+cj7+2LV0b+VKmaMKCuDV11T2jSrnr51lpAQbubJXBP38Q7h9XDADBsjcsXy5xAlNZcIuuKaWj9P2OZ03t9LM5rwqUkJMaDQipfPrr3KsX6iNa8ljK/lsJZ8wTFzXxXnB8MEH0rp9110O7XuNRojHMWPkcUzMoTthfv/duXvy1Vfl+nv0OOzH6sEphMMaujSFoiiRiqKcpyjKuYqiRDZ72o1M52ERAzQNkbIatrVmn9Yce0ohLsC7UbPGjlCTnn2lNfgbtGzLr3YKrgHW51Tw0phk/LxcM/pmm82JrFQUCTibVj95e0O3bvDxa95csXoc8Uhm2Qstb3IWxZnOq6SZM6X92Y70dGn/sBuwGAySAX/kEam6OvdcR9lzhw6yQLQ/DgwUW/rERMf5Nm1yDa4++kgyu02JRZBqjCFDZJE3a5arNpAHLSMEE58wgVhkFW1AxweMO+YGLMcLOTk0isfbbLBfJEkIDVP5ZbamkVgEybZtX+xDoI9CcDB4xVeQGGjEx0dudPaAQ6uVSqAlS+SxxeJaWXQ4RPp6NRKLACnBJhZe3oNre0fSM8KXV8Ym8fCweLx07qdiHx8PsWiHuXl5J1Bbb0MFwny8+Pr8zjw4pB09Inx4cEg7pk/sREyAgXYBBrx1Mun1ifTlzbNSMOqkZTohwLU664LOoYSa9FisNualFTH4k43838Ycl8QP0CgGMpZkLqMHlZgJGpnnFJyCkEALFogO1xdfCEltr0jr3l20uewBaVSUVLd8/70kV2JjZXzffbdUH+bny9y6fr3Mr3YoCrz3nqM6u6REqpOa4uBB3FbnenDiERkppF3TBNnpp0sVgKLA5Bvq+H2+c5WXXi/z2WmnyaK9aSVrebkQ0OHhUhGRl+f6mmOTgrm6dyQ/7igkIdDAltxKuoVLa9cgN3IPIMTPc885b0tLE73D5hhADA8yFE1D7rk3kdzHEPyMWkJDcVnPAJhtKnqt7J9WXENqUTWTOoUQ5qvj9tslgQnymdxyixBO773nqX44FKKiZO1lHzsGg+i9uas2BRmLN9wglYPffAN9+oBNcf2uLKqKTi/bd6cq3PA/E76FQbxSf1ajGUY0frxfdx7htL7/bcMGZ2IRhIRzN4bt0Lgh+1TcmwAuXCj/nnaas4lAUJCrPuq55zrrPAYHSyfBoeR1unSRZFBTXHaZq8ngzp3yWpXOZrAenGDkUElgC5WLGxYbSeruSi6m55p59ZdCvHQKV44MYPJQf64aGYjBS8NrvxSRUyKJTT1afPGikGoiI2WszJjxj74dD44TCqrMvLryAD3eX0e/j9dzQ79o+kf7odCyqZO7pIJ9zZ+bC/N+VTjNVybu9OIa3vr7IKlpNkpLZR6bOFGSanPninyKHTqdSr2b+2t9swuxxxje6HiIofRCqJ1iahgyVHXq9mvXTtYUTU01bTaRK7HLXxwKZWVSKdkU5eVyn/Hg34VWVy4qijIVeBxYjFQpvq0oytOqqn4KoKrqtiN4fXe35+a/hpb2ac2xcgJFuR64HiCuNeJHJwjtg438dHFXrvx5F7mVZtr5e/PS2CR2Fdbw5t8HuaV/DBoFpyD3joGxpISYOKd9MNOWZ2Ju0h748NA4gpoZTQweLFmCefOkPDkiQgiV+np49Jwolu2+FnN0GYF4054QVsc6kx4ZGbIg+vNPCVSjo6WSq7lugrvMrVYrJGHPnhIgR0U5E4vgXjOprs592wxItcfatZLlaR7Qnyo4UeNzADH8zVT2U0YgBjoQ0hgEnmoICZGxnJcnemdTp0rb9Ib1GvJyXaeF0gIt7cMN3PdxIXHtVXpESMqvZ0+50e3ZI+0IH34obWN2HIsgoHeUHx+O70id1YZJ75oUOBlxMsyhF3YJ4501B50m+bsGxaLXyhzVMdSH505P4rERCRh1GhQ30Z+vt45bB8RwdkowlWYr4T566qwqjy/ZR53VxumJgdw9qB16rYY1WeWcO2MrNhW+3VbANb0jeW2VQ+wzwFvXaEARiz8fMI57OA16wNglVhbM01JUJBWKTzzhXLE9dapDJ9ZolEC+Z09ZLBYVSXLm9tuFIPzlF8dxZ5whc2t1tSRXJk2ShV1dnZAr553n2Leuzr3BVkuOqKcyTobxeSxw9tlyP9u03YpGb6OqCjZuUXnx6xqSeqjM/NDIOecISVFbK0Rft24yltx912Vlco+02dzfQ2P8vXnrrBTSi2tQVbilXzQGvRYvbct5Z6vV/X3a3bYQTDzJCC6lO9VYSCaIkCaGHiPiA9FrFCeS8cEhcVRZ6pmbWkRNvY1hcf48Miweb52WTp2ERE1PF4KsQ4fWGeWcDDjRY3TYMEdrfEiIVHy2ZP5kR9NqqtMTg9Br9jl9V/+LjOPlOY41psUif7Nnarizy0Q0PvWU5mm5dl0OS6501hU+FNwFqsXFOCUJm6NvjA9hJj0F1Y6BeHu/duRtcSWJ7JIF7dpJVeK2bbIe7tzZtZqxc2dJMG7ZImO/e/fDG7OEhkq3w5IlQpQOGyZrhy++cN6vQwdJ8NsdV08kTvT4PJmQTQVhuI5Vaz1sX+XNhJudb6LpuWY+W1LCmB4+tAtx/B68dAoDUowEGDV8sLCEu8eHEGDSEoqRbCqIwJcJEyQGu+Yajx784XCyj9F56UXcu9DRhjz+m238cUUPggw6hrTz58ZfHRkTo07DoHZ+dHhMpHLskmExMaKZDZLI8PaGyvWhnN+1kll7c1EUSXbEx4v0wkMPSRV2XJwkSvr3h99+g/YRBu5OaMe0vxz6DIEGHT0jWjbs7Eo4C7mcPZRgQo82Qs+UKXKvVVVZezYv/gGJu8zmw1ffWq2ybmmOQ83rHpyaUNSW6PTmOypKKjBYVdWihschwEpVVVvIfbbqnIOAJ1VVPbPh8UMAqqo+32SfD4GlqqrOaHIdI5G26EMe6w79+vVT17nzSz+JkFVex/6yWr7emscXm/OobBCETQk28sKYRH7cUUBtvY0b+8UwLC4Ao16Lqqqsyirn3bUHyS6v4+b+MYxNDiLQ0LJITXq6tGX88INMVO++K8GrTgd7KWETuVTV1+O1O5zbRkWQny/VhrNnuzpAHSvs2CELv4omWsmPPSZmFs8+K6SovUItMVHavp5+Wkq7e/SQCh+DQSblkxSHXD4cj/FZRi0byWU/ZbTDnz5EEfAvMHufN0/I67o6GRdmszihP/+CyrXXKGg0ssgPCIArr7VSZbUQFqowaoC3Ww26X3+VqtymWLzYtSLhX4bDLm9P1BxqttpYub+MN//Ooqbexm0DYhmZEOBiWtVWWG0qe0pqqKm3kRhowM9bzvfZxhyumZPauN+kTqH0jPChRm8mpasV1aeOzvoQehOJDy0r8peVScX3gw9K0HzbbVKZ6C6g3LRJxlhhocxnu3ZJUP3HH0IQ/fCDSE189pkEI3bodEKKDxjgfL7nnpOFZkiIJIQqKiS55OMjJENMjFROnGKGAid8Dj3WMJslaZeVJd9J+4421uWV883WXKyqysVdIxgaF8C2TVouv1yCicpKqbQaPFjMgHr3lnthhw5COG7bJrpwS5ZIANK//7Eh4sxm6V746iu484MClJ556DQazomOpG9A2268NlVl1YFy3l2bRW6lmVv6x3B6UhD+3joyiuU3GR/g3SjtcorgpJ1DjwY2VWXlgXLeWZNFfpWZa3vG8P0LQcye6fhubrsNrnusnLmVmVT7VeJda2DLZvhhXRkLLutOn8AQzGapjDwUkbJpk8g/mM0yN40eLfIO1113aN3hlRmV/JpeSLTVH2OlD+3b6QgJ0DJxoqMSctAgGbvHu4U+NVWqbO06j8OGiVzBGWe0XEH6D+JfN4ceS5zJV4wknkE4a2vvWuvFtEvDuOcjRyYnr6yet38r4vTuzsRic6xJr6G40srNZwbznbKdYcQxjg6oqmjZvfGGJJc8AE7BOdRitTHi802synImnq/sGcHnEztTWmth8d5SPlqfTUKggWt7R9E/xp/6eklArFsnicCBA51la37/XZLIfQdaGXV+Ld27wdh+BvZlaLn9diEm+/aVogq7BJkdOw/UsSiziBmpufQI9+X6flH0ifJze/1WbGwmjx0UEIA3fYgiWvXn++9F772uTubiGTOkrbkpdfR//yfdOXq9q563qjrH5R9/LMaDduj1snbt3/9IPvUTCk8q4BBoS1SWBTS1x6rAuS35SLAWaK8oSiJwELgEmNJsnznArQ2aigOBMlVVcxRFKWjFsackYv29Meg0/J5W3EgsgpREKyh8c4GrarmiKAxuF8CgWH+sNhXdISoP7EhJkUzqCy9I5WF4Q0fsboo4h6/JoAR0YOqiZ/r6K9j8YSyjRv1zxCJIoLt4sRjPbNsmk1DfvmIk88cfshBr105IyKlTJUu+qkEy8PHHhSANDxf9xTPPPOWC5n8ctVh4jVU8zbLGbY8xnIcZhuEkdoluDc46Sxbue/fKGOjcWaq/rFaFb75RKSlR+OEH0bc7sFdLWpqW994TMe3rr3cdKyNHimbda6/Jc/fcI4G8BycGXloNIxODGJ4QiE1V0R2u7KaV0GoU2oe4plz9vZ1/D7N2FRLoD97D93GdZn3j9jc5i1sZ0GLVb0CAjK9x46RCPDa25QC5Vy8JNNPSJIny888yXi+9VDTl7IYVEyfKYu3ttyVQv/tuR7uoHaoqi80VK6QS9/bbpc02JwcuukiyyBqNtJRefbVnrjxRsFqlemrqVCGQNRr46CMNV14ZyIiEQKd97VUJu3dLpX7nzpLw69UL1uyo46e+Oub+rGXVKjj9dJWBp6msWKEwbpzC8OHivGtvH86vMlNlthLt59UoG9AaeHkJWXnmgzlcGTedMqTs4F18WMQVbZLW0CgKQ+ICGNTOH1VV0Tb5Tbv7TXpw4qBRFIbGBTC4yXfV5QEI95eKyMsut3H2BCtLg9J4JMxRbj0+pDO36XpQsD6QQY9Khe0ddwjx3ZI2l72K+8svZYz/8IOsVSMjpTrHp4Xim8HJvlRm+DLhAkeFzMMPiybYnj0y73bp4ljrHk907ChEQWqq/M6joqTayHDq53X/dWjJLXrjEoNTS3SdxcYni0von2w8JLEI0C/JwKy1FazaXU1wRwMHG8JpRRGS+emnZQ3rqV48NaGqKu2DjS7kYlKQjKNAg57zO4dxfmfnFgKdTpLCzRPDdpx5psQ1e/ZoCQ/3oXNnmf+CeksBRGGhkHbN/RQ2b4Zx47wpL4+m/8BIzHEKoT1bHlyL2MM4vsHa0Bs0mFi+VS7kwgsD6NFDKhbt3Ya//CLxdnGx8AdlZSJRFh0ta4MhQ2SuzcoSWbN335Vq7pdflu4aHx+Ju2JjRb+xb9+2fdYenPw4bOWioih3N/y3F9AdmI20H08A1qiqeuNRXYCinAO8AWiBT1VVnaYoyo0Aqqp+oEhv2zvAWUA1cLWqqutaOvZwr3eyZTsOhdm7Cpg0c3tjG2D3cBNfn9+F7hH/rHf7B6zjJn512nZGVUeqzr2QHZt0bNggLpX/JOytVyUlQvLs3u14btAgqZ60t3mpqujkPPWUYx9FkQqdIUP+2es8ApzQjPFmcunDR9iaNJdqUNjA9fSkuYzqvwfffy9VA03dyl98USp+Skokc9iSUYvZLOPpUE6V/yKcchnjfwoZxdWc/912tuRVAfLB/HxPHBN8v3Taz4COzdxAB46dOOaGDZLBbqqhd911skhrOg7r6mQR585cYMMGmSubtmM//7xkjvfscWzT6WTf7t2P2eX/0/hXVd3s3CmEX9PvSa+X6q3mxlWHwva9dUyepGfrZgdB16WLyoABCp9/Lo/j42H5Chubq0u4Zd5ussrruKRbOE+OSGgzmXcTv/IBzp/zs4zikVPAFOwfxn9qDrXZYFNWJXf+sZuB3bz4sP9cKnDWpJv5x31cPMZ5fL3wAjzwwKHPPW+eJGWab2upwis7Wwj45jqLK1aclGvBE4l/1Rx6rBHJK7zN2YThzGLff2Y4XQfX0XOEJFS+/auM0morp3czuZVhaY7C8nrmrq9k/PlWcrxLeZrRgKxLp04VGZ4zzjj27+cUxCk1h+4rreGVlQdICjLy2JK9jSZlIUY9i6/sSY9/OGZvDotF1ovTpztv/+orSVQ3RxHVDOMzdlLotH0WFzORTm5fo6JCXmfGDOkstKNpF80zzzgbvzWNyw+1dj1F4EkDHAKt+VrtNbQZDX92zD4WF6Cq6jxgXrNtHzT5vwrc0tpj/00IMep5dnQi1RYbXlqFwmoLs3YV/uPk4l5KXbZl+hTSPcnCri26RiOCfxJarfylpjoTiyCVinv3OsjFvDxxsWoKVZWA2bOgdEYZtU7EIoANlVLcCGH8S1BQIDpmTYlFkDFz3nnSYnoooXhPRdd/E1nlZkbEB3J+5zAsVhWTXkO+rcJlv1rqG6u3jhV27HAmFkHG6cMPOyd23LXz27FhgzNhBfD669Ji8+GHjm0dOojemAcnBvn5rt+TxSJzUlvIxbIcb7Zudt62Y4fi5MyYmQmbd1qZsGpro3bzN1vzUVX4bELHVlcw1mNlM7ku27dT0PoL9uBfgbTiaoZ/tZEqi5URA0JdiMVADGxf65qZe/99qV48lGGZnRRvig8/bJlcLC52b+DibpsHHriDDZUialwMXaz1sG2VgfNukjXA9gO17DxYx8WD/FpFLAKE+utIitCTtllD6QDHWkKrhauuku6YTZsO3frvwckFm6ry4fps3l2bTYhRzwND4qi3qcQHGBgS50+n0JY1Dv8pVFZKQqU5tmxxTy5WY3Eb9xfTcrDv5ydV6C+/7Ly9vl5i9Ph493H5mjUSlx9q7erBqY/Dkouqqj51uH08+GewNLOUx5bsE6ephm1xAd7c1j+GIJP7MqqqKrk57dkjJcq9eoneVlswmgRewtm+6awDfQhNMBJ3pWiHjRsnCzwfH2mJ2btXgt6+fWVyUVVpYTlatETsNN1uNMp7bU4QncS6iycMSQQRiS+5OJxJIvAhiaATeFXHHnl50kpQViYC7e60xvz8JPvm7S3tSScahYVCKrhzj/Pg+GN9TgXbs2vp4WdgS0Upi7OKeCYwEr/uXk4BdGdCSWzy+0lNdRB7vXo5V8TW10u7yq5d0sbSu7fMXc3h50YWJyysbS107vb19XVo5XToIO3aq1dLwG42S6Xjf6RC96RBbKxjLrLD17ftc1JL98rmca9Nb3VxPp+5PZ9poxNJDGqdKKMOLdfSm1VkOW0/z9yZQ8iPNqKsTN5vRMSRjbfSUlnn7N8v645evU5dQ7eTCTk5UkkSFibzxObNkujw9ZW5ql0712PSi2uoskjmbleGld6dotiozWl8XkUlKsyVfGnX7vAaoEFuliXuttXXy/1+82apiMzPhzffdCQU26qvWFEBy5ZJpU9kJEyZckpqgnlwBCigCj+80OPM8O3e4EVwhBXfQJUas5WZK8sZ3c2El75t8ix9k4zMXFmG2q0W1aSiNBRADR8uMigffywajB6cGsivNPPpRkm0FdVYeGLpPgBeOyP5hBCLIFI8kybBK684b2+uyWhHiMWXS7U9+ESzoXGbArS3hDLnN5FtiYuDSy5xbmHW6dyvVY1G+YuJcU3sHCqZ1Brk5Ig+ZW6urGH79nU1lPXgxKPVs6KiKEsURVnc/O+fvLj/OmL9hdq3xwHRfl48PjyBexemc96Mrfyyu5CKOkd5i9Uq1S1Dh4oA6113q3zzk8UpaGmKLMqZTSqfspGV7KcWcdkbRDs+ZDzBGPFCy9VFg+i0uSsV+mqMffOJ6lPBffdJVuL556WM/4YbRBviscckG92rl7TwuXOwbAs6d3Zti7n6ame3voAAWVA2lWBLTpa2Qg+cEUsAc5nMQETsaAAxfMuF/MUBZrCNXc3K4g8HM/XsopBdFFKP7Z+45DajoABuvtlR3XDZZRIYNQ9Kbr9dyve/+051ElA+3qiqgm+/Fc287t3FhCMn5/DHeXBo1GOjlvrD79gCuljDsc3swkdXt8M2swuv9ujJmm1mZlsn000V0a7hahzvWccTrAqTtyvDynebCtlaU8Qrr9s47TQh7+xYsEDmpcsuE7OgKVPcu+/16eOqQ/Pmm21L2PTr5+oQ/NxzIg8QFiZz9n33iZ7Z55+LUZFdv9aD44fkZJFtsC+6Q0Jgxkwbm8wFnPHlZu6en86mnBZu4k3QsaMs/pti/HipFLBj6lQIjW5WJgnE+HljamOQPLKyA3cUjcCIDj+8uDvrDHZ9kNC43iinlg1k8xkb+ZldZFGGqorBzKhRUpV5++1iLOcO+VSyjXzymiTCQEyRXnpJznHllTBihGg0N6/+9KD1yMuTCpQePWTe+OYb2JBWx6zUAtbklnL99WKokpkJddRTj6MNINAgNQo3jQ6mxwALj1lHMsYmbF5HQpjFxYwapnUiJnU6kbFpSTsRoK7eyqRLLE7ks04n7X7NsXixBM7XXSfJ7zlzxHTIx0fmtm7d2vZ5/Pab/Ha+/VaMNkaMEDLbg38/cqh0crS3Y+NiAym9ZJKZs66SuFAdsYfRWXQHX4OGjtHe2LaFOlWGKQrccgs8+qhn/XcqwcdLS1Kga5YkwteLKnM989KKmPjtVq7+eScrD5Rha6WJ7tFAo5HEsd18UqNpWTM+NRVuvFZLzFdDuLCyJ1oUovHjMyaQvSCcCRPgu++EqBw5Uqof7agNqOTRZ5zX2EFBUpno5SVzcNMq3ISElrUlW4PCQontzjtP3t/IkdL6fRw+Ug/aiLa4RTcNdQzABUC9qqr3/xMX9k/hZNJpOBzSi6s5d8Y29pbUcmWvCE5PDOKyn3ZiaVJ28ONFXRsFYtP22PhyTi0oED+gkt86r2ZPYA6Ta3sx2dCZWByp/WwqmMwPLGN/47YZXMAlOFZhBynHgg1Nlj+7TLnc6f8zO3UFxODH3dvOwzY/mfvvU1x+2E884dA//PZbcZFqCywWmcDS0qT6MC5OMhX2cuphw1yrfeyOW5s3SwVDv34StJ2EOCm0bsqopYgayqljFNMb26JDMDKHyZxGbIsGFXZkUc40lvMx69GgcCsDuJn+pHBiS0YXLRLRd71egtD77oNb7rFw1kVmSrJ1bPjTm2HDbeyzllFgq+WyIQF0jDhx5gGLF4vRRlO8/bazjslxxCmldeMOKiqrOMBrrOYAZdzMAMaRQiitzyJnZ8PgwSrtEm2MnFiLuUbDX79688pHdZRHFvFzwGaCNEY2kcti9vJ99WQiSkP5KOgvPjOuRYPCFQWDqH7uNKzZvnz9tVRbDRzorHcIEgife67rNWRmSjt/YaGQzn37tl38f/t2MUbIyhKx+EGDJODOzRUTmBkznPe/8kr3rYgnGU6KOfRYY/9+KKqyYDGayamr5qqfUymtlYV7kEHH0qt60iPCvdOjHQcPiiv46tWS4CsuFmOLykrJ7m/YAA8+auXBrVtZsq8UAI0Csy7uxnkd21ZSsGIFXHW1yqTby7BaFH54I4ADB+Dv3FKWRGzjW7bTmVB6EMGTLKUPUUzbMpkz+5mwWBznmTwZPv3UeWyvYD9XqT+ToZSQpAbyVsVE+tfGEx4u76FfP+eAQquVyrWTRDf0lJpDzWapXL79dnmsKPD8zwX8cs6vrNBlEoyRuzLO5sCnSfR9YA+f+68hFBP3MpghtKOszsKqulye9F3AOk02CjCGJB5Sh9JDiWgkatLTZT6rrJRkX+/ejsCzxmJld1ENtfVWUoKNhJi8WLy3hCt+2sXt7Tqxd60JVYVJ52oYO0zvlEguKxPyb3MzSYBPP5W1YnJy20wyysrkftxtgJnYjhZyMnR8+4k3zz/v+Iz+BfhXzqHHAr+RxlP8yQuMcdp+z5gIeo2sxbdDBZ8sLmHyEH+825iQsaOyxsYXq4p59oJIBns7uxp9+qnM23Pn/qfNXU6pOXTpvhLO+HJLY2zePdyHOZd0Y1NeJZNmbm/cT69RWH51bwbGtq3MvqzWwsFyMwEGHTH+re8nLiuT9aaXlxi4Nm9FrqiQCsc//pDHA4bWc+Y1FfQdX0FeUAFLbunGtx85H/Txx5KkXE4mVzObgBofrlg9nr3zQggP0nHGGXJ/3rIFzj8frrlGCij0eqipkfu93VSurViyBEaPdt5mMslrnYB4/7/762wFWi2lqarq+mab/lIU5c9jfD0eNEFKsInfLu1OenENDyzcg5dW40QsAjy3PJMzkoMoq7Xy5o79fFghi7urqkLw0sFGctlo+J0cSnmJsejQYENlCXudiEWAO/id4cQR3UBCxjT8mxtbwY3q9+xVSgE4SAWPdJvJ59YbUFXXgKSptt3//Z84k7blJjlvnkxKtoZCuPPPlyrJyy5r+ZjDOW554IwADPjjzVTmOOktFlHDV2yhgCrG0wHtIYqbf2RHo6C/FZXXWU0SQdzKif0SSkrkX29vuXm+9EUl00t28ebcSiJ9vXh4TAfKTRruWCwpuHC/rkT0N7m4rR0vLFzouu299+Dyy6Uq14O2YSM5jOILzA3VNWv4mXc4h1tofV9bRgZceF0tabF7mbYvH6Neww13JFBfHcnf+gO8r3Fe1H5s/JsBNSn8n1HKxKyofBL2F4/dEM7Cq3tgNktQnZnp+lr28doc8fHydzTo2tXhMN0UkZGuGqSAE+njwfFFsVcl1/++i3U5Mk89Miyed9YcJLOslpLaeuZnlODrpWt0n3SHmBiphp0yBZYulS4CkPujXcPz3nu1fHV+ZzbkVFBSU0+nUBO9ItveV2SzQUa6wiu3BzZuG3GWhddDF/MtWwHYRC5L2cctDOA1VrFth+oyxmbOFKfUlBR5vI9SJvItRYpU9exRSrnc/1ue/PN6LuofRFmZa6WC1SqBlAdtx59/CqFhx+nnmvl97AJW6GSyKqaGx5J/4puHL2aKz0+N+80jjWVczWbvXAq9q1mH9L+pwEL2sEspZB3XN+6fkuL4jpsir7KOacv3886ag6hA70hffryoKx+sy+ZgZR0P7NxMeIQeBYXakiDO1HR2Or66WpInzVFd7f71DgdVheueLuOVtF2kl9aQkGRg2led8MoLbPvJPDjl4M4p2mKGnX97M/HWMt5dWsbgDsYjJhYBfI0a/MOszEstYXAPZ3Lx8svhttuE8Pe0R58aGBEfyJrr+rA9vxpfLw29Iv3w99bwwgrnGNtiU/k9vbhN5OLWvEpu+GU3q7LKCTPp+XB8B8Z3CEGvPfz4CwiQJE5LyMx0EIsAa1boWLMiiMd+ruGZCb/wwv0Gfv6iK7VNJPmtVthLCROZKZW3xhI2jPqAbqPCmfDhFF5/PYA335RkekYGPPKIJJHs682W9HJbg/Jy123V1fLnwcmFtrRFBzf5C1UU5Sz4F9vLHkdYrDZKaixO5dL7SmtYtKeYnAozv6QWsa/MveGGnbT7Na2Id9cfpN6mYrGpfPxXISl7kjE08MfvsIbMBsHW3RSSimu/cgFVVOEaXe6nvJFYtKOWeirDil00eGJinIPl9u3bRizm5MBNNzmIRYCffvK0pPwTsGJzOw6yqeAZlrHbzXNNj/2OHS7b55NOASfWHaJDBwmmKyth4EgLnxXvYnOBtNblVpq5e8126n3rmNI9nEeGxeHjr7JyfxmlNSeGWYmNdd2WkOARPD5SrCG7kVicUtWbJzLPo2yfN3sqKw9zpANBQSrlyTnM2ZePClRbbLy+fQ95+grKDa7n8VW8+C1kq8v29fE7ue8+ya5GRUnWtikURdpZTwRuuMF129Spx/86PIDiagtX/byTdTmOeeqhP/ZwTe9IfL203DOoHUEGHWsPljtJoRwKSUmiaQgOYjElRTL80X7ejO8QyuU9I+kf49+qQKU5EpLr6dPHmeW7+MESZmqdfwc5VOLbIMTo7e/KaAcHO2vv7aeMomZC8iXUkm8sZcMGeV/NdZtiYiAxsc1v4T+P/fvh1Ved5RYGTqhkqdG1Vz3Nx1nU2orKInUPj7AYsxtJlBwqqXaznmyOvw9WsDqrnCdGJvDIsHjOSglm1i5nY6D8Kgt5VWa3pSIREdIi1xSKcuTVMeXU8viObaSXyhjcV1bLk6nb6D36ODgZenDCkUMlQc3MXHb+7U14XD0bsivx0im0jzp6l7+4eJX1Oy3UW51/O3q9GLc98ohrNa4HJycURaFXpB+X9ohgQqcw4gMNZJbV4a4vVHW71T3yq+oaicUxSUHcMiCGDbmVbMpt/Vr2UDCZ3EtT6PxkwfBT4ipGne2Yw41G6b7JpMzF7GUb+ZBUwjffwNatcj+231fsxGJ4eNv1b5uiY0fX6x0+/OiT8B4ce7RlRbkeWNfw70rgbuDaf+Ki/kvY0pCV6P/xBh5ctIe0omrWZZcz4OMNjP1yC4M/3Uh2pZmRCYHE+nvjpXVeXj08NB6TXsuXW1ztbtfttNAFaZn2QttYhZZNJV5o0Tf7+s+nM+1wLZUKwoAJV22R9oE+zJ4tWosGg7SSPPggfPKJPB8S0vbWzooK93ojR6vd6IErdGi5nr4u2/sRzSZyOUjLOl9aNPR2k1tIPsEt0SCtcb/8IjexHVl1bC10vhHX21QKqi0MbhfAF5vzuPb3HYybtZFr56RysPz4u2aPHu1s4uLlBQ891PYWWA8EdiH2u0tGkv59FE99XsYj04uZ+OUOtuW1blEWEmthUV6+y/aNBWUMVVydNjoRSs86V2eWnvXRDBsm//f2hscfl6qy4GDR9Prll0Nnlv9JDBkiEgKTJkl1+KJF7jV5PPjnkVVex+Y856RMvU3FYlV5dnQi0zfnct3c3Vzy407uX5hBYdXhBQbj4uDXX2V+MRjgnHNEX9NOOB4pCqvNfLoxh3GzN3LlM8U89qSVoUOFpOrfR3Fb7a5BQYuCX69i+gx2Dla+/FLIQTuCMaJrdg4tCroyE0VFEkj88ou0XgGcdhrMnu18Dg9ah4oKmD9f1nD2ZFZ2qjcJtkCXfX1VZ0JFh4Zomx/t8MeIDm0z6u9KehLDodv4AXIq6ugX7cdTS/cxbXkmb685iLdWww19o5zOqABX93Z1O9NopMLr3nvFXCAlBWbNOnIDlsyyWvKrnEnRsrp6KnXHf23gwfHHQcoJala5uH6RkXZd65i/uYqhnUytdoc+FGL9vVGM9Szf71pyHRcnY/qCC9xXa3lw8iOtqJpJnZxFr/UahZHxgYc91lxvY356MTO25rMqq5wLu4QR4K3jyaX7eHZZJuO+2cr67MPrMB8OiYnw4ovO20aMM7Ozu7RyGxUdV91Zw5gxKtddJ90QvXqJfFbz+V6LgrZUfjdFRWLYNWeOo5uwf39p9T8a88xOneR+NWCArGkmT5YKX4+Z28mHVrdFAw8Av6uqWq4oymNAH8BTjHoU2F9Wy7ivt5JVUQfAyysPgKqyJruCgmrH4ub7HQU8MyqRN1dn8dzpSazLrsBcb2NqnyiGxwegURQGxfqzotlNKilaxwbkzvQ4I4hvIA6j8OVzNvIiY/mI9eylhHNoz0MMbax0bIoUgnmXc7ia2Y3bHmIofU1h+PSGH3+UySQkBPbtg48+knLs0lIhSbp2FaH5Hj1a/iyyssSVEEQn74EHHK1PGg0n1HDj34yzSOE5RvMSK9GjYSp9WMF+tGgOGxhcSnd+ZGej83Q8AZxJMmFt0Lb7J6DRSLC0ejVkV2kJPqCjuMa52ifCx4tZqYUcKK9r3PbTrkLOahfOhBQD4eHH73o7dxYtkQ0bRJOkV68TRzj9G3AaMcThjyY9hDWZDoOirfnVfLElj5fGHr4FNNhXS88IH/aWOgeUHUJNxGLjGUbxAesoo44pdKeYGoZkduenlO3kauT3kKQGcoVfZ8Ka/Izat5cW0AEDZJGUmirZ2GOhF1NdLXNoTo5UvnbuLBW8LcHbWxJCzfU+PTj+CDDoCDa6zlO9In14bVUWhU3WAx+sz2FipzDOTDl8IqdvX3EgLSmRaj/TMZCWnZ1axNQ5qQDcUbCVaF8vfvu+Bz0ifbEQzJ0M5BUczkBdCMNMPS8xlkeiZ3Pmt324ZG0XkpUgCgs0vPuuzNX/+5+sFToQwsu2sdylmd94jofLxhBeHEKXPvJ44ECRkygqkvflkY84MsTGCjn74otixldbC0ajD+fVjuMi0wwsDRWJF1q70FOJaFSZOqO0CyOXjmTuFyH0TuqE35RC3uhzFm/xNwco5zK68yBDXRx33SHS14sbf01rfFxptvLyyiyWXdWLP67oyacbc9Fq4OpeUQxqoZ0wLk7ewx13SNB5NI6kwUYdeo3iJEGkUSDUdAS25h6ccjhIBT2bJc7Xzjdg6ZBP52hvgn0PP6Zbg2CMKHHFzNpVyKhEVwv0sWPlfn7NNWL49R/WXzwlEeVn4JVVWbw4JollmWX4emkZFhdA1/DD34T/PljO2V9v4ab+0UT4eNEr0pdHF+9tfL6g2sL9CzOYPbkbvl5toXGcoShi/tq1K2zZVU9FdBHp/bbxedgaFOBypSdpwzfy3YIBBCkOwr0jIbzIGO7Foel0R9ZYfnwuFJ3OYbjav7+YGBYWCj/QGumpigpYtky0v6Oj4dJLneXOhgyRc5aVSaLU0+F1cqIto/JRVVW/UxRlKDAWeBV4H/B48h4hUgurG4lFO2worM5yzUjUWW3kVJq5d0EG00YncHO/GAKNjsXOFT0i+GpLHjmVUtGQEOjN2E7+1NGRc2jPcOJQGlaGHQhhGqdzI79yNilMohMjiacPrllhAAWFyXSjO+HsoYRo/OhOBD4NrU4+Po5SZbvG19tvi+tZeblkLz76SJx53bUA7tghhgZ2o4MePeCtt0R3JCoK3n//pBFq/9chHB8eZCiDaMdP7OQTNlJGLZ8xgQ6EHPLYQbRjLpPZQA4WbHQnjN4tjKETgbAwCAsz8tZZKVw+a1djM8JFidEEVfuxIWevyzFrMyupWB3OXXcd38Vcx44nrj3234auhLOAy3k4M9vluT8zSzHXW/HSHTpA8NZpeXhYPIv3lVJeJz0dfSJ9GRYXQJRWxyZyGUd7fPBiMXu5cssZzHgsitn/dzV5YQVoUOiuhBNHoNN5y8vFSGXOHHn8228i+zBr1tEFxDU1Muc++KA81mrF8fWii478nB4cP8QHGnh/XAcu+WFH4zx1Y98otBqFdW6copsmRQ4HPz/5OxYoq7Xw6soDTtuyK83MTi2kR6QverTcy2D6E8PvpNOXKE4jlh0UcAO/UEM9P7X7m/nRHfj+cQ3PPScE+KpV0vGwbBkkJmq5XtOXnlWx7Kouo3hzAHOfC+fvJToefVQSjX5+EqicKJ3cfwsCAkSg/7bbZL0WHy/rrbGGZNZxPWkUEYSRzvURzPtBz0sdryA9KZ3kH4by4kNGqqqgrs7ETx/F8cBf1YzoHs/V9KY/0a0iFgGqLK4t1ZlltVSYrYxKDHJLvLiDRuNeYqSt6BBi4pUzkrnjd0dr+LTRiXQMaVnr1IN/D3KoZFSTtujKMoWMLV54dS9lVPIxmkgBP7ypDSsjc1cY+0prSHDjOHzTTfLb/Ogj9zImHpy86BnhwzkpITz8xx56RvqiUeDm/tGE+RyeDfstvRgV+HZbPg8MiWs0dmuK1QfLKampPypyEeReOnIkjBypY3mdhUJdPdfSm5Ek8Cu7uaZ6AEEm57HphY4b6ccQ4kirKaNiewDT7wunrFDL7NnQzeELS0BA25J/8+ZJMRIIIfn551Ix2bTgoq3n9OD4oy2j0i6WMw74QFXV2YqiPHnsL+m/A2+da/vQptwKTk8MZF56sdP2QbH+LLq8JwHeWjqFmvD1dv7qukX4suKa3mzLr0KjKHQLN5EQaGQCrjXIWjT8j670JIIcKonGj/aENJKPbq8VHX2Jpi+urX/NsXq1VCJefbVoLrz3Hhw4IPoh7giUL75wdlDdskUcDNPShLSMOnn4qn8lFBRGEE80vkyiE5H40oGQQ5q52NGPaPq1YkycSPQxhPNMOx9qDDX44EWk4sOulVrGJIbwaZkzARWr9eOJJ6RNNCHhxFyvB0ePjoRyWlQ1P213nkdHxAcelli0o3+MP2um9mVHQRVGnYbuET7E+EvQcQU9GUgsBywVXFjZG50SyGWfq4QFBUMTaYDMTHHVTU+X7Gt4uINYtGPFCti1C4YOPfL3u327g1gE0bi57jqpXDsBLnoeHAEmdgpl3fV9ySiuIcLHi+4RPtRZbYxKCGR+hrPrT1LgidFM0Gs1BBtdl43+BsdvKgJfLqIrF+FwEupKON2JoJRakgjCsi+AV16Bm2+WACI/X4ihjAxp1TKhJ7kolov6xlLoKD7m2Wdh3DiptvPg2KBbN5mTcnIkYJO2eYUeRNAD6aHfsB2uuxxUNZHXXktEVSUADAgQfexXXoHgdclM7h5OUhulUdoHu5IqnUNNRPicmEpBvVbD1D6RDIzxY39ZHbH+3nQP98GgPzYVax6c3MihglAc1WUbFhsgoopBXYx4uYnZjhRaFIK1BpJjvJiTWsTtA12ZcS8v0V+86y7pMDgSgyIPTgz8vHXcP6Qd53YMobjGQmKggeTg1rUOBBrkHltcU89H63N4bISrqODYpKBjXk29d2Ys22ZEMu6OEnbpqojZOYYftwcy8m3RAm0KH7w4jVhOM8ZS0RHGNLQnR7qqZbUaZWXwzDMy1keNEh4hNFQ6Ij3dXKcW2kIuHlQU5UNgDPCioijetE2z0YNm6BpmYmxSEAv3OAKHHhG+XNEzgoySGlKLatAqCg8NjSPCR098oIFQU8tCwklBxkM6STaFBoVOhNEJhyZEbmUdWeV1BBv1rT5Pc2zYIOX8du8EnU7anO++29mkxY76enErbI7Vq+UYD44PFBQ6EEoHjqJ86iRBYbWZfaW1+HvrSAk2UpCr4dFr/QA/nn8e7nhOSu+ffi+GXmEVbCqoQAEmJ8ew/fcAty669TYb6cU1VFtsJAYaCDJ6WqROVti//6FxAZzXIYQ5u0WwdVhcABM7Hroatzk6hproGCoLwuIaM+uzK/D10tI+xEg3JZxu+nAIQv6aITdX9BVXrnRse/ZZCRDSm/klNHe/bSvyXeUhKS+XtlEPuXhqwEuroU+UH32i/CitsbCntBajTsOLY5LZW7qd3Q3rgcdHxNMnuu3uzscCJr2Wx4YncPbXWxorLAO8dYxOOHR1mQEdvRpaDfOrzORUmZkyxYt162DNGsd+jzwiQYVWK63cTYlFO3Jzj9Gb8aAR7qpbD5bXkVNZR6hRT16eEVUVrVhFcV6bJSZKO7K3qm8zsQjQLdyH98e1587fM6iz2oj28+KzCZ1QgXXZ5QR460gONqI5jq0EJr2OgbEBuOF7PPgXQ0UlnypCmpCL332hxRhXSfvIY7/mC8ZAeKyVhatKuLFfFF5aVwI7Pl7WEVddJZXdGk/UfdKj2mwlvaQGBUmUGNuYmDgjOZhpyzMpr7OSUVLDzG35PDg0jpf/OoBVVekUauSZUYmtPm9WeS05FWbCfPRuK2Tt2LYNFv2uY9HvYdDADSQnSzwfdIhb/LHsjoiMFCLx0Ucd2/r0ESmU6JO7jsWDJmgLuXgRcBbwiqqqpYqiRAH3/TOX9d9AiMmL/zu3I8v2l7Elr5JBsf4MaRdAuK8Xf17Vi/TiGgqrLTy3PJNnl2fSOdTENxd0oVfksQ8sVmeVcfEPO9hfVoe/t5aPz+3IpE6hbXaRnD3bQSyCkIcLF0omwp17n04nrXurVztvHz++7e/BAw8251Yy+ccd7CysxqjT8MoZyZyREEFgoA5vb3HHrGjoMnzyVh8uvqo7146rxWrW8O27Rlav0PLEE86iw2W1Ft5fl8MTS/ditqr0j/bj84md6BJ2YrUlPXDF5txKpvy0gx0F1Rh0Gj45twNX94qkpt5Gp1AjPSOPbAW0Lb+Sy2ftYlNuJQadhudPT+Ta3lH4ebd8C9261ZlYBJg2TZItt93m2DZggAhVHw3i4yWzbGniQxATc2zaBD04vthVWM11c3ax4kA5Oo3CI0Pj+P3SHuRVmvH11tIxxHRE7s7HCqMSA1l2dS8W7Skh0KBjdGIQ3SNatybZmFPB5B93oEPDrYP68vnnzu/j5Zfh8sulwyE6Wlqgd+92PK/ReFyhjweWZZYy+ccdZFeYCTLomDmiH97eBi66yNUAYO9eaU+3G+y0FUa9luv6RDMyPpDi2noSAgwUVJsZ/vlGdhXWYNRpeP2sFC7vEY5Jf3QtgB54cCiUUIsX2kbt+eJKKzuW+HLWXQXHxMSlOYIxUWqsol2AP8syyxiT5J6cnzRJdLm//BKuvPKYX4YHxxCZpTU89MdeZmzLRwGu6hXJ06MSiPVvfbdBr0hfll3Vmz/2llBRZ2VMUhC9I324tHs4VWYrSUFGwnxa51i+ZG8Jk3/cSV6VmWCjjs8ndmJc+xC3yRp3hn4XXnj85EcCAuC++8TIqCk2bJCORg+5eOqg1StUVVWrVVX9SVXVtIbHOaqqLvjnLu2/gbhAA5f1iOClsclM6hxGuK9MGBG+3mgUhYkzt7MmW9i6nYXV3PFbGuV1rvoLR4O8yjqm/LiT/WWi41ReZ2XqnFQ27rAyZ47ogmVlte5c7ipoSktFD6ylAPr88x0aC3bnvzFj2v4+PPhvo7yuntt/S2NnofhM1dTbuGVeGvlKFT/8IEFpWYPnkY8P3H8/pMR4UbfXnyQfX/yMWqZPF52bptnhddkVPPTHHsxWqdVZm13Bc8szqat3U+LowQlDRV09d/yexo4C+f5r621cOmsXYT5eTO4eQe8o/yOqfqk2W3lg0R425VY2nveu+RlszDm083S1G7uzmhohE19/HUaMgOefh6++En3QpigqEp2ZH38UktJd1XdTdOokou/27HK7djBzpmcxdqqh3mbjzdUHWHGgvOGxylPLMtlVWM1p7QLoFu57QolFkArLoXGBPDkykTtPa0ePVhKLpTUWbvx1N6lFNWwvqsLs7ep4bTbLH8hv4ssvHWTi+PEyxnfvlmDD7Hq4B4dBVpas5+bMkRZ0t/uU1XLR90IsAlRbbNz89ya++tZGWJis55ojKurQhn2Hg1aj0CnMh8HtAvDx0nDjr7vZVVgDyH38xl92s6WZm7oHHhxrZFPhZEj4ymfV6BUNiR0OcwM+QoRh4gDl9I/x55eGDgt30Grh1ltF+qTy0MsOD04w5qQWMWObBMIq8NmmXBY0kzVpDXpG+nL3oHY8MTKBIXEBmLx0dAv3ZWBsQKuJxczSWv73/Q7yqmQuL66p56Lvd5BW5N6Ld8gQGWN2I8Czz4apU1unP79vn7hB//qrFHEcKWJi3K+d3W3z4OTFCUsDKooSDMwEEoB9wEWqqpY026cd8AUQCdiAj1RVfbPhuSeB64CCht0fVlV13vG49iNFRV09a7Mr2JxbSay/NwNj/YkLaDmbkVFS47Jt2f4y8ivN+LupmNmaV8m32/Oleqd7BGOSgghvxSSUXWF2cUV9rENXxo3WN7Ylde0qpgN2F6iWcMEF8MEHzttuv12cS1tCfLyIuT/8sJA6KSkeBygP2o78SjPLmjmmA2QU13D56QF07AgbN4rRxZNPwgsvCIkD0K2byntfmHkrLY0tmw1c2j2C3lFS5ba7yPV3OCe1iIJqC7H+Hh2mkwX5VRb+zHT9/ncVVjEk7sjVnwuqLfzeTAMXZH4enhDY4nGdO0smtqzJJU2aJBpnAwbAnXc672+ut7Eup4KsHBs/vO7P99/K2NLphAw4++yWr1GrhQkThHQpKhJS0aNVe+qhqNrCz6muQebG3ErObt+2lv5jiXqbjfXZFazNrsTfW8PAmIBGuYDWIq/KwpqDDnOabGMxwcHRFDf5aZ19jkpioiOSGTBAzF6ys2Xetlc0KIpoNV922VG9rf8U0tJk/tm+XR6HhkpXSfOOkqwKM3lVZjqEGLm6VxTFNRYMOg0xyeUkdjRSWq7n7TcdBLe395FXLbpDXpXFranhnpJaTov1qPh78M8hu4ne4pbMWjbO9yGlX+0/Zu4XipFcKugaZmLWzkKyK+qI9nMf/HTuLGuH118XZ3cPTj6oqsr3Owpcts/eVcg1vQ+9IMupqGNtdgUZxTV0CjXRL9qX1MIaPtmYg9mqck3vKIa082+T9mtWeR1FNRanbbX1NjLL6ugY6tp5FRYGTz8t3QMWCyQlta7deds2OPNMuU+DHPfLL4eO+1tCcrIUG82Y4djm5wddurT9XB6cOJzIHoMHgT9UVX1BUZQHGx4/0GyfeuAeVVU3KIriB6xXFGWhqqo7Gp5/XVXVV47jNR8Vvt6ax02/pjU+Hhrnz/f/60qkr/ubibubTKdQI0FuBNXTiqoZ8+Vm8qtkIvk1rZinRyXw6LD4w5bzBxv1BBt1FNdIRWSPMB/+nuXnpHe0fTssWnR4cnHwYCEhn3xSqnQeegjOOOPQxwCYTK13hC4uhro60WY4no6+HpzcCDTq6BRqbKx4sMP+O4qNFfOAub9bmf6JhqIix+DZtk1h7u825lNChdnKxxtyWHlNH7qG+xDr741GgbPahZHi48fqkkJ8DJpG0WUPTg4EGbV0CTWxo9A5xVlaW8/B8jpi/I8sYxHgraV3pC/rm1UqthQE2NGhg8yZzzwjpPYll4jjo6mBk8nNFeLQ7hK9cE8J587YypNxvRuJRRBpiRtugLVr7WYLLSMhwWNEdCojwKBjUKwfs3Y5E4wdTrBT7Z/7yjjzqy1YG8RBo329+OPKnnRyE6CUlMi9PzLSuQI80KAlMdDQmMicmb2fD78L4uPXvdixWcvo8WaSxhaTWe1HV1/HeSMipPX2lSYrPVUVM5jBgyWQ8eDwWLjQQSyC6Fm+8w58+KEkJ0AqpP3qDFzbOZYe8Qbump+OrUFcc/qmXC7rEYFlgJZbHojkl2+9SUxSefophZ49j911Bht1pAQZSW+WXI/ybV21jgceHCmyqSAYIxaryitzC/Hb14vEc/65kikTenRoKNPW0ifKl9/Siri2T8vtBlddJZIqt9/uccs9GaEoCqMSAlnerMhhWPyhv6zSWgv3LMhorHgEuG9wO/7YU8KGho6Zb7bls+CyHoxNbr2ubZiPHpNeQ7XFUXmrUSDiEEVHen3bibzp0x3EIohB688/Hxm5aDSKNnl8PHz9tVTEP/740UsHeXB8cSL7ayYA0xv+Px2Y2HyHhtbrDQ3/rwB2AjHH6wKPJfaV1nD/wj1O21bsL2dzbsutHr0ifLmlv+NG46PX8sG4DoS4MXXZklfZSCza8fzy/ewvq3XZtzniAw18el4n9BohW+J9fEjb6ipevGXLYU+FyQQTJ8Ly5fD333IzDG67xrdb1NYKcXnaaUJEPvssHDx4bM7twamPUJMXH47riE+TzN7N/aKdNEpVrZXV6gF27XRlpQ+m6whrcKgsr7OyIVeqJwZE+/F5vwEUf9qJz6bGEbW4B8/26Iqvl4dcPJkQbPTi9TNT8PVyfP8XdQ1j2f4ythcceUtdoFHPm2e1x6/Jea/oEUGfqMO3g/brJ+3J69dLpWxyskhHvPoq9Owpz3/zDeQW1XP/ogxUwFzuOq4OHBCDFg/+3TDoxDAlrIkL5Pj2wZwW63/CrqnKbOWJpXsbiUWA7Eozy5tVCVss0nI7bJhU2DzyiHN7VISvNx+f2xFjg+PqGSlB3LZpI1UTtjL6hXRWd97Ik1t3Nc67TeHO2KWiQohMD1qHrVtdt61dK0QwyHf12GMwrL8Xq55KRpMeQodAB8m7v7wOjUbh/zL2MTtiA8OnpTHk/kyGDFWPaZI31OTFR+d2wKR3hCe3D4j5R7TGPfCgKbKpIAgD3/5Vhqnem/J9RmK7HT6GOhpE4ksmpQyI8eO3tGKsNrXFfWNipJr7nXf+0Uvy4CgwuXs4HZskA7uH+3Bex0MbZe4sqHYiFgFeW5XFuA7O3Qpv/n3wkOOjOdoHG/no3I5oGyZoBXjzrBQ6t7Hr4FCwWl09E8DZqK2tSEqC556TdfMPP8iY9+DUwomMjiNUVc0BIREVRQk/1M6KoiQAvYG/m2y+VVGUK4B1SIXjSbvUrK23UWF21WirtLSs2xZs0vPc6Ulc1iOC4pp6koOMja1IBVVm0oprMOg0dAgxtVjC19ppaHyHEDbe0Je9pbXE+nkzP09lyxbnc7amAtGOY+Uc1RRr14o+ox2PPy4tOffff+xfy4NTE8MTAtlwfV/SS2oIMujoGuaDf5MKQ7PNxpKDxYw6L4pt25wrz7qdZmZmTp1jQ8OPpyLPm1snO8id2d/p8DPaMD5bSaW1noRAA+0OIW/gwfFDXIAXtw+MQaMoeGkVlmWWsWhPCbf0P7qc1JC4ANZf35e04hoCDTo6hhjJrjCzq7CaxEADsYf4/g0G+bNjzhy4917H40svhV9+0zQmh4wRdSiKj5OD9JgxnjbnUx25FXVklNTg46WlQ4ixRXOK3lF+rJnah11F1fjotXQJ8yHEdOLc6eus1kb9vaYorHZOZm7YILqIdn3QF16QCsPnnnNUMI5OlPk5o6SGCB8vZu8q4q/sUv6itPE87pzTk5LAy8tZZzElRbRFPWgdxo51lay57DLw9ZXP/KOP5LsCKClRuP1yI899k8JDJZsb96+x2NBrFLLK6/iy/CDjNUffql9YbSatqAa9VkPHECN+3jpGJQax8YZ+pBfXEGzU0SXMx60UkAceHEscoAxdkQ/frSpntCUJa/dadF6tJ3OOBOH4sI9S+vlF4+etZV12OQMP0f5/8cWii3fvvR75qJMRnUJ9WHxlT7bnV6NRoEuYD1GH6XKpcsMDWN3cCNVWR/SCfaW1JAUa+POqXpTUWoj286ZLqAkv3bGrK9NqYfJkWLHCeXuPHqLrm5x8ZOdVFFctcg9OHfyjd2tFURYheonN8Ugbz+ML/AjcqaqqvX7jfeAZhAJ4BngVuKaF468HrgeIa2oDexwR529gUqdQZu1ypOBNeg1dDpNB8PfWuejMbMyp4LJZOxuNC67uFcndp8USatI7LfgfHtoOQ52BVauE7OvQQRbo7qDVKHQN96VruGSHgy6G1F1S7qzXS3vzsGFH8s6PHZo7rwK89x5cc42jtfBUxMkwPv9N6BBqokOT31V2togNqwYzq6pzOT0xkBJTHudPjuLnmXr0erj7ASt/aw803tD9vLSNmoupqc5VY0NG1RMyLpv+n0o1T4SPF7Mv6cbAE1hd9E/jVBmjCUFGcivNfLoxt3Fb+2ADXQ/j7L27sJofdxaweF8pkzqFMr59CHGBzoRh+xAT7UNMlNfV8/bfWTy+dB82Vdr1Zl/Sjf4xh//+q6tlzmqO+fMUHp0Sx53zM8jVl/LVd/7s261jyRKp2H7jDSEBPHCPk318bs2r5ILvtpNWXIMC3D4whkeHxRPaQntSQpCRhKAT2wptR7DRi9sGxHD3AmcHkKHNdEy3bHE1HvrgA2nji2ng9hVFjDs6NfweHxsex62/pTfu7+eldVsR3KmTtFlNnSrzeZeuKk++UUueWk/dAT8OHBDJi/btnVuxTyac6DE6fLjI1Tz/vJCyDz8McXFi8qLTwfvvO++vqlCWaUSnUai3qShAuI+emnrHl3z7gJgjMsmyI7Wwmstm7WBdg2nh5G5hvDw2mRh/Ax1CTJI49+C44ESPz5MBmWo52+dEc3o3H3a/EkBCH1e97WONSHxZyQEA+kX7M3d30SHJxcRE+fvuO9HG+y/hVBmj0X4Gov1aX3DQPthEhI9Xo/EKQK8IH/Y180K4Y2AsWk3r5tsle0u4bV4a54a1Q1fjRb9O3rRvZ2iTZmNrMWCAJMm//Vbuv5dfDunpsGvXkZOLHpza+EfJRVVVW/T8VRQlT1GUqIaqxSjAjc8wKIqiR4jFr1VV/anJufOa7PMx8MshruMj4CP+n73zDo+q2vrweyaTZCa9F1IhgQRCrwIiIgrYsPfeu17LVa969Vquvd7P3nvvAioCKkUU6R1CSWghvfeZOd8fi2QymUll0vf7PPOQs+ecM/swe3ZZe63fAsaOHdux21BN4OPlwZPHDiDG34tPNuYyNMKHR6cPYHALi97G1FqsPPfnvnrDIkg2qhlJwSy8eAQfrc9mzcFSLhoeRao1gilHauzYIbsLDzwgE/3WpJVPSJDJ5p13ysSzf3+7Lk9X4cqAGBtr9wrKyBBX7IIC2TUZO7ZpY2p3oju0z55Iebm4zW/aJLpc48Y5e7L89ZckAdi/H7y8vLj1PxEYRh7EGFJDzfHbeOLcKEb182PYIAO/7Q2h2kuE7C8eEcXQCPltNvbCPf7Scu7bbJc4yC6v4eoftvHrJSMJ6UIPo46kp7RRk9GD/0xNZFw/f77YnMtR8UGcMzS8Wb3Fg6XVnPXFJtbnSOj0gl2FLE4r4q3Zqfh6OXd6aw+Wcd+vGfXHWWU1XD93O/MvGkGwufnv39NT+tI1axzLQ0I0jgwO59NjAnnxfl9e/E0+99xzdR56SGtR67av053bZ7XFykOLM0kvkIWqjoQ3zUwKcXuSlgMHxMN//34xyI0b554ognOHRlBj1Xn+r32Emo08On0A42Mcb+xKAyw6WjSUmuK8oZFE+Hnx9uqDDfpdZ+OiwSAJjf73TTFrdlWRYS3h6lUHebhoJI/cCNnZMg946SVZ5HRHj56ubqNhYXDfffL/M38+XHedXbv688+lX2qYXAcgKsxAWKEn4b6ePDZ9AMEmDy4YJm3h6jHRHBnXfuE3Xdd5a01WvWER4JONuZw4KIwLhqlIgM6mq9tnd2D9SgN6lca4WF9+XOHL5Is6PhguAl/yqaSMWkZH+/Hw4nwKK2ubnUvMng0vvND3jIu9tY0mBJmYd8EwHvg1gz/2FTOjfyiXJsVh8bJg06HKYuWaMf2cNvSa4kBpNfcu2M15hqE8drkP5eUiT/bWBxZOPcH99Q8IEC/Fu++WTakffhAZjmuucf9nKXoGXRln8D1wCfD4oX+/a3yCJplI3gK26Lr+bKP3ouvCqoHTgI0dW93DJznUh+dmJXPPlAT8vT3apdm2u7iK3zKKnMpXZ5Vx7tBIhh8nE/PycjGq7DjkFGC1Shjx5MlwzDGt+yxv7+4lojplihiP9somH0ajZLby84PMTBlw63SFNA2+/lr0HxW9k88/F6/VOqZMEX27uvDR/Hy44gq7LmdNDTxxj4lHPg7gvaytnD80gnMm+NWHNJ+dFsHZac7qDGlp0o6+/VaOK72dNXjW55STW1Hba42LPYm4QBPXjo3h2rGtC4XenFdRb1is47NNudx9ZDwjo5wtM650bFdmlZFf0fyCAMS4ePvtMHeuLOxBFv0eHnDqsSYuv9ybpb/Zd6Y//VRj5syWE2kpui/5lRYW7HJepG7Lr3CrcTE3F666CubNs5e98IJsKB6uJl60vzd3HRnPpSMj8TYaCDI5t/Nx42S+sHWrHGuaJGFpTnM5xMeTs4ZEcNaQZlVxANhbXMVlC9fXy8tcOSiep+/wI/vQNnNVlXg2jhwJo0e39Qn7Bh4eUFQEN9xgLzt4UMbRt9+Go4+2e58mJesUhRRwckQoFw2PYEpCMACT4oPcUpeyGitz050zoy/JKOaCYS1krlIo3MyuwkoO/BrGdTN82bzQn5ghVXj7dLz9ygONGPzZQT4jPaMYHuHLzzsKOLeZ38CECaK7uHatc7Z3Rc9kdLQ/b8wYwqffWvj4WU9m/Sku+O+9F8SFF7bNIz+7rIYZgbH85wIfLJKnlYICuPpSIyP/1klMcG8m1KQksTf885/2stNPl7WTom/SlcbFx4HPNU27AtgDnAWgaVo/4E1d108AJgMXARs0TVt76Lp7dF2fBzypadpIxBEgA+gRNnKjwdCk/oKu6+woqCS/spZYf2+XOl5mo4HxMf7sLal2KE9tFF6dnw8LFzp/RkZGu6vudvbtE+Onv78sSnxbcOIcNEieaeVKKCtzXESsWuUoWK7r8I9/iDFV6Tb0PvbsgdtucyxbsgTWrbMbF3NyHLNj1lGd58XslDCCzZ4UVNYSE+DdZGhXWbUFzDZeesmLyy6TNhuX6g1bHc9LC/ch1GwkPV3qFhEBKSk9w3NW0TbiApz75VFRfq3WxZs4EZYvh8WLpZ/WddGnO/JI+PFH53a4YAGccoq0ZYNB2lWoex3eFB1IiNnI0QmBfLvN0ZCSHOLesOcNGxwNiyByJiee6L7QpEi/pl0C+/cXo/nKlZJoZcQI10a+gspajAYI8JbfS43FxppNVg7s10iI0Rie5oGxFTPTCN2PzEzH34uuy0ajMi42TUamjkj729mxQ7xP5v9mZeHyWrx9rZSFFfHwhh2kBPtwZmgiP2+3MSDR4LaNDk88mBQe4hCFAzDEv/fKiyi6J1abzgVfb4a0HAYE9eP1bwJJPqL9SeDaShyBbCCHkUQxPsafr7bmcc7QCLQm5qUeHjBrluikupJZUfRMtm704NarHKNlrrlG5oxt6XcjfL3QSmz1hsU6cnPhYBYkJjR/fUYG7N4NPv42jBEVmM0aA0PMeHq4tnB6eMjG5qhRIiMVGyuRg2qe2nfpMuOiruv5wHQX5QeAEw79vZTGsyD7eb3KIbzGauOLzblc88N2ymutRPp68cVZQ5iSEORwXkWNjXOHRrL2YDk7CyXMavagUMY00ikKCpKsyo1FVmMOL6+B21i9Gk4+2Z6+/sYbJWy7Je3EgQNdd7Klzgkmyc62Z0JU9C6qqqC42Lm8YTsIDZVEALsck7QzJMnIndvy2VtSzcOLM3luZhKXjIjC3ECLxGKz8dvuIu77dTcHy2q5cXwMF0yLYLa/N6XVfjx0dCL/+V0090LNnrx+cgqrl3lx+uniNWw0wv/9H1x2WfcM0VMI2WU1FFdZGBzmw5Y8+yL3nLRwBoa41vsaGeXLvVPieXTJHnQgzMeTm8bHkNcKz0UQj65Ro0RD9pFH7Akstm2DmTMlrLUhEybAWWfZN4uOPhreekvatqL7YzJ68J+jE1lzsIzMYtkUvHZMtFNY8eFSVuZcVlHRuWPggAFNt8u8ihq+2pzLU3/sw8/LwIPT+nNUXABff6Nx45WeVFXJZszLr9u45AKDk4ExLtDEv6cmcOcv0qEXGSqJjoasLMfzusscpztSUm3B4lsLOBq2Y2NlQ2xwmsZ3ZXt4bMUByIMRof6cWDaUE47yxmqVKJEvvtSZNfPwPV8stRpDa6IZElLI5gIx5BwbE4Z/ftBh31uhaAuPL82k3GohcHApFblGMteaOfqKgpYvdBMDCOYzNlKLjf7BJnQdNmSXM7yZDOkzZsD118Nzz6k5Zm9gf0k16VkAjl9mVZWM420hJsCbIwbLZnRDHeTAQIiIaL7v/vNPWZvn5YGmGbjyH55kDknn6BR/rh8XQ6DJtdkoMBCmT5eXQtFNpa/7HltyK7j4my31WaOyy2s476stZJXaPRRzyqs5/fNNfL4pm4enJfLczCTePDmFB6YmMKJR+F5AADz7rKOx7oYbYMyYTnmcZikvF4+KOsMiiIv/ypXtv2damrMm5NVXQ79+7b+novsSFydu+A3x9obBg+3HERES6hVwyBFC0+DOe6zMK8ms9/ytsti4bm46GxqFxa48UMrMj9bz1/5SMour+OcvO3lvncTf+Xsb+eekOFZfPZZFF49g5dWjiSOQCy6Qtg1gscjvbcuWDnl8hZv4bFMOZ36xidMGh3Hj+BiO6R/EczOTePLYJJd6iwCBJk8mxQZw/9RE7jsqgYuGR3LLTzt4b91BdFepbptg1CjH48xMyeg6bJi97OijRROtoRf6b7/BnCYVhhXdkRFR/iy7fDS/XTKSlVeN4akZyUT4undFmJLi7P0/fbroJ3cHftiWz7Vz09lZWMm67HJO/XQja7fYuOUaMSyCSFdcf7WBjZuds2cCXDYiik/OGMwJA0MIjbTx6pvW+mc2GODJJ1UoVnOsySrjf7u3ceO/qutD5f384K23daKjwcto4K7JCbx58iBmJAVz16BUHrtHDIsgBuxLL9HYt+/w6+LnB6YyX45IH8H9sSN5IGY05vkp9TIlCkVn8MfeYp77cx9nTw4gyGBi5beBDBhbiaep8yT9/PAkDB82kI2maUyI8ee7bXnNXhMVJRs5ai7Q89F1nTdXHyDDo9BJI/mooyAxse33nDLGk2dfsNavi00meOddvdlN6YIC0eLNy6urF7zxnDdTvGK5Z9Fu1hx04cWjULigK8OiFQ3YU1yFrdFYtr+0mgOlNfVh1On5lWzOq2BzXgU/bC9gRKQf2eU1vHeqa2HEcePEC2bHDtlVGDxYDHArVshCNi5OFrIthSO7m4ICCWFtzJ497b/nyJESEvbPf8p9rrxSjDutCa9S9DzMZnj0UfFO/OQTCat/6innheXUqRIyv22b6N2ZImuY+m2W0/22ZVdhLgggIUGMkSsPlDn9Hl/4ax+XjYwk0s8bk6cHIxrsKv+dbh+Q67DZJIxaaeJ0Twoqa3jhr33YdHh0yR4ifb3oH2wCHadM0Y35cUchL/6936Hsm6153DU5Hn/v1nU6Y8fC999L0qzsbPFCmDABfvlFjNI1NZLN9aqrnK/95Re4+eZWP2qr2bxZfiv+/pIUK6JlKTxFK4kJ8G42sdDhkpIi7eLOOyVz8+mni8C6OxK61LFvn4Rf19TA0KGtD7cur7HyvxX7ncr3Z+n1GzJ11NTA3v0wcriEZpWVyVwlKAjCfL04d2gk5w4VPTJdl+RIGRkif5Kaak/wpnBmd1Ely3OKqI7ZxAMfx1NbasQWWEnq+CC2bDGzdSv4+3tz8vB+XDG6H29+WEvj/ZLsbMjJ0YmNPXzvxVNPhZISL576lxd+fpLJeuLEw76tQtEqcstrOPuLTdw+MY4q3wKCdG/++jyIied1fCKXxgwjgoXsZhTRjO3nz3+X7KG4qpZAF/q2dUybBh984LzRruhZZJXV8L8V+9F1ePhtHz553pfN6z04abaV++/zcJksrSV8TAauuBRGDZdxOz4eRoxovs8uKBAdz8ZUFUgb3FlYxdGJ9vLiYllv+/qqSBqFI8r00k2I9ncWZws1exLeQMerYdhmlcXGX/tLAPAxNu2Ampho3/WorRWNjhtvtL//5JOySO1Mt/qwMPHI+fFHx/LD8bAwGiVMYPx48R6Ljm6bAK6i5zFwoIQe//vfsoAOaEKqyWyWxAa//AJX3Gwgsb+JjEZJOfZt8ebiC8UY+eqrEODt7LUW5mPEu4nfWnS0ZKyuSy4A0v4aZ69WdB+8DAbCfTzZVShtIbu8huzyGi4bGdXitWP6OYcrTUsMwsfTtbejy8/3gpNOgkmTJPQlOlq8a4uLxWBy773i3XPZZc7yFscf3+qPaTVLl0pYdl0IzowZ4vmrwkx7DhMnyiZbcbH0R55uzC+Vni4Gy42HUueFhUmf2prNE6MBonyd5zhhETr+/o5yFt7e0ubef1+S0ZSUyEbp22+LQbMhmta0VIrCmX6HNqpX55WwOk++yPGaP6fvCWPqEfbf/llnwSWXQGGWJ5qGg4GxXz+IjHRPQoDISNkQvvBCaastyeIoFO6i1mrjjM83cUz/YCbGBfAZe9HWRlNdYaDf4OqWb+BmEghiFVksZy+TvOIYFuHLj+kFHDPMlw3k4IUH44jB1GDZPnUqvPaaJGkKCur0KivchLeHRojJkx2Fldy+eQ0zrwjjUh9/Zo7wJTW1fcKFVqs4Xlx9tb3skUckoWBTG3BhYXanpIaYw2qhGGIb5IvYvFmceJYvl/XXc8/B+efLekuhUOaXbkJauC9PH5dULzBpMhp499QUBw+alFAfrhkT7XDdpSMiSQlzrQ3WmO3b4dZbHcvuvls8Vaw2nRX7S3h91QE+3pBNen4bRR7aQEWFJCjo31+ONU0W0JFuSBAYFCQLE2VY7Bt4esr33ZRhESTc/pdf5O+D+w08NjUZ3wZGoCsHxfPLh+K++/vv8OCDMDk6iJgGBn8NeHT6AJdZUkE0qz76yD7B8/aGN990DNNWdC2l1RYW7S7k5b/38+3WXIqrrTx0dH8HUd9wH0+mxLe8TTwtMYjjBgTXHycFm7hubAwehrYvukNCZMFeF6b499+SrKiyUgS4bTYJjanjhBPk5U5KSmSR31DbZ/78w5OqUHQN/v7SH7nTsAiSWKjOsAjiqf3SS1Br0Vm5v4Q3Vh3gow3ZbHcxd/A2enD3kfF4NEhQEGQyEpdo47nXa+q9K3184PW3rWDx4JJLpF2C/CZuvdW1rqSi9YyO9uP6sXatmGCTkWePTebW6z0dfvuDBomB8b33ZJOjLjFZcDC89o7F7RsO0dHKsKjoPHRd59o527HpOpeMkIXHQcrJfT+ZwVPLaCKPSofigcYx9GcuO5hLOua4Ct7eto/L9e+Zw3Y+ZiMX8w17sIuN+/lJ8qrvvuv8+iqaR9d1Vh8o5c3VB/hw/UG25TWdICjUx4unZojrn1XXmbcnl+8O7Cclov2WuvR02ZxryL//3bxUU1CQjOl1STE9PODGu6uZX76Ha8ZE1+d2qKiQCInly+W80lIxNK5Z0+7qKnoZynOxm2D29OCGcf04pn8Q2eU1JAaZGBTqaDT09fLgwaP7c/zAUNYfLGNohC8TYwNaHYZXUCDeiw2x2SRj6W8ZRcz8cD3WQ1vUCYHezL9ohFMd3MH+/XDLLbIzHhkphsAff5QQKBVCqnA3ddqeg9NsJJ2Txc0L9nLLETFoaAwzhfD83b78ucT+G/r2W3jySRMLLh7Bsj3F5FdamBQXwLh+zccXTp8uiYr27ZMQvYEDnXVAFV2Druu8t+4gN/24o75sVnIwb89OZenlo1i2t5hAbyNT4gMZHN6yTkRCkJlPzhjMltwKaqw6qWE+9HNTyGvDrPcATz8tfeXDD8uu8KBBtCtMpjlKShwNR3U01MVV9G3Wr3cuW7UKluwpYuZH67Ec0pHo5+fFwktGkBrm+Ds6Mj6QZZePZOneEnw8DUyJCyQtwo/E0ywMGVLDwQMQF2NgzFAjH33k/FkLFsDBg5Cc3BFP1zcI8/Hi8WMHcPGIKIqrLSSHmPGuMLNunfO5lZWSob6qSjYeAIYeWcmHJbuYUD6QcBeeqApFT+CB3zJYtreYZ2cm1W8I7iuoIXtBJDOecZbN6SxCMXMaKWwkl9pAK2aPAE7fdwQj4mQdtoL93MF83uYU/JDf35Qp8PHHMkdQdB+W7Slm+gfrqLHKuBjh68mii0eSFuF6fjkrKYTfLx3J8r3FRPh6MTkukOQmkgq2hoICqG7kgKvrsmHdHOPGiXRaRgYYzRaqg6q40DuRweE+BByyNWRnw9y5ztemp0skjkKhjIvdCJOnB6OimzdgRPp5cUpKGKektH2bNz5edocbasMFBEB8soULf95db1gEyCyu5o+9xR1iXATZDbdapS6vvCJGTqXvpegI6rwHT7+ykqe27abGqvPoEhH4fCgmzMGwCKJDGhAAcYG+Tgvklujf3+6R25C6hVpmpnhadoXWaV9mV2Eldy1wTBv+045CtuRVcEz/YCbFtd1aF+rjxZEJ9gW2zSbfcXq6aIEOGyZeiW0lPt65bO9eScbVUW0mMhLOPBPefdexXHneKuo47jiRjGjI7XdZeWRJRr1hEeBAWQ2LM4ud+k4Pg8aE2EAmxNp/a+npsHmzEZMJJgy3J2CLcqFMkJTkfqN6X8Tf28iEWLurf62f/Pbfecd+jpeXeFKbzfKeh4e8LB4WvticyzVj+jGtvzIuKnoejy3J5P11B3luZpKDjMnOj2KJGVeKOcDWzNUdTxAmjiQONNgSX80fm6vqjYvjiSGTIt5gFbci4qSTJsHzz0NhoXgWK7qeaouVx5btqTcsAuSU17Jwd0GTxkWTpwdHJQRxVEKQW+qQkCAeiFkNbOW+vs7rk5oa2VjevVvOHzZMIh9iY0FMRM6Dbl0Oh8ZekO6IPlT0DlTwaB8iIUG8supE2BMTxZ0+IMTG/lJnjZHcilqnssNl3TpZpNx/v4Sf1gnQ33abY5ZUhcJdjB0rBmwvX5vDYA+Q7p3DSbPtk0kfH8my7s5FrNUq+mHjxslCbeJE0Yqsqmr5WoV7qLTYqKh1XjSUVLvOStse5s8XA+AZZ4im7K23Oif5aQ0TJkgfWYe/vyQv6khjtKenSGTUaTn6+8tvZuzYjvtMRc/iqKPggQdE8sFggEsvhQlH2thbUuN0blapc1ljVq2Stn7qqTBrFsyeDbsO2f9HjoSLL7af6+Ulhs3wcLc8iqIBdb/9OqkFf3/xun/4YfjPf+Ctt+Chh+S7/++tvtyRMohSN/abCkVnYNN17vxlJ2+szuLpGUkEm+26ETXVUPR+CsNmdq9suAOjvNhfUMvBIvta7HgGsojdHEQ0Isxme3I4RfegxqqTWeS8pt7nYqzsKGJi4KuvJNIFRP/9u+8c9Yl1HT79VNrPmWfC5MmSGLNxkrXGhIRI+HRD7cZzz5UQfYUClHGxzzF5Mvzxh4TeLV8ui+BwXy+uG9fP6dyJMc0I2bUDm00WrDk59rLt28Wb8oEHmtfNUyjai58fXHstnDfLxPhGoc1zDmTx5P8sLFokhvdVq+DII937+du3iwxAQ+65p3ntE4V7SQw0OWgkAvh6epAa5h716exsEc5uKDvx/vu4DDdsidhY+PBDWLhQJoMrV4oRpqNJSYHPPxfvy3Xr5Dfj55y3RtFHCQsTzaYNG6SNvPoqJMd4Omj41XF0YvO7MzU1kkyusEFS1lWrRPO27rOeew5++w2++UbkJqZPd+PDKBwYNMjxt3/eeTJmbd6sO2yQbN1kgN3BDA7vmIgWhaIjKK6ycNpnG/l5ZwHPzUwizMdRkPbn74zoccXEJuhN3KFrMHpoDInz5vdNdkFUP7wYTwxfsrm+bNIk+OyzrqihwhX+3kaud7GmnpnUua6lEydKor4NG+Cvv5zH0B074PrrHRN2PfywJGtpiaOPljH7m29knH7xRRV9qLCjwqL7CKWlkkEyPFw6gMadwMXDI6m16vzvr/2Emo08cVwS42KaD9FuK1VV0sE1ZssWZVhUdDwD4zx559RUHlmcyZzt+Yzt58/jxw5gcIwXgxNEz6sjEgE1pX3SHq82Rfvw8zbyf8cP5Ille/hqSy7DI3x54rikNoe9N0VxsYQuN6Zh9vC2EBEBxxxzeHVqiuJiSYwREeGc9MPPD4YM6ZjPVfR8PDycMzOfMzSCCouN5//cR7jZkxenp5Bg8Ke2tumkMuXlsjBpzNat9r9DQiQbqqJz8PWV7zY7WzRYDQZYtco5s0VhhjcDQ5VfgqJnsHBXIZd/v5XR0f48ddwAvDwc267VCh+9YsL3go0YcDYIdTVD47z5ZFkxJ4z2w98sYdyTiedZlnMVo/HGyMSJ8L//ye9WraW6B6cPDqOsxsrTf+zFz8uDx6b354jYzv9ywsOb9vgvLHTtpZiX52gz8HYhJ65pMldU80WFK9QMoQ+wYgWcfDKkpsJFF8nudGNiAkz8+6gENlw3lj+uGMWpqWF4G92bjcLHBy64wLl81iy3foxC0SRDwn1555QUNt8wju/PG8r4mAByc0WzZsQIcet/5x0ZVN1FQoKzFomfn8gSKDqPlDAfXjtpEJuuH8e8C4a3S2exKaKjZSe3IZrmbIjpSnQdfv1VjJapqXDzzbJzrVAcDv38vbl3SgLrrx3LC0PG8s8LAxmWZuDGG5tuX0FBcP75zuVTpnRoVRXNsG2beF8PHgzHHiuZP6+6yvm8WTPUskHR/dmaV87pn23k4m+3cO3YftwyIcbJsAiw+EdPNG8rwWndMxW9j7eBpEgvFm+xey+GYCaeQJYhO5p+fjJ/dZVkQ9E1RPl5c+fkeNZdO5YVV47mnKGR+Hp1L3+u+HhZnzQkKkrCnU84QeaJl1+uoqwUbUfNEno5O3eK8e7332WH4osvRMuooMD5XE3TiPb3JtSn44S6zzoLLrtMdsW9vOC++5R3gqJz8TZ6EBtgwu/QQP/TT6KPl5Mjmcwvv1xCCdxFbCx8/bU9y2lsrIRgdyfDU1/B08NAbIAJf2/3TvL8/UVHsy58OSREMjiOGOHWjzksNmyQsWD1avFcfPVV0b5V2p8Kd5C725uTjjfUt6/XX5cwalftS9NkHnDuufK3yQSPPKIyTXYVZWUyBr77rvz9998wc6aE1V1yiXxHXl7SXygDsKK7ous6v+4u5JRPNjD57TWE+3jy1uwUJjbhMWazwXsvmEmenYO/1n0TFI1MNLFsWwVVNXbd6NFE8RP23ZuJE+HLL7uidormiPb3Jsy3e7atqCiRw0hNlePoaJm3nnKKrIHKy+X46qvd63Ch6P10mRld07QQ4DMgEcgAztZ1vdDFeRlAKWAFLLquj23L9X2JKouV7fmVVNRaSQ4xE+bjxfbtjrpGIIvL3bvbl8n0cElIEN3FO++UEKv+/cHYvTZzFL0EXdfZUVBJTnktMf5eJAY76+vV1jpnQAUxwp94ovvqMmkSLFsmIWdhYTKIKw6PWquN7fkVFFdb6R9kItrfRexGJzJ0qBiq9+0TY2PjHeGuZssW0bpryGefSbKGOsO3QtFeXLWvwgoLK/ZW4uOrkxxiJshkj5Pu3x/eflsMVp6eMGBAx8hSKFpmzx748UfHsspKKX/1VbjrLpmnDRgg8zaA/IoadhRUYjJ6MDDUhI+nmsgpuoa8ihreXXuQ11Zloes6Jw8K49qx0Zg9m4++WvyjJ2jgNSoXP7qnAQggyNeDuBBPlm2rYPowEUJOI4Kv2EoZNfjhxaRJsqFTWSlJXhSKhjishwK8SAySRjJ+PCxZIlmlQ0PFPlDaKK/R0qWQkdG9NssV3ZuunA3cDSzUdf1xTdPuPnR8VxPnTtN1vbFCWVuu7/Xkldfw+LI9PLt8HzowLMKH92enERDgrCnm6dmxmUdbwtvbvlOiUHQEVpvO11tzuezbbZTXWgk2Gfn0jCHMSHa0qHt4iGHljz8cr+/f//DrYLNBfr6ErJjNrrVOFe2jtNrCKysPcO+i3VhsOgmBJr4+J43R0e7ViW0rQUHyagsVFbJDHBYmHkIdhb+L/5qQELUQUbiHxlpf195VRWbKTqZ+nAvAjAHBvHLSIAY02OQxm0UeoqysY9u+onnMZukfGi8qAwLEq3TwYDkuLhZ5hYOWci76egsrs8rQgGvH9uOBqYlE+nVfA42i97GzoJLHlmbyxeZcJscFcvOEGIaG+6C1ojOxWuHtZ83MOrOav7VKQujeA+GoAd7MWV3GlME+eBkNmPFkECEsZy/HkURwsMxlFywQGSyFog6LzcZXm3O5/PttVNTaCDEb+ezMIRw7QNZDYWHyAkhPd77eZBJZM4WitXTlPvEpwHuH/n4POLWTr+9VrDhQyjOHDIsAG3IqeGjRHiw2G+ed53jugw8qTxVF72ZrXgUXfr2F8lorAIVVFs77ejMZRZUO5xkMcMMNjgaWkBA49dTD+/ydO+Huu0XD8cwzRfdU4T7WHizjrgW7sNikx8ssruLmH9Mpqa5t4cruxbJlMHs2jBkD//kPZGZ23GeNHAmTJzuWPfccxMR03Gcq+g4N25efHwSNKeDHPbn178/fVciXm3MdrvnjDwnBGj1aPBgzMjqvvgo7/fvDU085ls2YAcOHy99lZeLNP3kyXHCRjWeW7GdllmjU6cArKw+wfJ+Km1N0DkVVtdwwdzvj3lhFrU3n3VNSuHNyHMMifFtlWAT4dY4nnl46g0dayaeSQLo28qElwvyNRAUaWbbVrr04mHCWsqf+eOJE+OqrrqidojuzLa+SC7/ZSkWthNUXVFo476stZBY5a5YMHQqnneZYdv/9EBfXGTVV9Ba60nMxUtf1LABd17M0TWvKp0cH5muapgOv6br+ehuv79HsLa5iS24Fnh4aQ8J9iPRzPQBuynFO+bT0YAFha2q54gpvzj9fwvUGDoSxY+2hyAUVtaQXVGA0GEgJM9fr0CkU3ZmDZdVszq3AatMZEu5LTIDj72J/aTU1Vt2hrKDSQmZRNdllNRgNBgaFmvH3NjJ+PCxfLgL2RqMYeuo8NdpDZSXcc49omYD87pYsER2rlJT231dhZ7eLSdGyvSXkVVgI8HadotZ2KCwku6yGmABvBw+qpsgoqmRrXgVmowdpET6EuVGPdsMGSZxQp0n30EPi6frcc01n2T0c+vWDTz6RdpibC2lp0tYV3YvteRWkF1QSbDaSFu5DoKkDGkMHUKfXtHKleG1/YnFWqfl2ax63T4zFw2Bg40Zp/5WH9nseeURkI156Sdp/XnkN6QWVmIwGUkLN+Ki5SYdy4YUSUbJlC4RH6sQNriaztgavKjN/LvXk7LPlvJETLSzY4yza/feBUk5NbSItaQtkHupnvY0G0sJ9Ce+mGmWKrueXnQVc8u1WJsQE8M4pKQSa2t4vWGrh7WfMnHZxNZoG+VQSgKkDautexg4wMWd1GZNSfPD2NDCEcOawnVqseOLBkUfKZrnFouSm+hpNzVV3FlSwMaesfiO+jryKWrLKakgIcmz3oaFw6aUwapRE1fj5wc8/y8bSUUd11tMoejod2v1omrYAiHLx1r1tuM1kXdcPHDIe/qJp2lZd1xe3sR5XA1cDxMfHt+XSLmVjdhknfryBPSXVAEyKDeCD0we7XBQPCnP2WR4dFsiWeUZe2AHff+98/50FFcxJzyevwsKi3YUkBpp44rgBxAZ0/0G2N9FT22dXsaOggnO/3MyqQ54Tk2P9eeH4gXh5GIgP9CbQ5Em0nxcemoZVtw+o/l4erMkq5db5OwE4a0g4z8xIIi7QxIgR7tMTycwUL4+GlJbC5s0917jY3dporAt9xVFRfgQ3sdCw2Gx8uTmXKw6FhQR6G/n0zCHMOhQmr+s6uwurqLBYSQiUhC9rs0qZ+dF6csrFG3LGgGDenJ1CXKB7+seNG52TXbz2Gtx+u3vC8l0RF9c7d6C7W/tsL4szizjx4w2U1YjH9VWjo3l0en+3GrU7kvh4eQEcXBHI19scPRVnJgXjcUhYcdMmu2GxjrffFn2/moByLvh6C/tKqjl/WCTDI32ZlhhI/+CeG5vV3duor68k10sYUcnNP+7gh8/yiQ/05o6JccSF+XPymb7M+crI5rVGRk0JJKPRBs/wSL92fe66g2XM+mg9B8tEsHN6/yDemp1CQlD3DlPtbXT39qnrOo8v3cPzf+3jzslxjDkMCZSfvvQiIEhn4FArVVioxoIv3X8TJyzASL9gTxZvqeC44X4E4E04vmwkh1FEExUFkZGikXf00V1dW/fT3dtoV7Emq5RZLuaq67PLOf/rzdw2MQ6DBg3ti4HeRiJ8ndt8aSnce6/MTw0G2SgEmD8fJk62sauwCpuuMyDYhLexeU1TRd+lQ8OidV0/Vtf1oS5e3wHZmqZFAxz6N6eJexw49G8O8A0w/tBbrbr+0LWv67o+Vtf1seHh7dtZ7Wxsus5rqw7UGxYB/thXwsJd4g1wsLSa77bl8cTSTOZuzyctzIeLhkfWnxvj781xXgks/c2D2Fjn+2eX1fD8X/v45/xd/HdxJlF+XgSZjSzaXdTRj6ZoRE9sn13J3PSCesPiiEg/jksO5Zj31zH81ZXM+mg9G3PKSAnz4f+OT8ZokBAZbw8Dz85M4sk/9tbf54vNuSzY5f4cUF5ernXserJmSXdro6Oi/fjnJLuVLMRs5KUTBhJsdr1A2JZXwcUNwkKKqy2c/9VmMgorKTuk3zj81ZUMe2Ulp322kc05ZTy+bE/9ZA0krPP77Xl8vimHPS48J9uKq/YQGCjtR9E2ulv7bA8FFRLqV2dYBHhjdRa/ZxTz9B97+GRDtpOsQ3fmhORQpsQH1h+PjPLl3KH2OYqr9u/vD95mG/+3Yj+lNVZumxjHh+uzueL7bZz66SbWZJU6X9RD6CltdO72fH7Yns/E2AAuGBbJPYt2c9rcNeQft5GHXylnzUoDE6xxJDbYZDljcBhHxrnOyNsctVYbT/+xp96wCLBwdxFL9pS0+V57i6v4cnMOTy7bw887Ciiq6lkSGV1Nd26fNl3nxh/TeW/dQV48fuBhGRZrqiVD9KyzZG2VTyVBeNNTJF/HJZn4dVM5FdUyTqQQyp/sq39/0iTnze3eQnduox1Ba/q0aouVx5c6z1V/yyjiom+2UFJt5fNNOdx9ZDwehyQDTEYD756a6tJRyWgUAzXYDYsAwydVc/fCXQx95W+GvvI3N/2Yzt7iw58HK3onXek4/T1wCfD4oX+/a3yCpmm+gEHX9dJDf88AHmrt9T2Zilorv2c6a9iszCrlvGoLdy/cxXvrsuvL/zEhhmdmJHHBoBiWr7RSuMPMffeaMJngkkuc779odyEvrjhQf/z1ljz+cUQsq7NKuXiEK2dThaJ78Ode++/i7LRw7l202/7evlL+OX8nTx6XxJurD3DvlARqbTY8DQZMRgNZZY7pTH/NKOKyUe5N3dy/v4T43XabvWzCBLt+leLwCTZ78p+pCZyTFkFhVS1JwWb6NxPmvLekmtpGYSGFVRayymrIKKrihnl2FeuFu4t4bOkeyhsYeepYd7Ccb7Zmkhpm5vMz0w4rQ/WoURKavGmTveypp5QGYl+lqNrCxtwKp/I1B8v47xIR4xwT7ce35w7tEdEFA0LMfH1OGlvzKrDZICXM7CDrMnKk9Inr19uvefJJMAVZmJdewOWjorh30a56b4v1OeVc/v02Fl08oslNBMXhMzddQp5PHBTKfQ3G1j+yighJyOSII1N4+CY/Fv09inJTBWajgdRwH4dM4K2ltNrKH/ucDYkbc8qASOcLmiC3vIYrvt/GLw02Cx8/dgB3TIzDw9BTzEYKV+i6zk0/prMks5inZyTh53V43lLffehNdLyVxIFiOcmnokeERNcR7OfBgAhPFqwvZ/a4AFII5Vu2ch3jAJgyBf71L/i//xPPM0XPJMdFn/bEsQO4Y1Ichga6oiXVVpa76EO35JXX932bcyuw6Xncd1QCg8N8GBHly6BQ194OZrN4Lv72myQ9ApE8KQsv5Nl5diP2G6sPMiLSjxvGu/BeUvR5urLreRw4TtO0dOC4Q8domtZP07R5h86JBJZqmrYOWAHM1XX9p+au7y34eRk5a4jzzsy0xCC25FU4GBYBXvhrPwdKa5iZFsDsYcEkhZt47DFJGDBhgvP9f9rhrJmzdE8xx/YPdtszKBQdwazk0Pq/6zzRGvLTzkK25lWw+mA5D/6ewaNL9vDg7xl8vy2PUVGOoVsNPWvchabB5ZeLTslDD8GHH8Knn8oArXAfPl5GxvTz59gBIc0aFgH6+XvX79rWEeDtQaSfF9sLnL3Bvtmaz1lpzjK+8YEm8itqWbqnhPXZzjq3bSE+Hr77Dt59Fx5+WLI8nnXWYd1S0YOJ8PXkmMQgp3Kzp32atiqrjHUHD6/ddSZhPl4cGR/EUYlBTnrRcXHwzTfw/vv29n/uuRKuNX1AEDVWnUb7Aaw9WMb+BtEcCvczI0nmgJUuxtYF+/O4++FafvsNxqV6M61/MEfEBbbLsAgQZDZyugudxiNi2+YFuTGn3GERDvDArxnsKuw5nr4K1/x3SSYLdxXy6PT+h21YrCiDj182MetM+yZzHpUEdPNkLo0Zm2RmeXoFBWVW4gkkn0rykY2phATxCldJBHs2m1z1ab9lsLPRfDXE7MlpqWFO14+ODqCqQR++Na+CR5dkMjTCh9QwXwcDZWOmTJHQ+iefhJdfhsWL4aeMfKfzPtqQg9XmPE4oFF3muajrej4w3UX5AeCEQ3/vAlwqoTV1fW/iguGRrDxQyvfb8zFocMO4GI5OCGJrvrN3g454O4JkXhw9uvl7j+nnx/vrHQ2UQyN8GBXl667qKxQdwrEDgrl6TDRvrMrCZHTeH0kONpNTXuNUfrCsljHRvqw5KCHVMwYEc1xSxxjTAwMl2+aMGR1ye0UbSQ3z4fWTB3HtnO3U2nTMRgPvnyr6tVF+zsaaYZE+TIjxZ1piEL9mFGE0aFw6MoqVB0qps3fUZSI/HJKS5KVQ+HkZeW5WMhd+vZkNOeIRdvOEWH7Y5jipd0e76y4MGCAvRwzcekSsyw3QcB9PgswqU0FHcvKgUL7ekoe30XnxOSzCl6OP8MBNsrMYNI2rx0SzLruMX3YV4qFp3DEplslxbdv0c+VlXm21UWVRC9+ezFebc3np7wO8eHzyYRsWAb54y0RympV+8fZ2kU1Zt88U3Rg/k4Fh8SbmrCrl4qlBDCSEVRxgBskAHHmkJBQ84ogurqii3ZS56NOqLM59modB44ZxMWzIKa+fq945KY6jEwJ559QULvpmK1UWG54GjTdnp5DiIj9DY4xGaTsN28+4bH++2OyooTw5PrBeQ1mhaIiapXVjBgSb+eiMwewsqMRo0EgOMeNt9MCiQ1yAN3sb7OCnhfuQFNJ6AexZyaGkhWex6VAYVrSfFzeOiyEmUIloK7o3MQHevDArmZvGx1Br1dmaV85HG0Ry1dvDwCsnDcTW2OUFuG5sP6b3D+biEdEYDRopYT6EqPC6PoGXh4GLhkcyPsafg2U1xAWYGBgqfd3YaH9OGhjCnEPhgL6eHjx9XBIpYb58e24a2/MqWZ1Vyssrs1iXXVZ/zuBWTNIUirYwPNKPXy8ZSUZRNT6eBt5ec4C/9ttDnkxGA0PCe3+7Gxrhh5+nBzsLKnl1VRYAHprGGyen9IiQ8J5MUogPX5+TRnp+JSv2lTInXYzbPp4GnpmR5Pbs5QNDffjy7DR2FVbi5WFgYIgZT4+2LVhTwnwI9DZSXG2pLztuQDCJQaqt9FS25VVw9ZxtPHpMf0J9Dr/NFRdqfPWONzf9x9E5I4dyhrchBL+7MDLRm4+XlZCRW0NyeAh/sb/euHjUUXD//fDMMxJJo+h5pLro02Ykue7TBoX58O25aewsqMJkNJB8qA89fXA4QyN82V9SQ7SfF4PCzBjbaQycnRLKW2uy2JYvnpMx/l5coiTUFE2gjIvdHD8vIyOiHMWLYwO8+eG8YTyyJJPfM4qYmRzC3ZPjiPBtfSaAQaE+/HzhCDbmlFNrszE03JfEFkILFYrugsnowdAICXH+3/EDuWp0NAWVFgaF+jAk3IfyGiufnDGY+3/NoNamc8+UeGYmhRDi40mEn8qY0Rfx9DAwNMKPoY2infsFePP2KalsyCmntNpKSpiZ1DDx4A7w9mRsjHhLbc6rIKusmmERvjwyrT+Dw5WXt8L9hPp4EXooO/R142JBM/DBumwGh/nwyDGJ9f1ebycx2Mzjxw7gwuFRZJfXkBxi7hOG1e5AmI8XYT5evHNqChuyyymutpIaaia1g/q8AG8jI6Pan6RjYKgPv1w0nPt/3c2qrDLOGBLGrUfE4e+tljg9kWqLjTO/2MQlI6Ja5WnVGj551cSwcRbCoxw3nnOpILgHaS7W4WU0MD7JzNcrSrjohFBe1laio6Oh0b8/eHrCX38p78WeysBQH+ZfNJwHGvZpE5ru0wK8PRkV7WiEN2gaqWG+9fPZwyElzJdfLhKbgY44NCUEKZuBwjVq5O2hjIjy48PTUimstBBi9sTLRXhoS8QEeBMT0LPCARSKxoSYPZma6Bje7Odt5NyhkcxMCsGm6/WLdYXCFeG+XhzTv+k2khziwzMzkrl7cjz+3kZ83RCipVC0xIBgs4i4T4zFz6vvtbtAkyeTO0AXV9E6wny8mNZMv9idGBcTwFdnD6Wk2kKYr2e7PXQUXc89i3YRajZy8qAQt9wvP0djzide3PGYo9diJRZqsOJDz2jjjUmN8WLzvmoydxkwJhnYTSEDCEHTYOpU+OQTZVzsyYzvZn1aXKCJOHdpYih6NWr07cF4Gz2I8vdul2GxIaXVFjKKqiht4H6tUPQkCipryCyqotriqFMSbPZUhkUFAFml1ewrqULXnUPmW4OHQSPK37vPGXgUXYtB04j0693tzmrT2VNcRXaZStbS3am2WMksqiKvwlnXuKvx8ZI5cVcvwhXtZ+meIj5Yl80/johFc1NM7/v/MzF+ai1BoY5jfzZlBGHqsQthg6YxOcXM9ytLSaoNZRVZ9e9Nmya6i9beI9HbJ+noPm1/SbVKkqZwO8pzsQdQVWtlS14FeRW1JAaZGNhECvn2sDqrlNt+3sGSPcUcGRfIszOTGdOv7eEptbVQVARBQeKOr1B0BjZdZ9HuQm76MZ30/CrOTgvngamJzYbS7CyoYHtuFWE+ngyN8sHs2XsX7Qoorqrls0253LtoNzVWG6+eNIhQsyc+nh6khpkJ6+XG59JSWWAEBXV1TRQdzYHSarblVWAyGhgc5kOQmzRlq6qgrAxCQ92v4ZVZVMkLf+3n5b8PEObjybMzkzh5UKjql7sR5eXSBgqo4KHfM/h0Uy4Dgk38b9ZAjh0QjIfBdaMorKxlS14FNVYbKaE+RPurSBlF01RZrFz67VZuHN+PIJN7lqdZew0s+sGLu55yToR5kHJC6NmhndHBnvQLMVK6NoQV4/ZzFmmAZI0ODJRMv9OmdXElFV1Cen4FGUWy1kkNc1zr5JXX8N66bB5enImmwQNTE7hoeFSz+qb5+WA2SzZyhaI5euqGTZ+hvMbCC3/tZ8zrq5jx4XpGv76KhY3S07eX/SXVnPzJBn7PLMamw+I9xcz+dAP7SqradJ/Nm+HGG2HMGLj6ati40S3VUyhaZGNOOSd8tIGteZVYdZ1PNuZw5y87qXCRaQ1g4Y4iRr++ihM+Xc+Et1fx8K97KKxQHru9mSV7irlmznbyKmq59Yg4Hv49k5kfrmfKO2s454vN7C6s7OoqdggVFfDttyLuPnEivP++bAApeicbc8o46p01HPP+Oia9vYbLvt/W5rHcFX/9BWedBWPHSpKA3bvdUNlD6LrOG6uzeO7PfVRbbewvreacLzez8kCp+z5E0W5sNvj9dzjpJLjuJit3/rSbDzfkYLHpbM+v5KRPNrD+UJKrxuwpruKib7Yw+e01THtvHdPeW8umHNfnKhQAD/6WSXygiaMSgtx2z7efMTH5uFr8ApwjFrIo7ZF6i405YqCZXemwobiYGuxz32nTZNxX9D0W7S5k9CG7wZjXV/H8n/sor7GvdebvKuSOX3ZSXG2hqMrCrT/vZNFu17aFAwfg2Wdh/HiYNQt+/VV5xCqaRxkXuzkbcyq4e+Eu6obFshorl363laxSZzfmzKIqfkrPZ8GuApfvN2ZnYSUHSh1DWw6U1rCzoPULkrw8OP98eP112LsX3n0XTjsNsrJavFShcEl2WTWLdhUyLz2/RcPP1rwKahtlhv5+ez77XLT/nPIarp23jZJqGRV14LHlmfy5q9xtdVd0P37YLtlOh4b7UFQlnjR1LMoo4hc3bdZ0N5Ytk7547VrYuhUuuQTmz+/qWik6AovNxv/+2sfOQvvY/e3WPJZkFh/WfbdsgWOPhTlzIDMTHnkEHnoIqluYXui6zubccn7YlseyPUUUVdW6PC+nvIY3Vx90KlfGxe7B2rVw3HHw228wcEw13+3IdXjfYtPZ2qA/Tc+vYM72PJZkFrJwdyFz0wvq39uWX8lrq7KwtVOWQtG72ZRTzmurDnDDuH5uu+fubQZW/O7J0Se4DuHPoqzHey4C+JkMjBlgRlseyyY9p758+nT4+mvZaFT0HQ6WVXPpt1spq7Gvde5ZtJsN2fa1zrtrZdz19fTgzslx/PuoBLLLa8gpd/6tvPce3H477NoFS5bAjBmwenWnPIqih6KMi92c/S6MJPtKqsmtcJysb8guY/Lbqzn+4w0c98F6Tvx4AzsLmh9RAryNNA5m0YBAU+vDkdLTYd06x7IdO2D79lbfQqGoJ7OoijO/2MT0D9Zx4scbmPDmatZkNb3QDHKROS3MxxMfT+eu7UBBLTtcGCt3t8GYruh5JAWb0YD7pyaybG+J0/tL9xyeAaa78uWXzmUvvgg13U8qTXGYlFZbWbTbuR2vPXh4nmKbNkk4dEPef18Mjc2xcHcho19bxexPN3LkO2u565dd5Fc4Gxh9PD1IDHIOlY30691SBT2FdetE8gagKNeDCF/n76UufPWvfSWMe2M1J3+ykUu+3cbiDOf2uGBXIeVNRBUo+i66rnP1nG1cMqL5sMy28trjZqadXIOpiTDObEp7hXERYFi8N1qFF19lHKgvCwuDtDQxMCr6Dnnltex1oaPY0J6QFi4/ioePSeSt1Qd5eHEmN/24g3O+2MSeIvua6OBBeP55x/tYLLBqVYdUXdFLUMbFbk6Ci8xMScEmohpM8my6zuurDrC/gRfimoNl/LyzeY+c1DAzdx8Z71B21+Q4Utqg6WhqIqLA3DvGa0Uns2RPEUv32A1AuRW1PL18LzUWm8vzR0T5MiPJMVP0/2YlExvg3DBDzZ4McdG2o809PyxG0TQnDgzl1JQwvtqSxzgXerLH9A/q/Ep1AhERzmVRUeChpOx6HQHeRk5ykVl1fEzAYd3X1Tju6wtezdj+cspquPqH7VRb7X3266uzWOcifNbf28hj0wdgbKDZNzjMhyNiD6/eCvfQUFvr0ze8uWVgssP7x/QPYmSUH+U1Vu5dtIviQ0kB95dWE+/CaDw7JRS/XpyYSNE+PlifTWGlxWUf1l7WrzCyY4uRyce69pouo5YqrATQO3RAPQwa4wZ7sXwFDgb8GTMkskzRd4jw8yI52HnwTgiyr3UuHhHFcf2Dmb+zkPxK+2/kt8xilu21bwx5eUGw4xILAD8/99ZZ0btQxsVuTlq4D6+fNAhvD/mqInw9ef+0wUQ02NmvtthY6sIjp6XQIpPRg39OimPBRSN4e3YKCy4awZ2T49skpJ6SIjqLDTnvPEhNbfUtFIp6NuU6e9v+ua+k3r2/MZF+3rwzO5UfzhvKO6ek8MflozgtNczluXFhXjw7NZV+/vLb8TRo3DU8mcEhvu57AEW3Iy3Cl4ePSeT3zCICvI1MbGC4OD01jOn9XcycegGnnSaGoDo8PeHmm5VxsTfiYdC4dmyMg/H8mjHRTI4/PCPdyJHyasijj0JiYtPXFFVb2F3k7A1+sMy1y+zUxCD+unI075+ayldnpzHvgmEMcLEwUnQ+Y8dC/KH954IC+Pg/oXx38ijePSWF788dyvunDiba35vi6lpWZ9mNxzVWnYyiKs4fZt/hmBQbwOWjot2WAVjROyiqquWfv+zkpvExTSYGais2G7z0sJlZZ1Tj2cRGyH5KCMfXKXqrJzMk2B/Cyvm/1XvqyyZPFl38bdu6sGKKTiXC14v3Tksl8pATkreHgVdOHEhauH1COCran+dmJrEpx1kWalu+fR0WEgKPP+74fr9+or+oUDSFyhbdzTF5enD5qGimxAeSX2khPtCbuEbejGZPD85JC3cKgWrs0eWKYLMn0we0f3Ht4yMaTLNmwZo1MHw4TJoEAcrxQNEOJrnwWDl7SDjB5qa7qn4B3vQLaN3u89SBAXxlGMP2nCqCTUZSwswMSlJ7LL2dlDAfzhgcxhPL9nD64DDun5qAhsb0/oFO/WlvYfRoWLpUskXW1MDUqZJ0S9E7SQ3z4ccLhrGzoApvo4GBoSZ8PA9vihcTIyF1S5eK3tLEiTBhQvPXRPl5MjkuwEmCIDnEtcHQoGmMjvZndLSzV7Gia0lKEp3WxYslPG7KFA8mDA7EbA50OC/cx4tTU8N4Z61dP/P9ddksvWwk/5wUR41VJznETIibspcreg/3LtrNETEBDA53XwraX+d4Ul2lMXpy08n69lNCGL0r7a0HGvEDLfy+rJhTksoZHO6Lp6esz155xTm8VdF7mRQXyMqrR5NZVE2I2cigUB8n4/3gCF/OHBLGc3/udygf389xHTZrliT2WrxYImKOOgoGDerwR1D0YJRxsQfgYdBIDW/eu+rctAjWZJXx+eZcPDSNmyfEcHRiUKfULzJSvGROO61TPk7Ri5kYF8B9UxJ4Ytkeam06Jw4M5YrR/dzm7WAywRFp3hyR1jtCYRStw2gwcPOEWLbmVfD1ljzmbM/n/qmJDI3o3bEdrjzPFL2XUB8vQn3cq1fYv7+8WkuAtycvnTCIi7/Zwvqccvy9PPjf8ckMi1Ae4j2RlBR5NYenh4G7Jsexq7CS3zOL8fYw8PAxiQyN8CXQpAyKCtesPVjKZxtzeGt2Cw2sDVRVwquP+nDutVUYmtk3zqS41xkXAfp7BWJNqeTJZXt44+QUjB4GyfZ+HTz8MPirPZw+Q2yAyaVEVB0GTeO6sTFsya3gp52FeHlo3HNkPBMaOXmYTGJQPOqojq6xorfQZcZFTdNCgM+ARCADOFvX9cJG56QcOqeOAcD9uq4/r2naf4CrgLr0dffouj6vg6vdbUkMNvPOKan8e2oCtVYddJ38CguhZk88PZRnlqJnEObjxf1TE7hgeAQ1Vp0BwSb8vNzbTVlsNtLzKymqspAYZCLaXxkaezu1VhsWm84Txw7AqkOgt5HkELPbwrAUir5ORY2F9IIqaqw2kkPMLLpkBHuKqwn0NjKgCa9FRe8hJcyX788byubcCiw2nShfL/xdJFxTKEC04q/+YTuXjYwm0OS+dvLRyybik60kpTafOCiDYtJwIUzcw0kgkGXRGxmQFcInG3O4aEQUUVESyfDWW/CPf3R1DRXdAatNJz2/goIqC6+elEJxtQVvDwNJISaMzVnlFYpW0JUj/93AQl3XH9c07e5Dx3c1PEHX9W3ASABN0zyA/cA3DU55Ttf1pzunut0fHy8PLDY464tN7CyswmjQePDoRG4YF+PWwbs95FXUsLuwCj8vDwaGmlXnpWgSTw8DqWEd4+VSXmPhrTUH+ecvO6mx6sQFePPBaalMiA3AZFRidL2R0moLr646wL0Ld1Nr0+kfZOKrs9O6hWGxuKqWnYVVeBo0BoaaVRtUdCh1GytlNVb6B5sIc5OXY1ZpNQ/8lsEbq7MAmBIfyJuzUxilQp37FBuyKzjzi00cLKvBZDTwf8cnc+GwSExt0PFW9A3eWp1FpcXG8QPdp3m8d5eBbz/w5vb/Omt3N6SEamqwEETvk0TxxZMQzcSoIUY+X57LUQmBJASZOfNM+O9/4YYbRH9Z0f3YW1zFgdIawn09O1R3uLLWynvrDvKPn3ZSbbXRz9+Lr88eyvDI3h3Jo+g8utLCcwrw3qG/3wNObeH86cBOXdczO7JSPZmyGgu3/7yDnYUipm6x6dy7aDdrDjaf2MUVVpvukHHscNiQXcbUd9cy/s3VjHxtJc/9uY/S6qa1UBSKjmLdwXJu+WkHNVYdgL0l1dz04w7eWXOQ7CYSDih6NmsPlnHnL7uotcl3vruoipt/2kGJm/sgi81GRW3r+8wdBbIQH/P6Kka8upLb5+/kYGm1W+ukUNRRWm3huT/3MfK1lYx/czVT313LBhcZnNvD4j3F9YZFgCV7inlrTRa6rrfrfhW1Viw2W8snKjqV8horVpvr73RvcRUXf7OlPnFPlcXG1T9sZ1Ouc8IARd8mp7yGfy3cxS0TYjC4SfJG1+Hpf/kw/eQagkKb73cyKCIK316b0TSRIHabc5mZHMKjS/dgtekMHiyJON57r+XrFZ3Pr7sLGfvGKo54azWjX1vFt1tzsbVz/GyIq7X8xpxyrpubTrVVxtgDpTVc+t0WPyW0eQABAABJREFU8srVGkjhHrqyb43UdT0L4NC/Lfmnnwt80qjsRk3T1mua9ramab0z5WcbyKuo5deMIqfy3YXOmRubY93BMq6bu42Jb63m8aWZZLjI/Nhaymus3PnLTjYfygJcY9W585ddDpkFFYqORtd1lu8tZsmeIqf3NuSUs7+0hiV7iju/YooOx1Xm2qV7iskrr3XbZ6zYV8JFX29l0ltreGnFPrJaMBLqus67aw+yYFeRHAMv/31AtUFFh7Eqq5Q7f9lVv7GyObeCuxbscssm4vK9zu32+235bTbgHyit5qW/9zPprTVc9PVWVuwvafkiRYezu7CSR5dkMvGt1Vw/bzvrDzrP39LzK9nVqK/VgV1tnH8qej+3/LSD4wYEN5nkqT3M+cSL4gIDU2a1PK5vp4Aoeq9XdRLBbCCHI+LEE+3TjdkAXHQRPPggVKmfZLcis6iKs7/cTM6hOWlxtYVzv9zCtrzmPXBbYv3BMq6ft52Jb63m0SWZ7C6sBHC5pt+aV0m2G+fEir5NhxoXNU1boGnaRhevU9p4Hy9gNvBFg+JXgCQkbDoLeKaZ66/WNG2lpmkrc3NzmzqtxxNsMjI+xnnAjGtlJl2AXQWVHPfBOt5YfZANOeX8a+Fu7v91N1WW9i1A8ipqmb+r0Kl8V1Flu+7XG+kr7bMrWZddzjHvr6PWhTPMwBAze0uqWObC8KgQenIbjXGhqTkyyq/ZDORtYVOOtK1PN+WwLruMG3/cwSsrDzS761xWY+XrLXlO5YuVcbFd9OT22Vm42mScv7OQvIrDX1C4yvQ8vX9Qm/RybbrOaysPcOO8dNZll/HpphyOeW8dG93kXdnV9NQ2WlFj5V8Ld3Hvot1syCnn9VVZzPxoPRmN5nDVNgmva0y4r4rB7Al0Vvv8MT2fJZlFXDwi0m33PLDHwBtPmTnn6io8WhGBv4N8Ygho+cQeShAmfPFkp1bIOWnhfLYpl/T8CoYNk+RcL7zQ1TVsHz21D22JA6XVTuNwtdXG3pL2W4EziiqZ+dF6Xl+VxYaccu5dtJt7Fu6mstZKjAubQGKgiTAfpZGrcA8dalzUdf1YXdeHunh9B2RrmhYNcOjfnGZudTywWtf17Ab3ztZ13arrug14AxjfTD1e13V9rK7rY8PDw93zcN2QQJMnL8waSEiDRfPN42PapHu0Kbec3Ead3Ifrs9vs/VhHkMmDMS4+v5+fezNa9mT6SvvsStZklVJlsfFrRiHXjo2uLw/w9uCG8TF8sSlX6YM1Q09uo6Oi/bjtiNj642CTkZdPGEiw2T2L3nXZZZQ3Cod++o+97Ctp2nvRx9ODoxODnMpHRynNm/bQk9tnZ9HPhZF9bD8/gkyHr4d3dGIQJwwMqT9ODjFx3diYNuma7i+p5unlex3KymutrM/pHWG1PbWN7iqq5LNNjgv5g2U19dEodZg8DNw+MQ7fQ/qKGnDbEbGkhPa+jLy9kc5on0VVtVz1wzZunRiL2U06nLU18PBNvhxzcg3RcS1LKZRSQyFVhPfCTNENSSaEVWQRYvZkdkooD/6eQWWtlauvhieegP37u7qGbaen9qEtEebjWd9v1mHQINK3/ckmN+dU1EtU1PHZphx2FVYyLMKXB49OpG509vPy4O1TUoj0U8ktFe6hK83U3wOXAI8f+ve7Zs49j0Yh0ZqmRdeFVQOnARs7opI9hYpaC+sOlrOvpJrvzh1KaY2VMLMng8N92uQ94CqztKeH1u7kB2LwTOaEjzdQVCUhUleNjnbp6aBQdBReh9r1ot1FVNTa+PSMIaQXVFBWY+Oh3zOYHBfI1ITALq6loiMIMXvy0LREzhsaQWGVhaQQs1vFsr08nPtGs6eBnLIaony98DI696keBo3rx/bjxx35ZBSJEXJaYiDH9A9yW70UioaMjvbjqtHR9dqIQSYjz81MJtB0+Eb2+EATH5w2mC25FdRYbaSE+TgYM6tqrazLLmNnYRVRvl6MiPIj1Mfxcz0MGiajgYpG7uWeLn5fis7DaNAwGjQsjbQWi6os/LG3mBGRvvh6GRke6cdP6fncfEhHz+ypcVz/EKJdGLUVfZMb56UzLibApcNBe3n1MTNGL52jWhEODbCZXBIIxIPe3a8MJJRP2cgZDGZsP3+251fy3J/7uGdKAqecAlddBXPngpskLxWHQXKImbdPSeHCr7dQa9MxaPC/WckMDmu/AdzVuOlhkLW8v7eRf06K46RBoeRV1NI/yITVpvP5phx8jAZGRPkRF9j7kh0pOo+uNC4+DnyuadoVwB7gLABN0/oBb+q6fsKhYx/gOOCaRtc/qWnaSETWJcPF+30Gm67z7tpsbpiXXl922xGx/OfoRPy8jFTUWtiaV0lJlYUBwWbig5ruNIZF+DA8wtfBW+DOSfEMCG5/RzMxLpCVV41mR0EVgSYPhoT5EtDF2asVfQerTSfa34v/TE3Eouu8t/YgF3+7he/OGYrRQ+PEgSEMDvdxW+ZURffD18vI2BjnMCiLzcb2vEoOltcQG+DNwBAzWhtn26Oi/Ij192ZfA53Fa8b0Y/anG3l+VjJnp7mWEx4a6ceSy0axNa8CLw8DQ1QbVLiBXQWVZBRXEebjSUqoGe9DGcjDfb14+rgkLh8VRXGVlYGhJgYEu897J8TsyeR41xs0n23K5dLvttYfXz06miePG+Bg2Ozn782j0wdw7Zzt9WUx/l6MUhksu5SkYDO3T4zliWV2r9K0cF9+yyjijdVZvDArmevH9SPUx5N7pyayObec4iorSSHmFjX1aq02tuVXklNeQ1yAN8nt6H8VPYNPNmSzdE8xr5w40G33/PELL5b+7MktD1VgaGUc3gayiaP3byT74Uk0fqzlIBOI4YzBYbzw136+35bL+eeHc/PN8PLLkj1a0bVomsbpg8NYc81YMour6OfnxeBwH5cb061lSLgPz89MIrfCQo3Vxpurs7h6TDRJhzbWzZ4e9U4+y/cWM/39dVRaZGNveKQv35w9lAFu1ERV9C26zMKj63o+kgG6cfkB4IQGxxVAqIvzLurQCvYg0vMruX3+ToeyZ//cxzlpEQwMNfP4sj08tWwvOhDp68UP5w1lnIuFNkBMgImvzk5jwe5C1h0s49gBIRyVEIixtSN3EySF+JAU0rvDEBTdD6tN55ON2Vz5/XaqrTZMRgNPHTcAdAkFODIhqKurqOgiaqw2PlqfzTVztlNr0/HxNPDpGUM4OSWsTfdJCvFh/kXD+XZrHhtyyhka4cuvGUVkldVw/dx0JsYGNLkLHBtgIjZA7RAr3MPvGUWc+tlGiqosGDR44tgBXDe2H76HohcCTEaOiO3chfWuwkpu+jHdoez11VlcPCKSyfFBDuXnpoUT5+/N3B35JAebmZkcQrIKq+1SPD0M3HZEHGP7+fPzjsJ6rdqn/xBj4z9/2cmMAcGkhvsS4N369lVVa+XddQe56ccdWGw6fl4efHlWGjOTQ1q+WNGj2JZXwY0/pvP49P5uC4f+e7GRVx8zc/29lfi0cv+hEgvpFDCBGLfUobuTRji/k8F4YvA2Grh0RBQv/r2f/kFm7r3Xj1tugWHD4KijurqmCqPBQFqEL2kRvod9r7JqCx+uz+GeRbuw6SIF9O6pqRwRE+AUnVhZa5WQeYs9YmB9djnL9hUr46Ki3XRltmiFmyiutlBlcdYaKaiqZXVWGU8eMiwCZJfX8I+fdlBS3XQIQXKoD9eOjeGVk1I4Y0g44b7Km0bRM9meX1FvWASosti4a8EuDpTVkNVIj0TRt9iWV8FVP4hhEaCi1sZF32xlV2Hbk00NDvdlSLgvK/aXct+i3Sw4lMQqv7KWUjdk41UoWiK7rJpLv91aLz9i0+Gfv+xiQ3bXahaWVltc/gbyK50zSQeaPDlhUCgvnTCIWyfGMST88BdaisMnws+LM4dEcMnISF5ZeYCn/rDPKWusOkVtzAoOsDmvguvnpteHW5fVWLnomy3sKVapbHsTxVUWTv5kA5ePjGagmzYK1v1l5OGbfbnk5ioiY1rWWaxjA9nEEICZvpFkKJ4gqrCygwIAIvw8OW9oOP/+dTe6fxX33ANnnAF//93FFVW4lfU55dy9UAyLAIVVFm77eQeulADKaqxO+rkgGawVivaijIu9gMQgb5IahS37enqQFGx2OVFbvq+Egoq2TwYVip5GVllNvWGxjopaGx6axsBQtSvXl9lfWo21UUbn4moL2e00OvcPNpFZXEXDOx4ZF0Csi8x8CoW7ya2oJcPFeN8wXL8riAswMbJRoiJvDwPJbtQ9VXQOUX7eNJbfTgg0kdiM1E5T7C+pRm9UlltRS2754WcvV3QPaqw2TvtsI8MifDlxkHs8Ulf8buTf1/hy4Y1VDEht28bdUvaS6hwI12sxAKOI4kd21P/WBof7Mis5hDt+2UlsSg233QazZsE333RlTRXuxFUywZ2FVeS56FvDfDy5xEXm9s6OcFD0LpRxsRcQ4evN52elMT5G9BMGhZqZe/5QBob6uJz0TYkPJMSnb+zcKfo2/fy9MDXSLfH19GBaYhDDIpSWV18m1t8bY6OVcrDJSLR/+zy108J9+e7cocQdMiZO7x/EqyelEOCt9GUVHU+kr5fTJiNQ3x67ihAfT947JZWjDukx9g8yMef8oQwOV+HOPY3kEDM/nDeMlEMbc+P6+fPV2WlEtSPLaFyAs6Ey0teLSD8VKdMbsNhsnPflZqy6zvXj+rnlnt9/5MV//+HLZbdVMmho2wyLGRRRTBUJBLmlLj2FQYRSRBWbsWd8PyI2gEmxAdzy0w76D6vmkUfgppvgsssgO7sLK6twC/EuxvyUUDPhvs7rfk3TuGJUNFeOjsJD0wgyGXn1pEFMiFFJVxXtR616egmjo/35+YLh5JTXEuJjrE8MMCrKj/uPSuCRJZnYdIgN8Oa5WclqwavoEwwK9eGD01K55NutVNTa8PPy4J1TUpjWP0gJx/dxUsN9ePfUVK78fhtVFhsB3h58dMZgEoPa51HlYdA4YWAoK64cTUm1lWh/L/xVP6voJMJ9vXjv1FRO/WwTeRW1eBo0np6RxLDIrg8tHh7lxw/nD+NgaQ2BJg8i22GMUnQPjkoIYulloyiotBDu60mwuX0b1UPCfXnj5BSun5tOtdVGkMnIR6cPVp7evYDKWitnf7mZgopaHjg6AY/GVuS23q8cXrjfh/V/G7nx/grCoxv7vLbMPNIZSVSvzxLdGA80JhHLV2xhIKF4HfIpmpoYhEHTuGFuOo9OH8Brr/nwwQeQkgLnnw/XXAPDh6ts0j2RYZG+PDcziX/+sguLTSfcx5O3T0ltUuIsMdjMS8cP4q7J8Xh7GFSmaMVho1Y+vYggsydBjSZ6QWZP7pkSzxmDwymptjAgxEw/fzV5U/QNDJrGGYPDGR7px8HSGqL9vVRGSgUgAtrnDY1gbLQ/2WU1xAR4uSXpVJS/N1Fq01fRBUyOD2LV1WPIKKoi1OzJoFCzk4B7VxHgbVSbmr2EMF8vwg5Ti9vLaOCSEVFMigsgp6yWuEBv+qtQ+R7PnuIqTvtsI6FmT/5zdMJh9z+rlhl56i4f+g+ycstDFXi3w+6xnmzyqGQ6/Q+rLj2VRIJIp5Cv2cy5DK0vn5IQSIC3B/+cv5Orx0RzzTWhnHmmxg8/wPHHg68vnHIKnHQSTJ4MnirgrUfg62XkhnExHDcgmPxKC/2DTC0aDL2MBpJV0lWFm1AzvT6At9GD4VEqBFTRN9E0jUGhPgxSWUcVjTBoGilhPqSEqbah6B3EB5qIV54Hih6Ah0EjNcyX1LCuronicNF1nffXZXP7/B2cOSScc9LCD2sTd89OA68/YWbreiOnXVxF2uj2JUYroJLP2cwMBuDRh5XAppLAl2xmERkcQ2J9+YgoPyL9vPhoQzaL9xRxy4Q4LrvMm0svhW3b4M8/4YYbYN8+OPpomD0bTjwRoqK66kkUrcHTw0Cakn5SdBHKuKhQKBQKhUKhUCgUilZjtenMS8/nwd8zqLTYeHR6/3Zv5NpssPZPI1+/6836v41MPb6WO58sx6udTrK5VPAqKxlNNP3o2+EEXhg4iYF8z3bKqOFEkuuNrVF+XtwyPobfM4u5Zs52piYEcnZaOKmpZlJT4dJLobBQskp/8gncdhskJ4tX48knw4gRYOi7dluFQtEIZVxUKBQKhUKhUCgUCkWzlNVY+GtfKfN25PP5xhz8vY2clRbO1IRADG30Viwu1Ni0ysjfi40sne+Ft1lnwtG13Ht+ebtCoAEqqWU5+1jAbsbRj2FEtO9GvYwAvDmdVBaxmyf5g5kkMYxIPDFg9DAwfUAwE2IDWLqnmJt/2kGUrxdTEgIZHe1HcogPM2YYmDEDLBZYtw7++gvefhsqKmDaNHkdcQSkpakQaoWiL6OMiwqFQqFQKBQKhUKhaJJfdhYw49ZcKPfE399AUGA0VjN8uh4+pRh0DV0H3aahWzVstRq2GgPWSg8s5R7UFHtSne9FZZYJW624u2lGG4EpZQRPy8UcXcVWYOt6x89tnMJFR8eGjhUdCzZqsVJODcVUA+CJB/GkUoSRJVg6/j+mx6ARQH8sVPEBeUAeGmJ4NOOFNx4YMRBp9qK0SOPjjFLeqiitv9rDCGYTeHuBpw94HAvGYi/m7/Tn24W+1OTbNf09fCyYIqrxCqnB09+Ch48Fo8mGwctG/xQr8++P7zaawAqFwn1out72rFs9GU3TcoFMN9wqDMhzw316An3lWTvjOfN0XZ/V1JtubJ/N0Ve+T+hbzwqH/7zNtk/okDbam7+j3vxs0DXPp/rQzqEvPCO4/zm7og9tC93pe1V1aZqOrE/7+9Djr4jixzdj3FKLgCoIrcDtCZxturM1UuEaDWhNNm8dsBhAb8W5FgMUmqG6Bf+l6KGbydpU6eKd7tSHdrd+wV30xufqzGdqsY32ZfqccdFdaJq2Utf1sV1dj86grzyres7eR196VuiZz9sT69xaevOzQe9/vqboC8/dF54R+s5z1tGdnlfVpWm6W30Oh970LE2hnrF30lufuTc+V298pp6K8kdWKBQKhUKhUCgUCoVCoVAoFO1CGRcVCoVCoVAoFAqFQqFQKBQKRbtQxsX283pXV6AT6SvPqp6z99GXnhV65vP2xDq3lt78bND7n68p+sJz94VnhL7znHV0p+dVdWma7lafw6E3PUtTqGfsnfTWZ+6Nz9Ubn6lHojQXFQqFQqFQKBQKhUKhUCgUCkW7UJ6LCoVCoVAoFAqFQqFQKBQKhaJdKOOiQqFQKBQKhUKhUCgUCoVCoWgXyrioUCgUCoVCoVAoFAqFQqFQKNqFMi4qFAqFQqFQKBQKhUKhUCgUinahjIsKhUKhUCgUCoVCoVAoFAqFol0o46JCoVAoFAqFQqFQKBQKhUKhaBd9zrg4a9YsHVAv9eqqV7Oo9qleXfxqEdVG1auLX82i2qd6dfGrRVQbVa8ufjWLap/q1cWvFlFtVL26+KVohj5nXMzLy+vqKigUTaLap6K7o9qoojuj2qeiu6PaqKI7o9qnoruj2qhC0X3pc8ZFhUKhUCgUCoVCoVAoFAqFQuEelHFRoVAoFAqFQqFQKBQKhUKhULQLY1dXQNGz2bsXFi6E33+HKVPg2GMhPr6ra6VQ2Fm3Dn74AQ4cgFNPhcmTwde3q2ul6I3oOqxaBd9+C6WlcMYZcMQR4OXV1TVT9EUyM2HBAli6FKZNg2OOgdjYrq6VQuFeSkvhjz/gm28gLg5OPhmGD+/qWil6EwUFsGSJzCUHD4YTTpB/FQqFQuGIMi4q2k1xMdxyi0zoAN59VyZ1778PQUFdWTOFQti4EaZOlbYK8Mor8NlncPbZXVsvRe9k5UrZZKmuluP/+z/4+Wc47riurZei71FQAFdfDfPny/G778J558Hrr4OfX5dWTaFwKz/8ABdcYD9+5hkxBKWldV2dFL2L99+HW2+1Hz//vDhVDBjQZVVSKBSKbokKi1a0m+3b7YbFOn74AbZt65r6KBSN+fNPu2Gxjvvvh8LCrqmPonczZ47dsAjiyfjUU1BT03V1UvRNtm61Gxbr+OQTGbcVit5Cfj7cd59jWWGhbPQoFO5g716ZNzZk3z5Yu7ZLqqNQKBTdGmVcVLQbi6Vt5QpFZ+PKqFNZCVZr59dF0fupqHBdZrN1fl0UfRs1Piv6AhaL44ZOHWpDR+EurFaorXUud1WmUPR0NpHD9ygvIUX7UcZFRbsZOBDGj3csGzMGBg3qmvooFI2ZMMFZ7+5f/4KwsK6pj6J3c8opoGmOZbfdBiZT19RH0XdJSXHWnTvqKBm3FYreQmQk3H23Y5m3t8xFFQp3EBfnGBINEBCgdD0VvQ8bOhfyDRfyNTmUd3V1FD0UpbmoaDdhYfDBB/KaO1cEji+6CMLDu7pmCoUwejT8+qvo4+zZAzfcIO1UoegIxo+XBBrPPgslJbIgOfbYrq6Voi8SGSn6su+9J7qfs2eLLl1wcFfXTKFwL+eeC4GB8PLLkJgoWuCjRnV1rRS9BQ8PuPFGMTK+9RYMGybHKqGLorexnmwKqGQycXzOJm5kfMsXKRSNUMZFxWExaBA8/DDccw+YzV1dG4XCEU2DSZPE6GOxKA8yRcfi5SUZeY86SkKhVZZoRVeSmgqPPip6YWp8VvRWwsPh4oslUZvRKC+Fwp306wfXXQeXXQaenmJwVCh6G6s4wBDCGEw4KznQ1dVR9FBUWLTCLaiFi6I7YzQqw6Ki8zAalWFR0T3QNDU+K/oGJpMyLCo6FpNJGRYVvZe/OUASISQTwmqyuro6ih6KMi4qFAqFQqFQKBQKhUKhUPRBVnKAQYQygGC2k081KgOcou0o46JCoVAoFAqFQqFQKBQKRR9kJ4XEE4gJIxH4kkFRV1dJ0QNRAQQKt7NuHSxZAtXVoj02ZgwYlBlb0U1Yv17aZ1UVTJkCY8eq9qnoGDIzYdky2LkTxo2DI46AoKCurpVC0T3Ys0d+H+np9t+HSjjTvSkvhxUrYPly0aE78khITu7qWikU7mPbNli6FHJypH2PG6dkdRS9nxKqqcZCIN4AROFHJsWkENbFNVP0NJRxUeFW1qyBqVOhtFSOPT3h229hwgQIDe3SqikUrF0rBu+69mk0wvz5oqFTUAADB8KQIaJTplAcDllZcP758Mcf9rInnoCbboLNm8XwGBsLaWng69t19VQouoKsLLjoIli82F728MPwr38pTbPuzLffwoUX2o+Tk6UsLa2raqRQuI/t2+HYY2HfPnvZRx/B8cerjQ9F7yaTIvrhj4YsgCLwZQ/FXVwrRU+ky/11NE2bpWnaNk3TdmiadreL9/+padraQ6+NmqZZNU0LOfRehqZpGw69t7Lza69ozLff2g03ALW18OKL8MwzkJ3dZdVSKAD44QfH9mmxwNNPw113wWmnwejRsGBB19VP0XvYuNHRsAjwn//AokXiLXvGGbLp8sor4uWtUPQlli1zNCyCGBd37Oia+iha5uBBuOMOx7IdO+Dnn2H//q6pk0LhTv7+29GwCDJuf/yxbEArFL2VDIqIxK/+OBwfFRataBddalzUNM0DeAk4HhgCnKdp2pCG5+i6/pSu6yN1XR8J/Av4Xdf1hl38tEPvj+2seiuaJifHuaywUAbsNWs6vz4KRUNctc+8PAgMlL9rauDaayE3t3Prpeh9VFQ4l1VWSkhhQ+66C7Zu7Zw6KRTdgaws2LLFubymRl6K7klNDRQVOZcXFTn3awpFT6S83LmssFA2C9et6/z6KBSdRQZFRGAPo4nAl93KuKhoB13tuTge2KHr+i5d12uAT4FTmjn/POCTTqlZH6eqSgbSRYtg167WX3f66c5lxx8vXgrKc1HRmdTUiL7iokV2b5hTT3U+74QTRIOxjl27XC+gFIq2MHiw3WhdxymnSF/YEJut/cbsrCz4/XdZ2JeUtO8eiu5PWRmsXAm//ebsVdMTKS4W43vjMMOZM6F//66pk6JlYmLguuscy7y85NVaz8X8fOkDly2TvxWK7sSoUc6yDOecA/Pmiefu4WCxwKZNMifdtg10/fDup1C4k10UEYFP/XEkfmQq46KiHXS1cTEG2NvgeN+hMic0TfMBZgFfNSjWgfmapq3SNO3qDqtlH6O0FJ57TkJEp0+XhCwNjS/NMXkyfPUVDBsGgwbBv/8Nf/4pHjtK9FvRWVRUwMsvO7bhRYtg4kQJ3R85Utrnyy+LYaahl9n06RAd3VU1V/QWBg2CX36B2bMhLg7++U948EExeDfEzw8SE9t+/40bRT/06KMlvPq66+DAAXfUXNGdyMmRUNRx42DaNJg0qed70ERHS7/773/DjBmiPXrxxRJ+6OfX4uWKLsLDA26+WfqyhASZ7z3xhIyjQ4a0fP3OnSI/cuSR8jrtNClTKLoLo0fDTz9JcqnERPjHP8Sbce9e0eRuL7W18MEHYrycPl3+/eEHd9VaoTh89lNCWAPjYgS+7Ke0mSsUCtd0dUIXV2kTmtrLORlY1igkerKu6wc0TYsAftE0bauu64sbX3jI8Hg1QHx8/OHWudexb58MnKGhYgDcsAHuucf+flERXH657DRHRDR/Lx8f8V4cMgQ+/BD+9z/xzHnzTRlMFc6o9ul+Nm2CW2+1H5eUwCWXiJE8Ph7efx/CwyVzr5+ftO3iYlnAP/+8WuA2RrXR9jFuHHz2mWzYhIZKVvKvvxZDyu7d4gn03ntt33iprYVnn3XUp/v4Y1msn3mm8/nFxXKu0SgLJB8f53N6Mr25ff79N7z2mv147167BpjZ3PR15eWShdlqle88IKDDq9pqAgNlA/Oyy6QtnnginHSSLOx7K72ljSYmwjXXSHtaskQMxP/9r/R1LfHtt44b1UuWwBdfSPRAYmL3aqN9jd7SPg8XDw9J6NKvn6xh3nlHIrk++kicJtpKba30w7m5cNVV0h+DOFxcfDGsXg0DBrj3GXorqo12LFmUMYHY+uNgTOTiQidAoWiBrvZc3AfENTiOBZryvTiXRiHRuq4fOPRvDvANEmbthK7rr+u6PlbX9bHh4eGHXenexLJlMimcNEmMfx9+CHv2OJ+3Y0fbQlhSU2V3e8kS8bK44oret6B1F6p9up+9e53L9u2DH3+UBezw4XDLLeIVdNFFkkV6/XrJHD10aKdXt9uj2mj7MZnEkG04NNoeeSQsXy794ooV4sXQVoqLXSceauwVCdJ3n3mmJJEZORJuvLH3JV/oze0zPd257LffRAesKfbtgxtukDF97Fg4++zu5yE2fLi04ZdegrvvFuOil1dX16rj6E1tNClJxs9nnpGx86abwN+/5et++sm57JdfxFh5wQWy4aLoGnpT+3QHQ4bIGmb+fNGLP+888PZu2z2KiyVh4IgR0s7rDIsN31dyUa1HtdGOJZsyQjDVH/vgiQUb5SghZEXb6Grj4t/AQE3T+mua5oUYEL9vfJKmaYHAVOC7BmW+mqb51/0NzAA2dkqtewkHD8KFF9p1RCoq4NJLxcOmMampskBuC4GBstOnNJQUnU1CgnNZYqJjUqHPPxdjY917w4aJJ6NC0dFERopxpV+/9l0fFASzZjmXjxzpXPbRR46GyHfegV9/bd/nKjqflBTnsuOOg5CQpq9ZuFA8Yuv4+Wf49FP31+1wCQ6W30F7ZAEUXYu/v2zEJSWB5ioGyQUnneRcNnIkbN8Oc+aIV7dC0V0IDpZ5YXv7p9WrJQrMYpGoAU9Px/dDQpQEj6L7kEM5IdjDITQ0wvAhW3kvKtpIlxoXdV23ADcCPwNbgM91Xd+kadq1mqZd2+DU04D5uq43bOGRwFJN09YBK4C5uq672BdVNMXBg5CR4Vim6/J67jkZDEFCod9+G8LCOr2KCkW7GDIEXnnFPpkLDYV775VQwobMndv5dVMoDhejUbSghg+3l111lXigN6SiQkIRG6OMiz2HceNE4qHOgDNwoISimkxNX7NwoXPZN99AdXXH1FGhaA2zZ0vSnjqmTJH5ZsEhsaOvvxYZHYWiN7B3r30O+sEHcP/99n47IEA2/tTGiqI7UEktldQSgKN7bghmDlLWRbVS9FS6WnMRXdfnAfMalb3a6Phd4N1GZbuAER1cvV5NWJh40DR2yw8KkpCq446TSV9ioiQkaMy6dRLeZ7Xaw6oVis6kvFxCS1etEi+wiRPFU9ZshiuvlIQX+fmis/j553J+Q44+ukuqrVAcNkOGiEdierq095QUZ+kJs1nCrteutZeFh0vW6ldekeNJkyRsS9E9CQsTTbuLL5b+KzlZxu3mmDRJFrMNOfZY57C+vDzpPzdulPsecUT7vWkViooK0Qj9+2+IipJ22FBPrn9/8aDdvl1CoD/9VDay65gxwy4foVD0VGpq5Dewfz/ceacY0J9+Gt54Ax59VMbbxESltajoPmRTTig+aI1SYYRgJlsZFxVtpMuNi4quIzYW3n1XErBUVopnxGOPQVqa7LalpTV97apVMHWq3VhjMokO1IQJnVFzhUL48ksJ5a9jxAjJwBcXJ95dDTNYnnKKeC7WGVomTBBPCoWipxIe3rxchaZJMq45c2DbNil79FE491zp80EMkr//Ltp8iu6J2ew65L0pZs4Ur7C65BlDhkhCq4ZUV4tm3uOP28vOPluSxyh5CEV7+O47OP98+3FamkQHNJQpCQqC8eMlmuD55+3lw4dLv6RQ9HQWLpQkVfqh9KQxMXDHHfDqq2JwV+skRXfjIGWE4pwYIQiT8lxUtBllXOzjzJwpOnQZGRL+nJrafAbKOj75xNELrKpKduXUoKnoLPbtg9tvdyxbt06Mh648bQcNEu2x7dsl9Co1teXs5wpFT2fIEAmD3rpVQrHeeUf00uqMixUVkshLGRd7D/37S4jpli2i95Wa6qztlZ4OTz7pWPb55xJuP3Fip1VV0Us4eBBuu82xbNMmmV+60kBOSoLvv5c2arPB4MEte+QqFN2doiJJUGUyyTibkyMejPHxsHKl0qBXdE8OUkYwzloryrioaA/KuNjH0TQJp3MlGl/Hzp2weLGEsRx5pIROucrGu2eP7NS1Vty7KYqKYPNmyYY5cKAYhRSKxtTUSFtpTOPQZ5DQ/b//Fu9as1nCoVtjWNy/X9qiwSBGGiW+reguZGSIwdBsFg+h5jRxo6PltWOH/HvKKbLImT9ffhN79nRWrRXuprbW3rf5+cG0aZKEICxMvBeborLStb6dq/6zMboubW/nTvGcTUuTz1b0LUpLxYCYny9h0I0TVgCUNbMubcnzOi9PpHf+/FPa2JFHipFGoeguVFTIbyA7W0Kdw8PhrLOkHy0okHH2++9lvqoMi4ruSi7lBDbSWwQIxKQSuijajDIuKpplzx5ZiG7aZC976SVJHvD5547nXnPN4RsW8/Iku9obb8ixnx/Mm9f8IknRN4mNhcsugzfftJeZTK7D+ZcuFe05q1WO/fzEYN6cTuiWLdL209PleORIafMDB7rtERSKdrF2rXid5+TI8cyZ0me68titY88ekQHYssVeds89Ei592WUdWl1FB7J4sWjV1RkKAwMlzL0lHc2kJPFWXbnSXhYV1brNvF9+kb6xqkqO77gD7rtPPlvRNygqgocesmsmmkzw+utw/fV2g6KXlxi620Ntrdz70UftZdOnS9RMcwZJhaKzKC+H//1PxlEQ4/q8efDWW47JMh98UHmDK7o3uVQ4JXMBCMSbzZR0QY0UPRklnaxolnXrHA2LIANpYqJkOhs4UESJ33lHJn6Hy5o1dsMiyCT1hhvs2QQVijq8vKQt3nWXLIqPOko8sYYOdTyvtlbEtOsMiyDtqqVM0R98YDcsghh05sxxW/UVinZRXS0L7jrDIki4/7JlzV+3dq2jYRHg5ZdFd/eoo9xdS0VnUFkpOskNPRCLiyXRT0uEhMD774thOSICTjtNFsYteYYdPAhXXGE3LIL0r+vXt+8ZFD2Tdesck7FUVUk23FdeEe/oyZNlPG6Y0b4t7NjhHLa/cKHzfFSh6Co2b7YbFkHmmn/+6WhYBNFaVFEviu5MNuUEugiLDsSbXCq6oEaKnozyXFQ0S50uV0PKysRD8fzz4fjjJUQqJMQ9n3fggHPZhg2yS+6uz1D0Hvr3l8X1rbeKN6Kvr/M5VqujIaaOvLym72uxiE5dY5Yvl89SKLqKkhJph42pS9jSFE315cnJyuOsp9JU31ZY2LrrBw+WBC4FBdIGTM5rCycKCkTvtjFZWa37TEXvwNX3nZEBY8bIJrGPj2jOtZfqahmHG9PQqK1QdCUHDzqX1dQ4lxUXu5agUCi6C7mUE4/zRDAQE/nKuKhoI8pzUdEsQ4c6G2yuuMLu3RAc7F6jX3Kyc9mxx7rWx6usFM2n7Gz3fb6i51FVJeEpTWk7mUxwyy3O5aec0vQ9jUbJnNqYE09sXx0VvZd9+0SP1tVCuCMICYHTT3cubykhS1qaLPgbcvXVzYdSK7o3fn7OSTQ0TcKkm6Ph2OnpKYk0WmNYBPESd5W5esCA1l2v6B0MGCBt56yz4N574YwzRI87Olra0+EYFuvuf9xxjmV1SQcViu5AYqLMFRsSGAjejaJLb7lFMka3hf37ZV5RW3tYVVQoWkUO5QQ14bmojIuKtqKMi4p68vJkQNN1e9mQIRKKcvLJMtl78EEJA3Al3O0ORo4UTceZM+UzJ0yAZ55xFovfvh0uukjCsseMge++U4NwX2TrVvGgTU6GceMkbNmVkWfWLNEme/99uPxy+OEHWQg1x2mn2Q2MmiY6o8ce6/5nUPRMSkrE62vYMEmIdfvtLSdG0XXxzm7Oa7YlPDxEKmLaNDk2GmVx37A9V1Q4e/gMHSp9+UknSV/+8MNw550d15crOoeTTxbd2dRUaQNz58q46YqyMgk3rRs7x46VZANtGTtDQuTz6jYC/fwktL692nrtobJSkso1lyxE0X5yclr2RB0+HL76Ssbg//5XjNWPPgpBQe6pQ0CAzAVvv12MOOefL/IPiYkyxpcoGTBFFzN4sGiA1nn+x8WJxMiCBbLBk5QEjz8uOqSGQ6ttXRdPRotFIrL27XPsf8vLRWZqxAjRv73pJucwa4XC3eRS4dK4GIA3hVSho7u4SqFoAl3X+9RrzJgxusKRigpd/+orXe/fX9eDgnT93//W9b17Hc+prNT1vLyOr0tNja4vWKDr06fr+qhRuv7227qen+9cl7PO0nUZpuVlMOj63393fP3cgGqfbqK8XNdPPtmxHXh46Prq1Y7n1dTo+o8/6voJJ+h6WpquP/CArm/c2LrPKCvT9XXrdH3DBml3fQDVh7aSn392bHug648/3vT5+/fr+kMP6XpwsK4nJOj6p59KG24vRUXS1jdtkjau67peUqLrX3yh6+PH6/qUKbo+d6707w3prL68A1Ht0wWFhbpeWur6vZwcXX/rLV0fPlzXjz1W1597Ttpg3di5cmXbPy87W65LT9d1m+0wKt5G1q/X9VNP1XUfH10/5phuOe732D60pETX339f12NjdT00VNefeELXDx50fW5mpq6Hhzv2f9HRznPHw8Vqlf6qulqOV63S9Qsv1PUhQ3T9scd0PSPDvZ/XR+iR7bO7smuX9EMHDtjLKiqc1y7p6bp+3326Pniwrl92ma6//LLMB66+Wt7TdV1ftMh5XnHffZ3bx3YDemwf2lPppz+jf6Jv0H/Vdzu9zPojeqle3dVV7G50uT2rO7+U5qKClSslpKWOhx8Gsxn+9S97mcnU+rCpw+Hvvx0zX15+uXhFXHKJ/ZwDB+DLLx2vs9lkB334cPHs8fDo+Loqupb9+8UDsSFWq7SDhlmgly+X9l1xyLN/0ybZOX7yyZa9tnx92y9Ir+jd/Pabc9lbb0mocXCw83uffSYJD0A08c49FxYtsnsgusJmEw8HLy/n9wIDnbOdL1woYYp1LFkiZcccYy/rrL5c0bk05zH2+edw4432499+k3H+X/+yj51jxrTt8yIiXMuVdCQ5OXDOOfbERIsWwQknyLwhIaFz69IbWb4cLr7YfnzXXdKXXXWV87m7dkFurmNZVpaEcsbGur6/1SovV/1ZUxgMEBoqf6enS/RAnabov/4lnrgvveQciqpQdCQWi5j+PD1F+7t/f8f3zWZ51VFaCjffDD/+KMdbtsAvv8ja5vnnZU767ruwYoXzZ73zjngwdnZ/q+gb6OjkU0Ggi2zRAEGYyKMCP9rQcSv6NCosupdhtYrx5McfYfXq1olfu0oO8OqrzhPHzmDhQmfh46eecgyB8fVtevI6bZqEfC1f7hjereh9+Pm5zsAXEiKhJX//Lb+DjRvthsU6XnvNMRO0QtFWGi8mQGQkGusagoQ/vfqqc/miRU3ff+VKWdRPmQJvvOFaPL4hFgv873/O5Z991vx1it7L9u3w0UeSzbkhFosYaOr0lHtKsrTdu50znufmynMqDp85c5zLXnlF5pKNkwQFBYlcSEM8PFwbuW02WLoUzjsPpk+XPqmgoO3127jRuR7vvKPCRhWdw7ZtMmb/+KNI5hx3nEgDFBe3fO3OnXbDYh379tm1ST//XI5daTOmpLhOVqhQuIMKJC7f1IS/WZ1xUaFoLV1uXNQ0bZamads0TduhadrdLt4/WtO0Yk3T1h563d/aa/si330n3iwnnCB6Sq++6jpLaENc7YbFxzvuunUWrgbQwEBH0eTISHj5ZbuGCYhnzsKF8McfooEybRqsXdvh1VV0IdHR4rHQcIFz4omiPfbIIzB+vBy78tLy9RUDpELRXo4+WnTr6vDxEU8aVx403t6uPau8vV3rL27eLH3a22+LJ8PVV4tBvLkNE01z7THp49O6xY+id5GfL14x337relwNCJB+8tJLnT1guyu+vq6jEsrKVDZWd1CXqK8h0dGiGXfddY46jKmpcN99juc++KDoxDVm1Srpz774QoyM554rWp9tpam+9fffRfdx8+a231OhaA2//gqjR8um38knwzffSLs780xno6ErPD0d1yx11M0LQkNlzTVpkqN+rckkvytlXFR0FPlUEoQJDc3l+wF4K+Oiok10qXFR0zQP4CXgeGAIcJ6maUNcnLpE1/WRh14PtfHaXo3VppOeX8Hm3HJ27bFy+eV2cWBdl0ySjXf6GzNpkohk12E0wkMPOSdR6QxGj3bOMviPfzh7A82cCX/9BR9+KAbVtDQJKaijulreV/RuTjxRjC8ffgjz5kmigb17RUQb4MorxbOlsafrLbfAhg2t+4xqi5WteeVsy6ug1qpWsN2ZrNJq1meXkV1W3eGfNXAgzJ8vi4xPPpH+pqlEGmazcyKsfv2gpka8ghqzbp2EUTXkiSekbTeFh4eEXTU0vphM8jkfftj651L0DrZtgz//lAQvF13k+F5wsHgv3n47XHihZIB2B3kVNWzILmN/Scf8/gYNklDdhpxxhsgRKE/0w+f44yE83H5sMol31l9/ibfh3387vnf59TXMnW/lnXdt/P67hG66MgAuXeqcNOixx5y9EFti+HCZ6zXkyisluuW++6Suu3a17Z4KRUvk5MAVV8iaaPduiRBryJNPNp1cqtZqY1teBZ5hldx4k+Pu4Lhx4tF40UUSGh0TI8nW5syRdc3HH8tvb/LkjnkuhQI4FBLdtFaOJHVpwUtJoWhAV2sujgd26Lq+C0DTtE+BU4DW7D8ezrW9gvyKWl5euZ//Lt5DjdXGWYMjuOKO/jz7b7vLoa63HE43aJBof6xaJd5cw4d3vidDeblo58yfD3ffLXUuK5Pd8fnz4dRTHRfmnp7imTl2rHho3Hab8z1VFtTej5eXvR3UsXix/e9+/UTn7q67pI3l5kqbGj68dRl79xZX8cjiTN5ck4VB0/jHhBhunxhHlL8SeOpu/Lq7kEu+3crekmr6B5l479RUpiQEdehnJiY6bsw0R//+8MADIlXh4SEbIE88IYuJhpSUiDHyvvvEAPTqqxJW7eXl2vOhIZMnS5jW3LmySRQfLyGxVqtkP+/Xrx0PqeiR1Hn7V1ZKyN0LL4hnl7e3GJCeeEK8/d55R0JVD5cV+0u45NstbM2rJMrPi7dPSWFmUgiGBq7lGRkSwuzrKxICrjxtm8PLC2bNks3GsjK5z7p10t7vukvCBxXtJy1Nxs8VK8To4elp36gDR8Pd8t2lLF1lobjAgF94LYMSjAQEBLm8r6u5mMnUcn/WmNhY8cRdsEDkf6KixIC+Y4e8f+CAtIfGfapCcTgUFIhRMSTEMYqqDrPZdVs+WFbNs8v38dyf+7DpOt+ccgTBQSbS02VsrqgQI/t334lhvI74eNdexA3JzJQNJB8f+d22tS9VKOrIp5KAJvQWAfzwokAZFxVtoKuNizFAQ1+MfYAr34+JmqatAw4Ad+i6vqkN1/Zalu0t5v5fM+qPP9+Sw3WDzcTF9a/3cPH0bJ3QeXKyvDIzxdNx8WIZsDpDQLi0VHb+PvgApk6F99+XEAGTSRY+U6c2Hw4YGiri9Oefby8LDGzai0jRu2mshVdTI+0jIEAmYB9+KGEm48bB+vUweHDThujvt+Xx+mqJBbPpOk8v38fIKH8uGB7ZwU+haAs7Cyo49bONlFSLS8HuoipO/3wTq64eQ3xgx2cv0XVJirFzJ4SFieEkIMDxnLAwCaNasMCxP2u4EM7LE6Pia6/JcWCgtNU77hBv8qa0ZuswGsXoMmeOGCbrdHMDApQGbV9j0CCYPVvCT1evFgPzhAkyzjb0GKt2g5PhwbJqzv5iM5nFVYeOazjt002suWYMg8Mlnm/1ajEM1rXJs84Sg6cr3VxXFBaKQSknR/r0N96QjUWQ34krrTJFy+zZI3M+T0+Z86WmSp905ZXSVhpS5zW4K7uKz9/24oX/+qPrYrB+9LUKYk6pJCHIWU9nyhTx+mro3fXAA/K9tZW6uerevWJMbiz709irTKE4XCIjJapq9WrpZ8xmx3Z3zz2utZYX7iriqT/sy9RVWaU8+l8TsbES8VB3D39/ZxmquuSEu3bJ5w8ZYo8mW7tWvIzrHEdOOUUkglQfqGgP+VQo46LCrXS1cdFVgH/jJdBqIEHX9TJN004AvgUGtvJa+RBNuxq4GiC+pe2gHsTiTGchrYU5OcyYHcdbLxkJCJBwodbu5q9bJ5P/ugFryhQx9LXWM6e9rF8v2k8gWiMGg33RADLJzchwreVTx8knw08/SRbp2FgZbIcOlQV1ZqYM1PHx3dObsbe2z65i2DAJj77pJtlxTkyU9lNSIq+TThL9nG++kUXKaaeJBlRjcXqrzcbHG3Oc7v/dtrw+Z1zs7m00s7i63rBYR15FLZlFVZ1iXFywQAw5dQm0brkF/vMfx+QGvr7iRXjuubIwvuAC6W9TU+3nrF5tNyyCaCW+957cPyRE+jcPDxgxomnvnJEjZRHfMKz6nnt698Kju7fPriAoCP7v/6RdLlsGp58u5a+/7njeNdcc/mftLa6uNyzWcVp8NBuWe7G2XIxSDzzgmCTuiy/kN3DKKS3fPzsbbr1V5AdAjOWPPiq/s+BgCR/s7t5q3bGNbtgg+tz79snxhAn2/8u77xaj48qVsmlx7732CIH0bR48/4h9MlVdDY/d4cOEwRYSxtvvX1Eh9w4Lkzb41VcyvzzzTJHjORz69ZM28eij9rKQEIlKULSd7tg+uwvBwdJvnn02PPOMbFYfOCDRMGefDRMnOp6/d6/MBbZlOxpkVtfkcvT0EBb8bNcuqTPoN2bePJF8qJMTeOcd8TjXNJnfNoxI++47kbc480x3PXH3RLXRjiGfSvybyQTtjxd5yrioaANdbVzcB8Q1OI5FvBPr0XW9pMHf8zRNe1nTtLDWXNvguteB1wHGjh3ba/w3hoQ7b5WN7efPg2cYuOoimdAlJbXuXrW1ovnRcMBaskQ8bTrauHigwbf22muyAF+wQOo0fbrsyN18syRsaSpc289PdBhnzrSX5eeLd8PDD8u9brxRNKa62yK7t7bPrsJkgssuE+N4cbEkLHj/fdGumThR2sKLL8ok7dprJSPvuHFiaGyIh8HApNgA/thb4lA+PqaRKGgfoLu30TAfTwwa2BrUzMtDI9Sn43cTsrNFj6mqgW3lhRfEaD11quO5w4eLV/iyZdKnvfYaHHmk9HHDhzv2hXWsXSv929FH2zOsxsTAzz8764+BlC1aJH3f5s2yOXP88e562u5Jd2+fXUV8vLTNtDTp50D6vroEBDffLO3vcAk2G/Hz8qCsRgz85yTGkPd5f86ZK1PMa6+V8NXG1IWztsTKlXbDIsgm0YcfSvhuWFjLIYTdge7WRq1WyQRdZ1gEGSMXLhTj4pAh0sfs2iVeWQMH2jdnC3OdY0Dz8uCXeUY8kWRq27bBnXeK52xEhBi677nHtSZje/DwkDld//5ieBk1Svq65jahFU3T3dpnd2PMGEkYmZkpGzfJyc6h0BUVsgF4663iaX3amXHcc5Y3j27eBsCczBzev7sfM6YH8fXXEgp9wQXO3tt790q/XWdYvOgiaeOLF8vc1lVfum2b+5+5u6HaaMeQT0ULxkVv9lHS5PsKRWO62rj4NzBQ07T+wH7gXOD8hidomhYFZOu6rmuaNh5JQpMPFLV0bW/n6IQgxkT7sSpLYk1CzEZunxhHTLSBmFaGGtVRXi4L3sasX++GirZAwzDWHTvEAHjWWbIgqtMdA9k5fPllGbSrq0Vvp7G3WUMWL5bsrXU895wYW2+4oWOeQ9F9MBgcFxkZGeKl8cEHdq3Fo4+WsKzqailrbFwEuGRkFJ9szCGrrIZZceGMCw3i1OSQzngERRtICTXz5LFJ3PHLzvqy52YmMyi041PeFxa6TrTSlNZtdrZ4O9TUyPHSpWIAX7jQOaQf4NhjpS+rMywC7N8vi3ZXxkWw65Bara6z6yr6Dnv2iHdgziEn7JtvlkXt22+7T4MzKdjMKycO5OoftnNKQhTTPOK4Zq59erlypRjav/rK8bqhQ1t3f1dG9zVrxJMnLs75PUXLVFZK39OQpCTpLwoLxVsrJERejUlJMmAwOGbojo+X7+mJJ8Tb+v777Rmhc3LEY3v5cvfK1URHi0Hx0ktda+EpFK2lqEjWQVFRTY+ZkZHyaopVq+CSS+zHX3/hwfl+4Qwbs58NeWX08/Ni5GAjw44WA2RTbbaw0NHLe+BAu0TBqlWycf75547XjBzZwgMqFE2QSwX+zYRF++NFvsoWrWgDXZotWtd1C3Aj8DOwBfhc1/VNmqZdq2natYdOOxPYeEhz8X/Aubrg8trOf4quY0CImR/OG8bPFwzn+3OHsuLKMYyObp9XVWCgs0t9crKEkLqa2LuToUMlfLtOcyQuThZDjz5qNyyCLCYWL4YjjpDQ10cekUV2U/zwg3PZu+86ehgp+gbLlkkoacmhzbeUFNkN9vKSdtaU58vQCD/+uGIUc4+aTOm7Q3j2whgevN3M1q2dV3dFy3gbPbhuXDR/XTGar85OY8WVo7lsZBTGtmYMaAeRkY4JhepoKkxzyxa7YbGONWvEQDl6tGQ+9Tq0iTx4sOjRfvGF831ak+1cGRYVGRl2wyKIQejnnyWxirvQNI3TB0Xw0fDJbHh8IPu3OkoRrFwpbbvud+LhIRuH48a17v7JyfKbOPts8X477jiRQmmY2VjROg4ckL7Gx0c2ceu47jqYNk30XY88UpLkNO6n6kgbovH+B3q9Blx0tCTV+/hj2LhRNlC+/NLxGl3vOO8qZVhUtBerVTb2pk0TD/9PPhFt1/awcaNz2dyvjbx01BB+OG8oy64YxbBI+dE012ajox03xxv+DtevlzXT6NFy7OEhyayUxryiveS1oLkYgDcFqIWzovV0+ZCs6/o8YF6jslcb/P0i8GJrr+1rRPt7E+2GzLWaBpdfLrqL8+bJTnBwsBgXTSYxwJx3nmgdpafLAKdpEsrnyuOrLdSFsR55pOzYxcdLeE5joflzzxWjY/Ehqcn775cQmzvvdH1fV14Ro0fbF+6KvsPIkdKG77hDvBr37hVPsDvukHYcFCQLV1cC8zW5Zs45xW6Y/PBDWbDPmdM+QXpFx+DjaWR8bADjWz7VrdTpMZ1/vgiw+/lJCOCwYa7Pb+jtU0dAgIi6+/uLZ9no0dIHbt8u3toXXCBePw057TT5t7hYQqf37pXkXSNHyn0U3RuLRcbRbdukHxk1qvXJTdpCcDBOXmYGg2uPtMNhwzoDZ54qn3Puuc6fuXSpeLLt3y8biYMGtV4Dedw4MVz95z9iaJ82TYxZpo6XU+01FBfDp5+KcbaqSiJEzj5bdF43bJD2+Oabcm5hoRhv//hDNnMb4+UF55+n0S9aDDOFhWIsLi+XuVxwsHhh79zpeF1oqPufa+NGMQaZzdL39YQQeUX3Yf160T4+7TTpf6+7Tgx///mPbEC3pZ905dU4aBAMj/chMNBFxhdkHrp2LWRliQTVyJGyafLhh9KP7tolcwpNsydle+AB+O9/RdbA11c8G9W6RtFe8qhgGE1nb/XHm0KluahoC7qu96nXmDFjdEXTlJTo+oYNuv7887ouQ5n9NXeurq9dq+thYfayiAhdX7/e/fU4cEDX//tfXff11XUvL12//XZd//ZbXf/3v3U9IcH++QkJup6b6/oeW7boemKi/dzgYF1ftcr9dW0jqn12MhaLrn/2ma7ffbeum826bjLp+p136vqFFzq273nzXF8/Z47zbwF0fc2aTn2MzkL1oW0kJ0fXd+zQ9X37pH/ZsUPXbTbX55aX6/p11+n6GWc4tqXnnrOfs3q1rnt4OL7/xBO6ftdduu7tres+Prr+2GPS71VUSJ/Y8NxHH9X16mq5V3Gxrv/5p64vWKDrGRkd/l/RWfSK9jlvnuP3PH26ru/ff3j3rK3V9Y0bdf3nn+Xf2lpdr6qSNtGwjTzyiJS7k9dft98/KUnXn3xS12Ni5Pj443V98+a237OiQn5Pa9fqup+f4zOMHKnreXnufQY30S370Llzncewl17S9dJS6bdCQpzff+WV5u9ZVKTrL76o6wEBum406voNN+h6Zqa899NPUlZ3r5kzZV7XVrKzdf333+WVne343h9/yJhe9xlpadJeFC3S7dpnV/HBBzKm3nefc/v/6iv7eVlZ0rYqKmTsXbxY13/7TdcPHrSfs2ePrk+ebL/ey0vOaYrSUl2/5RbHz3zxRZmz6rq095UrdX3nTl3/+mtdj4qSc2bP1vWtWzvkv6O70C370N7KGP01/f/0v/Rf9d0uX1/pm/Vw/cmurmZ3o102qL7y6nLPRUXXUVYm4aLffy+hyCecIJ6IAwZI5rHGzJsnmZjrNOtAwq0++6xpL532sHWruPmvXw8PPSQ7f3ffLaFVycnyd122ttjYpr0XUlMlK/C6dbIrP2yYEvvu7VRWirfFd9/J7u/JJ0v4188/y/s33ihhJLW1kkX111/tofU//ug68YUrLzBvb7mvondSWyseBUFBTScgsNkkccq114qHzowZ8OyzzSfR8vSUkMHaWunbqqvF46YuvBDEk83qmPiau+8WPdqzzxYNvZUrxbOxXz+Rh2jIv/8t7T4iQjRn335byiMjpQ+vC6dSdBzr18v/9b590s9MniweJnXk5Uk2+4bf88KF4kXWXh1Eq1XG4ssuk79nzIB//EOSot14Ixx1lCQjiI8XiQh3JdaoIz5e2nJlpfweHnlEPvf888Ujp+Hzt4bt28Ub7ssv4cEHZb7SkLVr5Xk6whuuNzLPRYzPG29I+5gzR/qHhrqu4Jjt3hWBgZIo6NhjxXMqNtbujXrssfD339KfBQWJR1Zjzy6bTZLyfP+9tNlTT5VkMHVyDjt2iNf2ihVyPHaseLAOHCjt7OGH5d86Nm2SRIStTWSoUPj7i2f0b785v/fppzKWzp0rWu1ZWZJ87aOP7Br1I0ZIv5uSIuuoTz6RKIOsLNFvDAgQs6ErffhNmyT5W0PuuEN+OykpMoZHHHIoGzBAMqyXlMjvzGwWb2SLRfWBisOjgMpmw6L98KKIKnR0NJpJdKBQHEIZF3speRU1/JZRxHdb8xga4cfslFAGhzvO7ufMkVDnOp56SkKXBg4Ura916xzvmZws2aMb0/i8w6G8XAbXuXPluKREBJL//W+p748/iv7Is8+KAfShhxwX5o1JTOz4bNeK7sP8+bJAqePpp2XhkpAgoSSJiXDLLbJA+eILCTspKhLNz6Y08tLS5J7ffmsve+vjWtZbCnnkm3yGhPswOyWMIeFtXD0rOoxqi5U/9pbw2aYc/LyMnDUkjPExAWjNZYA6xObN0m5++knCL++6SzZdXJ134ol2PaT58yW5wE8/NR0u7+kp4YjHHGPXhA0LEyN3Ha6ujYiQRfTJJztq4L7wgryXnW0vs1qlTWdm2g2LIOfce68Ya9pq6FG0ns2bpd3UGWpeekkWn2efbT+nrEykFRrT2LjTFtLTJcNocLCEvn75pRxfcIGE+k2eLC93s7Ogkp925LOstIS7Pg5hz+9BvP28idJSWQw3lXSoOaqqRPakTmtUd5EXtLFRXtE8rgxuqami6frxx2IMvvNOeyj7oEF2TcyqWivL90l/GuBt5Mwh4Yzr58+GDRpPPimbLDNnytyt7vv28BCDYnOJJv76S4zeddrazzwjRp66DObffWc3LIJsqnz1lWy2VFSIfm1jXP2uFIqmGD1ajHOuNlsGDxYD4OmnSx8UFCQG74bJL9etEyPkAw/I8Y4dMq+s67O8vUUrfrwLvZbCQueyqiq79BNAWbWFJXuK+WJzLrEBXpyWGo6m+fPDD9JHlpTI7+7MM5UGraJ9FFJFYDPGRS88MGKgnFr8mskqrVDUoYyLvRBd13lzdRb/Wrj7UEkOL6/cz2+XjKR/sGRNyc+XhWZDCgpkIjd4sOh+/fCDGPsAYmJk8jg4zcbq1Qb27LFf19BA2RZyc8WzIzjYbgDcv99uWASZdF5zjXguFBVJWV3G35UrW59tUtFx2NDRoMt3tEpKRCencdnWrfZF+1VXyUSszmPomWdk4T1hgkz+ioqcvTVCQ8VAcNll0l6HD9dZRhZ3f7Gr/pwXV+xn8WWjGBDc8dmJFS3ze2YxMz+0p7r/vxX7WHrZKMbFBDR7XW4unHOOXZj944/FE3bpUukDG5Ke7pzwYMUKyMnTCQhs+vcwcaJ4NqxeLd4+Y8dKn1vHyJHiubBggRxrGrz4oixaGifXeugh0cp96il7WXS06J01NIbX8ccf0sZbY1ysrZWFjtJvbBsrVjgbCe+7T77TOv2u6GhpZx9/bD9H08Rbpb1kZ4sn7A03iPGlLnHZk09KfV5+uWWNwzovMHMru7Gcshou/GYLf+4rqSvhoslRfDE1mdgoY7u9ZLOyxPvx1ddtFBcaqK0VveXvvrOf8+STh6/33JeYNUvGuzpPfV9fmVtNmybHr78unoBlZbLRNn06mH1tbN5sYJehmJM/s/en//trP8vOGs9Zp5rYfWia+e674qm4aJHd26ol3n/fMWmf1QqvvWY3Li5c6HzN/PliBPX2Fm3wxmN+RxjQFb2D2lrpV2prZYz08xNvw2efFUP1vHl2w15YmBjs1q4VQ6GfH5x1jo3t252TxP38s/Txug7PPee4GVJdDd9849q4mJQk42tpqb1s4EBHh4g56fmc95Xdiv7CX/v5dMwkZs+2Z2u7/nqZS1xxRTv/YxR9Fis2Sqhu0WgYcEh3URkXFa1BGRd7IZnFVTy8ONOhbE9xNeuyy+uNixaLfSFx6aUy0FosYuh77TWZ9L3wgix4/P0heVQ185PX8NngTZy2qT9hPw3jycvDuesuWTS1lZUrJVwqPV2MOa+9JoLKfn4SSnDwoJynabIgqjMs1vHjj7K4UNlQu45KavmdTP6PFXhh4CbGcyTxeHVRt2K12o3hDSktlQXHiy/K+41DTj/7TLx8LrtMDImvviqL27IyMfoMGSKhirNny/mZRVU8/LLj72t/aQ3rDpYp42I3oMZq4+k/9jQq0/l+W16LxsUdO5wzPmZkSD/V2LgYHOx4PHpSLee/nsmt/Vfg2czvQdMkeceoUa7rYDaLB+RRR4nxsl8/kXRYtcp+zoUXyiLEapX+d+VK8X4cO1aMSDEx8n5jZsxoXQjVihViiNi8WRYsZ53l/PwK1zRORAYy1jY0onh7i6dLTY14YkVHy5iblycL0bS0tkt49Osn46fFYjcs1vHOOxIi35R3dnm5GIWefFI81+66S4xLLRmhN+dVNDAsCh9uOsgd18QyPKr9boUlEfkct3Az7wZsZ9T+gSSuSOPs/qGcd578XyYlye+nE5LB9xoGDxavwDVrxLgyfLh4SQcHiwfV9u2y4ezhAYu3lzI3Ygefmtcw0zONhd85erVUW22s36LXGxbr2LRJ+tDWGhfrkqSZzbKh7e0t9dmzR8LsTzpJ5noNOfFE8cz94Qdp09dcI5EHfn7Sfl0loFEocnPh+eeljVgsMp+rkzFJSJDXH3+IJ2Kd1+2gQdIWz7+1jITr0vk7aQNT3ziDOXMcO8bTTrPL7ZSUOH92Q+NhHVar1OmFF+Dxx+X3N2mSzFPrfj+FlbXc/2uGw3V+Xh788ovz/V54Qbzj1Wagoi0UU40vnnjQ/GAqGaMriUNlsVS0jDIu9kJsOlhsznFE1gapGyMjZQGxZYtMNt99V8rNZnjsMQlX+eMPGahWbKrhvrjv+ArZPVvut4/UM7bw17EXkxro71JLpDny8iQLW3q6HBcViffjqlUyoL/8Mpxxhuz+vf++s6YYiM6iuzWjFG3jdzI5no/qj79jG79yCVNJ7JL6BAdLm77ySnuZ0QhTpsii+pZbXHvuhITI4vuyy8SQdO219sy8ZjP88oujN0STvy9XsXuKTkfXdaotzt9FlbXl78dsdszKWIcrfc3hwyXk9KOPxMhx7suZ3JF2+L+HFSskrKohV1whi29fX/F427ZNskmCLJbmzpUNmrAwu9Fz3DhZhD/+uBiMhgwRg1ZLGXY3bZKw7TpD/a23ymbPf/+rNnNaw7hx4kXS0Kv1rrucDS6DBsn49v/snWV4VFfXhu8zFncXIiS4O7S4tYVSAerU3d+3LfV+Nep9K9S9pU7doJQCBVqKewgaLO4uk5k534+VZGYyE0MDnPu6csHsOWfmTLJnn73Xftaznn1WUjwvv9xuMRIQIMrVgQNb/77JyeL3tXGj63P+/s1XE12+3L55AqISnDfPvQetI1Y3pc9VDm8sLKSS2zx/5m+9bBCsjEmn/6SdTH77Uq4731urBnwYJCe7qj1fe83ZY/v2O1V+67CWp43LAPD19aTa6iqpNZjclL2n9apXkHvuF1/IuPS//0mwBSRT4NdfJZC4cKFdhT1limz6PfecPL78crjiCvE49fMTLzoNDXf8/Tc8/bT98c8/y6bdk0/a/RC7d5cfRwYMUvlj2FqeCRZPqOSz1jP5wsH8NlcWIGeeKesVkPnlnXe62kdNn+56PevWwahRMkedPl028AYMcN50tKkqtY3mmhVmGyGBrouuyMiWlekaGo0ppIpAWpgUUl8xurrF4zQ0gBZC1RonJHEBHtw5xHmWFexloFeEs5Lg0ktl8eLoaVNVJZO9M8+Ux2VlkKYUNgQW69mu5JMZmNfmwCJIat/27c5tNhsNu+CTJ8s1ffaZLJhPO01UPI7cc0/ziyWNo4sNlddY7dSmAp+z5fhcUB3nny/9ZtAgWSwvWiRBoJQUWXwMHiw71I5cdx18/70UJ4qJsQcWQb4P99/vvPMcF+DJXcOcv1+BngZ6hWsGYO0BD4Oe/zb6++gUOK9LaIvndu4sKUaOzJgh3mSNCQ6WFKg//oBff1NZ2sv1+/Apm11PbIGUFNe2H36Q4NSSJbIB4+j5VF0NM2fK845qyqAg8XecN082bGbOdE3jdseWLa4K4FdeEUsAjZbp109UgFOnyv31ww8lIOwOLy9RzqxZ4+xdXFIiQePW/L3qqa6WIGKfPq4F1p5/vvnAi6M3Zz3vvdfye3YL86FLiHM0aUrnEJKDD13BvZPChsBiPes9MlC65rfp96HROqZNk83kTz+VsezGJ0t4ybii4fm/jGmcc5rz7opOkTHxyiudX+umm9qW2n/66aKmTEmxBxZB1I8//CD38r59RaW4YoVsljROg54zR+7PWmBRozn+/tu1be5cZ39Dd9SEl/BesP2G+3bcYmo/+JFf1pWyZo0Exb/8Uuaec+aIh+P330tq/4QJ8p067TTX1/33X7vK/LPPZPPu7rvFsqqeEG8TDwx33k3pG+pHZITidK/X60XB29LGoYZGYwqobLaYSz1+mCiiqsXjNDRAUy6eUNRabWzNrWBXYRVh3kZ6R/gS4u26VWXQ6fjPkFiSgr34aEM2/aN8uaF/FJ1DnCeIYWHOlfbq2bXLWTGhU8Q9rLEWQdeMx151tah/3O1iBwXJeztOJsFeSbDeh8zxGt5/X3av9+2T9K/ly2Wy8N13dh8rjWOHApjc7E0Yj/N+RXCwqMmmTZMdYYNBAjLjxkl/NBrFryksTLx3YmJkYlhRIRMzd+krKSky4SuyVpOSV0GVxcbFPcJICPTkww3Z9I304cYB0XQJ1cpHtxfGJQbx08U9mb0qHV+TnjuHxDI4puV8IW9vMUmfOFHUMD16SJqdp4+N9VkV7C6sIsJHxt4gLyNhYbKAUIH3cJX1mdy0tYRj8DskRBbgcXH2qpbulGmpqZKO1bgYzC+/iO1FPX5+EphsHHxyxJ36wctLUy22FkWRv9mQIbJ4dLfg255fwba8SrwMOvpG+rBzp+tBGzbIuNTaTbSlS0VpqNNJlejzz5f/Dx8u19Ic7ooItVQpGCDaz4MfLurJnE3ZLNpbzPTuYVzQPQw/j0OfWjY1r/D11rm9zrIy6Z8GbTZ7SHh6yqZbvSfcARQMDvfxGqz83nEN7583ji825hHgaeDOIbH0i/PimWdE5ZqSIpt4Q4e6V3k3hZeXeNDOnOn63OrVkipav9ny6qvugzSgKbY0WsbdPe+001q2flBQXFJG//DdzvX9exG2vzujR9uLCP34o6jUH3oIJk2Se4Hj+K2qKim5FWwvqCRksIEZN/ry2Tv2A3x9Xcex6d3C8DPpeXNtBh38Pbm7bwJXn6/wn/+I4r2mRlSLe/a09jehoWGnkCr8WhFc9MGkKRc1Wo02HTuB+HVnAdO/SaFeJX9130henJBEsJsAY7S/BzcOiObqvpEYdUqTVVJ795bJpaNH0xlnSPAORFXRRR/MVfTlIzY2HDOQKGKzo1m8TRZQ3bvLznFVlexEP/us+I/cc48svh19QDp0kGDh9OlyDIg6rLniLMXF4q/j5+fsv7h9e9MTTo2jh4LC7QzhJ3Y0BJ31KFxKM1GLI0RpqXjBFRTU9c8uuChoHRf0b75pT3OtrZUd4uuvl8X72rXSHhjYdKr9+efDghXVvFm0lc355QD4mfT8dWUfrurbt9nvl8bxwc/DwDldQpmUHIyiKOh1rf/7hIeL6tUxTfTrlHwu+XZbQ1+/aWA0z4xLJNBTxl75PgzmR7Y7fR8uO4Tvw5Ahot4ODZUg+IIFsnjeulWec6eivOAC++YMyHi+Y4eryqesTBbtzQUX+/WTAOd+B1vRp5/WlEFtpX5zozGrM0oZP2cTZWYxfx0Q6cuDw/rTOJFkxgxXX8+mMJulwjlIFsBLL0mfefhh2Vhpiauvhk8+sd+PDYbWFwfoFubDM+OTMFutmI5ABLozIZxt60x0ThSRKYkoKvh3LmdoaIhTNdR9+yTL4osvJDB2xx3NVybWcKaiQu6jOTniud21q2wgdMCfhywjecAgFaUM6Mg0FRPv58XvM3qjcxhPo6Lk/nj++Yd+HSaTpDevWePc3ru3pEbX89hjcr+eNEnU2PVcdVXb/Uk1Tj1GjZJNn3rVf0SEbMK0FJjugD+PMoqZ2I0OI/ChL5Fs2eJanfyll2Q8qq6WYLujz+1f+4o56/Mt1FjFUmB4/yCuvqMrH82WiecTT7hu9AR7G7mkVwTTu4eh1ykoKJx/vt3ixGAQqwDHQpgaGq2lkCr8W1GkxRejplzUaDVacPEEIb20mht/3Ymj/cZHG7O5sk8koxICmzzPpG9aSbYlp5ztPqU8+puKPtOPV+7zY9AghRtukB24G2+UwGBipJEnGMMI4viNXZxOHKP39OHSiz0agjMdO4qHSXa2TP7qmTZNXuvcc53fe/JkqZaaliaL+R49mjciVlUxQG5c2MWN5ZPGMWI4HVjClXzOFozouJReDOXoRiCKimSRMXu2PPb2ht9XVnGQUrLKzAyI9mNQtC8+JhnaVNW5kEI9Fov4On37rajDBg+WHeCEBJnc3XuvBGImT5Zg+EFjcUNgEaDMbGXW8v18Oa07iqK5S7RXDM2Mf47sLqjk3/RS8itrGRzjz8BoXzwMEijZX1zNzb/udFJuv702k8t6hjM8PrCh7XTijsj3ISZGAj3PPy8/IKnK8+fDypXiy/TBB3DXXZLSNWmSKCXqFRJms6S0btjgWrwIWh4zO3aUgOaff4qKfeJErQLrkaLaYuXp5fsbAosA67LLMQ4t5fnnA3n0URmHZsxwTTlticbjXG2teyW2O4YOlUyAefNkzJw0SVSybeFIBBYBAvHk8T1TuXK6kRGTzEQMKCVjnUJBlypKqo0EeBqorhaF8aefyjkpKaLSXblSNpw0mqe8XNI56zcfTCa5F06ZAqgKUb/348mOQQSFqlSne1NerGCJ1GG1qRiNR/5+d955slH8zjsSLLntdhspKbqGYDfIWGYywVtvyQb2mjUyLo0c2bL6TOPk5kBJNSvTS9lXXE2/SF8Gx/gT4Om8vE1MlEynlBQZY7t1c67K3BQKClfTlwQCmUsKPQlnKt1IJphUN9ayqip+ik8/LRt1c+eKz2lRVS3/XbC7IbAI8HdWEVddUEaw0YPJk5svRmR0mMtcdZWsner9nl94QRNZaBwaBa2sAO2DiUItuKjRSrTg4glCSbWVvMpal/a8ykMzIdqYXcaojzdSWiOLHJNeYd6SvgyLDcDbG8aMcT4+Fn+uph9XI27Db/xuV32B3Og+/thZqREQIAHD11+Xqn+Oaw+9XpSKzakVHenUSYoMLF5sbxs61L2KR+PYYMLAKBKOaQGXTZvsgUWAa/5bzY1/bSW10G4S98l5XbmiTyQgisZbbrEbwte3XXGFcyqYI127iudoYSE8/rgsZs59pdLluI3ZFVTUWhuCUBonJnsKKznj882kFdnl2z9c1IPzuopMqqTaQlG1a4Q6v8p5PDahP2Lfh8pKKbTQuG3zZlEdXnONKNKqqiT47bi4Tk0VRUZQkGwQOZrYe3u3LmjUpUvbvNM0Wke52cqmHNeS9muLinjsnkCmTZOgYEJC2wqWmUzi1+VYSECvb72iTKdrejw8Hiz+yYMBI2pYG5fKmhQxRXtpC7x8RhJ3Doll716loaBRPfn5osTTgostk5LirGo2m0Wpum6d9IU7Z3hzw32JfOi1ib0ldv+a7y7swdRuYa4veJh06CC+rnfeCeW1tfy9v5T3L3Uua3/3fVZiY+Vee8UV8qOhkV1ew+Xfp7LsgN08sX6caJxREhHhrPBvLSF4M53uTMe52kvPnmLTlJlpb7vkEruydsMG2fT4739lQ3p7vmtwRvGpbVCdt5bERNlAfPhhUV4mJrpm72hotIbCVgYX/bTgokYbOO7BRUVRzgReBfTA+6qqPtvo+cuA++oelgM3q6q6qe65fUAZYAUsqqq2obbiiUWsv4mhsX6sTLdLEfSKQqdDNE7/aXtBQ2ARwGxVeW9zOqOT/aEZL8V6HIte1PPXX6K48PYW35HiYvkZOlQWwb7N1LuoqZH0nIAA9/5PQUHw7rti8v3TT6KsmD5dUgc1Th0cJ3EAYb3KSd3uvFi/+489jE8MItpfVufDh4up9ptvSvD7ssukH/32m1TlHTBAUkCXLhU1bf0iu0MHWWzl5kK8zrVTXtY7nCBPzezpRGdtZrlTYBFg5h9pnBYTQE2xiSCDJ0Nj/VmZXtrwvEGnkBR06EUrWkKvl3G0sSeuo39T48JE9WRnizqxoED8GZ94QnxH4+Ml2Ng4dXTfPnl+0yaprD5ihGtlY40jQ4iXkRm9wpm13LlgyWmx/iiKcwpdWxk71l68x88Pbr656WBhQYGkB/71l2ymjB3rWkW4nm3bRNW2YYPcc8ePP7QFeltYuhQGXljBJ2nO1RYeWryXczqHYjB4YTS6FrzRiry1jqws17a8POkXkZEy9vgkl7F3m/O4ePcfexgYFkBcaPO/6KoqUZH+/ru83oQJLW8kG42S3lxh1vHU1kweel/HpgW+pO82MPK8Ks6apABHb8zVaN9UVcmYNWeOjG8zZohNyJacCqfAIsg4MaVzCEnBR9cHOzFR+vhnn0l/Hz0aDh509kVetUr+jfAxckH3UD7bkuv0Gp1bsYar/z4tWCD35gkTZJNR2wDUOFzyqGyV56IfHuygoMXjNDTgOAcXFUXRA28AE4B0YI2iKD+rqrrN4bC9wChVVYsURTkLeBdwtCcfo6pq/jG76ONEgKeRd8/uwk2/7mRFeimRvibentyZHuGHlg+SWVbj0nagpAarakPfRBGC2lq7P8mZZ4ok35Fhw+T5mTNFdZNf91f56CMptDF9uvtrSU0V/5CffhKfnZdfloBQY5KSxMPx7ru1XbpTlcaqFIvimvdZXG1xSj3x8pLJ2OjRMjkdO9buwfj229I2c6YEIOu57DJJS3ztNan6uvRzf+6+Mom3d+yjymLlsl4RXNM3SvNaPAkor3XtQ3mVtfz2h8qtl0NsrIFnX+zD596pfL8znyhfE+9N6UL3sKOXixcdLb61119vb4uNlUqULREfb/fRnTdPKqaPHy9BpMZpsrm54ndWX0nz1VdljH3qKS1QczRQFIVr+kWxr7iaL7bm4mXQ8+SYBIbE+h/2a/v4SEGXM8+03x9rXZMdsNkk/fShh+xtvXtLX4mJcT52717xYK6vFP7jj/DII3K/PpoFfs47D/brXb+XlbU2yqps9OzoWjm4d+/mvUQ17ISHi0LR0SIhKUnGnfBweOYZ2K1z/f3nV9aydbuNODfzM0cWLHBWzYaEwLJl4s3dEj4mPU+O7cjt83aR3qeGzmO86dM3gj6JJ8dOssUi30+tQFbbqC9YVc9770mfqgh0P07UWN3kLB8FevWC556TDeq335b1jiNdu8rYOn68nodHJlBUbeW3XQUEehp45Yxk+kc3o7qoY+FCZ2up4GD57D16HOEPo3HKUUAlHWnZ3FmrFq3RFo63cnEwsFtV1TQARVG+As4FGoKLqqqucDh+JRxlU7d2TK8IX+Zd1ouMMjMBHgZi/NuQN9WIqd3CeHe98/b1rYNj3PombdoEH34ohQAuv1wKHQwdKgvf99+XQM0ZZ8hk6emnZXGa3yjc+9BDEtRpXNm5pETUNPUFZNaulcXR2rVNpzxr8ZxTl969ZVJ5552SJhpk8cFDr3MKJl7TN5JYh+9GZqYoEHfvlr763HOyKK2sFO+p7dudA4sgRQKSksQeYMECmD/fSNeiWJZeHIqvv0pCoIeWDn2S0DvcB72iYFXti5Eru0Xz1D0mqqrEd/CC8/Us/6c7T46vItDTQLTfoY+9rWX6dCk0NH++qH9Gj8apoEU9GRkyjsbEiOq7c2f45hsJjhcWiup7/HhJ8R82TPp0vX1FSoo9sFjPyy9LgY/WBAI02k5ikBfvndOFh0cmYNIrJAR6Om1SlJZKMM/PT9TTbUVRRG04Z44oEy+8UDZI6v3F9u6FJ590PmfzZvH1bBxc3LzZHlis5/nnxfPrcFSWLXHGGbBwvTe+Jj3lDv6U4zuEULjXE30M3Hqr3A8WLxZV3PjxEhzTaJ7aWlFbPfGE3AvLyqQa/Tvv2BXLU6fCP3t9MGxTsDgYfV+UGM2s+zzo/52MSe4oLnYOXIMoIv/9t/VjSvcwH368uCfppTX4mfTEBrgpuX4MsVrle2OziVrtUKpTV1SIQnz2bNkIuOMO8YzUNnFaxrFgVT21teLzfuVdPi7jxDmdQ4g/Rn0mL0++T0uWyPpo+3bZhFEUKbS2f79811asgKFDvfl6encOllbjbdATF9jyNZaUyIaOI4WFsimuBRc1Dpd8KulLE4O5A354aNWiNVrN8Q4uxgAHHR6n46xKbMy1wHyHxyrwh6IoKvCOqqrvHvlLbF8EeBoJOAKpmMPj/Pl6enceXbKPaquNB4bHcWZSsMtxaWli6J9bp+TftEkWq089JYufp5+WdKqvvxbPHJNJ0vIaU1bmvrDG/v32wGI9FRVS6VTzU9RojJeXeEONGiWL8Ph4HwZU9ObhxWnsKqzmqr4R3Ng/usH8uqZGgoMPPSSpYH36wHXXSTGMWbPkNRun1oFd2bhxo/jXTZwIYhegpWWdbPSP8mPBjF48tHgvB0truL5vNLkLI9mz2x7wsdlg53YdVw09dpUDtm+HSy+VgE9RkYy1c+fKggVkPP3tN9mcycmRFLF335WAy9lnS9XKnTtlcfLoo/J9cRyDzWZZGDXGanU/VmscOTwNerqEuqbsbdsmHrFLl4rlxxtviIqvLQGI9HTZANyzRx6vWiU/H34oQY3aWvdjXo1rMgOqG/GPqtoVb7t3S8bBokVS/Gry5NYVSWiJ2Fi4INCHoOg+vLZlLykFFYyPCiMhJ4YP39EzZrj8fg63UvGpSFaWFIAIDpaxw8NDxgFHyxE/P5jQ04+vbb15emUamRU1nNchCnVdFLt3KW77RT1NFRJqbPHQEn4eBrqFHZ8lSlmZfAc/+kg2xTMypACO1Qo33QT33y99tC0sXizfy3p++kneY8SII3vtJyvuipPV1kKXUG/+vLwP//fXXjZlV3BRjzBuGxyDj+nIbv6WlYla8MMPpUp6vW/3F1/IGiYsTJSVo0bJfdholH/rLaS2bBFRho9JT9fQ1s8jLBa5dzem0tUGXEOjzRRQhX8r0qJ9MVGsBRc1WouqqsftB7gA8Vmsf3w58FoTx44BUoEQh7boun/DgU3AyCbOvQFYC6yNi4tT2zN7iyrVdRmlalZZzTF5v8JKs5pX3vR7/fijqspyQn5uvFFV4+Kc27y9VfXBB+2P33hDVY1G52Neesn96+/erao+Ps7Hgqr+9ddR+sDHnxO6f7ZXympq1ayyatVmszm1L13q2he7dVPVTz+V/3t5qeq6daqamOh8zNChqjptmqp+9tlx+kDHj6bG6pO+j5ZU16rZZdVqQYGqduzoOib98IPz8TW1VjUlt1zdlF2mltXUHvHrefxx12sYMEBVy8rk+Q0bVFWvd35+0CBVLSqS55cvV1Wdzvn5efPsr794saredZeqRkU5HzNpkqqWlh7xj3MkOSn7Z1mZqk6c6Py3UBRVXb26ba+zYIFrvwFV3bRJnq+uVtUrr3R+LihIVXftcn2t3btVNTzc+diZM1W1tlZVc3NlnHR87pxzVLWkpG3Xa7PZ1F35Feq6zFI1v6LGoV1Vr71WVcdPsqjX/ada7d7DpoJ8L9o57XoMzc21qUlJNpf+8f33rsdu3aqqF86oVa+9o1qNj5fjZs9u+T1mz3Z+bYOh7f34ePLll3LdJpOqPvqo63fp9dfb9npms6qOHev6OnfccVQuvzW02/7ZFL/84vy70+tV9Z9/7M+X11jUrNJq1WK1Nf0idVhtNnVnfoW6PrNULaw0t+r9v/rK+f09PWXuGB2tqo895vq3vesuVQ0Otj/+5ptD/eSq+uabrp991apDf70TgHY9hp5MxKsvq5+pm9Ql6t5mf75Xt6nB6nPH+3LbE277qPYjP/ba9seHdMAx8ScWyGx8kKIovYH3gXNVVW1wFFVVNbPu31zgByTN2gVVVd9VVXWgqqoDw9zllbUDLDYb36fm0f+ddQx4bx3D3l/PyoMlLZ/YBFVVrdspDvIyEurTtCxC16iHhIXBAWcveiorJVVk+HBR15xxBvz5p+zi9ewpPiSXXur+9Tt2lDQrR6ZObX0V6ZOBE6F/tnd8TQYifT1cPBD37XP1HUtNBZNJ5cIrLDz9aQl7PHL44UeVa68Vg+yrr5ZKvPU7zYdDWZl737MTjVOhj/p7GIjw9SA4WFTYjl2pf39nv8PccjMPLk6j91tr6fP2Wi77LpW0wkPzo2lK6eMu9c7Dwz4m797tquZYs8aexjpkiKhlLrpIFDPz54uqop733xdl3H/+IynYXbpIqt7s2aJcOpE4GfpnVparPYOqivq0LbjzclMUe7/x8BBbiFmzJDtgxgy5X7sr6JKUJH5f99wDI0dKn/nPfyStfvt2KTLgyM8/i41Aa6mqtfLe+iz6vLOWAe+uY8wnG9mUXd5wzTfeCP8u1fP+Kx5sS1EICREl54lIe+ijFWYL3+/P5JZHqlzGtwEDXI/v0QNm3mnAVOtBcrL4bE+b1vL7XHSRqLZ69rTPB1vjF9seKC62ZzV07uxcnKOeOXPcq3+bQlHcV3/3PL7Z3k60h/7ZHGPGiHfh2WdLRebFi50LVvmY9ET6eaDaFLf303rKayy8uSaDPm+vpf+765jw6SZSciuaPgFR/z/1lHNbdbXML5OTJaOrMcuWwaBB8v9evWDgYZQbnT5d7IB69ZIsmj//dP99Pdlp7330RKSI6lYXdCmhGpVmZOsaGnUc77ToNUAnRVESgQzgYsApDKUoShzwPXC5qqo7Hdp9AJ2qqmV1/58IPHHMrvwIk5pXyUXfbmvwt9lXUs3F321j1XX9ifBtvb9XRYXceJ57Th7fd594EZWWik9HZKSYa7eW3r0lcLh3rzy2WiU1tXHgsm9fSVetn7AmJUlgpqam+YWqokh6Qc+esiiJiJCbcFuuUUOjKdz1vYAACI6pZeuQjczdWglbYdN1vjz0kA/5+ZKqD9KfExMP7X337ZN0mc8/l0ngf/8L/fod8sfQOMaccYakM6WkiO/hwIHiS1bPsgPF/O9fuxndzzsL6B/ly6Oj29ZhVq+WdLuUFLjmGvHHq0+3mzhRvJqqHTJRHnxQKrmCe//FsDC5XpDg5KhR8qOq9rH54EEJaNpsMj7fd5/YBdRv6DQumqRxbPD3F4/Fgwed29taubt7d7kfOwZFrr/eOXiYkCBWEbfdJqnShmZmgr17SxqtYx9qjqoqSe+OjZXP1BzLdpVz46/26OmW3Er+8/tufr6kJ34eBgYNku/hxo1yjf36aXYph8P6rHJu+m0XXQK9mfVFMlWZXgQHKZwzykRcnI7iYkkBDgy0+28OHChjw7p18H//J0XOZs6UImlNze3Cw6XPXXKJjEPuAmvtmfq0/6wsSYtuzJAhbfNdNBjEiuX33+1WA0ajbKRrtA53Basas2aN3E+3bBFf7qFDxVIiIcEeyF2fXc7t83c3nLMuq5z7/0zj6+nd8W4mlVp1E1PJyRGbnfXrXZ8bMEC+I9dfL9+h+Pg2fNhGhIXJ+1x88Yn5fdJon1iwUYEZX1r2XTGgwwMDZZhblUatcWpzXIOLqqpaFEW5DVgA6IEPVVVNURTlprrn3wb+DwgB3qxTJVlUVR0IRAA/1LUZgC9UVf39OHyMI8K+4mon42yA/SU1pJea2xRcXL7ceWf/5ptlMnjnnTJp7NlTfGRau4sWHy+7hT/8IL5NYWFiLvzgg/ZjHnoIunUDs2KhjBqC8UaHgsnUvFdUZaUspLy8RBUxcmSrP6ZGO6SMGqyoBNJ+tuOTk6X4wMcfy2NFgRdeVLlnyya25YlpzQ3JCcx+wouPPxDVz8yZouBq66IexBsvI0N2rZ95RgrGbNsm3ngrV0KnTkfso2kcRUwmWUAOacIBeOk+V1X53G153DWsA34erbutbtsmCtlyEWpx992ymH32WemHAwaIJ9e330pBhKlTRUljtcrzvXvDgw+rHCyvZM8mD1YuNfD22+69wBRFlDY//CCefkVF8NZb4pWrqqK82LxZvPM0jg8REVJU45xz7J6XF18sgcK2EBUl3pzz5klg7qyzZIPRnUoqIKD1r9t4Qd+liyhz1qyxt515Jrz5Jnz5pSiMnn2nivhovdvFS2oq/LOtCg+9jgsSo4gz+JFpreDrvRnklJsbvke9emlVoI8Ue4pkV3hHcSUPFW8GQFcO5wcPYdMmL66/Xv6e4eHSF88+WwJjGzbAruwaJk5XefZBT6ZNE5XqlCnNv59vy4Vw2x2BgTLHvfRSGXcDAiQ4tW+fPB8eLoGethYWHDlS1HZffikbRBdeaFe2abSepn7v9ffTsjIZh1JTRWVdXS1B7lmzZLN4T1EVwV4GLonrQKDOg40VBfy+J4/cyloSmgguBgTIOueSS+xtHh7y9+vUSQpJ/fKL3ec2LEzWXn36HLnPXUgVHr56PFoRCNLQaA1FVOGHBzpaN5gF4EFRKz0aNU5tjrdyEVVV5wHzGrW97fD/64Dr3JyXBhzBofv4EuHresMI9DQQ4tW2P9EHHzg/vvZaSXuqV79s3SqTmkX/mkmPyCKfSsxY6UU4nQllH8XUmiGBQLxMkkfVtSs88IBMrubOlRvoN9+I6iUhQSb+O3yyeJrlrCGT6XTjJgbSiablh7t2wb33SlW1wEDZbayX+XfqZFfnaBx9tpPPbgqxYMOEDiN6+hNFCK37I1RRy0LSeJylVGDmXk7nPLoQ3Mrzjya9eok6Z+RISXfq3Fml1KeUzDWS09QlxIvIgzE88a70datV0l969ZLUrrawfr0sOjZsEAXSE09Iyn92tqiGt23TgosnC+cP8WXwuDBqlFoiav05mG3FpBrwNrbeRH7zZntgsZ7Zs6UKbn1RjMGDZYNnzhwpXKEokqJ6xx1QFlFA1eNrWapLpV9tFIurR9AhL5pVq0R11DjIuGmTLI7qFRizZ4tlxaJFkjJ7441w2mlNX29xsWwEaaqJo8fEibB2rdwfg4MlgBwa2vbX6dRJNhTvvLP541RV/q6+vm2vgBseLsrsH36QollnnilFQV55BS66vZy4u7dxbvRKwlUfZiqnEYAH+yihB2H0I5ItWwz44sFzXfvy1iN+fLZdoWNHlZeejyTI0z7vyciQVP/gYNksamtQR8NOpJuN6j4RvhjNxobAIkgBv+nTpS+WWmv4N3w3nw1Zhk2n8tzlI6ic24mPP/Zk8mTI05WzjizMWPHCgAokE4wOBRsqCQRiOIoOTBaLBJT8/d1bAhwKkydLwZV335ViN199Jf9arTI3OJRK6SYTjB4tPxpHnq1bpR8oilg0Pfyw/bkvvpCx4/HHId7Hk5lB/XnpDm/y8uC0kWG8dl8MgZ6unWfPHgkwe3QvJHqSmU9/DuSrd01ERcN11+gYMEDeb9w4CRxv2SL9sWNPM8akEorxJbCuCGBJifQBrzbWBMyklC/ZypuspQP+PMooRpHQ6oCQhkZTFFBFQBsChfUVow9DhKtxinDcg4saQo8wb54ck8AjS/YBYNApfHBOFxKC2nYnCgqy/9/LSxYNjatA7t0Law5UMC9iA58gZiF+mPhQPZdrlJ+oMVm52TaIC8v7cJqvvUR9QoIEBBuTRiET+ZQCZFf8JVaSSj5fM92tl0NtrahzfvxRHhcXSxD0qadkd/CKK+T/ba3Gp9E2MihlA9nM5A+2I1amA4hiNAnMZzdPMgafVuyS/stBzuWrhsfX8jMenM9l9D5q194WBgwQleysWXD//Qo+PgE89HI/MnzySCqLYs6nrqvqn39uW3AxN1dURvV+YwcPirp35kx48klpa0vFV432yy4KeDb4TxYqaQDEmvx5OekMnmExNfQjg1ImksRpdMDUzC3WXZDO29s1RfWXX5zH3aefhuFnmHk9YgHzdNLhDhhL+Nu4n5u/vZ5Z1wQRESEbQY5q8F27nFO7tm2Tyqd79zafsnXwoCzOPvhA1GoPPgjDhjV9vMaho9eL2uVIKl6aYs8e+ZvOnSvpg3ff3Xbrhk6dpG/eey989538GxYGcfds44W4+fI+ShHTmMsHnMN3pHIdP/MtF+Lr2w3Pff4886Se7Gx5vbQ0hfuv9WHiegjpKMrL6dMlaOnjI2rbiy7SxtJDpX+UL5f3juDTzTkA+Jr0zD4rmaJcg5MCFSSQlpICGb0PcH/Stw3tN/p+z9uTLuJcQ1eKlEpuZz7VWPDFxJdsBSAQTx5nNA+yiOvpz80MpDOHECVvga1bZZNk0SJRWd5885FJm/f3FwWxY3VnjfZN/f00IsJu4+TIZ5/JZotnYQAP3qhruBeuWKbHyxTAjJH2YJ3FAt9/L5vFt7+Wj9fAbfyg207BlEpipvhjwocgxqE49OmiuGy2xe0hCC9u5S8yKGMwMbxuPpuNn0Ty8stybQ8/LFYlzVlR1KOi8iEbeYQlAKRRxEQ+41+uZSDRh/y70tAAUcMGtCHTzA8ThRyat7jGqcXxLuiiUYePycB/h8ay+rr+/HxxTzbcMIBzurTdePDqq+Ume++7Bdy5eT3pt/7Jk2t3MX6afUDw8QFdUE1DYBGgDDMPK4s5j66YsbJUt490nwL+qjlAGTXu3qqBVPIbAov1zGc3eyl2e3xOjqTjNaa8XBQ0c+aIibzG0eMAxawni2zKycZuZr2OLAA+YiPbyW/xdXZTwNekuLTPZhXVtI9KJmlpsvD44w9JDS0qgv+7xZtBlg7MftbkVk3YVvP5AwdcCxlUV9u9m7TUvmPLNvJ4jVU8whKWsJeqI9gX15BJTyWchxjBjQygiCo+ZwuX05vbmY8PHoxhDss40Ozr9O3r6unpblPls89cz92vL2Iezh2ugCqU7nmAjLEXXWQv7gLu0/xjY5svKmC1wquvwv33S//+9VdRaWzd2swH02j3lJeLB9wzz0iQ8fPPxWfU3aK8OSows5kctpJLZLzkct/9Sgnfxv3rdJwKbCSH0cSjArczn47DyikvtgcW6ykpkevIyZGsi8y6En8VFWJxsW3bIX1kDSDcx8SrZyaz/Oq+/HJJL9ZdP4DhcYEEBLj3cI2MhH8SN7u0fxexBn2nAjbW5PEN2xhMTENg0QsD0+lODRZeYAJfk8LP7KQWq8vrHA6ZmaLmfu89ucfPni0b0wUFLZ+rcfLRp4+oE4uKJIjXmP79Ze2zb4/OxT9x0Z9KwzgDklJ92WUSZPQcmUGYzpspdGY0CYynIx3wZyM5rCOTDErZQT5j+IQKarmF38hAKsqsJoNrDT+wdEsVqanw1192dXpryKKcV3CummXBxgaymzhDQ6P15FPZJuWiLyaKtOCiRivQlIvtCB+TgUExLTigt8DgwSp/7y/l8tCv2a6XhSb9/2Hmy2eyY/UQDh6EF1+vZW9Smsu5OyhgGt2ZRjcC8ORS5TusHipnkcxsziKZYLfv6YWr8suEHg/c56j4+cnu8rp1zu2envZgzPz5EihtLfn5MiGwWERdE61t6jXJn6Qxg+/JoYJwfHiEkTzD3+QjHoQ7KCCOAEpaCCrvooDpzOV04lyei8D3qKZCtYX0dClo5EhlJVRW6Dj/fAm6LFwo6kOQCerZZ7ftPQIDZeJa0ajoYKdOkno6bpymxD1WpJLHaD4mr64/z2IZ33Mh59PtsF/bio1KzHzMRoqoJhZ/nmIc77GO8+mKCg2BzNmsYgwJ6B2+B2azjFPZ2dIfFiyQoPeuXWL+fvrpru85eLB4LzoS4qvHhB5zowW73my/pWdnywK8vt/16SMLps8/l8cGg/iquVuI1ZOeLp69jlRVSfpXfQEYjROPvXtFne1IXp70TXdFrMxm2LFDUpRjY+X+nWEo5j7+5GtS0KFwXf/+fDB/BGVD09z67nphoLzuu5FNOaYgM+PGSeXqWofYv6JIQbfsbAkaOWKziT1LW30oT3WsVqnunZ4OkZFGBncLdFJ/duggY8H06fY52A03SEp+YLUvNPJO9Fc8eGvYTzxoEWl0NRJY9sTAM4znVVbyPuvxx4P7OJ3N5JBJGfEEHrHPtHMn7N7t3LZmjbRpBQFPTGyqiu4QfQ8SEsTbeuFCydjq108sakA8E++7T4QX7oLoMTHOxacOHJC1RHAwxIYYWMCuhk30SHx5lFHcxjzyqCQKX95gEiCBv1psTq+9RZfLuSNK4DXJQrPZ4J9/RC3eHEVFkFmkJyjRkwLFOaDj62bNpaHRVgqobJN/ogQXq1s+UOOUp32s/jUOm33F1byy8iCj52xkvfcBe2Cxjrc7LObDRcWsWQNnXVLt1rdoAFHsooA+RPIhG7DWlZyfz24+ZEOTJeh7Es7oRi4MDzKcpCaCkQEB4rHomBY4dKhzlczhw1vxoevYuxemTZMUwLFjYcwYWSRpuJJGIdOZS06dWjGXCh5nKddiz4frRTil1DQZTK5nLZlsJpcOBODnkD5tQMc9nIahieDysSY83FWdZTTKBPKttyTF7pprpBLmnDmSYtWlS9veIynJNQhz113SL2+80blSq8bRZRUZDYHFeh5k0RHZcd1BAbcyv2GClU4pL/APtzOYdYj0wVTX76ttFvIq7FETs1mKafXvL/50/fqJD+Itt4hP3eTJ9krPjlx+uSiI6omNhd6+wTzMCKfjBlfGs+dHe6SwsRopLEzeZ/FiKRKzbp2oKJrDYHBfEbY5taNG+8dodO+x6C5V32IR9Wy/flIcpl8/8aP7Tk1tWHDbUHlXtw7bxD3MClzIZfR2cgQLxRtPDA3fjQvU7sTgT//+UonakSeekPE3JMS92jYq6hA/9CmKqoovZr9+Mu707y/jkNnsfNzZZ8uY8M03orB69lkJNJ9Z1BMvBx2CCT2n0YF/OMhWJZcwvBs2mC+mJ6+xqiFrpZQaHmEJ40h02Qg5XJryftU8YU889hZV8fLKg4z6eCOP/7WPHfkVLZ/khs6dxbP4yivFTmT+fOn7q1fbi1j27etseaPXywaw4z02MlI2OXJzwc/m6ZSdczV9uZs/GuYYWZRzHb9wNf3wcKPXCcCDymznG2ZLhY4yMsQqakwfb67dOcHpuVj8tJRojSNCPpWtqhRdj6+WFq3RSjTl4klAudnCzD92E+BpZGxiIF45eqYbevOtlz2dpZJaEjrZkBiHH2NJ5GFG8DwrMGMlngCuoz/P8Q/ebnbFvmUb93K6W0VCOD58wnn8w0G2U0D/2mh6V8Vi8G86dj1ggFTP3b1bFrArVtgXGUOGyEK7tfz5p1TnrWfnTvjwQymmoZm/O3OQUhdFYik1DSrDc+iCFwbmMp04mi8lWlWnVniOv7mP4RRTjQUrU+nGaXQ45Gssrq5le14l1VYbnUO8ifY7vNVCYpKNZ1+1cvctxoZKu/fdJ1UbS0slwNi3r+wmL1oEca5CzBZRFCmW0bOnqG2ioqQYg+Mk0maT9/P1de+3U1AgCh7HSa5G26l0kwJdQg212MgorWFnQSXeRj3dQr3x92z+FlhVJcV4goPFw3YfRS6L5AzKiMKXW5nHBDqSWmcnMCGvF89tPMDz45Mw6nVs3y4Ln3plUG2tqLP79m0++Nyrl/TNLVukn/XuDQkJOm5lEP2JYjWZdLaF4JnSgQte9gEkcPTRR64qtNBQ2XxpLTExEmS4/np7W1JS2735NNoXSUkyBs6aZW87/XT3atQdO8Sb01rX7S0W+OATK4XTXO0w/tDtIRp/5rKVObXT2EYu/ooH3XWhrNals4S9zFB7MW3PaezVqSTHSVXegQNFVRcbK/3by0v+/9FHskFTX5Duqac0xWxbSUuTcaZeHWqzyTg0bJj8rusxGuXe06GDs/JvkhrDb2VXscBvGzXYiMCHF1kBQEZVFa/UnsfCwBRmKqfRwxzBx6aNTu9vQ8WCrcX5RFvp1g2mThVvvHquv14CTBonDmU1Fu5asJsfd0g++98HSvguNY8FM3oT5TD3yyyTe7enQUe3UG8CPJtX78XEyA/I2FVUJOrEsDDZCL7+eplzde7sOqZ07y4CiLvvhgM7jDBENs3PKu1BB1MwlZ7Oc4xCqvDFxN8c4CJ6NAQjFeDFisn83zOBDccGB7csnvj3XwmKAsy9Opln/3cleV0P0DnAh5G6+GaLZWpotJa8Q1AuasFFjdagBRdPAnYXVtEzwpevt+aSmi+7aSOSOnDb2QG8HrgcgOsZQLzD5K4/USQTxDl0pQYLSQSRZ6nkEWUkpXpnP0aA0+mATzNS/DgC8S8ORJ0HdzwgN/NHHoELLpCbaT3FxTBvngQSvb2lgMvYsRJQPPNMCfx06+ZesdAU//7r2vbnn7IgaWtltpOdULwxonNK3TCiYzhxLOYK4gkgFJ9W3XD6EokRHSXU8DCL8cHIY4xmxGHUEssorebO33fzXaoEaJKDPfnhop70DG9hq7cZDpRW805NCg9/kUBkaTDZ6Xq+/trukbhtGzz3nCgXk5IO+W3w9IRBg+SnMTt2SOXJX36R/n777dCjhzxXWSnpPA88IF5od9/tqlbTaD2DiMaADotDH7+bYWRnq0z5cj0HSiW4PqNXBM9P6Oi0gHFk0yZ49FFYskQCco8/DhF9XPuhPx54YuQL63R2qoUstx3grcxL8dwXyvObt3HzwBg6h3iTnW0P0NRTXi7qiJaUrR07ulYoDcabyXRmMp1BB9W9RHmUnS0FWtqqvm2Kiy6SgPuSJRKsHD3aXs1a48TEaJTiBoMHw99/y2J61Cj3KfI5Oc5pywCpW/Scb05glSnDqX0osfhgpO+BLtzxZQFgosZi5bZhOiYOS2aisRPZC8N54hET+9J0TJ8ufX/ePJkLDBrkXKzlzDMltXHvXpkTdO+u3dPbSk6Oa1V6qxWysuzBxdxcKdr03HMyL3vqKZgyRdSNv/0G77wTw7nf5/Jk2AJK6zYndSjEFIZz9ZxMVt82iqpq+PlgNqGDvBssVupJIsitqutwCAwUP9jp06WPDB4sQRtv7yP6NhpHmd2FVQ2BxXq25FawPb+y4d68Jaec877eSlqR7DJc2D2Ml85IJsa/5Xnq9u2ygTxvnliP3HabjCPjxjV9jpeXFAcaPRrKPUKZoHZk8t/j+Oy+cAofKEA/RWnI7AKxA5hIR7Ipww8PvmY6tVjpSihdjOF0/ko2rkNCZP5XP/drCkdf2Q3/GtlwWgI9eiRw3xL3ad0aGodCHhWE0voB0w+Ty9iuoeEOLbh4EmDSSVp0fWARYPmeciamxdKnfwQX0oPL6IWxUZqqP54McpDXRxn86E0EaRTxA6kNBQki8eU/DHU5vzHLlomnVz033SSBxQsusLf98YfzMZMny6J19OhDT3caO1YUDo5MnaotQtzRhVDeYDI38Ss2VBTgNSYxjsQW/76N6Ucki7iCp1jOPoq5lUFMp/thXd/yAyUNgUWA3YXVzF6VwRuTOmHUH5qLg6IoZFRU83hqCrOS+/D440FOz59+uqTUO3ruHEkKCyVNZ9Uqebxrl0w0ly4Vb9BVq+DCC+3H33uv+Dfecoss6mtqWk6j0bDTnyj+5HJmsZx0SrmDIZxn6cZ/lu9rCCwCfLYlh6ndQzm/q+tsPTMTzjtP/N1A0kA3bYLla8J4NnQ89/MnAHoU3mMKY2qTuOM/NtauVjCaTue2VQre3nD3h8kN6aGxsRJI6dlTgs2pqbLYqFdXHC6enkdHUejnJ+nTLaVQa5xYhIZKAGnKlOaPi42VgE2lw5qiqAguNvfhJ9M20igCoA8RTKEzkyq7cf4vOyiqtjQc//zyDGJ9kik54MmTMzwbUnLfew/OPVeCWGecAcuXO/uO6nTi73gkKgCfqsTEyDjjWOjEw8NZof/zz/Df/9ofX3KJzNUKCmSjy9MTxs3pxv+m+fF6wp/4WDyYVjCAd36twGxV0dcYeXrJXv45WML9CeN5KOzXhg3MhxnJgKOUxhkbK9d6ySVH5eU1jgFNZRfVey/WWm289O/BhsAiwNxteUzvHsYFPZpXIeTliTK63ntx925J+V+ypHmvYXC8n3rzRMqFjJnoQXU1VD4Swt19zuSFuPmoSJD9bSYzjA6cThxWbFRX6DAa6zZKTDK/HDmyNb8Nwd19fORICApybdfQOFTyqKQjre9Ufniwn5KjeEUaJwttCi4qimICuiLF/3aoqmpu4RSNY0CUrycbsspd2vcctLKs/9XNqtBKqmvZkF3OgZIa4gI86BfpS0fPIL7hQlLIpQYr3Qht0Yh73z74+GPX9vfeg3POkclsdbXsNDfmhx8kuHiojBkjaT/1Acbx42VCoeGKAR1X0JuBRJFOKbH4052wNgcWARQURhDPj8RQg4UANynzbWVjtms/XrS3iLIaK8He7oOL2WU1rM8up6Cyls4h3vSL9MVkkGP37oW1qzy5WzcUU3Il23V53P24F689JQvcjh0lReZoBRZBJrT1gUXHtp07JbjorjL6G29I2tozz0gg6vrrJWCuFSpqGT06RpHAYGIwYyUAT7YVlrN0v+ukaEe++13YPXvsgcV69u2DvalGbh8xmHEkkkUZHayB1GwM47WlEBGmY8wYeOklUQaVlUFIWSCJQaL4NhgkkPL777J4uOYa+Tf+0IW+GhotoqqyuF69Wha7Q4a0rJxxpFMnsZC44gqp5BwUJCq3wb5hLOUqtpGHHoXuhBGFHzsrK9me75o6lVNRiz4nyMXr75dfYO7PZjZVFrHVoqdDiS9xAZqp55EiPh6++gouvliChX5+MlerTx+urJT7TWN+/RX275egxhlnwE9zPTH9mMRDdydAcgE7CqsYGm2kb5gfviY9yw+UUFxt4fUvqnhw/FQsAVX09g5icnAHfNrg66VxatEp2JtLeobz5dbchraBUb50DRV1QEm1hcX7il3OW5NZxqiEAMJ9ml7f7N5tDyzWk5oqc6+WgouOpKd6NFgzbNtkwHhBPx5/pANdx5XS2SuAboShQyEvD375Rcdrr0lQ/957RU2ra+O++NCh4tn9yitiYzB4MNxxh3s7naPFjh1iXVVeLoryAQMks0zj5EGqRbf+XuuPh5YWrdEqWj1UKYoyGXgb2INYSSQqinKjqqrzj9bFabSOIG8jkzsFsynHOTAzMNqf0hIV/yasbqotVl76N50nlu1vaHtkZDwPjogj3OBDOG7KRrph507Z3Xa3YAkJEcXP4MFyY3JMkW64/sPcjYuJgddfl5uv1SrqoIAja+9zUuGBgX5E0Y8j44zviQHPIySCHhTtGuWb1CmEgCa88XLKzVz/605+3SmyDAX4anp3LuwRzoEDoj7bvFkBjEAAL73rSZcLy5kwUaWsTCE+Drp1NMJRLD5jamJdVW88706xGxsradILFsjj22+XXfhHH237RPVUxQsjXhhJzavg7j/2cFoHf37Ynu90TI8wH7fn+rhvxscHvDE2GKr/+Aucf779+aQkSTd96SV5HBVkxKDTUVIiaVaLF0t7SopM3B29YjU0jgb//isbcPVBvcBAUe/06dO68xVFNgjXr5cU28hIu5dnLP7EImP2nsJKvsnOxd+kp1+kLxsabRT1j/SlNNs1EBAUBDvVQp7cth22Qe9tPvx4cU8Sg7TUgyPF+PFimZCZKenljvYfRqPYHGzc6HxObCzk50sw+sEH61sV/v7bwPOfefHI7lTGJQby+lmdiPIzMbFjEHO35XGg2Mzj30pBwWd7JnKgu4lu3Y7Bh9Q4IfEx6Xl2fEfGJgby284CRsYHMik5mO35VczfXUhSoBdnJQfzzrosp/N8TXqu+Wk770/pSmQT1iYtzb1aS+OiZptWG9k3I4pNm6KcNgfnzpW0a5Dv04IF4ifvziqnOcLCxJrgqqtElJGU5H7tdLRITZV7Rk6OPNbrRck8duyxuwaNo08hVQS0wXPRTwsuarSStixT/weMUVV1tKqqo4AxwMtH57I02spVfSMZEWePqJ3dOYSN2eVc+O020kvdl47fkV/FrOX7ndqeWr6fHW5UB+7YtUuq6375pSywx451vgl7e4tRe72i0WgUPznH4Ii3tyxcDhdvbymMMGCAFlg8kTm9gz839LdH2wZG+XLrwGj0Ove5M5tzyhsCiyCS6tvn7yKjtIYNG2DzZufjn3vEg20HajlzwSouWLGSwV+t5McdzgGnqioJ+vzvf/Dpp7L7fTh07uxcEAOkz9en+o0b5+wxajRKIOqPP5zPefFFqSKo0TZ+3lHA73uKGBTjR7dQ8ZdRgDuHxDA01r1ktWtXCeg6cscdzumZ+fmiLnBkzx77+NO1KwzqL4Pd7t32wGI9aWniB3U4qKoEDV5/Hd55R4q+aGjUY7HAyy87VwYuLhZVWlvp2FHU1I2LBAFsz69g7CebWLWllpW/e3J/n07E1C34jTqFFyckMTEpiJgwA337Op97xx2g2uzj++bcClaml7b9AjWaJT5e/n5JSWK18fffsgnyxRcSEHEMxISESGXw//5XlNaNWbfQi84hXizaW8y+kmo8DHoeOD2ezsESENYpcFWnDqz4xp/Ff9lcX0BDw4G4AE+u6x/NDxf34o4hsfy2u5DRn2zk6p92MPKTjYyIC6B/pHjDKMBFPcJJza/kt11FbMxxzXapp0sXUVw7Mn162/2Ie/d2TWt+8UXnrIP8fHtRynosFtlEPBQ8PaWY26BBbQ8s7t8PX38tRS0XLpRCgm3hr7/sgUUQ0cYTTzhbY2ic+BRQ1aaCLn6YKMJ9PEFDw5G2yI1yVVV1XGanAblNHaxxbOkU4s1n53fjy605VFlUVhws4f31stO3PqucWH9X6XNxtQWb6txmU6W9JfbsEbP1tDR72+efy801PV0WvR4ektbpGFgZPlwmtX/8IQHB8eO1yqMadiL9PHj5jCRuHhRNtcVGcrAXod5Np1QVuumruRW1lJutLib2IGlhBaXOi5075u9mRFxggzn4vHkyAa2nUydZYDUuqNFavL2lGMjEiTLR7N8fRoywK3a7d5dg5po1MnkbMECCiKrq+jrHMi3mZGF7gcyIH1m8jxm9I5jePYxgLwPTu4cS5uO+b3l7S0Gqs86SwGByskzyHYsFVFfLgqIxPj5SvGfMGLuvmdEoCrDGf9OmlBWtpbEqzd9fFgbamKoBsrg9cMC1/UhvUixMK+K8qFh+fTyKHak6vL3h6jv6M+rcKrp3NNI5xAujXkdVlXgqnn8+VFSIinL+fBjS1bliTFa55rhzNFmwQCwa6hk0SDY/tm2ToMbAgVJYz2x2n1ni7adSbZH7aGGV3IN9VRPnFvbDI6oKvVXP/De8WL1CT6+uWnBRo/XsKqzkgUVpTm1X/LidVdf15+cdBegUhUV7i/j7gNicFDWzXvH1lTXI5MliCzFwoMy92ipAiIqCzz6TOVpWlmRpNVYjGgzuMx6Otfd7Vpb42v/zj73t1Vdls7Qpf8vGuJvXZGTIpoRWMOnkwIqNEqrbFFz0x4NiLbio0QraslRNURRlHjAXEQhdAKxRFGUqgKqq3x/KBSiKcibwKpKX+L6qqs82el6pe34SUAlcparq+tace6qhAg8v2YelLmLoY9RzZnd/TF5Wt8cnBXkS6Wsi22EiH+FjomNQyx4M69Y5BxZBqu0+8gg8+6wsoq1WmahOnWo/xmCQ3fNhw9r88TROMEqpQUGk9G3B22Sgb6RfywcCXUO8MOiUhj4PcFZyELH+HlT1kKCOY6XTK6+28Xuuc3pNXmUtFWb5juTlibrWkV27JCXwUIOLIJPT6dOdg5aOdOnivJseGChpaenp9rZnnjn0okenMqPjA/l4YzZWVeWTTdkAzDytA9YW1rxhYRJcbIroaFGYPv+8vU2vl6q7Awc6H9upE9x4I7z9tr1t3DjanC5YSGVDurfVCrNnO6vSSkvhxx+14OLJgOPf+lDx9IRbb5WFtSPnnXd419aYfcXVBJdEsiNVlLqVlfDGsx5886EHGzeCsc51ok8fuOceGVPrx+ZHZln4NDPd6fUGRGkVrI4WhYUwc6Zz25o1cPCgq8LeZBL14i+/iPcbyKZx1xEVfJRag15R6Boi0YZaUy2qTs+sG50jN6Mm1pKPlQA8DsnbWePUoqzGitnqvAtnU6Gq1sqm7HJ+dshU0SsKXUKaj3ZFR0vBPMeieYdChw7y0xSBgfDkkzBtmr0tKOjIrXVqsFCOmSC80NF0lHDzZufAIoitwZQp7lXn7nBXfOa227SCMicTBVThhwf6NiSwemOkilpqsWpjuUaztCW46AnkAKPqHucBwcAUJK7V5uCioih64A1gApCOBCt/VlV1m8NhZwGd6n6GAG8BQ1p57ilFlK+Jy3tH8NHGbEZ38WXsBBtfB//DfSiUM4ozScLXIdATG+DJL5f05LZ5u1iVUcaQGD9eO6sTHVphpu5OFZafL8GZzz8XE2UvL5g0SZRYGqcOpdQwj108xTJ0KDzMSM4i2anvHSl6hvvyyyU9ufW3Xewtrua8riE8Pa4jPiY9vXuLQvb++yUQfs01MO3yWk773rnzntslhNg61WJNjXNVzXrc9fejSVKSXPvChXLtZ54Jp512bK/hZKFXuA/3nt6Bd9ZmUWO1cUWfCKJ9TUT6Hp5sUKeTwI2HhygVO3SQALC7wJ6Xl2y+jBkjKtUBA8RGIjS0de+VQSmfs4V3WEcigfwfoxhsjSM93XWRkZl5WB9L4ziTTimfs5l3WU/Hur/1cOJQmllQNsekSVKw49lnJdj45JPOFZmPBBM7BvPPdtfrKyqSMbWe+HhJyV60SHy9JkyALn2t5G0O5v31WQR7GXjpjGQGxbRuc0mj7TSluC4rc3/86adLFe/ffgODyUbioEru35ZKQoAnb0zuRO9IkWvF+Jvw6pvDfx4JY+4HHgSHqMz6OZu5cWu5jj2MpyP/ZSg9ab7Cr8apTWKQJ93DvNmWZ8/BDfAwEO3nyfMTkjDpFb5LzSe+vv9FNGGQfBw46ywZ2377TbxpzzyzbcWzmmI9WTzL36wmgwvozo0MJBn3udLuUpcrKmgoSNMahgyBn36SoGRRkWwwXHTRIV68RrskjwqCaZusVoeCX516MYz2873TaH8oauM8rWP55ooyDHhMVdUz6h4/AKCq6jMOx7wD/KWq6pd1j3cAo4GEls51x8CBA9W1a9ce8c9yqBwsqWZ/STXBXpI6ZDjMag17Cqt4c006Yf3LeCDsF6fn5nEpZ9HJ5ZyS6loKqiyEeBkI8GydSmLtWqloZnUQRT7wgNyEwsIO6yOc7DS7Qmxv/fNQ+JkdnMtXTm1N9b0jRV6FmTKzlShfE15G5x21sjKZXIWHA4rK3wdK+OdACRW1VkqqLNw2JJYudV58NptMqJ57zn6+wSDpzKdIkLzFCMaJ1kctNhvL9pewfH8xVRYbNlXlhgHRJAc7Kx5yymvYU1SNr0lP5xAvPA2t25lVVfEn8vI6On6vKiqP8hdPYq/+YkTHKq5j+5dRXHqp8/G//y7VXU9iTtox1IbKgyziOezSEw/0rOQ6+hJ5WK+dlycB8ZCQw71KV8pqLPy1ysL0CZ5OStrbbhNfP6PDtMKmquwsqCSvopYOAR4kBHpRa7WRXlqDp0FHVBPFGU4g2vUYqqpi0/H44/Y2vV4sFupTPUurLewsrMKmqnQO9iLQy/4HrLZYySwz42vSE97IVmJbXgUv/XsApcKDYb2NvNL9N7YodvO2TgSzjKuJRFOmHmfa9Ri6Oaec+xbu4Y+0IgZE+fHKmcmc1kFurg39z6gn/DA3CNsrBZVmdhdWYdTr8Ak3c7r+AwocCmlMphNfMR1fN1XYt2+X77HjhvjUqZLW3dYU7aIiUZeHH/v9gHY9hp4MLGEvM1nIi0xs03lX8SMLmEEXWrkzfvJyaLu9pwhtqRadCNyOBPUazlNV9XDKccQABx0epyPqxJaOiWnlue2afw+WcP7XKeRUmDHqFF46I4lr+kXibWy7sVqF2UpaURXrMkvxNukJKvenb2A0G412GcscNrkN8AR4GlsdVKynXz/x7XnoIUmnufFGUYZpgUWNt3G94X/SRN87UoT5mAjzkUB5Va2NYG8jB0uqWX6ghE3Z5QyO8ed0X3+25VYy/ZsUiqoteBp0vD25E4kONgD1ajRPT1GjxcXB009raabtkZ0FlSzZV8y+4mpGxwdwWocA/Dxcx06DTsfYxCB6R/hQbrYR7Gmgui7IqKszIdqSU870b1LYWVCFgqRN33t6HCHeLY+LiiIqhaNFJmW8yiqntlpsbCaHKWdE8d570kc9PCRgMHz40bsWjSOP2WKjqLqWIE8jOYYyZjf6W9dgZQs5hx1cPJr3Zj8PA2ePMDDvdxuP/Z/C7t0Kt98OIy8q5eXVxVhsKuMSg+gT6cPclDxu/HUn1RYbwV4Gvpneg7Edg7Tq0McIRYHrrpOA75tvQkyMVKbt31+e319cxZ2/7+anHSLhH58YyNtndyGprliLp0FPrJ8HqzJKeXNNBoGeBsYlBtErwpfuYT68dlZncirM7PfNdQosAuyikJ0UtIvgok1Vya+oxc9D77IhqXF86R3hy7cX9iSv0kygh8EpuO1p0NOxmbEiNa+CxXuLyCo3MzYxiKGxfoe0pjqSFFSaMeoV/D1ank/syK/kqh9TWZkhUuJXbw+iINi5yOZv7GIvRfQiwuX8rl0l4+X//k9SpC++WDZ5DsX7UUuDPnnJo5IAWs5SbIw/Hk6Bbg0Nd7RlxP0R+AD4BThSDs3uIr+NpZRNHdOac+UFFOUG4AaAuHqH/eNMfqWZq37aTk6FbPPX2lRun7+bQdF+DIltvfxFVVX+OVjCI4v3sruomsmdQjDpFWbNyeC5i0ewo8t3VCGGxxFHcEKn14tn2ODBUl33OOxsnTS0x/55OLhbOBzJvueOyloLC3YX8X9L9lFlsXHf6R04WFrNk8vs1QxuHBBFemlNgwF4tcXGNT/voG+kH30i7dfXoQM89pj46Xl7O1dAP1Vpb310X1EVkz/fzO4iyfV59m94d0pnru8f3eQ5od4m9hSWcstvO9iUU8ElPSO4eWAU0X4ePPrXXnYWyIRJBZ5fcZCxiUGckdzGMo1HARN6AvCglBqndi+MBAdLoOD88yUwfqouBtpb/2wtW3PLeWHFQRbuKWJ8xyDuPD2a0HBvDuJc3vNwfBePFYoC48boGDJfUvP21ZYy6uONDYU/HtXt47dLe3H1T9sbCskVVlm49PtU1t7Q323RuZOJ9tRHY2NlY/j662Ujzd/f/tzvuwsbAosAf+4t5vvUPGaebr/mxfuKmfT55oYJd6CngeVX96VnuC9eRj0JgV4UulFVAXi1adlxdNhTWMnb67L4YksOfSJ8eHRUIkNi/Vs+8SSmPfVPAB+THh9T2yJiO/MrGTdnU0NBqKeWH2Du9O5c0OP4LFDyKsx8sy2PF1ccJMBTz5NjEhmfGIRnE8FsVVX5cGNWQ2ARoKjMRuMMaBN6PJrxvBs6VLyXy8rEekV/ksTO21sfPZHJpYKAQ7CqkuCiVjZco3nakoNbrarqbFVVl6iqurT+5zDfPx1wtMiNBRo7RjV1TGvOBUBV1XdVVR2oqurAsHYircspr21YzDpyoKTGzdFgtbmP527OqWD8nM38tb+E9NIa3lmXSWGVhd4RPnyyuISzq7sD4ImBy+h15D5AHX5+xz+wqKqwfz/s22c3HT+RaI/983C4gQGYHCY+zfW92lrxFExPd/t0q1lxoJSpc1PYmlfBnqIqbvh1J54GPd5G+xD37rosBkQ7RwptKhwsdW9GExGhBRbraW99dEN2eUNgsZ77/0wjo1TGT3fj5Y78CiZ8uon5u4vILDPzv38Pcv+faeRVmvkzrdjl+N1Fx2d3tqQE9uyRwgsAYfjwAhOcjokngAHYq/uEhJy6gUVof/2zNWSX1TBtbgpzNuWQVW7m0805XPL1dt4vn4YHekba4umjRjCQKLplJJKW5ly8p73i6ytzgrkpuQ2BRQCLTeWD9Vn0iXDeaMqpMDsVlTtZaY99NDzcObAIElxszI878rHVWShVmK08sXSf005+cbWFv/YVO53TmRCuxVnyfwk96ULTefkHD8LevVLlHJqe9x4OVbVWHly0lxdXHCSzzMz83UWM/3QT2/Mrjvh7nUi0x/7ZVtZmlblUmr/vzzQKKts2vqiqitV2+JZhP27P59Z54ge+MbuCKV9uZVVGE+amQJnZwi87nE2/03boGWZxDqQ9yHA6NuG5WI+3t8xhT5bAIpwcfbS9kEP5IQUX/TTlokYraEtw8VVFUR5VFGWYoij9638O8/3XAJ0URUlUFMUEXAz83OiYn4ErFGEoUKKqalYrz223hHobSAx03amP8Xf+sm/MLuO2eTsZ+fFGPlifSVaZc/AxJa+CmkZlT+em5DKpUwhl1TYutPbkf0zkH65hEDFH/oMcZ/LyxKi+Rw/o3h1mzRL/M43jxxBi+IereYEJDX1vsJu+d+CAVGbu2hV694b33mvaVL4lftju6lD/04583p/SBV+TzK5UwFPvLHhWgJgT3+PrlKPG4rroLDdbKam28OGGLEZ+vJFbf9vJhix7h9qWV0mZ2ep0znep+ZTWWBkR76oW7+hmfD7arF8vpvDJyTB6tL3q4xS6sJgreIxRvMvZLGAGSS0sLjTaN7uLqlw2GHcVVqHL8+XtlKtRPu1D9x9H8MiGi7jwDE86d4ZbbpHgy4lAfmWtS1thVW3DeFxPqLeRcO+T0zvtRGRsousuxaTkkAYLiVqbSmmN1eWYxm2+mJjFGL7jQh5iBHO5gBeZgL+bVLySEnj7bZkHdOkCH86t4Z3VmYz8eCN3zN/JpuwjV1Ftf0k132zLc2orN1tJzdPUOCc6VbWu/bLM7Fp9ujlWp5dy7c87GDdnI19uyaHAzTjWGkpranllleuu+Z97XYP39fiaDExMcv7+zfm3kIcLJ/IZ5/MwI/iFi7mNwRjatHzX0HAmh4pDSov2w6QpFzVapC35Cb2Ay4Gx2NOi1brHh4SqqhZFUW4DFgB64ENVVVMURbmp7vm3gXnAJGA3UAlc3dy5h3otx5oIXw8+OrcL53y1ldIaKzoFZo1JpHe4vQLTzoJKxn6yqSGNc8XBUh4ZGc9joxMaJnqOyqx6Aj0NDIjypVOQBxOtMezf0gkfH1ATRdm3YwdkZEB0NITGmdlVVIlRp6NLqBeBdd6LeRVmSmssRPp64GNqv1tfixdLAY56Hn0UEhLgiiuO2yWd8igoDCSGgS0Es+fMgddek/8XFcENN8jfbsKEZk9zS2NjeYBgLyOfbMzi9dHdKMjRkRRlJFNXypNjEsgqN/PO2ixmn5VM9zBvN6+o0R7ZllfBmowyKi1Wnh3fkZf+PUhuhUz+/29kPF+n5PLEsv2AjJdfbM3l32v70TXUBy83Y6W3UY+3Uc+sMYlsyCpvUD3c0D+KAdFtS+XPLq+hwmwjxt/U6mIwTudnw7RpMi4//LCM1fffDx9/DElJRsaQyBgS2/y6beHAAdi9W9Rn3bppyt2jiVcTfaS8xsrV3+5qePx9SgGP3tOPB6/244MPIDhYNtQOs/bbIVFYKAUDamslCNSc1+hlvSL4ZJPzTt8tg2LIrTCz4mApVlUl0FPP19O78/fBEsr3FNI/0pfuYd5klddiU1XyKmsx6hS6hHjj7+l+upqfLxXSAwPFI1ejdezfL0G9mBhRPtd/9wdGhzAyLo9lB0oAGBjly4U9wig3W9iRX8nW3Aqu7hdJrVXlrbUZHCipQafA6IRAl/eIxI+pdGMq3Zq9lpUrxYYEoFt3lTW6DN6fL5YmKw6W8vmWXP69tj+dQw7/Xm3S6/A26qloFIjy1nwX2wVWm8qBkmoMOoUOAa0PfpRWW+gY5IVRp1DroDq8a2hsQ5GoykoZv4qKIDEROnZ0/h5k1JYz+pONVNVtXi7dX8Kbkzpx86Dm57I78itZk1lKZa2NAVG+9IvyoyhfR7DJBI0CMUGmpi0udIrCDQOi+WNPIan5svE0pXMwPT1CiDsJxSEax48cKuh3CD7OfpjI14KLGi3QluDi+UBHVVWPaP6KqqrzkACiY9vbDv9XgVtbe+6JxKiEINbfMIB9xTWEeBvpGuLl5MWxKbu8IbBYzwsrDnJlnwjyKy3kVpgJ8TLSPcybbQ67rrcOjuG2ebv5evQApkyBZctksfjii+K1M3WqpFcZDPDEKyof1O5gT0kVUzqH8MZZyeworOKmX3eyp6ia63pHcWVsEtUlBjp0gM6dxVupvfDll65tn3yiBRfbO4WF8NFHru3Llh1acPGcLiG8tPJgg3rCqFM4IykIW7YvL98SyKaNCkFBcPcsPV9YUgjw1rF8Rj9MlV7o1ENbVFTVWqmotRLiZURpw5eiwmxhW14l+ZW1JAZ50iXEu03nn6psyi5nzCcbG8ZET4OOtyZ34unlB7i2XySTOoUw5P31TucUV1vYnFNBUpAXNhv0i/Rlg4MKZtbYBBIDPcmtqOXLad04WGomxMtA/yhfwn2aV7TW//39PQz8trOA2+bvIqvMzAXdw5g1NpFObVwI790rqsWcHCmwoNfD5ZfLRlBSUpteqlmsVti5U6wIoqJEOWwwiGpy8mQJcoIE+5988vjbXpxMFFSa8TTo8THp6RLqzbX9ovhgQ1bD81f1juSbFGdVVY3VRqFPCd7eflRWSrD5v/+Vv507csprMOl1BHm1zacxo7SG7fkV6HU6uod6u1Ri3b9fCrctWCCPu3aF77+XILQ7To/z58eLe/L0sv2YrSr3D49jXMcgPA06TusQQE6FGX8PA1O/3kpGmUwrDTqF987uzPW/7CTc18gdQ2KZsymbvpG+vDAhiRAvA+uyyknJqyDUy0hEaTA3XKsnJUW8xd59F6ZMkf6s4Z7aWvj5Z/l+FxZCr17w+uswY4akJYMXL73bnVvOK6UaC0ZFobCqloVphcxadqBhA8agU3jtrGS+2prLzNM6MCja/U5EYWUtFtXW7HiaWVDLORcoLPjZwDlXVPPKbmfFV2GVhS055a0OLu7aJf01JET6p6dDjCox0JNZYxP474I9DW1DY/zoHeHj5pU0jiUZpTXMXp3OKyvT8TToeHJMIlf0iWgQPUBd0T6LjQgfU8O8KaO0hpkL97D8QAnPT0jip+35ZJWbuXVQNNO7S/psSYmsgWbNktfp1w/uukvlzjsVCguhZy+V5143YmmUDv3U8gNM6x7q1H+rqyVImZ8P/h0rOOf7TQ3++Uadwi+X9MJ4IJCpofH8m1mCtc5SINjLwIDg5v1Luof5sOTKvmzPr8KkV+gW6u1U0EZD40iQRRnjD2Gz2h8P8rTgokYLtGUKtgkIBHKPzqWcmiQFe5MU7H7CpNe5Bhz0ikJOhZmfdxTyXWoewV4GXpqYRGp+JXuKqonx8+CnHfl08vfmxacNLFsm55WXw003wRffWIiN05G2W4fFAo/d5cF9nybwZEkqv+ws4MYBUUydm4LZqtInxI/g1HhGX2DAahUPj2++gUmTjuZvpG306gU//eTc1rfvcbkUjTbg7S2L07Q05/aEhEN7vX5Rfvwxozc/7ijAbLUR5m1i64EaNrwYw6aN8j0qKoJHbvPmiS868siOLfybXYIhx8aOzYFMnw6mNmTmrUwv4cml+0nJq+SKPhFc3TeyVdVOS2ssPPf3AZ7+W1QZXgYdP1/Sk/EdtRTXlvhpR77TZku1xcYfe4pYdV1//Dz0/JlW1OSYuT2/knO+2sr/Jnbkqr6R7CmsIi7Ag8RAL/7eX8LKjFJqbSq/7SpgxcFSZo1N5N7TOmDUu5eHrThYwhNL97GjoIoZvSIorrGQWRcgmbstD6Ne4YNzuuDRBgVjSIhUdPz+e3lssUgAfsQIGDmyDb+oZlBVef0ZM2SDyWgUO4KpU+GBB+yBRZBAzbnntq/x/kQlq6yGr7bm8saaTKL9TDw2OoFR8YE8NTaRKZ1DWLO/As8yH0x53nxk3upyvk5RqFuf0qmTbBY2Jru8hs825/DSv+kEeBh4eGQcYxIDifZrWf2TmlfBeV9tZWehqGWGxfrz2dRuTlVZlyyxBxZBFtfvvw8vvOBeReltNHBul1DGJQaiqjhVcu8V4Usv4L11mQ2BRRBfxnfWZzE+KYjfdxfywJ9pzBqbyEOL93Jh9zCsKkybKwkqlyfHsOapULanyrn5+XDBBRIk7927xY98ypKSAhdeaPen3rJFqskOH27frL3rBg9mfeDJwwfX8cjIeN5cm8npcQFOfnYWm8oXW3IZGuvPtT/v5NdLejIwxm7gWGG2MH93IQ8t2kt5rZWZp3Xg0p4RTkHr/cVV/LazgNmFmXiP1fHkZQmoud7o84BGGa46N2O7O5YskXGrrEw2wp96Cu64A3zqYoeKonB13yh6hPmwJrOMxEBPTusQ0KBu0zi2WGw2NudUsD2/ErPFRl5FLWaritlq5c7fd5MY5MmUzqHUWm0s3lvM/X/uIbPczM0Do7m2XxQdAjz5+2AJX26Vpendf+xmVHwgtw6K5uZB0RjqBqdNm+yBRZB73pVXKg3fg61bFB6808S0u6P4ao/dvt+gU1Ac6odWVMBbb8F990mfuvGDoobAIohtwDN/H+DxPl68fmMATz3WjxxTCR6KHq/8AEJtLQexI3w9iPDV+qPG0SObcoJpewnxADzZS/GRvyCNk4q2JNZEANsVRVmgKMrP9T9H68I0oE+ED9GN1APX9Y/irTWZbMwuZ3dhFaszyrj421SKq2r5dFMODyxKY2V6KcOCw1jwq+vCNmV/Lbf8r6hhomU2g7XU/h67Cqsa/EmmhcbzwsOeWOsmeZWVcOWVkj7TXrjwQmdlTUiIplo8EfD0lNRPL4d7W9euMGrUob/m4Bh/wryNvLsuiwcWpRGJL+vXOA9xqgrVuTJpK66xcP+2zewqqWDHjta/z7Y8KaI0b3ch+0uqeXLZfp7+ez9mNz6AjdmcU9EQWASosti45qcdp0RBg8PlQIlr4Z29RdX4mHTsLKjitnk7ua6/s5wr0tdEnwgfMspq8DAoFFZbuPuPPczZnMM9C9M47+ut/JNeyn1/pvHQ4r2c1iGALiHePP7XPnYVujet3pJTzvg5m1iwp4h9xdXMWr6fwqpa+jpUHP9yay5ZZW37m3boAMuXu7YvXtyml2mWXbvgqqvshUFqa6Vi7PbtsGKF6/H79x+59z6VmbMph7v+2MOeoiqWHyhh4qebWZdVRoSviS6E8sFV8Tx6UShP3u3NjNgEp3N9TXr8iwOoqpINkGeecZ+u/u22fGYuTCOr3Mz2gkpm/LCdeTsLya9ouR9+vDG7IbAI8G96qUthj5UrXc9buFDmBc3hazI4BRYdqbc0cCSnXDIyQHx36lMUy8xW7pi/u+G4OCWA7anOASerVYohaTTNnj2uhe+2bJEUUUdKsw0YdAoqsLOgipJqVz+7rHIzB0tryKkw8+DivVQ4eNquTC/lgm+2sbOwiswyM/9dsIefdti9kUuqa/l2Wx63zt/NjoJKNuSUc+/GrQREmbmuc7zT+8T4megT3rJNRVaWjG/13s2qKrY5mzc7HxfgaWBCUjAPjojnkl4RxB8Hf10N4Y89RQx+bz2XfZ/K1T/vIDW/kmv62dM15++ScWh9VjmTvtjMxpwKcitqeXzpft5dl4WqqmzMsmcj2FRYsq+Yl1emU+KwGdm4aGB1tev3YNNGha4egU5tj49JIMzBdmfrVpg5U8719YUii+v4mlNh5vfcLC64AO6f4c/713bglcujMRT60KlTW39DGhpHFhWVXCoOKbjorxV00WgFbQkuPoqkRj8N/M/hR+MokRTszcfndeWWQdFM6RzC46MT2F9SzWdbchkUY19dFNdYSAzypqTGfiPdV1VO9x6uJsYeARbe3LuL6VfIDdHLC5QAe5GYKIdgZnWRsUEtUU9+vhRRaS/06gV//w3ffiuqyhUroE+f431VGq1h2DBYvVrUEj/+CL//LoUsDhVFUbiiTwQfnduFi3qE0TvOk+ho1+M8Aix46HUYdApVFhvWoAqyslyPa4qU3AoXv6aPNuS4DX41pnFBJoCDpTWHbBp+KjG1q2t1wJsHRWHS68ktN7OnqIa0oioeH53AlM4h3Dwwmi+ndSc5xJtYf09GxAWwcE8RFptKscOiY31WGV1CRT0+e1U607uHUWtTqXRjDg9SRKuqUSB5bkoeZyXb1acJAZ5t9qr19ITTTnNtHzCgTS/TLLm5rsGg2lpR9Y4f73r8kUzHPlXJKa/h5ZXOK1urqrKurthQTo4oRm02yTCYPzuYZ3v24tIeEdw/vAMLLu7LgFhfvvhCxssRI1zfo7jawhtrMlzaV2eWsb2g+ehfjcXK4kaVfgH+PVji9Nidevacc+yKsENheJxrIaVzu4byZ1pRw2NjnWItxs/DSSFUrq8h2I3gOyLi0K/nVMDd7ycsDEpLndsComux2FSqLTaqLTYSg1wDcOd0CWFR3d9qVUap07g6f3eRy/Gvr8mgwizHpBVV8/kW10SorWo+N/SP4psLunNRjzBmjUnkjxl96Bjc8kK4oMD95nfjwJJG+yCvwszt83Y1pA2DBKXjHbwWO4fI331TTjmNCzi/uTaDrHKzW3/ks5KDCXDwaW2cFRMY6Ho94eHQP8GLh0bEcVmvCH65pCfndQl1OibTLmokKwuSda5pzlM6h/DupkzOvbqKJUvgzTfhjz9EIdyWDBkNjaNBCTUY0OFF29Pt/fGgUEuL1miBVqdFq6q69GheiIZ7dAp8n5pPhI+J33cXUmtT8TbqMDeqEJ1XWcMLEzry/vpsCqtq6RVn4saXVaZMUqiq22QYP9nCDlMO+zNruGOADf/bYdSZZh7cLfKUC7qH0T/Kj8t6hfP5llw8QszodM67e1FRzZu4Hw86dULbDTxB6dlTfo4Uod4mpncPZ3p3kbMqb1i47EIDtXWxu0uvshAWa2F2z2Qe+2sfAAabntjY1r+Hu8IgPiYdJkPLezUJbhQSvcK9ifTVPHVaYkR8AJ+d35WHl+yjxmLjwRFxTEoOASA2wBM/k56fdxQwf1ch3cK8Sc2r4O5h8oftGurF7UNieHedaxQ5xNvYsCg2W1UUBfpG+pLYhJrFXSEOP5O9QIBeUXhtUicntUNrUBS49lrZJKlfwHTv7pqWbLVKMNDfv+0LlehoOc8xkODpKYUwnngCUlOl4JdOJ8VkBg5s2+truGLS6wjyNDgFxgB86/yVo6MlQFdRIe3/LDGgt4bw3nshdOxY5x/YgjWSh14hxs/E9nznSb+/h4GCSksTZ9Wda9AzrVsoazPLnNonJDlH7kaNkqyAOXPk8fDh8vhw7GKHxPjxw0U9uP/PNAqrLNwyKJoDxTUNv6shMf4cKKnhmr6RdA314pq+kby7Xr7DXxw4wMxnQ3j4Zu+G7Iq77jqy95OTkV69JE149mx5rNeL5+JLL8ljnQ6uvs3MCqsEq3/ens/zEzry3vosnhnXkQ83ZFFSY+HinuHkVdSSV7cxNrlTCKHe9iVFlMM9TafAuNgQxsQGY6xLUy0zWwl0U6Qn3N9Aj44memC/j7eWiAjxBd+507n9UO1WNI4uFbVW9rnZlK0vyBIf4MGZdZt2/m7UzxE+Jjz1Ok7vEMBVfSP4eKMUkOod4cOtg2MaUqJBRAcvvSTpzLW1ouK/5iYLH74tr2swwN3PVDD34EE+OrcrhiYsURoXjfruVT/e/V83nl+7j9IaC5f3jiCzzIzFphIRoqNjO1ibFBfL91wr0KYB4rcYxqHtCgZoykWNVtBicFFRlL9VVR2uKEoZkqXS8BRSb8W/iVM1jgC9InzpGebDn3vtu8CPjkrg7bX27bMQLyNWVeGJpfu4qEcYM3pHMDI+EINOxx/LzSxYXY3J28ZmclhTVMLcYUPI2O7B4sWwZImRhx4YSI9zqvGPqqXUo5gnzujAlX0iKa+y8vb7Nu68VUdVlaQcf/65VFXT0HBHJbXsQdJYkgjG+xB2xo4kQ0ZZePKrYsx5nnQO8+KfpXr+d1Mo519VzciYCvb6lXF6ki9durT+NftE+NI73IfNuRUNbc+M60hcKyob9gr34f0pXbh9/i6qLDbiAzz54JyuhHhr29kt4edh4LLekZyRHIzVpjp5EiUHe/H1Bd2Z8X0qhVUWMkrNfDa1a4OfrUGn46zkELwNev7YU0R1nfIw0NNAXIBHQ1p6YqAnIZ4GPju/6b9Jv0hfuod6s80hkPPkuAQ6B3sxJMaf7qHeWDJ9eeQRUQpecIEoEr1bUYugVy/45x/xRdPr5bHjeLtzJ7zxhih9hw2TAGBbPGY7dhSl8CWXSIDR11cKYHXuLEGFpUvFB9XXV9o8NNunwybIy8iz4zty3td2L8VoXxOD6/zpkpPlb3LZZZKu/vTTsGaNFLYaO1b+jYqSPtTU38PLqOfBEXEs3V/SUJAg3MeIv4fereKsMRf2CGfFwVJ+2VmAAlzTL5Jxic6KnJgY6Xv/+Y8szjt1gqDmaxO0iKdRz3ldwxgZF0iN1UaYj5HN2RWMTwrCx6gjwteESa+jc4g3viY99w2Pw8Og8PHGHCJ9jQwaV8XadV7sTVMID5fAor82I22WgADZSLjwQhmfkpJkE2P0aEmZVo21/FaQwVfr8hkS48dz4zsyOMafvpG+7Cuu5uNzuxDsZWTBnkLuWSOmyf2jfHl4ZLyTx+wZycE8+89BPHQ6ZnboyTdvevPeAT26G6Wvh/sYObdLCMv22wte+HvoOSPZjRy1lYSFiU/t1KmiCDaZ4JVXjk/AOS1NsjFWrhRV+Lhx2ty5MVG+Ji7uGc4XDgpWBRgU7cf3F/agT6Rvg+/r4Gg/koM82V1U3XDc8xOSCPaWOeZrZ3XmtkGx1FhtJAd7Ed5oc8/HR5SDZ5whwbb4eEivriF5RDkVRXpMYdW8l5XG++O6NBlYBOjRQ/yIb78dampg/24D/U3hvHdaACnbVfb+rWdgn0quuTASNbiK9RQRTyAhHH6l87ZSWChzheefl3v6//2f3E+82p4Nq3ESkXWIfosgnotFVKOiOnmRamg4oqiN815PcgYOHKiuXbv2eF9Gm0gvrWZ1RhkHS2roGe5DvygfUvMqWbKvhCAvA6PjAwnyNHCwtIYwH6OTCbvZYuPXXQXcPn8XOeVm3u89hKxULx580Pk95vxSxW1nv0IpZjoTwpdMoz9R2Gyyw5efL5Wm4+PRODyaHY1PxP5Zz0FKeIjFfMpmFGAGvXmKsXTANfXtWLIqvZS1qxXuuMDPSYX75LNWzLpaLjjTk1692vaaaXXeafuKqhjWIYChsf5ud9bdoaoquwurKKq2EOfvQWT7MpJvcbbQnvvo/uJqssvNRPqa3PpoqarKxuxyVmeUYdQrJAV5cv+fe1iZUc7pHfx5YUIS/aJ88WyhEMuewkqWHChia3EpEXFW5sesp4dHMI8wipwNfowYYVeigUzwzz338D5bSYm8xlKHHILISFm8tnVcTkuTlK6IiMOzIjhOnHBjaHWtlTWZZSzbX0KYj5GR8QF0DXVWDuzZYy9KIlV7hX79oEsX8ZI744ym38NqU1m2v5hl+4uxqOBr1DEgyo+xHYPQtUJeWFZjYXdhFXqdQqdgL7yMbUvrP1ZsseXyfdkeUk05LPTawYtM5BJ64nmcN7IcOKHH0JWk85Tlb5IrIsj2LGGqRyfOoytGnPtDrdXGrsIqKmutsinjZjMmNa+C3dv0TJvg2ZA9AOKD+OST8G96MRuyy9lVWIW/Sc+ZySGc7iZVvq2kp4tfbHCwbJLoj3FXzs2F88939rG99lpRi7Zmk+kY0G7G0F0FlTyyZC9zU/KI8jPx+lmdmNwpxG0myJ7CSlZllFFYVUv/KD8GRvthaiYQ2Bo2Zpfx175iaiwqYxIDGRDl57YwnCNWq6yLCgpEyZiSAmedZX/e0xO+Wl7KxQNfoxoLfYnkU86nJ21T4h4un38uxdscWbwYxow5ppdxKJzQY2h75wu28BEbeQg3Hiut4Gy+IIO7COCU9qrVIqvNoAUXT1Is2EinFCM6YvAnu7wGs0Xl9ac92LxZcar6CDDpfAu533/EWkQROZAoFnIFgaf24HE0aDeTusYUUEkJNYTjgy9tV9K9zVpu5rdGbZO5keOfW/n8Cyr33ev8q09MhNNPFw+zG244ThfW/jjlJnUFlWaKqi11Si/XAIUZCzlUYEJPBHZvp5dYwTP8Q76D/8xXTCPz5Z7cdZfzawwYIFVMDyctaf169/6LCxbAxImH/ronIO12DD1cFi1y7335yCOiaJ03r2U1aYXZSnZ5Df4eBnx8IJsK/PEg9DgoZ440xVQznjmsw9neYBXXMZh2Iws7YcfQHMoZxgdO1UD1KKznBnpzaH44c+ZIIUBHfH3FgiE2Vsbf0hoL4T4mfEytdmpq1/z1l2sAR1Fg48Z2U8m8XY2h1RYrGaVmfEw6Ik+wKsnV1bLps2yZc/vNT5SR/8jvdCKEA5RQhZk5nI/3IcytD4WqKrGyWLPGuf0//4GXXz4ml3A4nLBj6InAC/zDWjK5mUGHdP7l/MASriSZQ1eZnwRowcVmOLwtH42jSlWtlT/2FHLpdync9OsOVhwswdaKYPBBSriXhXThdXrzNh+yAR9fiPX3pLhYcWtkHBxloRR7sYm1ZJFFmeuBGicly9jPKD4midmcz9dsJqfNr/E9qS5t37lpO1ysNpW/9xdz3c/bufz7VBalFVFjcV98o56gQNf7QGCgVJWs1WqpnNKEeJtIDvZ2CSyW1Vj4YVcuF36zjQfm72duxgHmswszVsxY+ZIUp8AiwCL2NlRidsRdZcq24unpXoHjqe3/nDToja6dRKeTwER1NS4F1tzhY9KTFOxNnk8JF/MdScxmGO/zJ2nYOLE3k7MocwksAuzFtXiIRtvJpMwpsAhgRXVpawvuxqfAQNhpysGMBU+Dju35VVzz8w5um7eTVemlnOiiB6ub6Yiqum/XAE+DnqRgr8MKLK7PKmPmwj1M/XoL36fmUVx9bCZ2qipjc2NMVR7YUHma5awineHEu8wXjiYGg/viTaGhrm0apxb7KDlkz0WAQDzJo6LlAzVOWbTgYjtmyb5izvhsM19uzeOddVmM/ngjqzNKWzzvMzbzMisxY6WQKq7lZ1aSjk4H550HgwY5qx88PaHPFTnspKChLY6AQ/ZkaI78fFi+HL77Tnb6ctoew9I4wuwgn0l8TgpSBvxP0ric7ylo40RoFK65maNJOBKX6MTK9FJGf7KJDzZk89mWHMZ/uoll+0vcHltUJMqu0FDxY6pHUcT3adEiGDrU+ZzyclFVuKs6qXHqMH9PIVO/2MZP2wr5fHUh936cz6asCjaQhQm9277dtSaCrl3rinA4cP/94nXWHBkZsG2bpD+7IzkZ7rnHue3MM8UvTePER1VVMj0LGXeWcwGWSy8V77a777YHajZuhLffhvfeg82bXV+rlBpuYx6/IJUtdlPEZL5gG67VeU8kgvFianVPHtpxHjP3TiJRDQQgCq1SQWsoKpIxJss1PgvI7zfEzbwvykGx3VYGDICEBOdg4Y0vFDIt/CM2ksOCPUVM+mILc1PyeGNNJqM+3thQSf1EY8MG+V5WV0s6tiNnn31CWlCcEGzNLWfMJxt5ccVBfthewLS5KXy7Le+YvLeXF8yc6dym00GXswsbNtd3UcgDLKII5yhkfX957z3YsuXIXpfRKPMFxw1JPz+YPPnIvo/Gicd+igk/rOCiB3laxWiNZjg5chBOQmosVl7456BTW61N5autufgY9PSKdD/ZK6KKD9jg0r6U/UwgiVGj5KYzezbs2yc3mzETrMwfkNZwrCcGPuAcpxRAd+TmQmWlmM23xvi/qkoCPQ89JH44XbqIwfDZZ2sm7MeT3RRSgfMu72Zy2U9xm0yop9OdL9jKtrogZXfCmEa3I3qtAF+n5OLnoeei+BiCDB78kZfF7FUZjE0McvLKqa2VKpj/938yAbz3XvDyUikrg+gYSN1h49tfVPr01VOvcN+xA+68U/ppUJCYwV9wgWaA3d4pqKxl2f5iftlZQM9wHyZ1CnbxtGsLJdW1zFq636mt2mIjb7+RPVGFDCGWq+nDd2xrUPX0t0WR/3kyrz4uBup//imB6ltvbT5t2WKBX3+FG2+UMXXYMHjnHVx8QE0mWSwMHw6rV0tQ8fTT7UqEwkIJTIaHi3m9xolFemkNNy5K5cJLY3n63EgK9ngQG6OQmwNTpyoN6dKrV0vxjaq6go2+vpKG6Zgyn04pS9jn9PpmrOygkJ64kbMcQ8wWGxvSatiTXUOJsYqBcT4MjPZDaYUvZOVeX4yPnMfTX+jx8oKbnuxC/DVp9A48vp/pRGDDBrjuOrFXiI6G99+XdE6HgrrEE8hXtRewJKOQQs8yvo5cxX8YSg/C2Z5XwW+7CkjJq2RKlxBGxgUS4t2yz2VSEny/oJZvl5dTlGkkfkQJ3w/+k2JqyK2p5qnlzpHOGquNxXuLGRh9Yk0KV66UVOjqagnoPPGEePItWwbTpkkBHa1a79FhXWY5pTXOstDH/trPOV1CCPc5+mnWo0ZJIaEPPxRPzQsuVCkLc94ltKGSlwcv7DzA9vxKxseF8tVzAfz8tXyH3I3jbaWiQuYQ/v5SgHP4cLHTWLpUrmvkyHaTlq9xHDlACWfTueUDmyBAUy5qtIAWXGzHuEuBLjfbmP5NCl9f0J2+ka4zFS+MdCGEPY3ShBIIBGTROWGCLGihXmGjpwencRadyKeSJILoStPaebNZlBS33QaZmVJ59NFHW96V3bwZbr5ZUlFBAjn33CM7vAOPvy3fKUuQG6WCFwb8aNukrAuhLORyUshFQaE7YUQfBUVJmMnEvYH9ef1ub3JzYeql4Qy/pBgVFUcbjN27xTQeZCH++OPw6vclvLE3lc6e3hR3s/DWsnL+SuzD8PhAqqslEFnvR1pUJF5RyclSqVWjfaKqKu+tz+SBRXsb2l5fncHiK/uQEHjoUWF3mXk2FQLrvi/dCWcZV7GNfPQo7PopnFuu80VV4a67YPBg6T8XXtj8+2zZIovP+rTpf/8VD9Dff3dVO4aGymbM2Wc7ty9bJkHMrVtFzfjCC8enQqrGoaMife7DPfsx6A4QFGagk483j1+TwPhke1nmd9+1BxZBAthffeW8KPXBSFBdVUdHgo6zh7LNBr/+bmPmnZ7s3evFxMl+FF9TiDIYBsY0H0xSVfjgA/j6c5HiVFbCS3f782v33gSeqSXhNEd+vhR22LZNHmdmShbL+vVS/baevXvh2+cS+OCDRMLC4JVXhjNlikpejYUzP9/M/hKxzvloYzbPje/IzNM6tCooHN/ZwrzOczlIKQXYO68vJrd2Ea2x/2lPqCq89ZY9PdZqlU30F16Av/9u3ea7xqHjrr/YVLVVNhJHgu3bZXPw9NNlg+/66xSmXhXPgHdiWGfMAOA/haO56tM0MsrEN+XDjdnMvCCZ2H9iSU+Xcfybbw49uJiSIgrK+fPlO/3GGxL0HDJEfjQ06smg7LCUi36aclGjBbQZWTvFw6Bn5ukdnNr0ikLXUG92FlaxZF+x2/M8MfAwI/FyiBt3ItglZdVgcE7d88ODocRyNp3pRlizJeY3bpSJ6cGDMon67DPZpa2pafIUQCr4lTXKdsnKajpFR+PY0J0wrqO/U9uLTCTpEMx6o/FjAkmMp+NRCSwCDCCaB2/yJjNTguRz5xhI/S0YRXUezqqrZZe2fvHUqxcsLc/iYFkNiw4UsS67DKuq8tHGbEBS9L/7zvX9duw4Kh9D4wixr7iaJ5c5qwz3FlezOefQd1YDPI08ODLOqc1Dr6NrvIHeDsqvWAKYSBJj1Y58/5av02Jm9WpRIrTE7t2ufowrV0qadGvYvl0qVW7dKo9//12CmoWFrTtfo33Qwd+DB0ZIn7PYVPIqa9leWEGHQHtkQlVF9d+Y3EbZzvEEMpuznNqm0Y3ex7haaWM2bVa56HwDaWkKqgoLftWz8K1gNh5seaFSUCDVTxuzaoU2jW2JgwftgcV6zGYZe+qxWiUg8c47ChaLzMuuvMhAyjojm7IrGgKL9TyxdD/7S9yYzbkhGG+eYzzFDsHuYcSS7OHPgyOcx1mTXmFcYlDjl2jX2Gzuv5ebN2uBxWPBwGg/fE3OhsSPjIwn4hgVh0lNle/TkiVy71ZV+H2uJyOy7ZH7qJzIhsBiPe/s2ssF19q/E4dqxVNUBFdfLYFFsFevTj3ylucaJziV1FKO+bCKtQbgQY6mXNRohuOmXFQUJRj4GkgA9gEXqqpa1OiYDsAcIBKwAe+qqvpq3XOPAdcD9cYaD6qqOu9YXPuxYkxCIL9e0pPXV2fiZdQxPC6A2atkxVld23R1gGF0YBXXsZVcPDHQj0gScJ2s5eTAH3/Ap59C//7i7dScZL6mRm5+hYUQGekcFPziC1GJxbva7jUQEyNed44LcJNJ2jWOH4F48gzjuJDuZFFOEkH0JRJdOy2GdWCX67D1xac6Hrrf3pcqK0WdUZ+yf801sHgx1Kiu35sys6TT+PlJqn7jRZijV+PRprhYvpdBQZLeqtEyVhVqra4SBYvt8GQLkzuF8OPFPXh3XRahvgYu7h9CnygvonFVWCmKqA0XLnRuv+IKWXiuWiWqq4ICuP56URLk50sqVFSU63uHh7feKmLXLunvjqxfL4vd4FO6mN+JhaIoXN8vivgADz7emE3vCF+u6B1Bl1Bvh2NEIfPnn/bzbrhBxq0JEyTt7YILoGtXmE43kglmJwWE40M/Igk9DLVCa8nKgtJSSb1tnAa6Y4eKxeJ8X1myUM/1D7Z8Xb6+0KeP2Lk4ovnYtUxgoIwnpY0sux3vbTk5ktrpiI+PjFneJh+mJUby0/6chnG11mbD2oYiVePoyCquI5V8AvCgH1HE4s+ZyRZ+vqQn76zNJNzHyPX9oxkQfWLlD+v1cNNNkn7qyEUXuR67ebPMl9evh8svF8sMd4U3NFpPrwhfllzZh482ZLOrsIrr+kcx/hgFqKuq3NuQdO+uMsO/M/3wJhIf8qyuNlNmq4rRwz5Puewy9+9RUgLZ2fI9dtdXDhxwrQpdVSVzg25H3plI4wTmICVE4HNY67tAPDlIy/UfNE5djmda9P3AIlVVn1UU5f66x/c1OsYC3K2q6npFUfyAdYqiLFRVtX75/7Kqqi8ew2s+pviYDEzuHIpOUbh/URo/bM8HwKBTGOPmxllcXUtZjZUIHxO9DBH0asZbyWYTX69HH5XHCxeKX8iKFe4n63v2yLFffimT1Ntuk2DNihXyfIcO4unRHH36wMMP21NVAZ59VvMAaQ+E4s0Eko73ZbSK0FDXm2JCgvMEb/FimDLF/vinn6S/B/eLYvHBAs7rGkqkr4lFe4u4vr9Ed4KD4bXXZMe3vuLv5MmH54HTFtatkwXK2rXiU/Xee+LhpNE88QEe3Do4hldWpje0BXka6BnuOuPPrzRTbbER5evh5M/pDn8PA+d2CePcLq2LLo8bJ33s2WfFx+zBByXYs3atpCfVVyUfPFi8PBculIXCa6/BfffBc8/J8zqdvE5sbKveliA3ayhvb83f60Qk3NfEjN6RzOgd2eQx48erzFtew58LdBSmm6iokGIvIEHHL76Qf2NijAwllqG0siMdJhYLzJsnY1hWlnwfZs92LjgUEuyqMgwNhcTwlr37PD0l1XTxYnsGRP/+MGLEkfoEJy+JiZK2O2OGfXP3jjucrRN8fKBjR7viOSJCClH997+wd68X/Qd15vkHIrg/ZTNmq8rtg2OID2xZGZZVJorHKD8PBhDNAKKdnvfzMDClcyhTOp+4ZWzLyiAuDl5+GV59VbKCHn9cxn9Hdu+G8eMhr04SsXAhPPYYPPKIs/elRtsZGO1/XHw6ly2TDIXTTrOvhzw94cUXFQYEhDCAEABSIyrw99A7eUPe0jeWNS95kpws/cDdWLZxo9hJrVwp4o333pM+5OhG4Osr39+KRmIyd3MDjVObXRQS62aDvC0E4claMo/QFWmcjBzP4OK5wOi6/38C/EWj4KKqqllAVt3/yxRFSQVigEbaopObYR38eXB4HLNXZxDuY+K/Q2MZ5LCzq6oqf+0r5u4/9rA9v5KLeoTx4Ih4OoU0He07cEAWwY7k5cGmTa7Bxfp0mfqUpOJimDULnnpKbqY6nSyQW1J4eXnJzlxsrNwETSZRTQ4aJMbDGhqtoUMHWRTVp4EaDNIXAwPlcXW1eB05YrFIgPyiy/z5bGo3Hlq8lwMl1VzWK4L4APsCacwYCQbt2CGv17v3sVEQ5uSIN19aXV2lPXskOLp+vWvVSQ1njHoddw+LpWOgJ59syqF/lA83D4yhs8P4Z7ba+GNPEXct2E1WuZnr+0dxx5CYw/JkbExWlgRThg+XzZtHHoF+/cTDsz6wOG6cVChftEge5+SIuuXvv6W4Qn4+dOrk7IPWEj16SBr0J5/Y2/73PwlQa5xcZJTW8O6GTF5dlUFAiJ6Hz+rIezNDcJzKbd8u6utjnRGwZQucf749xX/RItmE/Okne6C7Tx+YPFnlt9/sK+MXXrYyoIupVe8xaJAodFJSJIDeq5eW+dBapk8XhWtamgQOe/d2VkcHBMgGxxlnyP3y+uvhgQfsPoLr1+gofyCQmS90JCpC4byuoRiaiYgVVtby5dYcHq8rjPV/o+K5pGdEq4rAnEjU1krF33vvlQ3Ks88W771zzpGgjyObNtkDi/U895wo3BMTj901axwZrFYJJs+fLyrUM86Q/tCli2tguVuYD4uu6MsbqzPYklvB2MBISv8KpUOswtVXie+yZ6NM1fx8ed36ue7+/fZ5oeOmTceO8OL/bNx8k/37eOElVjp2BnBOF9c4tdlFAVGHaVkVjBfZlB+hK9I4GTmewcWIuuAhqqpmKYrS7BJeUZQEoB+wyqH5NkVRrgDWIgrHoibOvQG4ASAuLs7dIe2aQE8jF/WM4PxuomJsPKHbmlvBmZ/LbjLAx5tyKK628MW07ngZ23ZjcefNnZcnvoru+OILWQz37du61//3X0nrcuTRR+GXX1pWPp6snOj981hSH+iuT/+rrZUJmaM/XVP+8ooC2wuquPCbbVjr5Bvvrc/Cpqq8NbkzRr0ORZEFa+NKvUeb/fvtgcV6KiqkrT0EF9t7H4319+T2IbFcPyAKk16HrlEnWJ9VxjlfbqE+AenllekoCjw/PqlFBWNrmT9fgh4pKfa29993TnseOlQC4Y6oqhRSaColqiWCgiSYfumlEuBMTpbxuBV1Fk4a2nv/PFJ8sTWHJ+r8RUtqLNywMJVn7+jDmhXHX6Kya5erd+iSJTI2d+0qj8PD4f33Fdavh7w8lS5doF8/PW2ZpnTpIj8nGse7j5pMosJvTok/erR4xdaPYdWNLBV37lA4NyqGQQNbltkt3lfEbfPtpo63z99NhI+JC3qcXH4fu3aJohZE9Tlnjvz06yfB8NbQHsbq490/T3Q+/dT+/9tvF5VwYwZG+3Ffty7c9aGNd5fpKSmxn9url+s66uBBe2Cxnpoa2Xx2DC4qCoQNLeKpz3VU5njgEWBhsy6LjSWhxESEHJHP1x441fqoTVVZcbCELTkVhHobmZAURKDn4W3O7KTgsP3wg/AiV/Nc1GiGoxpcVBTlT8QvsTEPtfF1fIHvgP+oqlqf6P8W8CRSZPFJ4H/ANe7OV1X1XeBdgIEDB55YZegcMOndz8BT8ysbAov1/LijgAMlNU5+TY7Excmu9P/9n70tPNx9irKvr/h2NN5xTU5uuRJqY9LTXdvqPcOaCy5mZ4tpfUTEyedPc7L0z2OB2SyTrQ0bnNtvuMH+fw8PqZq3bJm9zWAQT7IN6RUNgcV6PtmUw8MjE0gIPH6VVAMCJEjaeDEX0k7mhSdKH/U0uB8jt+RU0Pii31+fxV1DOxDjf2RM33futP/fz0+CfYmJ4q9oNEogPCdHlLcHDzqfG3qYGYFhYeLddapyovTP1mK1ysaCxSJ9yNMTCirNvL3WNRUp26OE0NAg8sU1ha5dnReexwp3/p4REa7p+ZGRMGkS0E49fY8WJ0If1ekkKNavn6RhNsbHB4ICW5e/+3FdoTSntk3Z7S64aLPJ5k5NjaSduvPPa47SUrsy3ZHiYte2Pn1knu1YgOn++2U+frw5Efpne0Ovh//8x15IBeQ7NH160+fk5ijM/8V5nqKqNAQaHfH3l/GzcSHMxvPCGouV59fsY3VG3YF1q+TAEJXJndvJJPIIcCr10c055VzxQyrlZis9wnworKrlhl938tCIOO4a1sFlA7217KCAMw7TAisQT4qoxooNvVYXWMMNR7VXqKo6XlXVnm5+fgJyFEWJAqj7N9fdayiKYkQCi5+rqvq9w2vnqKpqVVXVBrwHDD6an+V4kl1ew6r0UnYWVGJTXcdTfw/XBXWQpwFvo468CjNlNRaX53U6URB++ql4zD3wgPg0ufNb9PUVtY2XQwbh4MGyaG4rp53m2nbttc0vrv/6S96rTx8YNgyWL2/7+2qcHHh5wXXXObedNtLKpdfXUOvgLj92rFTNnT5d+tcPP8gkMCPNdT8lwseEl+HIL3TLzVY2ZpexMbuMCrO12WM7dRK/JkdmztTMuJuiqKqWoio3KzoHVFVlV0Elq9JLXSpJAsT4eeBlOHK3wLPPln/DwsRv67ff5G94333w668SAK+qEpWh4z7RuefKYl5DAyQVbtYssX7o0UPSU/ftAy+DnoQA1w2QMC8TN9wgmyezZslY19ZU4QqzlU3ZZWzMKqPc7DpfaA19+jgXsNDpxOdPS1s+MeneXXwZHXn1VYjuYCWnvMZlLlpQaWZtZinb8iqotdrcbmx3Dj5yNhRHgpIS8b/t3Vu+a5ddJpvdbSEx0dWCIijIvS1FcrL4LD7wgMy7P/1UPEo1v8UTl5EjZa45dapk03z9i5ndVaWs3lvhNCetp2NHKXblSESEa1p8eY2FwCgzr73m3H7LLa62KQadzu13KyGgfX3fNFrH77sLGPPJRs5MCua9KZ3577BYnhybyOtnJfPp5hymfr2Vakvza4qmOBKeiwZ0+GEin8qWD9Y4JTmeadE/A1cCz9b9+1PjAxRFUYAPgFRVVV9q9FxUfVo1cD6wtfH5Jyq1Vhv7iqvJKKtBVWH2qnR+3FGAl0HH7LOSmdErAk+HPKK+kb6MTQxk8d7ihrYXJybx4/Y8nl+RTrSviVljExmTGOiUUh0eLtJ9d/L9xgwfLl5H27bZqzY2vkG2hiFD4KuvpGDB+vVw1VXy0xRpaXDeefZdvb17xddp3brmK1NrnLycd54oWV9/HR59q4wl1gNcvLSEszODuWtYB7qF+eDtLf43Z5whirKePUVdMGyjL31i/dhUUIZBp3BV30jOTAriYEkN3kY9fh5HZkg8UFzN/YvS+HKr7Jlc2D2M5yd0JL4Jjz+dTnzz+vaVPh4TIwuexp5NpzplNRZ+3VXA43/tx6qqPDwijnO7hrqkipgtNr5KyWX+rgK6hPqQGOjJPcNi+d+/6aiAToH/TUwiuM7/q7LWSo3FRpDXoaecjBghfTIvTxTh5XWWNP/+K55aGzeKastikVT3nTtF7VWvZtHQANk8e+wx++PvvoNzZ9QQRhUPjIij1mpj+UGRpsT6emDZHUh8vCigDqWIz8GSah5clMZnW2SsmtYtlBcnJrdZye0XaOWGhysZNAUqivX07KZw2kA90Do/xcZUmq1UW2wN31GNY4u/v/TDqVMhM1OCZUpEGefNTWNrbgWX9grnloExdAz2YltuBZf/mMr6rHIMOoWHR8RxUY9w3l+f1VDAwt9Dz+V93BcqMlts7CiopKCqloQATxKCjk1QZNUqezEkEH/QhATxrG0iUciFiAj45hspfLN0qRQZev11CSK5o3dvrYjhiURGaQ27C6vw89DTNdQLb6PzHNHTU1SvKioX/aecRzdsJyW/AuNqhcdGJ3DroGgCHOYnsbHSz26/XdTBQ4aIZ329etVqU1m6v5iHFu0lvayGB4fGs2xFBOn79ERGyhwxIMD5GvU6hdsGx/L99nwqayWgGept5JwuJ49q8VThr31FXPZ9Ko+PTnApShjj78GLEzry3D8HmfT5Fn67tFebrM+KqKKIqsP2XAQpAppNORFoixQNVxTVjRLumLyxooQAc4E44ABwgaqqhYqiRAPvq6o6SVGU4cByYAtQvwX0oKqq8xRF+RToi6RF7wNudAg2NsnAgQPVtWvXHvHP01rMVhupeRXsLaom0s9EzzAffB0CGltyytmaW8G9f6aRXlqDAlzeJ4LCKgu/7iwAYPV1/RkU47zzkFFazbqscvIqzHQJ9SYlr4KbfrVvweoUWHFNf4bEHvtqao7s3CkqnpUr4cwzJZWvuaqoS5aICq0xS5e6GiafIDQrkTve/fNEwWaD1IxqJsxdT1a5uaF9YJQvv8/oTYi3fUH7008SkATxpvngl3IqQkqI9jfx4OI0dhRUATC9UwQXh3Skf2ePZs3VcyvMbM2poKLWSrcwb5KDXRUab63J4JZ5zhKI189K5tbBx6Zy62HQooTzePbRX3fmM+VL532k7y7swdRuztWk1meV8dHGbLbkVLB0fzEAg6J9eXJMIhllZnqG+dAvyhdFgaX7Snh86T6yys3cd3oH+kX6kV5aTYi3kZ7hPm32uPn1V+dK5fX8/bcY/TtSWytj4ZdfSt+8+GJRZxuO57Zf++eEH0N37RKf4ZUrpSL9hAn2zbrbb5fgBEif+N8XZfwvcysZZTIfuHNIDKPiA6mxqnTx9yVM791mdWBaURXb8irwNujYWVjFzb85j1WvnpnMHUPaNlYt3VfM6E82OrW9PbkzNw5s2y6kTVVZvr+Yx5fu40CJmZsHRnNxz/AjZl9wDGjXY2hrKa6uZUtOBYVVtVIcUFUZ/P4GKmrtipmLeoTx7pTO3PTrroaNtHoWX9GHCF8T67MkVbNfpB896hbLu3bJOPnvv3Dx5RZ2B2Xx4OI0rKpKsJeBHy/qyYj4wKP+GZ99VlSEjkRGykZQW+13SktFdRwUdEJU6T3hx9BjwYasMs75amvDWuy/w2J5cHi8S1GirxZWstOWz4q8Ihbscbb+XzCjNxOTXD0jSkqgoEBSnB2DhWsyShn2wQYn+56HR8Tz8OkJrFql8MUXMj+45BIJTDrOFTbnlLMxuxyjTqF/lC9dQtuY499+OCnG0LayI7+S4R9t4IHhHegf1XQA0GpTeebvA5j0On66uCdGfeukz3+xj//wO69w5mFf6/38yROMYeJhplifwJxavi5t5LgtYVRVLQDGuWnPBCbV/f9vmvgDqqp6+VG9wKPE96l5XPZ9Kra6+8ZjoxK457RYfEwGMsuqeXHFAdKKa0gvrQEkcjpnUw5PjkmkstbKqPhAdhVWEeptJNFhdzfG35MYf08KKs0UlFu56p/tTu9rU2XBfTyDi5mZUhGt3qD4m2+kmuSLL4pPniMWi1S9tFplB9nqoAA3GiWNuqJCFuf1VYI1Th10OsiqrXQKLAKszSpne241A6NMDX0qPBx69rYxbFwtngYdyyoyiVA9+DejpCGwCPDtrhx6GkL54p4wnnitkoyqKoK9jHQL9cbHJEPlwZJqrv5pO4vqVMIBHgYWXt7bJdj/8858l2v+YXv+iRBcbNfM2ZTD2Z1DGBjth9WmUma28tGGLM7vGori4EGTXlqDt1HXEFgEWJNZzt8HS3lyjD1yvDq9lDM+24xVVfE16SmtsXLah+sbPGzvGRbLLYNiCPIyEujZuttlbKwEhRz37Tw83Ptn/vuvVCivL4Tx9tt2G4iyMlmk1ovNS0slrTo8vH0UANA4NLKyxK5h82Z5/M03cPPNYougN9qYOLWWHXsN/DlPz8SzLXxXtoeMMvt84JVVGUxMDGFifPAhFUHbmF3GuV9uZVr3MCJ9TfgadUzuFMJvuwoajvl2Wx63D45x+k61xKK9hS5tr65K5+KeYU7KnZbYkFXOhE83U1s3Sbpn4R58DHrO7RBFYKDiZM+icXQoqKzl/kV7eH+9+Caa9ArvTO7sFFgEmJuSx51DOjBvVyFX9IkgMdALFZXMMjMpuRWMSQyie5hzgCMnR9Ln632Te0+q4JH1exqeL6yycPVP21lxTX/CfQ9N9eqOkhLx9k5Ls1f0TUhwPa5vX1Ft1lisFFZZCPYy4NGEl68j/v7O1bc1TmwqzFbuqxN5gIy9L/2bzhlJwU7BwuKqWl4/sIMhHfxYtl9SrBICPbmiTwQWm0ppjYW8CjNhPs59OSDAVYEIEiBs7Av++poMzgyNZvRoj4Z5Rf1cYfhw+3G9I3zpHaEpyU5ESmssTPlyC1f3jWw2sAiiVL1/eByP/bWPK3/c/v/t3XV4G1fWwOHfFVqyZWaO7ZATh6FpmjRpmrQppczcLW67bb9uYcvMtN3ulpmZKUnDbRga5tgxM4NkSfP9MTLIkhPbMfu+z+PH1kgazdjXA+feew4fnjm8TTkYN5JLMp3T8xGID7lUHv6F0oAkM310o30ltVzzw+7GwCLAg0vT2V6o5i04UFrLyUNCWZNd4fFegUKoWc8DS9K56OsdTHhjPauzml5XWlvPG+tymPjGBk76dDN/GxfFjMRAt3VYvORm7E5bt3pWPvvf/9TKZ805neoN17hx6lSTm292f/6NN9SCCK++qubLufNONaFyy6THUv9m9nLBrxHwx2INl1yiTp0H8B9Uw8T79/Jt1Dq2j9jOKUlhiI1RrMj0zKBd7lfBBXeVMeW99Zzw4RYmvrGBR5cfpLxOze+3MquiMbAIasXWB5emU9vipmtGgucJfGZi7x/O0NvNTgrC7lR4cEk6jyzL4LOtBVyQFuERBEkIMDYeV5ubv68EW7M8SGtyKhov5M8fGc6/V2cRbNJz/7EJvDNvKH4GHdPe2cT0dzbyw64irG3IczNsGDz8sPuye+7Ba1Dk9dfdK+w6nWqF6fvugwkT1JyN+/apx7dZs9Qb37vvhl27DrsZUi+1bVtTYLHBa6/B5qwa/vHLXq5atw7b6Vt54fMKJk63sybP8zi1alcdp56qjuBvWaH5UOodTl5YlcUtU2L5ZGsBdy7cz99dFX0vSmsaqnV8UlC7AosAoWbPQFCknx5DG0dVNNiUX9UYWNQIeCxtBAteDGP0aMH553sW85I631/5VSw+UM5DMxK5Z1oC901PpNJL3mA/g5adG3U8NCORLfnVPLQ0nYeXZvDHwXJSw7xHvrdtc/8b1hmsHq/ZV1pHYY33nLoOhzqd+emn1RyQf/116H0pK4MPP1TzfZ9yijqC/J//VGfEDBniPgPGYlHz5e6rrOLK73cx6tV1XPzNTjbnVx36Q6R+p7i2vjFY2Ny2gmq3fM/bcuv4I6ucXUW1jI30I8Zi5OpxUTy54iCPLz/I+V/u4JOt+W7XHYfiZ/DsxAwx6VixRLh1WA4Z7mRfdSXf7SxkbXYFNfUdy5Ur9TxFUbj0mx2MCPPlpMFeKqN5odMI7puewM6iGq77cTdtmYW6imySadv6DycIE7nI46LknQwudqPSunqvF2gF1eroq+JaB+9tymNyjGf3Z4y/kc+3NZVrLqm1c8tve9hXUkO1zcHCA6Vc89NuDpTVsa+0ln/9foA5yUFoXTcIsRaj1/V2J283QYriPsIH1CkzV16p9i5v3armoHrsMfUCcfVqNfHxzz+rN2QPPaReZJ50Enz2Wffsh9Q7pIaZOTvVvRLQZYPj+Pw1E198oSZn35Fdx+2/7+WdrTkU1tTze3opVyzYSlSinckhnsG+xBAj967e5fZ/+uSKg/yVXw2o0wlbWp9TRXmLoklnDA9ldETTiI1R4b6cnRrW8q1SO5n1Gn7d2zRCKrfKxhfbCz2SWycHmZga5zks4OTBIW7BDkuzQi8RvgaKauq5Y2ocb2/MY3thDQ8uTSe70sqWgmpO+3QryzPKD1tIxmhUK44+8ogaVHzkETVv3oIFnq+12TyXVVTA/PlqEY/nn1dz3Z56Kqxbp+YaffJJtVOmZWVxqW/wdh484VQ79/25h1fWqceppdml3L/3L06Y6+CYWM92rK/2YdEiOP54NXdxW1XbHNidCj/tLiGv2ajvn/YUMzTUhABGhJo5pwPHqlmDgghplrNUKwT3Tks8bE4om8PhVvjAV9/0/3l+UgyfPxrC15/oKSyE779XC2FkZLR786R2KKuzc9W4SB5emsFjyzO4b/EBDDrBhCj3UVE3DBnEi/eZqahxsjGv6UZzR1ENS70EZsB9FgqAqd4zt2dKkIkwX++jXf/8Ux2tdeedapG2Y45pClaW1daTUVbr1tk3fz5ccomaL3zDBjU36bnnqjNjPv5YvW6cP18thLRuHSSkWjnni218vKWAopp6vtxeyGmfbCG7wjMIKvUe2RVWcio7728UYtJzbKLnsbe63snvB9Spzw4HZOwXaAT8sreYW6fEctXYSB5ffrBx9oNDUfi/3/azq6htxS/GRfp5FO66aWgyqxY3dd4kJCqc90gBV65ez+mfbWPSmxv439pc6uoP3/kp9T7Pr8xkT0kt10+Matf7jDoNj8xMZFVWBdf+uBuHs/UAo4LCIg4wjvZ9hjcOB5R/l8C7VyYwc6aal/e//1WvXSUJeragy4AT628kPsDIwfKmE6BBKxgUZKKgysqGnErGR1sYG+lHelkdmQ15Po6KxcdLVdO12VW8tTEPq93B5gLPE9e67EqenzEEiwWmxgWoeXN6UGqqOg0lPb1p2UUXeSa+zs93v3Feu1b9WrRIrVL9yCPqFMOWVf3uvFPN43ioHI5S/1FudXDVmCguHx1FZpmVogNG1n9vYf1qLXNOq2f8pQV8ta+eX/a6T9ertDko0tQwpiaOLSEV7CxW/3fOGBaKn0HrNlW6QcPUmLGRntMVzkkNJazFqJ0hIWZ+vXgUO1yj54aHmom09JmcYb1WppcbvJWZFZTV2Yn0U4MY1TY7mwuqGRFm5oTkYH7bp/79p8b5c8FI98opk2P9ifA1kF9tY2tBNf93VCz/XZPD1LgAvt3pfWr7I8syeGhmIscmBCKEILfSyu7iWkw6DUNDTeidet5/X83b5badKz0rnV97rTpKu7ljjlHzhII6/Tk72/OG/N131Q6Y0aMP+euSeqHUVPWct39/07Izr6hjuWLg/mMTEAi2F1bzxfZCDtRVc8WYKPaW1TZeD1w5LI6VX6jHIbtdbWcTJrTtswNNek4ZHMJNrtGKzdkdCo/MHESUwQefKl9oZ3xxRLgvy64Ywx8Hy6myOTg6LoDx0a1P0au22Vm4v5TnV2ah1wquHR/NpBgL46MsxFqMZFVaSRZBfLzR/donP1/N3SwLunWdaD8DV32/y2165nU/7mHhJaPYnFdDeraDCIeF+a/5o9PBn15mAfx+oIwHZiiN0/UyyurYX1qLb7KOs8838eWn6u3H92+YuedfyTy5Xs25GGLS887pQwn39RwJa7erHS72Zn15VVWwcCFUh5Rxy6972ZxfzalDQnjkuEGkBPh6VNsFNcg4ZIj6vocfVnOeNvgzs46dRe7XABnlVvaW1PSlvJ8DRlG1jfc35/Posgw0QvDQjAQuTItod3G28rp6NuRWcbDCSpy/kXFRfjw5K4kdhVsbj72XjYlkVVYFSzPKOGt4GNnZgv88aObSG+J4d08mX24v5NQhIR7pAxyKQm6VjbRW8nhW1NnZWVxDbb2TWLOJ63xHURlbjlVbz2CzP6/ca+Gi8+Dbb9XXX/D3Gp7a5j4L7o4F+5g1KJCxh5lSK/UuyzPKePKPTP4zN6Xdo/wBfA1anpg1iPsXp3P259v48Mzh+Bo8O/S2UIAJHZFHWIBl+yojT18ZQq02FPNx2Zx0kppy4ptv1AJg//2v2nkjDWwyuNiNIv2MfH72CC76ejv7SusIM+t5a95QQkxathbW8Mr6HAqq6zHpNLx4QjK1didJQSZmDQrkqx2eN7rHxAcQ4KNFoOO0QBMjw33575rsxilFAU4TtjVRXPHP7t5T7+Lj1STeH3ygTuc6/3y10EbL6YIxMer0lObTnM1mGpPWjxoFBw96rr+y0vtIIKn/WZ1VwamfbKGwpr4xAD802MTISfWsXmxg+iXl3Lt1D3dOjcek01Brdx8upFM03H+9L4+8OBrD7AKq6h0syyjjt30ljI7w468W06CSXJVTJ8daeGJWEg8uScfqcHJichD/mByLVuM5hTDSz0ikn7wZ6Uxp4Z4XRicPCW4cMaUoCj/uLua3faW8symPa8dH8+U5I1BQCPbRo2vxdxoW6sviy0azNKOMoup6ZgwK4tHlB0kJNhFq1rOnxP0m08+oZV1uJSd+uIX114xHQeHMz7Y1vu6CkeE8OzuZefOMHsHFGTM89yclBT7/HN55R82tePXV6pTohnt6RVGrUbYUGuo54lvqG2Jj1WIuH3yg5sw67zwYOcbJy79U8/5f+YDaGXjz5FjK7TZuX7ifq8ZGEWzSodcIwotDue6rphvn5nkXDx5Ug9gZGTB2LEyarJBZV8OB0loi/AyMCDMzOdafGYkBHtcUBp2GexcdYHZCMDPCD13Nwmp3cLDcil4rSAjwaZxCnRrm65FjrzWL08s4/bNtjY9/P1DG/04azEmDg1lw6SiWZZQTWOHjkXMZ1JHBUtfRaQRldZ7TLNflVJFk8uXT54JZs1r9m1ssMMccwq8Uu732tKEhLDpQilGjQauF0z/d1ni+vu3yOP43J573X9dz7lk6zhgVw/kTgiiutZMYaCQh0ER5uTpTZdMmtVN6yhQ132x+vuf2Ro6o5sQPtzQGdb7eWURetY0fzksjPNwzyOTvrwYlzz8fj7ylZr0GgZpjz315z6YVkrybv7+U2+Y35Va68Ze9RFmMHkXeDsVqd/DiqmweXJreuOzuafHcNy2BR2cOYk9pLTqN4Jc9JazOruCqsZEIITAYYP8eDdG/xvHwKQFk2iuIsfgQbNJRUtv0/2PUaoixeM8fmltp5c6F+/lgs9qwk4N8eHzUSC6eE8WwYVA1FTatA+GAZ56B336DqCQ7tVuarmkFcMXYSPaV1lJQbSM1zJe4AC8XDlKvkltp5dwvt3P70bFEHkF+WV+DlieOH8SLq7KZ+MZ6Pj8nlZEtrpU/YytHcWQjb779n4V37g/k9L9XEjAjl1/EHiYyFFBnUezcCbfdBlu2qJ02Mjf4wCWDi91scqw/f1w5ltwqG8EmPRllddy/OIMNeZUUVKvT7WrtTq79aQ+PHjeICD8DxbV2PtlSwE2TYnhlXQ52p8LgYBM3TormsWUH2eQKhMT5G3lwRiL3LDqAn0HLCCWcKdOdtGf2e1kZWK3tr5TXViNGqNP67PbWK6ImJ6vVUy+6SO0R8feH99+HwYPV/GO33gqXX64GJWub3ftfey3ExXXNdku9R1ltPTf9sqcxJ5MCPL8qi0eP0/NcVQbPv53GkmL1xvnL7YVcPzGa51dmNb7/qIhA9v7hx8iRUJ5lJDANHlySDoBeI3h6djIvrMrkYLkVo1bDs3OSSHNNcQ700XP70XGcNTyUOruTxEAfLEZ5GO0uk2Mt3HF0HM+uzMSpwPgoP26bEtdYLW9faS1bCqr5aEs+540IZ2ykHy+uzmLFQXVkTahZz/yLR7n17g8P82W4KyBSabUzMzGQhftLeWZOEmuyKxtH74T76jHptNTUqxf1WRVWvthe4BaA/GRrAWcOD+Xii8NZulQNHgFceqln1fuiIrWQx2+/qQVcFEW9KBs/Xv3eICVFTQVx4EDTsptv9l6MoK3q69XP9/eXgZqekJoKTzzRdB58aEmJW4fGH5nlzBoUiI9Tx/Exoby6LqexHd47zAyu0QfR0U2jFvPy1HPmihXq49BQeOb7Yq5ZuK2xw/H+6QncfnQc909PZH9pHRvzqtAKweVjIhtzOB8bHkZkZOvbnl5WyyPLMnh3Ux5mvZZHZyZy2ZjIdlVVdzgVXl6T7bF8SUYZKcEmZicHMyzUF5sN7rhD/V01OPdc9fcndZ24ACNJgT7sL2uaQqLTCJwoFDjruPCGWtauMaMoaqeuc08w56WG8dl2NXXPyYODKa2tZ/YHmzlzeCgHy+vcztfPrspk0aXBLL8kyHUdqKGhTVdWqsX/fv0VrrqqaZtmzIBPP4V//EMNoDcnwmo9Rov9mVlBVpWVW27R8/33TaMdfX3Va8whQ+Diiz33fUiIiZsmxfBSs/Z51dhIhoX27MwfyZOiKLy9Mddj+Ueb89sVXNxVXMvDy9Ldlr2yNodj4wOpsNl5cVUWVa5UOSadhqvHRQNqZfFnnoHLLjPw7UehhIaGMuLtIp6encydC/ZTXFuPv1HLU8clY9Z6D06vzKpoDCyCmm/0h5JMzr9wKB+8p+Gee9SOqI0b1Vy906fDqAQjEfvVGRcAtx0dx/x9Jby9US3AlBBg5McLRzEyXJ7ceyur3cnpn23l5MHBTOqElGUGrYbbj47l172lTH9nE7dNieWOqfHotRpqqedNNvIUx3d4/R88GsBPb1i46aUSQqMdlOBDMe6d78OGwUsvqbMI9Xq4//4j3Supr5J3xT0gws9IhJ+RXUU1nPjRZm49Ko51OZ6JUf0NWkaF+1JSa2dNTiUHK6zcdUw8Q0NM/JlZwbqcysbAIqhTBtPL6nht9jD8FRNZhTaiBmuBw18UWa1q3pm774biYjWXzSWXQNSRp2fwqrXAYoOTT1anruTlqSfwhqnTa9eqN9kvvwyPP65OH0xPh8sugyuuUA9oUv9WUmdnbY5n9Z7aeie1difP79nNPVMT+GxvHvtKa9mUV8Vjxw2iyuYgMdAH/3ofNJEaqFF4803B7UkhnJdayefbC3AqsKWgijunxhNq1jMq3JfBIWa3kYlajejxFAMDVajZwMMzE7lkVAQ1dicpwT4Em5p6fCutDursCv5GHcPDTORUWRsDiwBFNfU8vuIgH54xzGsFUItRx79PTOGyb3fyn9XZPDFrEA5Fwe5UsDkUnvrjYLPXali4v8xjHZvyqjj7uHC++Qb27lWPdYMHewbxtm5VC7VA083yhg3qz7Nnq4UPjjpKDTZ++qk62ruoSO2gOeooCAzs2O9wzx51auEXX6jTqh97TF2f1P10OrXISsvUDQDb8mtY+uogaqzhPHdrHE8e3EJetY2Rg7U8+KB6Xjz2WDVIAuqNZ0NgEeCiG+r45/JdjYFFgIeXZTB3cDBHxQaw4JJRbCuoZl9JHS+vy2ZTXhWXjYjitNSgQ1ahfm9TfuNNbJXNwS2/7WNIiJm5g72UQ2+FEOoIsZZ8dBqKm+U0NRjUkRDTpqn/L4MHq4H4jrZ9qW0i/Ix8cnYqF36lzrIJNum4Y2o8DqeT+xYdIMqcwxMfDaZwjw+WIIV5x+kZPHgYdx4Tj92p8M3OQp5YkQnA8FBfvvYy8+ZghdXjOnDFCvUadMcONY/2nXfCU0+pzy1Zona6zJmjpoV48kn1mHrffeAf4nlBadZrMOs1jDhaXe+SJWp7Gj9eHW157bXe25FZr+Pe6QnMSQ5mR1E1Q0PMTIrxl52IvZAQgqEhZrciewDDWikm1JryOjst09VdNS6K877ajgDunBpPTb0DAZw6JITJsU3BoLPOUmdVLVumDm4IiIPrft3HNeOiSQsIIH+HD/+5wpf1xyjcflvT8brBFi/FgpZklLHiGTs33mDAzw9++knNPV9Xp57/1y/x4V/JqTyXvoNyqx2dEGx25QUHdRr/mxtyeOGElHYX5pK6nqIoXP/Tbsx6DRemhR/+DW0khGDu4GDGRvnx0upsPt1WyNunD+W1qCWkEU4igR1a7+fP+/PzW37c8EIJ/sFq53oARsqx4sCJttkApqAg9dh8000wdKg6M0MaeOTZsgftL62lpt7JloIqJkZbPAImaeG++Oi1ROu1PHpcItf/tIdthdVMiw/g5MFBXvMsrs2ppKSmnh/2FHPK4BD+7je8TduyZg2cdlrT44aeh1tvPaJdPCJJSZ75GBumShcWwv/9n9qbffzxcN11as/099+rox1TU9Ub58MFMaW+J9Ss4+hYf/5sVi0dmm5W95TUMjzCTIhJT3FtPYsOlJFRVsdzc5KpsjnIqKzmt/8FsHiBetF117Vm5p42hI/uD2d7RSW/7FF7gP+4cizD2jjFT+o+Rp2WkRHe88YkBvqwo7CKu6fFsyqrgmAveZdWZVVQYXUQ5iW4CJAW4cfCS0ZzsLwOf6OOpGAT7/2Vy8trcvjn0XEI1MIYKUFm5qYE8ep695ET41yjIgMDD50Lr8ZLfnebDbRauPBC9+WhoWql6IoK9eKtlUEQh1VVpY56bAhqLlqkBjLXrVMvBKXup9dqOG1oCKuz3Y9nI32DeH4DVFZq2HyphbvfH8yS2mymD/bjvAc811Nd7f7YL6ye4mLP4kMNhVxCzAamJxqYFOtgQrQ/9fWQGumDzyGmf5bW1ruNsmmw4mB5u4KLGiH4x+RYvttV3HhTr9cIRkX4EefvPp0vJEQt4jJ3bptXL3WCSTH+/HnlOPaW1rLoQCnP/ZnJtROiKa2zU1pn566STZh0GurLFGZZRuNrUPO95VRaea3ZMbG169vEADVlyKa9dRwsqSfYYOCss4wUFKjPv/++ek06dSr88Ye6rLoagoPVzuR589TjoMUCxTW+nD08lC+bBTGfPj6J5CATQqgB6cmT277vYb4GTh4SwslD2t6mpZ5x5dgoPtic31iEL8hHx7ltLEi1ZYv6FTnYRLTFQE5lU14li0HbmBrgvsUHMGgFAjV405yvL8yapX4BZJT5EeSjQ5SYuP6skMYiF9u3CzIOqMXdLM3SIo7xksf7xOQgooN1JDTbjaOOahrV++WP9Yzy1fHw0DScNsGCYs88UcsyyqmzOw9bUEvqfv92zab594nJjTlpO1Okn4EzjzPy5v50Jn9QTtyxdfxn0iR1/nw7Lf3SzKfP+HPTi02BRQAtGvzQU0ItYbjfJwUHq/kXb7gBxo1TOwWlgUWGXnpQiFm98f1pdwnPzkkmt8pGVoUVjYAHjk1kbLPKfOePDCfW38gPu4o5OtafwhobKcEmj3UeGx/AFzsKOWNYKA/PGNTmE0vzEQ8NXn5ZvYgL7pzK9Z1i9GgaczApCixerF58Op3qdKmGEUAajZrfUd6Q9D/+Rj0vzU1h3qfbyK5U/1+umxDNwv1qBb/p8QEMCzXzx5VjWZ9bSb0V6oWTy77dRbnVzvBQM9dcFciKJRbqXffev3yvY+7ZvjxxYBsRfnq+OCeV8VFHlvhY6n5BJj1PHZ/M97uKSAzwPmX9zGGhXoOOzQWb9QSbm14zIyGQ1VmVPLH8IE5F4eJREdTZnfxjcix/ZlU0jhq4amwUR8e2bYpLdLQaKCwtbVo2Y4Y6Bdobg0ENMh6JjIymwGKDqio1V44MLvac80aE8dveEpa5RtnOGhREaDCcfYWVd14yYrVCVF0QH5xhIaqVwlBJSeDnp/49AXJ2GUhKcZ/aqhWCQYHu1w0+Oi1pkW3rRDHrNYyKMLOv1H06VHKwCUVRcCp4zT/rzdS4AOZfPIovthdid6qdqUlBRiYcogiM1L3C/QyEmHWEmfX4G3T4GrRu+Qhr7U50GkGQT9NxNsysZ97QUN7ZpI5ubbi+zam0NZ6vHzg2kTGRFn7cVsq1v+0gp9JGtMXAXa8N57G/BVHsSt/444/wr3+pwUWLBYY36ytvPuowxKzn5ZOGcPmYSPKr60kJNjE+yk+O2hoAxkdbWPW3cWzMrUSjEYyJ8GtMc3IoK1eqAcHaWjCbjTz38UjeL9zLyuwKJsVYmBYf4NbWbQ4FnQaCDpP+ISHQh58uHMWyBVqP6rkLFqgzrdLSmpYdFevPteOjGgPyI8LM3Nos1UsDo1H9yrZVkX3sbr7OrcBcouHm4UmMCwjiU9w7fc4dESYDi73Q/H0lPL78IC/NTemyv8/37OJd8Rezk5OYGe7P90t8eK+kmhtPNLbrmLhno4Hnrg3hmidLCYpwejwfjIkCqj2Ci6AGFC++WO0oX7Wq4x3iUt8kg4s9KDXUzO1Hx/HMn5nc/ft+Lh8TyeRYC5G+BsZGWghodhIL9NFzypBQThkSyq97i7n8+11clBbBxaMi+HhLPk5FvWm+enwUNx8VS5TFgE8rI3O8CfHSQRsdrZ7MepNx49RcPPfcA1lZ6ojFK65QR142z8PjdKojdCZN8r5vUt8WqfhzQdU4wofXEptSz783ZLA6u4oRoWZemjsYf6MOf6OOoaFmVuyrZPqH6xsvEncU1fA2uzjzotF89m7T/1hqjA97T5mEj15LpJ8BRVE4UFpLnd1JQoAPZi8V2KTeZ2SEH4OCTGwrrOa/a7K5dnw0b2/Mpd6pcFxiIH+fFOMWAKmtd5BRXodBo2FQkI/Xi69lB8t5ZV1O4+MPNuczMtyXW4+KZeElo9lTolaLHhJi9lqpz5u9e9WcNNu2qV/x8Wql6K7k46MWMGg5alLmXexZycFmbp0Sy4xBgQgE63IquWnhbv51zFD83o6iqgqignXE+Ld+yVZVBY8+qo6M2b0bqDby9ORUbl+9nQNldQQYdbx+6hBS2zllsDmjTstdxySwcH9Z40ihtHBfhoSYOP+r7RTX2LlxUgyzBgV6Dexb7Q4OlNWhE4KkYBOzkoKZnhBIXqUNvU5zREntpc61o1DNXbviYDknpQRzYkowSUEmMivqeGhpRuPrnj4+iSHN0oTotRrumBrHnuIaVmRWoNWAzeFk6RWjya20EWDUMSTERGa5jUt+3NY4Oiyn0saDO7dy3T/H8/S/1PX5+6udyCecAA895DmltLkIPwMnDznC3hepT2pPISmAmjonTzwhqK1Vz/U1NXDjWf58/n0aY8+yE2zSYdRpeHBGIg+48nFDQ1v3HNTR0tBQM9le8tb7+HgWaIvwM/DcnGSuHR9Nrd3J4GATYV4qpe8prmFJeinf7ypmda4ataypd/LE5r38fuEYblJi+O/abJwKnDU8lPNGdN50W6lz7C2p4aKvd3DvtPguO9dlU8mbbOAmJhOGGSxw4wlOXl9YxqsLSrl+TttGC5UXa7j39HDOuLGS2MGexb1ADS7mUcUIvLe1efPUjqEXX1TTm0gDhwwudqNKq5012ZVszq8i1t/I5Fh/7p4Wz4zEQFZlVWDUafj3qmw25lXx6smDuXZCjMc6/jxYxrIMdXTDR1vymRht4Z5pCeg1gstGRxIf6ENBAfz6o5qfcORI9Yb1cIVOpk9X8yvmumazaLXqsObOuOksrqlncXopH2/JZ1ioL+eNCGd0ZMdGJ+h06jToSZPUHseGwjMLF3q+9uBBdRqNDC72P1VV8OwDRsBIQoLC+Tf4cnyog5ljjYyOdD9p51lrPSo/bimq4rQxNkANLs45QSFtJIQHqReOlVY77/+Vx12/H6DK5mDe0BCemZ0scy32Eb4GLZNi/AmdoWdfSQ1nDg/BV68lLcIXf2NTQHlfSQ33LDrA59sKMek1PDJzEFeOiSSwxcjGH3cXt/wIvtlZxAnJwYyO9PN6M3A4dXY7trgKisJyGTpHz6yQCErKFd7eWkWon46jYvxJ6eT2lpSk5lhsnu5ixgx1qtUbb7jn8JO6179XZbMko8xt2brqQoYPj8LpVKfFH0pRkfp3nTULTj1Vvaj/9FN/Vm0dS161jbI8HSV/Gvm6oIbvSjI4c3gYMxODGmdQHIrd6WR9TiVrc6oINWvVtAEVdZh0WkLNOqa/uwmbQz3K/n6glC/OSeXsVPcbjoyyOh5ams57f+Vh0Gq4Z1o810+IIcSsJy5QVjbtTXIqrVI49icAAFZRSURBVPzfb3s4NjGYiTH+VNY7WXawnOUZZZwZE82IE4PJqaojJdSHSQm+jaOsNudX8fm2ArYXVHP/sYn4G7UE+uhJDvZBp9GQHNR0PDtYZvWoSF1hdaCPsdKQJ/yZZ9Sp0X5+nlWdpf6p0mpn+cFy3vsrj0g/AxenRTCxEwpeNCiotvHzlnL27HGfOn35P+rIM1Xyzc46Rob7MinGwq1HxXLcoCCyKupICPBhVISvx4hCb2w29d7puOPU1CMNHnlELSbUkq9B51ZkrqWiahuXfLODY+IDWeAlz/O+yhqemZ3EteOjcSgKyUGmNndySt2jtt7B6Z9u5aK0jt//tsWHbGYq8Wpg0cVk0PC3WYG8/GspCaF6ThrXelsDdXDOYxeGMWJKHWNn1rX6ugB8yKe61ec1GvWa5Kab1JmFsuDqwCGDi93og835/P3nPY2Pp8b588U5I8iusPLIsgy31z62/CBnDg9zu2nNKKtj3mfb+MfkpqDj2pxK1uZUMiMhkFunxFJXpyZTfeGFpnWdfDK8996hg2zDhqlTjFevVvN6TZyoJr7uDB9tyefmX/e6HhXz2vocNZ9daMcjl/7+6leD4cPVJPFKsyjSpZd2XUEaqWfFxqoJ33/+GTIyBE/daUang3PWeb42wkvgJ8rPwKjxDm59oI7QaAcJI2xk1GsJR21Ua7IrufGXvY2v/25XMbH+Rl48MQWdpu3V16WelRRkIinI+0gDp6Lw+oZcPtumVjitqXdy2/x9jAgzc0KK+8FyUrSFz12vazA81MxPe4o7fKFoHFbGnd9tBdQKlCOPM3H78n2NgfBBgT7Mv2QUKcGdd1ctBFx5pTota/Nm9Ri6Zo2a/gLUKdkLF3rmupW63vSEAI/g4sSIACbfC6NGqce8Qxk8WJ1p0Lyjbdo0yNpp5JRTjI3nxuBgP25+NZxzvtjGS3NTuGnSYVYMLE0vZ86HfzXmR4zyM7DostEMC/XloSXpjYHFBk/9cZC5KSFuN7ifbM1vnCpbZ3dy3+J00iL8mDdUjjbrbXYVVXN8cgi3z286HsX6G3nzhOGcdbKBvXuMQAAAb76pVnXeXVTDrPf/oshVFfqbXcU8MzuJfx4d7/UzIix69BrhVnBIpxEclabnhRfUFDiTJslR1QPNb/tKOOeL7Y2PX1+fyx9Xjm3MZXykFqeXceeKPVx4roWdD6udGuddYWPPsN28taypsNaTs5L459FxHBMfQENbb6slS+DEE9X/i2OPVe+ppk9Xf+7I5eOu4hpWZ1cSZTGSGmZmY557EZgoXwNGnZYRsjp0r3Xzr3uJthiZN7TrRrtUYWMpGdyN5xQYX6OGy48N4L+/lTI81sig8NY7xD96IoCSfA3n3V7e6msAgvAhB8/ims3FxKgdRLfdBp9/3rb9kPq+HrtLFkIECyEWCCH2uL4HtfK6dCHEFiHEJiHEuva+v7c4UFrLXQv3uy37I1PN1eUtR5FOIzxyr2aU11FUU8/+0jpmJAY2Lvc3anni+EH4GXTs3Qv//rf7+376Sa2+dzhDh6oBuRtvVJNfd0YxlOwKKw82m1YAUFJrZ1Ne670dh5Obq94Q79vXFEwcOxa++UbtGdFq1anSDUVppP7H11eteHvOOerF2uDBaqCxeS6b4mK1UEWI3ZebJjUF5PUawf9NiePaPzbzmmEN9+auZXtdKYubVRzcUuBZwe/TrYUUVHsWSJD6puKaej7ZUuCxfHW258XSyUNCGNpsOlScv5HkYBNVrqmh7VVX7+ClDZmNj+cNC+XNDbluI2wPlNV5rYp+pPz91dFtF14I994Lr7/e7DMPwMaNnf6RUhucNzKc5KCmEXxDQ0xcMjGU006DxET311ZWwqZNajGCWlf6w+HD1XyaI0aoQeRTT1X/to884t7pVlICVXv88NVreXBJOjkV1kNuV7XNwf2LD7hVU82tsrHcNYNCr/W8fjFoNDTPLlBptfPhZs//tYY8uVLvYtZref+vPLfjUVaFlT0ltaQfcP9733EHZGfDpvyqxsBig4eXZpBZ7n3ky5AQEy/MSWm8zhXAY8ckM3WYmVtugZkz2x9YtFrVquIbNqiF/aS+pbyunoeXug+0qLM7Wdqi0+VI/LirmILqeioH5/K3f9jw8YFRx1ezLLfE7XUPLEn3yC3bGocDdu1SZ4vl5sLDD6vH3DffVGeAvfKKOpMqoH0xykYNRT9+3F3MZWMi8WvWaXPm8FAmRHdO4FXqGj/sKuLnPcXcPDmmS/PALucgQwjBD++Bw4hAHSeO9eOJb4pwtCyP7rJpiQ9fvejPxfeUoz1MDCAYE7l43iu1dN55arXzP/887EulfqInRy7eBfyuKMqTQoi7XI/vbOW1MxVFKWqxrD3v73FWh7MxR1FzVfUOJkVb8DdqqbA2Pf/QjERCW4y4Cjbp0GkE727K49wRYTw0I5F6p8IpQ4KZHKOeterq1CHNLdW1PrK5iyl4O4YpivcD2+GsWqUOr87MVKfKvPqqGmAyGNT8DlOmqPlToqPVZVL/NXSoWlHy6afVttC82MXWrWrwZMsWMBj0fPxVItPPDqCwCGr0dby0JsttSlalzUGQqelwGOvvmWw0LdwXf6OcatJfWIxaRkX4kdkiuJLsZaTjsFBf3jh1KL8fKENBocLq4PFlGSy6bEyHPlsBHErTgTrIR+dxYw5QUuU9101nsFqhrMxzeW3b7qekTpYa5sviy8awrbAagWBkuC8xXo5DBw6oU42++04NIl51lXoDGxOjTnFfulQdKRMZqZ73iz1n9FNbpcEQIHA48UgZ0ZLV4SC3yuaxvKG9zkkK4pFlGdTZm9rzv6bFY26WrN5Hp2F8tB/bCt07FUceQf5HqeuEmvUUeulIK6uzo9eDvdlhqbIS6uvVkeAttXL/Cqi5Ga8aF8lRcf7sK6wjxt/IuFhfTPqOjXkoKFBn7fz73+o18HHHqUEdmeahb/HWjjp4u+DVmEhfPtwCb+3LYGhKITd9EEP0IC3scX+d1eF0O6a1proa3nkHbr9dPd7edJP7MVdR1HsSb+fathoWamZOUhDz95fyyNIMbp4cS5CPljGRfoyJtLQptYXUM8rr7Fz7427unBrX5VPVF3GAUXhJ9tnMlME+/JVex7drKjjrKPdod3GulkcuCOX8O8oJDDt82w/CRH4bgosmE1x+Odxyizo7UtbZ6v96cn7fPOA918/vAad38/u7VUKAD2cNd5/+Y9ZrSA01MzLCjyWXjeHuafFclBbBjxekMW9YKLX1DtbnVPLLnmJ2FFYzONjEs7PV+WqfbyvkgSXp+Bu0jI1o6rVKTvYsChAb23OVQGP8fbh3uvu0mACjrkNTCfPz4aKL1MAiqHn3Lr0UtjfNoCA8XB3lIQOLA4OPj/r3bh5YrKlRR61u2aKexC6+1sqWoioCtAbCKoLIy9ZwsNw9oBQfYGRafGDj48kx/hyb0HTi9dVreWyWOjpY6r2cisL2wmp+2VPMhpxKautbH1noo9Ny//QELM0u+CbFWJga7z2/08RoC8cmBJBdYQVF4fdLRzMxpmMjBkx6LXdMbTou/rq3hLNT3XNAaQRE0nW5eWJj1aJXzRmN7qN/pe4VF+DDiSkhnJAS7DWwCPDZZ2pgEZpGxzTP6xUSok5vN5nUauT/93/u79doIDatltI6O/cfm9Dq5zQINhm4cZJn/md1uqBarXXZ5WO4bUosl4+OYMHFo5g1yH0iiV6r4ZbJsQQ368AZGWZmVlKvnnAyYCUHm7lhYrTbMgEM9lLM4u9/h8DwemL9jW5VowHumRbvtaOugY9ey/hoC+eODmPqIP8jqp66YoWaDqihc33RInjrLe+d7VLvFOCj555pCW7LDFrB9IQODvnz4pQhIaS4RojvKqnhvfR0UiPNBLZouzMTA0lsQy7YTZvUgGLDAI4PP1Q7tpsTQh2JW1RjY1lGGQv3l5DVyoheb4JMel49ZQj/O2kwxw0KJM7fwFmpYcxKCpaBxV7uX7/vZ1KMpUvzLALYcLCVAoZx6GnXQgjOmGThvWXllNc0XR/X2+CBs8KYNLeWYRM9OxO9CXFVi3YetosSZs9WR5N/+22bVi31cT15pxyhKEougKIouUKI1kpbKcB8IYQCvKYoyuvtfH+vYNJreXJWElEWI59uLWBkmC+PzRrEcFeFs7FRFreEvtU2O29tyCGz0oZeo+G7XUXMG6pWgz4q1p/MCiuxFiNpEb4YdE0x4qAg9YLqf/9Tb0CmTVNzHfRkItXLRkcS5WfgnU15jAj35bLRke2q7NYgLw/2u88sx+mE9PTDJ7uXBo7CQvjtN/Xnu56p4Qfjdt7OqIIMuGJ4NGdHx6PRK3y4P5NAo47bjopjZISZCc0CRXEBPnx6diqb86uptjkYHmpmWCtttrbewb4StWhMcpBJVpXuQT/vKeHsz7dhdTgRwBPHJ3HTpGjMeu+nukmx/qy9ejzbC6sx67WMivAlytJ0M2x3OtlXUkd1vYNBgT7MHBTEzEGdExA5flAQP1wwklfX5RJs0nFyTDj6WgNfZOQQbtJzWUwyX75k4czJXdPTq9GogYGgILWYS0oK3Hefmt9P6p2sVrUadEvz58Mll3h/z1lnqX/r55+H0FCFq//PxiIljw/OGMYJyYevHFlUY8OoFdw8OZbPtxUQZNLxwLGJTHIdL4UQTIzxP2zRhSCTjq/PHYGiqNWDR4T7HTawKfWcK8ZEIYTg5TXZhPvqueeYBCbFmlm4UC0KtXOnOmr2zPPs3LZgH59vL+Te6QnsKKwmxKRnZmIgkRYDNocTo67rz4mrVnku+/pruOsu9Rgn9Q0nDQ7h2/NG8tr6HKL8DPxtXBTjOynfIsDQUF8WXTaGzfnVOBSFkWG+JAWbmH/xKO5dfID1OVWcOTyU26bE4e+l4n1LLe9JSkvVgON//wsr1zqYeEItqakQE6Pjwq92scCVCiLe38iPF6aRFtG2oNOgIBPXT4zh+omeHT1S79RQ4OrteV0/umcz+cTgj5nDB5sjA3WMTfThvaVl/GNuCIoCL90UjEYLsy9ue8oyIzrM6CmimvDDdIRrNGq+77vuUnMwauVtUr8mOjo9tU0rF2IhEOnlqXuA9xRFCWz22lJFUTwuAYQQ0Yqi5LiChwuAmxRFWSaEKGvL+13PXQNcAxAfHz8+IyPD28u6hd3ppLC6HotRe8hRUOtzKvhpTwnP/JlJlc1BUpAPt02J49QhIcQFHL43zeFQh+H7+/efvINZWTBunBo8am7VKjVHZB/hESboTe2zPygvhzlz1OmD5//nIP/Z6X7199SsJG4YE0+J1YrFrCHI1PF/kKyKOu5fnM67m9T8VBemhfPEcUnE993qp17DWH2hjR4sr2P86+s9phev+du4DlWbLK+r55V1uTyw5AA2h8LEaAvvnj6sQx0jbfHss/Cf/yiccJaN4nwNP36p5+674YEHuuTj3JSUqCPdTN5r3/Q2A/oYescdahXd5l5+WQ0UH0pZmTqiv71Vd+fvK+GEDzcTbTEwNyWECqud3EorP1yQ5lFV3RuHU+H7XUVc+f0uyursxFiMfHb2cKY2Gynez/TZY6g3+VVWjFqN29+6rk6dIRAcDL/sKeakj7c0Pnf/9AQ25lXxw+5iBHDN+Cjun55IdBcHkj/4QJ3J0twVV8Brr/Wfa+BONKCPoa2ptjmosNoJNevbVBUa4Pff4fjj3ZeNHAlfLazjwRX7+WRrAQL12tCs1/LGhtzG1109Lor/nTxYFgn01C+OoXM++IvhoWbOGN71RcteZwPF1DIXL+XIvaisdfL090W8cW00y98J4ZuXLfzjpRJ8fNsXE/ova7iBiYzl8NVTFUVN6XLbba13hvYhcnL3IXTpEU1RlOMVRRnp5es7IF8IEQXg+u6Z7VtdR47rewHwDTDJ9VSb3u967+uKokxQFGVCWFhYay/rFjqNhiiL8bDTKwuq63lwSXpjwYD9pXW8vCabktq2FZTQatXpUf3poio2Vs1tYmx2jfrww+qJvC/rTe2zPwgIgBdfVEdg7agt83j+130l+PlBfIjxiAKLoE5nfWdTU+L7j7cU8MPululh+76+0EaLa+q95i3MqWzbFI+W1uVU8a/f9zdWwl2bU8mjyzKw2jtWxOVw5s2DkBDBGy8Y+fpjPSkpaiLs7hAc3GcCi171hfbZWS6/XC1g1WDyZLUy6eEEBrY/sAiQU2l1fbfx1sZcvtheyB+ZFZRZ25YPdGdRDed9ub0xx212pZVzv9xOTmWPJYLuEX21jUb4GT2CyD4+6jEDIKuyKcVIuK+eMqudH3arSecU4LX1ufx+oOsL90yf7h7kiY1Vc3z1p2vgrtRX22dn8jVoibIY2xxYBHXWVPOOHYtFLaS1IKOIT7aqt6UK8NGWAoJNerdiLEszyqiyds31RH/Ul9rosowydhTWcMqQw88O6AybyCOJwDa/3mLScPQQM48/rOWTpwL422Nl7Q4sAoRiJpuKNr1WCPX65f771Ty9Uv/Vk90l3wOXuX6+DPiu5QuEEL5CCEvDz8AcYGtb39+XFdXUe2Qx2FFUQ/2hMmQPAHPnqpUAf/pJrcx2223trygo9X9TpqhTPecmeeYf6cxexO92eVZL+HxboZdXSl0tymIgPsB9dIxG0Ka8Sd7sLqnxWPbD7mKvhQ46Q0PF80WLYOFC9WvYsC75KKkPS02FxYthwQK1rXz/vZpruasMCvSMOh+fFESYuW2JjdPLaj2uW3IqbWRXdCzoL/UuSc3ax5hIP1Zmet5o/rK3xGNZZ0tIgE8+UQsazZ+vViaVKR6krhYSAo8/DitXqufv9evV688vtnteB27IrWR4aFMPz+nDQvH3kXm8+6P7Fh/gwrTwdgWqO8qGg32UkNiO4CKA/94otrwWyzn3FhIc2bEgdzBmMtsYXAQ1GB8aqo40l/qvngwuPgnMFkLsAWa7HiOEiBZC/Ox6TQSwQgjxF7AG+ElRlF8P9f7+wtsNcbTFQLRlYOco0mjUm6uTToIJEzo2EkMaGAYNgrNHhXD+yKYezrNTwzhtyKETHrfHtHjPROPHDQrstPVLbRfpZ+TjM1OJ8lODHr56Le+fMZzhHaxIG+vlWDshykJAF94MREaqid9nzYKow88ykQaomBh1lNbMmWoRs640LsqPZ2YnYdCqs4CGhZp4dnZymytfRvp5/h/5G7WyEEE/MSHaj8eOG4ReI9hbUsuoCM/e3ilx7U9L0RGhoeoIxtmzezbPuDSw+PvDUUepgx8aRpXPTAz0eN34KAsHXYVcjk0I4G9jo9DI0rn9zsrMcvaV1DI7uXuSve6mhEj8MNK2c7LTAb+8GMpvz0Qw4sosNpeXd/izwzCTQfvef8kl8NBDcvRif9ZjXSaKohQDs7wszwFOcv28Hxjdnvf3F6Mi/LhrajxP/nEQAKNWw1unDR3wwUVJao/4QB/ePHUYd01NQFEUBoeY23xT3BanDw3lw835bClQkyAPCzVz3siITlu/1D5T4wNYe/V4siqshJh0JAebEB28eJ8YbeGc1FC+2K5Ocw/00fHk8UlY2pDkXZL6C4tRxy1HxXLy4BAqbWphozDfto1aBEgNM/P08UncsVDNfavTCN46bShJQX14Hr7UKMBHz+1Hx3HGsFCqbA50GsHi9DL2l6pBlPFRfsxN6Z6pgZLUW5w3MoJPtxWys0idAZEW7suloyO4MC0cm8NJSrCJAB/ZwdIfPbHiIGenhqHTdE/geAeFxNG2iuqZW3z46oEIHDbBWQ/lo/EVfLyilhPG+BHo2/57o0j8+I297XrPqFEQEQHvv68WBZP6H3mX1EsF+Oi4e1o8pw8LpbDGRnKQiaGhZuodTvaX1uFUFJKCfNpUhc/hgMxMNQ+j7M2VBhpfg5YhISYOlNWRXWklOciEttlJv6wMiovV6S2Bge1b95BQM79dPIrthTUoisLwMDMx/n22mEu/EONv7JQqtJEWI6+eMpR/TI6l0upgSIiJMF89WwuqMOu1vTo44nSqx3wh1GO+HBzR93TkXN9VdBoNwztQyCg/H6qqtFw9OoaZgwLJq7KREODT4dHEUu+k17q3j6WXj2FHYQ1ajWBYiBlrsZHM6u65/kwvq6XS6iAuwEigDN5I3cDhVNhfWovNoZAY6IOvQcuwUDO/XzqK7QU1CCFIDTMT1YbBIdXVkJenjobs5WkFJS/2ltSw4mA510/ouqknDgesW67jjwV69u/Usb9sFAatIDdIEBxTT3iSjeC4eiyhdnRGhdoKLXm7DWxdYKEow8D408sZcVwVQgOgYWiMkcXbqjhjUtsClM2FYaaYWmw4MLRx5CSooxcfflgtwiXz4vY/MrjYi1mMOibHNk0nyauy8tyfmby4OhunonD5mEgeODaR+ENUj87OVotbvPSSmrD/scfgoovaH0SRpL5qf2kt/1q4n8+3F2LQCu4+Jp4bJ8USYtazbh3ccIOav3P8ePjvf9tfeTzKYmzTRaPU9wSb9Bzjqmq7o7CaUz7ewvKDFfgZtDw/J5kL08LxPUxxru6Wnw+vvgpPPaV2KN13H1x5pTplUOob8iqtPLMyk5dc5/orXOf6uEOc63sTux1++UU9tmZnwxlnaHn8cX8mDO3pLZO6Q6y/D7H+PmRmwnMPqdXMzWZ44gm48EI1cNLZrHYHX24v4u8/76HcamditIW3ThtKWoRf53+YJLmU1NbzyrocHlmagdXh5IxhITwzO5nkYDPRFh+iLW0/Zu/YAbffruaUHzQIXnlFneIvi0n3Hf9encVJg4Mx6bumM/CPBXr+96gJgw+MmljPsXNtZAZsYIojHkOFmYpCHXl7jOxdbaa2QovDJjCYnQRE2EmdWUX8mFq0LS5Zx8T78NnKcmaP8sPPp33brUVDGGYyKSeZto9QT0tTU7rI0Yv9U++6K5IOadGBMp5dmdX4+O2NeYyO8OUfk1vvDv7iC3j2WfVnmw1uvFE9aZ10UldvrST1Du9tyuNzV3Jtm0PhwaUZjI2yMM43lNNOg9xc9XXr18Opp8K6dRAf34MbLPU6dXYH9y0+wPKDauLqKpuDa37czdBQU2Nhi54eXdbgl1/gwQebHt95JyQmwrnn9tQWSe01f38pzzc717+1MY/REX7cNDm2B7eq7TZvhtNPV0fQAnz9NSgKfPRR365KLrXP55/D88+rP9tscP316rGoeXXzeoeTfaW1OJzqMbSjN+V/5Vdz8Tc7Gh+vzankH7/s5fsLRspUFlKXWZVVwb2LDjQ+/mZnMUNCzDwxK6lNKVkOlNZSaXMQpvXhppt0/P67a/kBOOUU9bpUFibqGyqtdj7cXMBrpwzu9HXbrPDv+82sW6HjzMusDElzIARUU08tJQwiEQ11HVq3n0lDUoSBpdtrOHmcpd3vj8CPA5S1K7gIcvRifyb7Q/qQH3YVeSz7eEshxdXeqy5WVcHbb3su//VXz2WS1B/lV1n5ZGuBx/Il6WVkZzcFFhsUFsL+/d20cVKXy66o49c9xXyzo5DdxZ7Vn9sqv8rmtTL4qqxKRr6ylpGvrOXmX/eSVdGxi7vO4nTCO+94Lv/88+7fFqlj9pbU8PUOz0qjH28twO5wtnt9DqfC5rwqvtpeyOIDpRTXdH0W9Z07mwKLDb79Vh3FKA0M5eXw1lueyxcubPo5v8rG3b/vJ+2VdaS9spZrfthFRllthz5vb4nn+5ZklJFbJauSS11nVZZnMYvPthVSXNv6cTa/ysrS9FJeX5/D2NfWM/rVdXzyZ3ljYLGB3Q67dnX2Fktd5aMt+YyO8CW8HTmJ26K2Gu64zI/sdA23PlrD0FGOxlQ3WZQTge8RB3PGJvrwx64aam3tv8aIxJe9lLT7faNGNY1elPoXGVzsQ9LCPad3jIrw5a1NeZR4OZH5+MCIEZ7rGdz5nSqS1CtlltcxLNQzv1esxQjGeo/pJkLIlAH9xf7SWk77ZCtzP97CmZ9vY9IbG1iXU9GhdQX46BgZ7plzTgBORf16bX0uv+xp/wVWe5WWqvkUvVXa02hgtJcSaGlpXb5ZUieosakjZBMCPafSTY0LQKdt3yVbRQX8ubWOf/y4j7O/2MZx7//Fzb/uoaiVDsnOEuxlAENUFPjJGaoDRkm9lUGDHR7Lk5Obfl6cXsqzK7OwOxUU4MMtBXyx3TOw3pLTCVlZamdggwhfz6EviQE+BLZzmp8ktceQEM/rgvFRflhaKRyYVVHHxV/vZPnBCq79cTflVjt6jcBsgnvugUmT3F/v7Vgq9T6KovDymmxOHRLSqeu1WeFfV/nhY1a49OY6fFqM/D9IBSEceQ7jQF8tcSF6Vuxsfyd8NP7swbPzvS0uvVQdvWiTfUD9igwu9lI2u5NVWeW8vTGXb3cWkllex4gwM0NDmg4iUX4GzkiKYEdhNX/lVXmsQ6eDW291v6BPTIQ5c7phBySpF9haWMOxiYGEmJpuPEaFWNAdCCGrzMbni6swNOtkvOceGDasBzZU6nTLMsrY0Oy4WG6188wfmRworeGbHYW8szGX1VkV2NowGizQR8+/T0zBpGs6ZZ6YHIxeK3h6dhKhZrV9fb7Nc5RsZ3E4YMECmDZNbaM33QR7vRTpu/JKCApqehweDmed1WWbJXWi/Gobn24tJDXUzJCQpruIGIuBy8e0rwr9ypVw4okKcyabMP0wgidHjUQrBB9tKWBTftP/xc7Caj7Zks+Hm/PY5qp6f6TGjIG5c5seCwH/+x9ERnbK6qVeotpmZ3lGGW9tzOXH3UXkVlobn1uUWcLsS6vwbRZ7GZSkMHNm0/H2172enTGfbC2grt6BzeFkaXoZV/+wk2t/2MXyjDLsTieZmWoe2REjYOJE+PJLqK2F0ZF+XD6mqYEZtILXThlCuK/MhywduXXZFdwxfy8XfLmNH3YVUWG1A3BMfABHN8uNH+Sj4+8TYxB4nxK9LqeKhQdKqbOr/wcRvgaeGTKOV+4I4vnn1U6Yhx5SX3vmmd47C6XeZ3V2BRVWB2OjOq8HTVHgif/zRaOBc/9m9Zp78yDlhHVCcBFg3CAflm6vxlrfvtGL0VjYR2mHPjMtTW3z3mbcSH2XTETSS/24p5izP9+G4no8MdrC0xNSObV0NOcnV6GgUJ3uy10X+XD2E9UUtDISYdIkWL1azYFkNKoX/YMGef/Mohobe0tqMenU6rpdlZBWkrpLhK+BG3/ey1snpLJrj4Ji15C9wZebXzAyeqyTKf86wO8btBzYYCIuDsaOVUf8Sn3f7mL3aXL+Ri2nDw3h7M+3NwYdBfDd+SM5dejhq51MTwhk3TXjWZ1VQWaFlS0F1dz62z5MOg33Tk/gnkUHOCa+/dX22uqvv9RcuXb1nobXXoOaGnjjDfXY3mDMGFi1Sn29EOrjlJQu2yypE/kbtcxMCGBfaR1zkoO5YKQeBQWHUyGv0kpCgE+b8sft2aPmtauoUG9wf/1eR15WMGfdEsnn+3MpqFaHvf6VV8Vx72+ipFZtVBaDlkWXjWZCdPsqbjRcO/jotAwJ8SEyUsfbb8PGjVBcrAbD5U1y33WwvI7McishJh2DQ8xoNQJFUfhwcwHX/bS78XWnDw3hjdOGEmo2sLOwhrf37eOf7wzBmeeLRq+gjaoiMjGEhnEN46MsvPdXvttnTYiyUG1zsKWwhuPe29R4DfzmxlxWXjmWBe8H8Pjj6rKKCjjnHFi2DKZNM/D8nGSuGBNJcU09g0NMpHagwrnUP1XU2dlTUosCDA72IaCNlcRtDifLM8o447NtVNrUkbifbivk/dOHccnoSBIDfXj7tKH8uLeY8joHdqfC6Z9u5b0zhnH6MM9yzw0BeKNOPTZfn5DCXZdaqHNlVPnuO6irg+XL1VlmshBb3/DquhxOGhyMpg15Ntvq41eMpO/VcsM9Na0W9cmikjTa1/HYmhCLluggdfTirLS2B0mD8MGOk2JqOjSK8tJL4ZFH4LLL5P1XfyGDi71QfpWNm37Z03hRBbCvtJY9e+DZ+42Ae0/s1XUWUoLVxMAGrYYYf/fnU1PVr0PZXljNhV9t56/8agRw06QY7p2eQFgn546QpO40LsrCCSlBZGw18sDl7ifLvzZqOLXen0JNFZdcIqsM9DdT45oCfWa9hodnDuJAudVtNKMC3PzrXo6K9W/TsS7GYuCFVVlsaTbCq9bupKTWzogwM3OSu24O044dTYHFBh99pBZvSUpyXz5kiPol9S0hZgOPzUpi7kdbKLe6/7F1mkSq6xXmDTv83ebu3WrgpblNGzScqgtGkMvgYPV498X2gsbAIkClzcFbG/PaFVzcWVTNxV/vYH1uFQK4dkIUDx47iMhIg9voxebK6uoprqknxKQn0CQzufcGmeV1OBSFOH8ftJqmG+QVB8s487NtFNbUY9RqePmkFC5OiyCnysZt8/e5rePbXcX8I7+amYMMTE8I4NmVmTy0fXvj8w8OSySwWVDnxJRgRob7stV1PI22GEgM8mFxRhlfbi90uwZ2KrB2r5VXX/Xc9pUr1RHdQSY90xMCO+X3IfUf6aW13PLb3sa8yXNTgvnvSYMZFHT46741WRUsSi9rDCw2eHBpOicNDibEbGBXSS3/nO+erPumX/YyJdYfq11BQSE+wAchBMPD1ODLmuxKzk4Nw15gagwsNvjtN3jhBYjonJiR1MXK6+x8s7OId+cN7bR1blql44u3fLjl4Rr0rVya1lBPNTYC6byI3IRkH75fV8nRQ82YDG2b3CoQxBPAToqYSvurYaamqoOeXnsNbr653W+XeiE5LboXqql3kF/lnlBLIPDxVTxeq9PB8GgjP+wqYsjLaxj16lre2pBDZYsbk0Opdzh59s9M/spXL/AU4KU12azK6lh+MknqLUx6QaSvgcGRnmdnoxGcOgeldXb2lnS82IfUOx0d589Txydh0mm4YGQEL67KotbuOd0ju9JKrd0zN5g3CgK70/M47GfQcNLgEA6Udl1BF38v8Z7wcFl9t7+J9TfiVDzbGMB/12a3+lxz3tqK0Qhag5NPzhrO6Ai1o6Xl6F5Qg4Vt+QwAu9PJf1Znsz5XDdgrwKvrcvkz07PIQYPVWRXMfv8vUv6zhjkfbmZttrzO6ElltfX8d00Waa+sY+jLa7hr4b7GwlR5lVYu+Xonha4iQFaHk2t+2M22whpq6h1U13seN8ut6rKpcQE8PmsQJp0GjYCL08K5dLR7tGRwiJkHj03gwRmJ3H9sAheMjOChJRl8uLnA63G2ymkj3su9qwzCSIfy094St4Jsv+wt4VsvBTK9WXigBG+HQ4eTxuB3eZ3n/VZelY0/MytI/d9aUv+3lseWZ1BQZWNitIVXTxnC0vQyqmwOhkR7XpsGBMjzel/yydZ8xkdZCOqkjrLyUsGjN/ty3tV1BIa0fi7OpIIwzJ0ayAn20xIbomfx1valSInDn5207X/Km8sug8cfVwvRSn2fDC72QjEWIxePCndbVlZnJzzRxpkXuQcd77zHQZa+lIeWHcTuVCiptfO3H3azOruyzZ9XUlvPL15y32zO75z8S5LUU3QaDX/lV/FZwQHOOc/9Ruj6O6wsKs/BV6/l8eUHqT9E7r3S2npWZJTx695i9pfKQGRfEGTS88+j49hy/QQuHxNBelld441uc1eOjSLK7/B5ufYU17Amu4LbpsS6LTdoBT46Lc/8mYlR13Wn1HHjYMoU92UvvaTmq5H6j1h/I3dPc4+gBBh1KCiEmHStZPJyN2IEnH+++7KHHnHyt+MDOW9kBAZXO71gZLjHe/82NqrNU7vK6+z85KWI0fpc79cfmWW1LNhfwgkpITw0IxFfg5Z5n27t8SrrA9mfmRXc+Mteyq12bA6FZ1dm8eveErbkV7Exr4r0cve/jYI6TTo+wIdjE9zTQJh0Goa6coUGm/XcOTWeLddPYNsNE3nztKFeR4oV1NTz4JJ0Hl6awXMrM7E6nGSV13HN+Gi31wlg8iBfHn1U7VRvMGgQTJ3aKb8KqZ/6eY9nsYnvdnoPhJTU1rPcda2XXlqLr16Hn0Hrlm8Z4J5p8YSa1cBgapgv2hbHzAtHhvPY8oNU1zuoqXdy3+J0fttfgq9Bx7Xjo9l8/QSen5PMjMl6TjjBfRuef17Njy/1Da+ty+HElKDDv7ANFAWe+5eZtAn1DB9z6E7vLCoIo/NTP0xKMbF8Zw3lNW3rdAeIxZ9tHL4YV2tSUtQUPs8/3+FVSL2InBbdCxl0Gu6bnohRq+H9zfkMCvTh75Ni+HpfHpf/XxTHnaSnNF/LsCEaxo1TOO6zdI91/HGwnOOT2nawC/TRcUx8AF+2qNI3LFR2nUl9m1mv5b7picz9aDNf3RbBKWebyc8VmMKtVIeWcUVgJPvLavlwcz4PzkggPsCzzedVWrnlt718tk39/wjy0fHrxaOYFNO+vGRS99MIQXKwGZNeS4zFyLub8nh6djKvrcshq8LKJaMj+Mek6EOuQ1EUthRUc+KHf5FbVc+sQUH8Z24K3+4sIsBHx9S4AJ79M5N4fyNjI7uuHG5MDHz2Gaxfr+axS01VA45S/yKE4MoxUQSb9Hy0JZ9YfyNjIv14YvlBvj9/JOIwgT+nouAfqPDiixouuQSys9XcXePHa7BY1CB6SU09ZoOGGYmBvHLyYB5Yko7dqXDPtAROaMfUfn+jjuMGBfLOpjy35aMivP8fbMyv5vHlBxtHEF88KgKjVsOB0jpi/WWypZ4wf797cDjGYqSszs6419dzzfgooi0Gcirdc3rH+BvxN+p45eQhPLQ0nW93FpMWbub5E1IYHuaLoiiU1tZj0mlIDj50Dq5p8QEE+egobTb668GZiUyPD2D+xaP479psNELw94nRHB0XgC5BzSm7eTP4+sKECZ5pISSpuVmDgvi5RSeIt+NcbqWVm37Zw1c71MBjsEnH1+eO4IrvdvHIcYNYnVVBYU09l42O4DRXnmZFURgZbubHC0dy6297ySizcunoCGYNCqKkzo6hQGBzqKPP3v8rn0tGqUWHEgObrjXffls9r+flwfDh8rzel2zMrSS/up7xUZZOWd/C7wzs3aHl1kcOP4ihM4u5NOdv0pAaa+T7dZVcMj2wTe9JIJBP2YYTBU2bukA9XXYZ3HgjXHedOitH6rt6LLgohAgGPgMSgXTgXEVRSlu8ZqjrNQ2SgPsVRXlRCPEgcDU0hsrvVhTl5y7e7G6THGzi0ZmJnJUayvKMCv7Kq+To+ADuX7Wby8dEcvkpYcT666mtdzAs1ERGi97lhMC2V8jLr6rnmDj/xkIFAKcMCZEX+1K/MDMxkKWXj6G0rh5SitHH2on0N1Bn15FZbuXTbQUMCvLBt5UCRutyKhsDiwCldXbuWrif7y8YiZ9B9s/0BdEWI++dPpTTP9vG/YsPcNbwME4fFkpRlY25H23luEGB3HpULGktgiLrcip5dV02O4tq+du4aLYX1vDVjkKWZZTxxTmpFNbU80dmBReNiuCklGCSgru2QyYuTv2S+rdwPwNXj4tiWnwASzPKKKiu5+eL0ph4iFyIDqfCyqwKXl6TRWFNPX+fGMOs44II8Gk6RmWU1fLB5nze2ZTHsBAz905P4LoJMcwbGoqiQLR/+yrr6rUabj0qlqUZZex3pQQ4OzXULd9pg4IqG7f8utctNcGHm/N5ZOYgt22UuldKi2PWRaPCeWhpBnanwkebC3hgRgL3LUqnut6BRsDTxyczMly9oR0e5st7pw8jv6oef6OWQJOeA6W1rMyqYENuJX9kVjA9PoDLx0QyvJXiKiPD/Vh6+RgW7C+luKaeOcnBTI6x4KPXMjs5uLGTvHlQffx49UuS2mLe0BC+3lHIH5lqCoaJ0X6cnepZbGVtTmVjYBGgpNbOv1dn88U5I1icXsq0BH+mxQeSGuaLQathbXYFr67LYU9JLddPiOLXi0ZhtStsLazi3kXpmPVaHj1uEB9tLuCv/KrGdBQtRUerX1Lf89r6HE5MCXbLU9tRxQWC/z5s4qp/1raaZ7G5TCoYgWc77gzjk4x8+kcF+/JsJHtJK9WSP0YsGEinlCQ6lns8OhqOPx4eeABeeaVDq5B6iZ68orsL+F1RlCeFEHe5Ht/Z/AWKouwCxgAIIbRANvBNs5e8oCjKs92zud3v3c353LGgKUnwJ1sL+efRcdzy2z5WZVXy9ryhmPRa7j82kWUZ5Y0X7cNDzUxrR9XS4tp67lx4gKvHRxHko0OrEazKqiCvytrp+yRJ3c2g0zAtIZAnV2Twr98PNC436zV8elYq2xbX8NOFaYSYvZ9AGwLuza3LqaSszi6Di33IrKRgNl47nvSyOvyNOp5feZDPtqk3Eu9symNZRhnLrhhLtGt015b8Kma+t4kqVyL3PzLLufWoWGIsRrIrrazNqeKx5RnE+hs5KsYiK5NKnUpN/u/balCmpXU5lcx8b1NjrrpFB8r49KxUznNNfa53OHl2ZSYvr8kBYH9pHUsyyljzt/GMCO94202L8GP5FWPZXVyDj07DsFCzW9GOBqV1dg6UeU5/9jdqG6fSSt1vdlIwiYFG0svU85yPTtN4zCu32nlyxUH+MTmGMRG+DAn1ZXioCaOuqSPOqNMSH6g+ttodfLIlnyUZ5SzYr44VWJVVwbc7i1h8+WiiLd47rNMi/Dw6dhocbqSuJB1OcrCZb88byc7iGhQFhoWavRZwS/dyfFqcXsZ/5g7mn0e7p6r4K6+KGe9toqZeve9afrCcF09MIcZi5JwvmooYrc+t5OnZSWStsHLJKDkcqz+ptjn4dGsBb5x65NXzFAWeu9vM5Bn1xCW1nqKpQS31VGEjoBOLuTRn0GmYOtTMp3+Wc8e8EPTaw6f8SSSQLRR0OLgIcNFFcMUVcNNNhy9EK/VePXlnPA+Y4fr5PWAJLYKLLcwC9imKktG1m9U7ZFdYeXz5QbdlVTZHY6L1z7YVcM+0OApq7NTUO1h6+RjSy+owaDWMjfQjPrDtB5xoi4EoPwMvr8luXKYVgidnybkmUv+QVV5HcY2dB45NREGhvM7B/9Zms7O4hr+uG8+w0NZvrod4ufE9ZUgIYWZZ5bSvSQk2kxJsZlVWeWNgscG+0jp2F9c0Bhc35VU13mQ3eHdTHheNiuDlNdlMibXw4RnDCTHpGBPpR4RfG7qagf2ltewoVAMxI8PNRLQh36MkARRU29haUE1NvYPhob4kNxt1tmB/iUcRjCdXHOTkwcH4GXVkVlh5bV2u2/M19U62FlQdUXAR1JHBDf83rYnw1TMx2sLaHPd8jOOjLG7BKql7DQ01s+jSMWzKq8LmcDI01Mxr63LJr1anQhdU1/Pcykw2XjvhsB0o6WVWrE6lMbDYYHeJeswLMOrYVlBDbpWVxEATqWHmNt20StKRCvU1cIyXgGJzw0M9p5ie2sq13sa8ysbAYoPHl2fw94meQxAPlllZf804au1Ovt9VRJCPjhFhvgTLa8g+7bNtBYyK8PMaqG6vRT/oydjbtunQAAddxVy0HZyC3BbJkQb25tXz4/pKzph0+AFLiQSykTzmMazDnxkQABdeqFaNnj8fZN9S39STwcUIRVFyARRFyRVCHK5L53zgkxbLbhRCXAqsA25rOa26L9MI0HkZZi1cB5IbJ8Vw4y97WZqhVmUMNumYf/Foxke3P+9DhJ+RT84azrlfbiezwoq/UcvrpwwhNazzczlIUk8oqqnnu11F7ClRq6PGBxi579gEjFoNI8IPnSdvQrSFx48bxANL0ql3KkyMtnDv9AR5Q9yH+ejUS7KWdfh8miVt9zbNRa8VKIrC83OSmZ4QiMXYvlPoxtxK5ny4mSJX9dWpcf58eGYqie3oDJIGpoNldVz27Q6WtHLON3gJ0hh1onHkl0Ej8DNo3XLbgTqdutpmx7eLR2EHmvS8esoQzv9yO3tKajHrNfz7xBTGRXVdnlKpbQYFmdyKrXx+Tirnf7md3CobgT463pk3jKEhrV8PZlXUsTKzAo0QaIVo9dj6wqos7lucDqjXuB+dOZzzR8pSz1LvMDHGwqMzE3loaQb1ToXJMRb+dUx8YwEsgJxKK6uyKiiv8yx2oddo8NF6XhfG+RvIKLNy4kebm/LNpoXz3AkphHdCYErqGS+vyeacEUc+Lbm0SPCfh8xccWstujbGmzMo65JiLi1NTzXx+coKhkQZGRF36OvUwQTzG/tQUBpjFR1x+ulwzTXw/fcwb16HVyP1oC7tMhRCLBRCbPXy1a7mIoQwAKcBXzRb/AqQjDptOhd47hDvv0YIsU4Isa6wsOPVjLpTlMXI/dMT3JaFmPQ4FAWdRjAkxNQYWAQ1N8hjy9Ox2tte3am5o+ICWP23caz92zg2XjuB80ZGyB7lbtIX22dfM39/aWNgEeBguZXMciuTYg4fjA/w0XP71Dg2XzeB9deM59eLRw24KbD9rY0OCfHh2gnuZZbPTg1lWLORCynBJoJN7gGXfx0Tz62TY/nH5Nh2BxYbpqU2BBYB/sisYFlGWft3QHLT39qnN39kljcGFsHznD9rUJBbcBzgnmkJ+BrUm93YAB+eOt59NkJKsIk1OVVueca60rgoC39cOZa1V49j07UTuGpsFKZWct32N32pjU5PCGTt1eNd14PjOX1YaKs5xYpqbFzzw27O/XI7ty/Yh79RyxnDQ91eM8EVQG4ILAI4Fbjmh93sb3ZelnpOX2qfXSXQR8+dx8Q3Xuv9ctEot7QUZXX1/N9veznr822U1tkJbJEr9qEZCRwd7+9WOdpi0HJiSjB//3m3e77ZLQVszK3q+p3qR3pTG12XU0F+tY1JHRjQ09IL95kZP9VOQsrhp0M3SKec8G4ILpoMGmaP8uWTP8opKLcf8rXBmNCg5oI8Ejod/P3v6tTomrYN5JR6mS7tqlYU5fjWnhNC5AsholyjFqOAgkOsai6wQVGU/GbrbvxZCPEG8OMhtuN14HWACRMmtOxQ7bWiLAYePW4Qa7MrSQn2YXKMP39mlvHjBSP5M9Pzn3dtThUVVgdhHRxRFWUxEnWYqU1S5+ur7bMvWZVV7rFse2ENqzPLmRTjj+YwY+91Gg3DBlhAsbn+1kbNeh0PHJvI7KRg1udUMirCl2PiA9xyxe0uruG2KXEcLLeSV2VjYoyF7YU1XDEmskPJuyttDlZnVXos315YfUT7IvW/9unN7hLPq+zm5/zx0RaWXT6GL7YXUlRTz4Ujwzk63r0AzPkjwwn00bFgfymhZrWz8rk/swg26Tg+KeiwU5s7Q5ivoVOmkfU1fa2NxvgbiWlDgZ9tBTX8sletxHugrI5f9pZw+ehIjo4NYGVWOUfHBXDa0BB2FXm230qbg+LaepKQOTd7Wl9rn13lUNd6OwprGov7Pbcyk7unxZNeVkeVzcEFI8OZHh+I2aBhxRVjWJxehtmgYUZCEBajlm2Fnu1f5rVvn97URv+zOptTBocccSGXJT/p2bNVy62PtT2KpqBWih5P1GFf2xmig/RMTDbx2oJSbjk5GIvJe4xBIBhCCOvIJp62133wZvx4GDYMHnwQnn76iFYl9YCenBb9PXAZ8KTr+3eHeO0FtJgS3RCYdD08A9jaFRvZk/aV1PLA4nRSw8ysya7g5TU5jIrw5d7piTi8dHCckxpKiMzhIUke5g0N5ZudxW7LpsT5M39/GX+fFItGKxN7DDSRfkbOHB7GmcO9T2sprrFzz6IDRPkZCDXr+XF3MRF+eh49LrFDnxfko+PcEaE8sSLTbbm3yrqS1NLEKM9K0eemhrmd8yfG+DMxpvWK0hajjnqnwne7iqiwOqhzjaQpq7Njtbd91IQkNaipd58tM39fKQv2lbLl+gncdnRTaXubQ8GgFdgcTTGBOH8jse2sUC5JPaV5jsUqm4O7fz9AtMXALxeOYlRkU3qHo+ICOKrZeb3a5mDu4GB+3lPitr6UYJl6qi8qqLbx7a4i3j+947kFAUoKBS/eb+byW2oxtKO/rQR1tLc/3XfsHBFnpNrq5H/zS/j7CcH4+XgPMA4mhFVkcyZHXo3luuvg6qvVHIxjxhzx6qRu1JPzXp8EZgsh9gCzXY8RQkQLIX5ueJEQwux6/usW739aCLFFCLEZmAnc2j2b3X2OiQ/EqShsKagmt8qG1eHkorQIQswGjor15+EZiRhcQZG5KUFcPyHmsCOwJGkgmp0UzDXjotAIEMAZw0Kptjm4ZHQEOjn9X/JiUowFjYDcKhtbCqpxKAr/mBRLuG/HLuiEEFw1NopTh4QAoNcI7puewJS41oNBktTA2zn/ugnR7T7njwjzpbyuKbAIcM34KBnkkTpkaKiZEJN7p/axCYEe7WlYqJkvzxlBqCsYPijQh8/OTpWzZaQ+Y0iIidgW7TXC10CM/6EjQ74GLU8fn8xE1xRaX72WV08ZwtiogTsbpi97ZW02xyYEEuDT8fFZigJP3W5m0rH1JA5uX8deOmVE4teFpVy8m5jsQ3Sgnpd/KaG8xnsKtiGEsIUCbHQsRVtzwcFqcPGSS8BmO+LVSd1IKMrAGv0+YcIEZd26dT29GW1iszv5Pb2U+xYdoKjGzs2TY7ggLZxIV3VRu9PJvpI6bA4niYE+7c4BJvWIQ54P+lL77GvK6+pZlVXBloJq1mRXcmJKMKcPCyXYJEf7NnPY65WB0kbrHU4Wp5dx36ID5FXbuGlSLBelhR/xzXC1zcH+0loMWg3JwT7oNDK43U4D9hjaGed8RVFYcbCcB5aks7u4livGRPC3cdEkyKJCnWXAHUM35FTyyLJ0VmdXcsawUP4xOZahXirvAhwsr6Okpp4oi4EIPxlY7CED9hh6pP7Kq+Lx5RksO1jOyYODuW1KnFtexkMpq6sno8yKn0FDUpCpsdiW5KHXHkNr6x0kvLiKp2cnHVEhvm/fN/LN+0ZuerAGXTtP45+zHQGMJbLDn99RiqKw4YCVHdlWrj0+iKggz/unl1nDNYxnEjGd8Hlw330wfTo8/vgRr64zyX/eQ5DRqF7MoNMwNyWEY+ICsNqdhLbIU6TTaFq9gJMkyV2Aj54TUkKYHBvAlWMUgmUKAekQ9FoNc5KDmRLrj9XhJNTcOXnifA1a0iJkhVyp/TrjnC+EYFpCID9ckEa1zUGYr17e5EpHZFy0hU/OTqW8zk6IWX/IDpP4AB/iA2QgW+qbRkf68f4ZwyirsxNs0rer8GWgj57ASHnd2Ze9tTGXYaHmIwos7tuh5e3nfbjxgfYHFgH2UMxxJHb484+EEILxST74+Wh4+dcSzp8aQFq8++9iGKGs4GCnBBeFgP/7P3WK9Ny5MG3aEa9S6gZyyEQfYDHqPAKLkiR1TKCPTgYWpTazGHWdFliUpN7C16Al3M8gA4tSp/DRaYnwM8qR2FK/Z3S19fYEFqW+z2p38uSKg1wwMrzD66iuhPuv82XexVbCo9o/c7QCK1XYCKZnBxYNjTYwd6wfn68s58f1FTicTfuSRjgrOIiTzpkZGxwMt94KF1wARUWdskqpi8kjoyRJkiRJkiRJkiRJUguvr88hIdCH4WEdC+w5nfDoLb4MGupg/DH2Dq1jN8XE4I+2F8zKjQzUcc5R/uzOtfHK/BIqatU8ixH4YULPNgo67bOmTFGnRp97Ltg79quTupEMLkqtcioKe4pr2JxfRYW1vqc3R5K6VGG1jU25laSX1fb0pkj9XG6llY25lWRXWHt6U6QBprbewdaCKnYWVVPvkBWipUOrrXewraCKHYXV2GRFcUnqEHl92bdVWO08ujyDK8Z0PM/h60+ZKMrTcPqlHb/u20YhsfSeIoBmo4ZTxvkR5Kvl2e+L2ZunVl4ZTQQL2N+pn3XVVVBdDf/8Z6euVuoCMuei5FWF1c7bG3P51+8HqLM7mR4fwGunDGFYGxMXS1Jfsj6nkou/2c7OoloCfXS8dsoQTh8WikFOe5E62bKMMi76egdZFVYi/Qy8d/owZicFyempUpdLL6vlroX7+WxbIVohuOWoGG4/Op4IPzntX/KUUVbLvYsO8NGWAjRCcNOkaO6cGk+krPAsSW22LqeCi7/ewa7iWoJ8dLx+6hDmDQ2V06r7kIeXpjMh2kJKsKlD7//2fSNLftRz4wO1HcqzCOBAYRfFnENqx1bQRTQaweTBJiIDdby7pJTpw30ZOyqS/4g13MQk9Gg75XO0Wrj3XrjpJkhOVr9LvZM8sklercuu5Nbf9lHn6qledrCcx1cclD3XUr9TXGPjiu92sLNI7VEuq7NzwVfb2VZQ3cNbJvU36WW1nPnZNrJcIxbzqmyc+dk29pbI0QxS1/tsawGfbSsEwKEoPLcyiyUZZT27UVKv9eX2Qj7cUoCC2l5eXJ3NovSynt4sSeoziqptXPrNTnYVq+f40jo75325ne2F8vqyr9iSX8XbG/O4amzHRi3+9rWB9//jw9/uqMXPv+N5CPdSgj9GLPTOzsCEMD1nT/bnr4xavlxgJazWnxUc7NTPsFjUqtGPPgoff9ypq5Y6kQwuSl7tKq7xWPbNziIKamw9sDWS1HVyKm1sKXBv704F9pfKgI/UubIqrBTXuqeYqK53cLBcTo+WulZtvaMxsNjcb3tLemBrpN7O5nDwqZf28sue4h7YGknqm3Iqbewo8nZ9WddDWyS1h83h5JJvdnDV2CiCTe0vBPnzZwZefdzEtXfVEhpxZAVONpBLEkFHtI6u5mfScNoEC35GDbk/xPB+3r5O/4zoaHjySbjlFvjkk05fvdQJZHBR8irG33Pay9hIPwKNcia91L8EmXSE+3peNETKqYJSJwsx6TG2mAqlERDmpf1JUmcy6jQcEx/gsXxCtKUHtkbq7fQaDcfEe+b2mhDTe/J9SVJvF2TSEWr2PL/LVBR9wx0L9mEx6jhpcPuCeooC77/kw9vPm7j+7hoiYo5s1l8ddjaTzxCCj2g93UGrEUwZauK44b5kLAnkifV7Oz2/86BB8NRTahXpl17q1FVLnUAGFyWvJkRbOG1oSONji0HLU8cn4SeDi1I/E+vvw5unDUWnacp5d9uUWNLC/Xpwq6T+aEiImZdPSnGr8/fcnGSGhXSs+qAktZVGCK4eF0WMpemmdkykL3OSe/dICKlnCCG4amwUcc06mkdF+HJSSu+/uZWk3iIuwIc3T3W/vrzj6DhGhsv89b3dWxty+HpHEbcfHduunNjVlfDADb4s+tHATQ/WEB59ZCMWAdaSQwz++PXSKdHeJIYZmDxF4Y/8Eq77aTf7Ojn9z6BB8MIL8O9/w7XXglVOAOo1ZKRI8iraYuSt04ayraCaCquDoaFmhsgbYKmfOiklhA3XjGd/aS1hvgZGhvviLwPpUifTagSXjIpgXJSFzPI6YvyNjAjzxaCT/XxS10uL8OOPK8exvbAanUYwMtyXKFmcQ2rFyHA/Vlw5lm0F1Wg1gpFhvkR7mdUiSVLrThnSdH0Z7rq+tMjry17ts60F/Ov3Azw7J6ld9wIbV+p46nYzKakO/n5vDfpOiAXW42Qh+5lN8pGvrJuNMYayY+w2YrMDuOXXvZw6NJhLR0fio+ucIi9RUerIxWefhYkT4aOPIC2tU1YtHQF5dJNaFWo2cGxi3+klkaSO0moEaRF+pEXI0YpS1zLqtIyLsjAuSk5HlbpfQqAPCYE+Pb0ZUh8RH+BDfIBsL5LUUfL6su9wKgpPrTjIv1dn8eTxg9p87Ms5qOGNp0xsXqvjzMvrGDHO0Wnb9DsHCMFMJH1vtKsWDdNFAktjd3ND6GR+313BxV/t4MpxUZyQHIRWc+Qd676+cP/98MsvcOyxcMUValXpIDkpo8fI4RKSJEmSJEmSJEmSJA04WwuqmPneJj7dWsBLc1NICjId8vWKAts3ann0FjPXnmrBaFK44+nqTg0s7qWE5WRwDHGdts7uFo8/KQTzqc9fnD0qhItGRfDtziIu+noHX20vpMp25L8vIeCkk+DNN2HPHkhOhjvugIyMTtgBqd3kyEVJkiRJkiRJkiRJkgaEmnoHC/aV8saGHFZmVXBRWgTzhoag1XjPsVhbDds36li7XM/y3/TYbTBphp1/PVeNuRMHpiqo1aG/YgezScKfvp2OYjKxLCeDF1nNhUFp3DAxmv2ldaw4WM5bG3MZF+XHtPhAxkX5Eebb8RmTwcHwf/8H558P33wDY8bA8OFwxhkwaxaMGgU6GfnqcvJXLEmSJEmSJEmSJElSn7Uys5zvNlTgVBTsDrA7FKx2hVqbQlWdg/JqB/nlDrJL6imrdhJk1pES5sMJIYEU79Ly2qY6rDUaasu1VJdqKc/TUZqtpzDdSH2tBkt4PVFDraSeWklYog0EbK0AKtq2fQpKs5/BgRMnTmw4qcJKITXspRQnChNJwYEP6di75HfVnRKIQaGMF9iMH0YGEUhomJljgnzJL3Lw1qp8nizPBSAsQEuYn45AXy2+Rg1mgwajXoNBC1qtQCvUdAMIaIwDC7dvhB4P5x4rSN9s5I0vfLjvQSPWGg3+oXbCE+sJi7cTEG7HEuzE5O/AaFYwmBT0BgWtXuGyGQGkRfW9qei9gVCUI69i1JcIIQqBzhgoGwoUdcJ6+oKBsq/dsZ9FiqKc2NqTndg+D2Wg/D1hYO0rHPn+HrJ9Qpe00f78N+rP+wY9s3/yGNo9BsI+QufvZ08cQ9ujN/1d5ba0riu3p7uPob3td9sV5D52niM7hh6TPp4VCV2xXWC2gbYb4yb9MURzuMLbXbnPVh3Ut7GYzNlb4MtR61t59rBtdCAbcMHFziKEWKcoyoSe3o7uMFD2Ve5n/zOQ9hX65v72xW1uq/68b9D/9681A2G/B8I+wsDZzwa9aX/ltrSut23PkehP+9IauY/9U3/d5/64X/1xn/oqWdBFkiRJkiRJkiRJkiRJkqQOkcFFSZIkSZIkSZIkSZIkSZI6RAYXO+71nt6AbjRQ9lXuZ/8zkPYV+ub+9sVtbqv+vG/Q//evNQNhvwfCPsLA2c8GvWl/5ba0rrdtz5HoT/vSGrmP/VN/3ef+uF/9cZ/6JJlzUZIkSZIkSZIkSZIkSZKkDpEjFyVJkiRJkiRJkiRJkiRJ6hAZXDwCQohzhBDbhBBOIUS/q1AkhDhRCLFLCLFXCHFXT29PVxFCvC2EKBBCbO3pbekO/b3dNhgo7Rf6dhvur+2xP7e/vtzeOkt/bbfQv9tug4HQhoUQwUKIBUKIPa7vQa28Ll0IsUUIsUkIsa4LtuOQ7UmoXnI9v1kIMa6zt6Ed2zJDCFHu+l1sEkLc34Xbcsg22J2/l64mj5d910A4Vh5Kf2q7/bGtDvT22RvJ4OKR2QqcCSzr6Q3pbEIILfBfYC6QClwghEjt2a3qMu8CJ/b0RnSjfttuGwyw9gt9uw33u/Y4ANrfu/Td9tZZ+l27hQHRdhu8S/9vw3cBvyuKMhj43fW4NTMVRRmjKEqn3jy3sT3NBQa7vq4BXunMbWjntgAsd/0uxiiK8nBXbIvLuxy6DXbL76WbyONl3/Uu/f9YeSj9ou3247b6LgO7ffY6Mrh4BBRF2aEoyq6e3o4uMgnYqyjKfkVRbMCnwLwe3qYuoSjKMqCkp7eju/TzdttgwLRf6NttuJ+2x37d/vpye+ss/bTdQj9vuw0GSBueB7zn+vk94PQe2Ia2tKd5wPuKahUQKISI6qFt6TZtaIPd9XvpcvJ42XcNkGNlq/pR2+2XbXWgt8/eSAYXpdbEAJnNHme5lklSXyDbr9STZPuT+irZdvuPCEVRcgFc38NbeZ0CzBdCrBdCXNPJ29CW9tRdba6tnzNFCPGXEOIXIcSILtiOtpL/i72f/BtJfYVsq1K30PX0BvR2QoiFQKSXp+5RFOW77t6ebiS8LJOlxfuIAdxuG8j224sMwPYo218/MADbLci226ccqo22YzVTFUXJEUKEAwuEEDtdo0E6Q1vaU3e1ubZ8zgYgQVGUKiHEScC3qNOSe0Kf+l+Ux8tGvfZvJHk3QNqubKtSt5DBxcNQFOX4nt6GHpIFxDV7HAvk9NC2SO00gNttA9l+e5EB2B5l++sHBmC7Bdl2+5RDtVEhRL4QIkpRlFzXdNqCVtaR4/peIIT4BnX6XGcFF9vSnrqrzR32cxRFqWj2889CiP8JIUIVRSnqgu05nD71vyiPl0Av/xtJ3g2QtivbqtQt5LRoqTVrgcFCiEFCCANwPvB9D2+TJLWVbL9ST5LtT+qrZNvtP74HLnP9fBngMQJHCOErhLA0/AzMQS1g0Fna0p6+By51VUc+CihvmM7dyQ67LUKISCGEcP08CfU+qbgLtqUtuuv3InWcPF5KfYVsq1K3kMHFIyCEOEMIkQVMAX4SQvzW09vUWRRFsQM3Ar8BO4DPFUXZ1rNb1TWEEJ8AK4GhQogsIcRVPb1NXak/t9sGA6n9Qt9uw/2xPfb39teX21tn6Y/tFvp/220wQNrwk8BsIcQeYLbrMUKIaCHEz67XRAArhBB/AWuAnxRF+bWzNqC19iSEuE4IcZ3rZT8D+4G9wBvADZ31+R3YlrOBra7fx0vA+YqidMnUQW9tsCd+L91BHi/7rgFyrGxVf2m7/bWtDvT22RuJLjpnSpIkSZIkSZIkSZIkSZLUz8mRi5IkSZIkSZIkSZIkSZIkdYgMLkqSJEmSJEmSJEmSJEmS1CEyuChJkiRJkiRJkiRJkiRJUofI4KIkSZIkSZIkSZIkSZIkSR0ig4uSJEmSJEmSJEmSJEmSJHWIDC5KktQnCCHeFEKkHuL5y4UQ0d25TZLkjRAiUAhxg+vnGUKIH3t6mySpI4QQpwkh7urp7ZAGJiHEn4d5/mchRGA3bY4kSVKvd7jjpiR1JRlc7OeEEEuEECe0WHaLEOJ/PbVNkgQgVG0+BimK8jdFUbYf4iWXAzK4KPUGgcAN7XmDEELbNZsiSR2nKMr3iqI82dPbIQ1MiqIcfZjnT1IUpaybNkeSABBCPCiE+GcbX5sohLiw2ePLhRAvd93WSQPd4Y6bktSVZHCx//sEOL/FsvNdyyWpW7kusna4gtsbgPuEEGuFEJuFEA+5XuMrhPhJCPGXEGKrEOI81/IlQogJQgitEOJd13NbhBC3CiHOBiYAHwkhNgkhTEKI+13r3iqEeF0IIZqt5ykhxBohxG4hxDTXcq0Q4lnXOjcLIW5yLR8vhFgqhFgvhPhNCBHVE787qU95EkgWQmwCngH8hBBfCiF2CiE+atYW013tdAVwTg9urzQAuY7HO12jwre62ubxQog/hBB7hBCT5I2w1JOEEFWu71FCiGWu8/vWZuftdCFEaM9upSQdUiJw4eFe1JLscJQ6qtlxc4br/uVz1/3Ok0KIi1z3P1uEEMmu170rhHhVCLHc9bpTenYPpL5MBhf7vy+BU4QQRlBvJlBHd+nacsDxRghxqhBitRBioxBioRAiQgihcV3kBTZ73V7Xc8lCiFWuQM/DDQc9acAaCrwP3AnEAJOAMcB4IcR04EQgR1GU0YqijAR+bfH+MUCMoigjFUVJA95RFOVLYB1wkaIoYxRFqQVeVhRlomsdJqD5yVKnKMok4BbgAdeya4BBwFhFUUahBir1wH+AsxVFGQ+8DTzWib8LqX+6C9inKMoY4HZgLGpbSwWSgKnNXlunKMoxiqJ82t0bKUlACvBvYBQwDPUm+Bjgn8DdPbhdktTchcBvrmPqaGBTj26N1O9469huHrx2dW4vafaW0UKIRa6OmKsPseongWmuwPitrmXRQohfXe99utk2VLnuk1YDUzp7H6UBaTRwM5AGXAIMcd3/vAnc1Ox1icCxwMnAq0IIn27eTqmfkMHFfk5RlGJgDWrABtRRi58BCm0/4LS0AjhKUZSxwKfAHYqiOIHvgDMAhBCTgXRFUfJRb1z+rSjKRCCnc/dQ6oMyFEVZBcxxfW1EHcU4DBgMbAGOd40unKYoSnmL9+8HkoQQ/xFCnAhUtPI5M11B8C3AccCIZs997fq+HvWECnA88KqiKHYARVFKUAOhI4EFrlFo9wKxHdttaQBboyhKlus4uYmmNgfq8ViSesoBRVG2uNrmNuB3RVEU1ONwYo9umSQ1WQtcIYR4EEhTFKWyh7dH6n8O17Hd0ijUQMwU4H7Res7vu4Dlro7vF1zLxgDnod5/nSeEiHMt9wW2KooyWVGUFUewL5LUYK2iKLmKoliBfcB81/KW5/jPFUVxKoqyB/U+a1j3bqbUX8jg4sDQfGp08ynRbT3gtBQL/OYK2txOU9DmM9STZcPnNNw0TwG+cP38ccd3Q+onql3fBfCE64JrjKIoKYqivKUoym5gPGo7fEIIcX/zNyuKUooaGF8C/B01GO7G1eP2P9QRh2nAG0DzXjir67sD0DXbHqXlqoBtzbYxTVGUOR3aa2kgszb7uXmbg6b/B0nqCc3bprPZYyfu7VSSeoyiKMuA6UA28IEQ4tIe3iSp/zlcx3ZL3ymKUqsoShGwGHUWTlv9rihKuaIodcB2IMG13AF81e4tl6TWtfUc3/L+p+VjSWoTGVwcGL4FZgkhxgEmRVE2uJZ39KbiP6hTTtOAa2kK2qwEUoQQYcDpNI0OkyRvfgOuFEL4AQghYoQQ4a7e3xpFUT4EngXGNX+Ta4qKRlGUr4D7mj1fCVhcPze0ySLX+s9uw/bMB64TQuhcnxMM7ALChBBTXMv0QogRh1iHJIF7W5QkSZKOgBAiAShQFOUN4C1aXBdI0pFqpWPbTtO9cstpokcSjGmtw7FOURRHO9YjSZ3lHFeKs2TU9D27enqDpL5J9koPAIqiVLnyhLxN5xRyCUDtPQa4rNnnKEKIb4DngR2uKdkAq4CzUEcytiwuIw1QiqLMF0IMB1YKtb5FFXAxag6wZ4QQTqAeuL7FW2OAd0RTpel/ub6/i5onpBZ1tOwbqBeJ6ahTqg7nTWAIsFkIUQ+8oSjKy0ItFvOSECIA9Zj5Iur0QUnySlGUYldRjK1ALZDf09skSZLUh80Abnedm6sAOXJR6lSuju0SRVE+dOWGvxz1+nE88AvqfUxz84QQT6BOZZ6BOv3ZG9nZKPUFu4ClQARwnWtUrSS1m1BT60j9nRDiDNSRhMMVRdkphJgB/FNRlFNczy9xPV7X8jkv65oHvIAaYFwFTFQUZYbruQmogZzLFUV5z7VsMPAh6hTTn4BrFEWJ6ZIdlSRJkiRJkiRJaiMhxAnAM6iztxo6tk2oI2XzgdXABEVRZrhyf0YDyUA88LRrVK239epR8zeGonaCl7rWc6Pr+R+BZxVFWSKEqFIUxa/LdlKSvBBCvAv86CqOKUlHRAYXpS4nhDADta6RjecDFyiKMq+nt0uSJEmSJEmSJEmSBiIZXJQ6kwwuSl1OCDENeBl15GIZcKWiKHt7dKMkSZIkSZIkSZIkSZKkIyaDi1KrhBD3AOe0WPyFoiiP9cT2SJIkSZIkSZIk9SZCiDTggxaLrYqiTO6J7ZEkSeoJMrgoSZIkSZIkSZIkSZIkSVKHaA7/EkmSJEmSJEmSJEmSJEmSJE8yuChJkiRJkiRJkiRJkiRJUofI4KIkSZIkSZIkSZIkSZIkSR0ig4uSJEmSJEmSJEmSJEmSJHWIDC5KkiRJkiRJkiRJkiRJktQh/w+LTdMIDLvRBAAAAABJRU5ErkJggg==", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "45\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] }, + "metadata": {}, "output_type": "display_data" } ], "source": [ - "from sklearn.preprocessing import StandardScaler\n", - "scaler = StandardScaler()\n", - "# transform data\n", - "feat = ['Vm_avg', 'resistance', 'thr','mi', 'isi', 'sub_thr','imp']\n", - "df_inh = inh_df[['Vm_avg', 'resistance', 'thr','mi', 'isi', 'sub_thr','imp','exp_name']]\n", - "df = scaler.fit_transform(df_inh[feat])\n", - "df = normalize(df)\n", - "df_inh[feat] = df\n", - "df_inh['class'] = labels_inh\n", + "df_exc = exc_df#df_all_conds_exc \n", + "df_inh = inh_df#df_all_conds_inh \n", "\n", - "sns.pairplot(df_inh[['Vm_avg', 'resistance', 'thr','mi', 'isi', 'sub_thr','imp','class']],\n", - " hue='class',\n", - " diag_kws = {'common_norm':False,\n", - " 'common_grid':True,}\n", - " ,palette='winter')\n", - "plt.show()" + "df_exc['labels'] = np.repeat('exc',len(df_exc))\n", + "df_inh['labels'] = np.repeat('inh',len(df_inh))\n", + "df_comb = pd.concat((df_exc,df_inh))\n", + "\n", + "for i in range(5,50,5):\n", + " print(i)\n", + " plot_UMAP_combined(df_exc[['Vm_avg', 'resistance', 'thr','fr', 'isi', 'sub_thr', 'imp']],\n", + " df_inh[['Vm_avg', 'resistance', 'thr','fr', 'isi', 'sub_thr', 'imp']],\n", + " i,0.0,1,[3,3])" ] }, { "cell_type": "code", - "execution_count": 26, + "execution_count": 252, "metadata": { "colab": { "base_uri": "https://localhost:8080/", - "height": 575 + "height": 1000 }, - "id": "VG373I3JeFwp", - "outputId": "4ffdd9d3-f431-48eb-8976-52164af9e02d" + "id": "y49PcmC8ESHS", + "outputId": "d1e4d1ea-ecb6-4c72-b6a4-24da3661b31a" }, - "outputs": [], - "source": [ - "from statannotations.Annotator import Annotator\n" + "outputs": [ + { + "ename": "NameError", + "evalue": "name 'df_comb' is not defined", + "output_type": "error", + "traceback": [ + "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[1;31mNameError\u001b[0m Traceback (most recent call last)", + "\u001b[1;32m\u001b[0m in \u001b[0;36m\u001b[1;34m\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0msns\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mpairplot\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdf_comb\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'Vm_avg'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'resistance'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'thr'\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;34m'fr'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'isi'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'sub_thr'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'imp'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0mhue\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;34m'labels'\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", + "\u001b[1;31mNameError\u001b[0m: name 'df_comb' is not defined" + ] + } + ], + "source": [ + "sns.pairplot(df_comb[['Vm_avg', 'resistance', 'thr','fr', 'isi', 'sub_thr', 'imp']],hue='labels')" ] }, { "cell_type": "code", - "execution_count": 27, + "execution_count": 160, "metadata": { - "id": "PuqsbEnLFm7G" + "id": "riDcGWIqXcsJ" }, - "outputs": [], + "outputs": [ + { + "ename": "ValueError", + "evalue": "The truth value of a Series is ambiguous. Use a.empty, a.bool(), a.item(), a.any() or a.all().", + "output_type": "error", + "traceback": [ + "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[1;31mValueError\u001b[0m Traceback (most recent call last)", + "\u001b[1;32m\u001b[0m in \u001b[0;36m\u001b[1;34m\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0mplt\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mscatter\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mx\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0marange\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mlen\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdf_exc\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0my\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mdf_exc\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'thr'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0mdata\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mdf_exc\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 2\u001b[0m \u001b[0mplt\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mscatter\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0marange\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mlen\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdf_inh\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'thr'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0mdf_inh\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'thr'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 3\u001b[0m \u001b[0mplt\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mylabel\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m'Thresholds'\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 4\u001b[0m \u001b[0mplt\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mtitle\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m'excitatory v inhibitory'\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 5\u001b[0m \u001b[0mplt\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mshow\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\matplotlib\\pyplot.py\u001b[0m in \u001b[0;36mscatter\u001b[1;34m(x, y, s, c, marker, cmap, norm, vmin, vmax, alpha, linewidths, edgecolors, plotnonfinite, data, **kwargs)\u001b[0m\n\u001b[0;32m 2860\u001b[0m \u001b[0mvmin\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;32mNone\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mvmax\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;32mNone\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0malpha\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;32mNone\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mlinewidths\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;32mNone\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;33m*\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 2861\u001b[0m edgecolors=None, plotnonfinite=False, data=None, **kwargs):\n\u001b[1;32m-> 2862\u001b[1;33m __ret = gca().scatter(\n\u001b[0m\u001b[0;32m 2863\u001b[0m \u001b[0mx\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0my\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0ms\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0ms\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mc\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mc\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mmarker\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mmarker\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcmap\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mcmap\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mnorm\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mnorm\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 2864\u001b[0m \u001b[0mvmin\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mvmin\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mvmax\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mvmax\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0malpha\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0malpha\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mlinewidths\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mlinewidths\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\matplotlib\\__init__.py\u001b[0m in \u001b[0;36minner\u001b[1;34m(ax, data, *args, **kwargs)\u001b[0m\n\u001b[0;32m 1473\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1474\u001b[0m \u001b[0mbound\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mnew_sig\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mbind\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0max\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;33m*\u001b[0m\u001b[0margs\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;33m**\u001b[0m\u001b[0mkwargs\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 1475\u001b[1;33m auto_label = (bound.arguments.get(label_namer)\n\u001b[0m\u001b[0;32m 1476\u001b[0m or bound.kwargs.get(label_namer))\n\u001b[0;32m 1477\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\pandas\\core\\generic.py\u001b[0m in \u001b[0;36m__nonzero__\u001b[1;34m(self)\u001b[0m\n\u001b[0;32m 1440\u001b[0m \u001b[1;33m@\u001b[0m\u001b[0mfinal\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1441\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0m__nonzero__\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 1442\u001b[1;33m raise ValueError(\n\u001b[0m\u001b[0;32m 1443\u001b[0m \u001b[1;34mf\"The truth value of a {type(self).__name__} is ambiguous. \"\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1444\u001b[0m \u001b[1;34m\"Use a.empty, a.bool(), a.item(), a.any() or a.all().\"\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;31mValueError\u001b[0m: The truth value of a Series is ambiguous. Use a.empty, a.bool(), a.item(), a.any() or a.all()." + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], "source": [ - "from itertools import combinations\n" + "plt.scatter(x=np.arange(len(df_exc)),y=df_exc['thr'],data=df_exc)\n", + "plt.scatter(np.arange(len(df_inh['thr'])),df_inh['thr'])\n", + "plt.ylabel('Thresholds')\n", + "plt.title('excitatory v inhibitory')\n", + "plt.show()\n", + "plt.scatter(np.arange(len(df_exc['Vm_avg'])),df_exc['Vm_avg'])\n", + "plt.scatter(np.arange(len(df_inh['Vm_avg'])),df_inh['Vm_avg'])\n", + "plt.ylabel('Vm_avg')\n", + "plt.title('excitatory v inhibitory')\n", + "\n", + "plt.show()\n", + "plt.scatter(df_exc['Vm_avg'],df_exc['thr'])\n", + "plt.scatter(df_inh['Vm_avg'],df_inh['thr'])\n", + "plt.xlabel('Vm_avg')\n", + "plt.ylabel('thresholds')\n", + "plt.title('excitatory v inhibitory')\n", + "plt.show()\n" ] }, { "cell_type": "code", - "execution_count": 188, + "execution_count": 192, "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 1000 }, - "id": "ZH5FVP4EcRFm", - "outputId": "bc0d1695-8b40-4510-95cf-d076625a0dad" + "id": "Rh5zHe0GT-Ov", + "outputId": "10a07841-a4d5-4dfe-d5da-1a3d4afba3a4" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.860e-13 U_stat=5.940e+02\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.534e-23 U_stat=4.666e+03\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.696e-21 U_stat=1.430e+02\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.169e-16 U_stat=2.886e+03\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.457e-12 U_stat=5.698e+03\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.997e-02 U_stat=1.843e+03\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.178e-01 U_stat=2.627e+03\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.714e-05 U_stat=1.429e+03\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.004e-04 U_stat=3.135e+03\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.843e-01 U_stat=1.366e+03\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.120e-01 U_stat=2.989e+03\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.273e-01 U_stat=2.385e+03\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.764e-06 U_stat=1.193e+03\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.994e-07 U_stat=3.575e+03\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.283e-01 U_stat=2.273e+03\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.821e-01 U_stat=1.581e+03\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.668e-07 U_stat=5.031e+03\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.772e-01 U_stat=2.248e+03\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.913e-01 U_stat=2.465e+03\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.649e-10 U_stat=8.490e+02\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.955e-09 U_stat=3.668e+03\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.760e-09 U_stat=5.420e+02\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.741e-01 U_stat=3.536e+03\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.494e-01 U_stat=2.503e+03\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.744e-02 U_stat=1.832e+03\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.029e-03 U_stat=3.012e+03\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.418e-07 U_stat=1.121e+03\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.559e-01 U_stat=1.611e+03\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.618e-05 U_stat=2.195e+03\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.214e-06 U_stat=1.166e+03\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.818e-12 U_stat=6.520e+02\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.547e-20 U_stat=4.524e+03\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.920e-04 U_stat=1.480e+03\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.274e-05 U_stat=2.176e+03\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.381e-20 U_stat=6.354e+03\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.007e-01 U_stat=2.532e+03\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.959e-17 U_stat=3.200e+02\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.193e-01 U_stat=2.267e+03\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.812e-04 U_stat=1.549e+03\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.129e-15 U_stat=2.030e+02\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.057e-05 U_stat=2.155e+03\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.326e-19 U_stat=1.840e+02\n" + "5 0.0\n" ] }, { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" + "name": "stdout", + "output_type": "stream", + "text": [ + "10 0.0\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "15 0.0\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + ] + }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "20 0.0\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + ] + }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, + { + "name": "stdout", + "output_type": "stream", + "text": [ + "25 0.0\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + ] + }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" } ], "source": [ - "from statannotations.Annotator import Annotator\n", + "#@title create UMAP embeddings\n", + "feat = ['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr', 'imp']\n", "\n", - "def plot_significance(data,var,hue):\n", - " fig = plt.figure(figsize=[4,4])\n", - " ax =fig.add_subplot()\n", - " sns.boxplot(data=data,\n", - " x=hue,\n", - " y=var,\n", - " boxprops={\"facecolor\": (.2, .6, .8, .5)},\n", - " medianprops={\"color\": \"red\"},ax=ax) \n", + "df_exc = exc_df #pd.DataFrame(data_exc,columns=features)\n", + "df_inh = inh_df #pd.DataFrame(data_inh,columns=features)\n", "\n", - " pairs = np.unique(data[hue])\n", - " pairs = [i for i in combinations(np.arange(0.,len(pairs)),2)]\n", + "'ei_lables_old'\n", + "'ei_lables_new'\n", + "'ei_lables_misclassify'\n", + "# neighbours = np.arange(5,30,5)\n", + "neighbours = np.arange(5,30,5)\n", "\n", + "# distances = np.arange(0.05,0.5,0.05)\n", + "distances = [0.0]\n", + "data_inh = combined_exc_inh[combined_exc_inh.ei_labels_old==0.0][['AP_avg','resistance','thr', 'isi', 'sub_thr','imp']]\n", + "# data_inh['resistance'] = np.abs(data_inh['resistance'])\n", + "data_exc = combined_exc_inh[combined_exc_inh.ei_labels_old==1.0][['AP_avg','resistance','thr', 'isi', 'sub_thr','imp']]\n", "\n", - " annotator = Annotator(ax,pairs, data=data, x=hue, y=var)\n", - " annotator.configure(test='Mann-Whitney', text_format='star', loc='inside')\n", - " annotator.apply_and_annotate() \n", + "for n in neighbours:\n", + " for dist in distances:\n", + " print(n, dist)\n", + " # plot_UMAP(df_inh[['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']],\n", + " # df_exc[['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']],\n", + " # n,\n", + " # dist,\n", + " # np.repeat(['acsf'],len(df_inh)),np.repeat(['acsf'],len(df_exc)),\n", + " # figsize=[12,6],\n", + " # random_state=42)\n", + " plot_UMAP(data_inh,\n", + " data_exc,\n", + " n,\n", + " dist,\n", + " np.repeat(['acsf'],len(data_inh)),np.repeat(['acsf'],len(data_exc)),\n", + " figsize=[12,6],\n", + " random_state=42) \n", "\n", - "ind_feat = [0,3,4,6,9,17,20]\n", - "for i in df_exc[['Vm_avg', 'resistance', 'thr','mi', 'isi', 'sub_thr','imp']]:\n", - " plot_significance(df_exc,i,'class')\n" + " # 'blue','blue',n,dist,labels_inh,labels_exc,figsize=[8,4],random_state=65)\n" ] }, { "cell_type": "code", - "execution_count": 31, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 - }, - "id": "bRTANzNdDzaX", - "outputId": "7de93e72-0c6d-48a7-db52-284527474ff1" - }, + "execution_count": 72, + "metadata": {}, "outputs": [ { - "name": "stdout", + "name": "stderr", "output_type": "stream", "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.823e-19 U_stat=4.115e+03\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.194e-05 U_stat=6.100e+01\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.918e-03 U_stat=6.360e+02\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.083e-01 U_stat=2.100e+03\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.708e-07 U_stat=6.490e+02\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.055e-07 U_stat=8.030e+02\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.939e-01 U_stat=2.240e+03\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.101e-01 U_stat=2.250e+02\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.521e-01 U_stat=2.930e+02\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.918e-20 U_stat=4.140e+03\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.650e-03 U_stat=1.290e+02\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.526e-04 U_stat=6.780e+02\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.602e-01 U_stat=2.400e+03\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.105e-01 U_stat=3.480e+02\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.672e-01 U_stat=4.700e+02\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.610e-03 U_stat=2.728e+03\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.410e-01 U_stat=2.650e+02\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.245e-01 U_stat=4.500e+02\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.024e-09 U_stat=3.488e+03\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.398e-04 U_stat=8.800e+01\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.672e-01 U_stat=4.700e+02\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + ] + }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + ] + }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + ] + }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + ] + }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + ] + }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + ] + }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" - } - ], - "source": [ - "for i in df_inh[['Vm_avg', 'resistance','mi', 'thr', 'isi', 'sub_thr','imp']]:\n", - " plot_significance(df_inh,i,'class')" - ] - }, - { - "cell_type": "code", - "execution_count": 170, - "metadata": {}, - "outputs": [], - "source": [ - "def modify_cond_list(cond):\n", - " if cond in ['aCSF','ACSF']:\n", - " return cond.lower()\n", - " elif cond.lower() in ['d1','d1ago']:\n", - " return 'd1ago'\n", - " elif cond.lower() in ['dop','dopamine','dopa']:\n", - " return 'dopamine'\n", - " elif cond.lower() in ['m1-ag', 'm1-ago', 'm1-ago+ant', 'm1-ant', 'm1-ant+ago']:\n", - " return 'm1'\n", - " else:\n", - " return cond.lower() \n", - "def plot_significance_new(data,var,hue,ax,test ='Mann-Whitney'):\n", - "\n", - " sns.boxplot(data=data,\n", - " x=hue,\n", - " y=var,\n", - " boxprops={\"facecolor\": (.2, .6, .8, .5)},\n", - " medianprops={\"color\": \"red\"},ax=ax) \n", - "\n", - " pairs = np.unique(data[hue])\n", - " pairs = [i for i in combinations(pairs,2)]\n", - "\n", - "\n", - " annotator = Annotator(ax,pairs, data=data, x=hue, y=var)\n", - " annotator.configure(test=test, text_format='star', loc='inside')\n", - " annotator.apply_and_annotate() " - ] - }, - { - "cell_type": "code", - "execution_count": 104, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/", - "height": 1000 }, - "id": "uTujsbEo7YRi", - "outputId": "fa899fbf-9c98-48e1-ce75-e13192961274" - }, - "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + ] + }, { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAAA3UAAAJcCAYAAABe2o1qAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjMuNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8QVMy6AAAACXBIWXMAAAsTAAALEwEAmpwYAAEAAElEQVR4nOz9d3hc13Xof3/3md4Hg95IgAXsnRSpXqgui5ZsybTj2JJ1r6+dXDnx9c9OlPg6UZz4xomVxFHsxNGbyC1y5CbZktxkyaJ6I8VOgg0AQfQymN5nzvvHgCBBgCRIlAHA9XkePuLs2eecdSAQmHX23msrXdcRQgghhBBCCDEzaYUOQAghhBBCCCHExZOkTgghhBBCCCFmMEnqhBBCCCGEEGIGk6ROCCGEEEIIIWYwSeqEEEIIIYQQYgaTpE4IIYQQQgghZjBJ6oQQQlxSlFLXKaXaTnvdopS6scAx6UqpBYN//5ZS6kvn6PvnSqn/mLrohBBCTHeS1AkhhLhopycjp7U9rJT6r8G/XzfY56kz+qwabN92RrtSSjUppQ6Mcq1tSqmEUiqilOpTSj2llKqchNsqKF3XP63r+l/DyAR08P3/p+v6/yxMdEIIIaYjSeqEEEJMtl7gCqVU8Wlt9wGHR+l7DVAGzFNKbRjl/Qd1XXcCDYAX+KcJjlUIIYSYcSSpE0IIMdlSwM+ADwMopQzAh4AnRul7H/Bz4JeDfx+Vrut+4KfA8tHeV0p9Qil1UCkVHhz5+9TFBK6Usiml/kEpdVwpFVRKvaaUsg2+t0UptV8pFRgcRVxy2nEtSqnPK6X2DB73Q6WU9bT3v6CU6lRKdSilHjjjmt9RSv2NUsoB/AqoGhydjCilqk4fCR1PHEqpEqXUc4PH+ZVSryql5HOBEELMQPLDWwghxFT4HvDxwb/fAuwHOk7voJSyA/eQT/aeAD6slDKPdjKlVAnwQWDnWa7XA7wPcAOfAP5JKbX2IuJ+BFgHXAH4gD8BckqpBuC/gc8CpeST0GfPiPdDwK1APbASuH8w9luBzwM3AQuBUdfz6boeBW4DOnRddw7+OfNrdtFxAP8f0DZ4XDnw54A+xq+LEEKIaUSSOiGEEJNO1/U3AJ9SahH55O57o3T7AJAEngeeA4zAHWf0eVQpFQB2A53A585yvV/oun5Mz3t58JxXX0jMg6NWDwB/rOt6u67rWV3X39B1PQlsBX6h6/pvdV1Pk0/+bOSTv6FYdV3vGBxVfBZYPdj+IeDbuq7vG0zcHr6QuM4wnjjSQCUwV9f1tK7rr+q6LkmdEELMQJLUCSGEGI8sYDqjzUQ+YTjT94EHgeuBp0d5/z7gR7quZwYTp6cYOQXzj3Rd9+q6Xq3r+kd1Xe8dLSil1G1KqbcGpxUGgNuBkjHfVV4JYAWOjfJeFXD85Atd13PACaD6tD5dp/09BjhPO/bEae8d5+KNJ46vAUeB5wenqD40jjiEEEIUkCR1QgghxqMVqDujrZ7RE5XvA38I/FLX9djpbyilaoAbgN9XSnUppbrIT8W8fXCq5ZgppSzk19s9ApTruu4lPy1RXch5gD4gAcwf5b0OYO5p11RALdA+hvN2DvY9ac45+p5v5Oyi49B1Pazr+v+n6/o84E7gc0qpzec7TgghxPQjSZ0QQojx+CHwf5VSNUopbXC/tzuBn5zZUdf1ZuBa4IujnOdj5KthLiI/PXA1+QqXbcBHLjAmM2AhX3Uzo5S6Dbj5As9xctTrceAfBwuUGJRSlw8mjT8C7lBKbVZKmcivT0sCb4zh1D8C7ldKLR1cR/iX5+jbDRQrpTznONdFxaGUep9SasFgIhgiP+qaHUP8QgghphlJ6oQQQozHl8knEK8BA8DfAx/VdX3faJ11XX/tzGIfg+4D/lXX9a7T/wDf4hxVMM9yjTDwR+QTngHg94BnLuQcp/k8sBd4F/ADfwdouq4fAn4f+BfyI3p3Anfqup4aQ3y/Ar4O/I789MffnaNvI/lCKE2DVSqrznj/ouMgX6TlBSACvEn+679tDMcJIYSYZpSsiRZCCCGEEEKImUtG6oQQQgghhBBiBpOkTgghhBBCCCFmMEnqhBBCCCGEEGIGk6ROCCGEEEIIIWYwY6EDGIuSkhK9rq6u0GEIIYQQQgghREHs2LGjT9f10tHemxFJXV1dHdu3by90GEIIIYQQQghREEqp42d7T6ZfCiGEEEIIIcQMJkmdEEIIIYQQQsxgktQJIYQQQgghxAw2I9bUCSGEEEIIIaafdDpNW1sbiUSi0KHMGlarlZqaGkwm05iPkaROCCGEEEIIcVHa2tpwuVzU1dWhlCp0ODOeruv09/fT1tZGfX39mI+T6ZdCCCGEEEKIi5JIJCguLpaEboIopSguLr7gkU9J6oQQQgghhBAXTRK6iXUxX09J6oQQQgghhBBiBpOkTgghhBBCCDEjBQIB/vVf/7XQYRScJHVCCCGEEEKIGUmSujxJ6oQQQgghhBAz0kMPPcSxY8dYvXo19957Lz//+c+H3vvoRz/KM888w3e+8x3e//73c+utt7Jo0SL+6q/+aqjPf/3Xf3HZZZexevVqPvWpT5HNZgtxG+MmSZ0QQgghhBBiRvrqV7/K/Pnz2bVrFw8++CDf/va3AQgGg7zxxhvcfvvtALzzzjs88cQT7Nq1ix//+Mds376dgwcP8sMf/pDXX3+dXbt2YTAYeOKJJwp5OxdN9qkTQgghhBBCzHjXXnst//t//296enp46qmn+OAHP4jRmE93brrpJoqLiwH4wAc+wGuvvYbRaGTHjh1s2LABgHg8TllZWcHiHw9J6oQQQgghhBCzwsc+9jGeeOIJnnzySR5//PGh9jO3CVBKoes69913H3/7t3871WFOOJl+KYQQQgghhJiRXC4X4XB46PX999/P17/+dQCWLVs21P7b3/4Wv99PPB7nZz/7GVdeeSWbN2/mJz/5CT09PQD4/X6OHz8+pfFPFBmpE0IIIYQQQsxIxcXFXHnllSxfvpzbbruNr33tayxZsoS77rprWL+rrrqKj33sYxw9epTf+73fY/369QD8zd/8DTfffDO5XA6TycQ3v/lN5s6dW4A7GR9J6oQQQgghhBAz1g9+8IOhv8diMY4cOcJHPvKRYX3Kysr4xje+MeLYrVu3snXr1kmPcbLJ9EshhBBCCCHEjPfCCy+wePFiPvOZz+DxeAodzpSSkTohhBBCCCHEjHfjjTfS2to6ov3+++/n/vvvn/qAppCM1M0CuZxOMj0zN0oUQgghhBBCjI+M1M1wzb1Rth/vpz+SZo7Pxob6YkpdlkKHJYQQQgghhJgiktTNYL3hJL/Y20E6qwNwrDdKMJHmA2trsBgNBY5OCCGEEEIIMRVk+uU0lM7maBuI0TYQI5PNnbVf20CMdFbHYtSwmTT80RQtfTEaO0JTGK0QQgghhBCikGSkbprxR5P89kA3jZ0hQvE0c0uc3L6igvmlTpRSw/pmczq94QQOi5G3m/qxmgwopdDR8Tkt1PrsBboLIYQQQgghZo4vfOEL/PKXv+T222/na1/7WqHDuWCS1E0z21sGeOVwL8d6I/ijad5q9hOIJdmyqprVc4qG+sVSGToH4hiUxttNfvqjKZwWI/UlDqxGA0d6wpLUCSGEEEIIMQb//u//Tm9vLxbLzKxNIdMvpxFd13mrqY+eUJJkOkcqkyWWynKwM8z2Fj+heHqo7/G+KEf7oiyvcuFzmCmy5/9UemwopUidY9rm2YTiaXa2+nn1cC+Hu0PnnPophBBCCCHEhfrZznau/OrvqH/oF1z51d/xs53tE3Leu+66i3Xr1rFs2TIee+wxAH7961+zdu1aVq1axebNmwF4+eWXWb16NatXr2bNmjWEw2G2bNlCNBpl48aN/PCHP5yQeKaajNRNI7tOBOgOJmnqixBPZany2uiPJnFYjPSEk0SSadw2EwDRVH4Lg55IisWVLva05cjmdHTyRVPqfI4LunY4nuaZ3R30hpNDbRvqfFy1sGSC7k4IIYQQQlzKfraznT97ai/xwa242gNx/uypvQDctaZ6XOd+/PHH8fl8xONxNmzYwPvf/34++clP8sorr1BfX4/f7wfgkUce4Zvf/CZXXnklkUgEq9XKM888g9PpZNeuXeOKoZBkpG6KJDNZesNJYqnMqO/3R5I8v7+LYqcZj81MJqfTNhCjrtiB22bEYzfhtZuH+pe7rSgFmZxOicPMqhoPPruZKo+N6xpKWVjuuqD4mvujwxI6gJ2tA/ijybMcIYQQQgghxNh97TeHhhK6k+LpLF/7zaFxn/vRRx9l1apVbNq0iRMnTvDYY49xzTXXUF9fD4DP5wPgyiuv5HOf+xyPPvoogUAAo3F2jHHNjruY5lr7o7x8uJe+SAqH2cjl84tZUeMZ1qc/mkJTiuP+KKtrPSyudBFJZJhXaieRynHLsgrs5lP/u2qKbFyzsJS3m/sJJ7NUemzcs66GGp/9orYziKdGbl6eyekj/uEJIYQQQghxMToC8QtqH6tt27bxwgsv8Oabb2K327nuuutYtWoVhw6NTBYfeugh7rjjDn75y1+yadMmXnjhBRYvXjyu608HktRNslgqw28PdBNK5EfooqkMv2vsxuc0Ue09VchEz+l0BOIoFI1dYUwGhdVoYGWNh2sq0pQkD0KgEjw1oBRKKdbOLWJhmZNIMoPPYcZiuvi96crdVhQMTt7M8zlMlDhm5mJRIYQQQggxvVR5bbSPksBVeW3jOm8wGKSoqAi73U5jYyNvvfUWyWSSl19+mebm5qHplz6fj2PHjrFixQpWrFjBm2++SWNj46xI6mT65STrDSeHErqTcjp0BRNDr490h3npUA82s4FjvVGsJgNeu5kr5xexOvYmJYd+BEdfhF0/gPb3hp3LZTNR6bWNK6EDmFts5+qFJVhN+W+JUpeFzUvKiaezNHaGaO6LkMpI4RQhhBBCCHFxvnDLImxnfGa1mQx84ZZF4zrvrbfeSiaTYeXKlXzpS19i06ZNlJaW8thjj/GBD3yAVatWsXXrVgC+/vWvs3z5clatWoXNZuO2224b17WnCxmpm2RWkwFN5RO50538hk5nc7zd7Mds1DAZNd63qhJd1/E5LCzVWok0vwc13vxBeg5aXoXSBrBc2Jq581FKsa7OR0OFi3gqi9duptUf5ee7OoaSufoSBzctK8dhlm8bIYQQQghxYU4WQ/nabw7REYhT5bXxhVsWjbtIisVi4Ve/+tWo752ZtP3Lv/zLqP0ikci4Yig0+XQ+ycpcFlZUe9jdFhxqq/BYmVuSr06ZSGXJZXXeauqnpT9GNJmhyG7mtuUVmHJ+PIPVLoek45CMTHhSd5LLasJlNZFMZ3ntSB+pTBbIb3re3BflaHeEVbXeCz7vQDRFbySJw2Kg0m1D09T5DxJCCCGEELPKXWuqx53EiZEkqZtkSimuXFhCpcdKTySJ22piQalzaLTLYTHij6cIxjM4LUbS2RwDsRSBeAp7VRXl+sHhJ7T7wOab1JjT2Ry7TwR45XAvOlDmtlDmsqIphT+auuDzNXaGePFgD6lsDqVgRZWHqxtKMRtl9q8QQgghhBDjJUndFLAYDSyp8rBklPdSmRyJdBabScNi1DBqCpfViNNqYunytRiaQ9CxKz/10uyEBTeCaXKLl+xtC7K7LTC0P15LXwyFotxtpchhOv8JThNJptl2uGdoM3Rdhz3tQeYU2y942wUhhBBCCCHESJLUFdBANMXz+7vRdYgms6BgQZmTUqeF5dUeDCYLLLwZKlbmp106y8DinPS49ncEiSSzrKr18tqRPuLpLH2RJBvnFV9wIhaIpYmnhhdYMWmK1v4YncE4Rk2j1mfHH03RNhDDZTWyqMJNuds6kbckhBBCCCHErCVJXQHt7wjSEYxT5rJQ67PTHojjj6ZYUe1h9cniKEqBu3JK49JUfr1bNJnhmoZSEukspS4zd6yoPOeUyWQ6y3F/lEgiQ5nLSnWRDbfVhNWkkUifSuxMRo1th3vwDW6X8PPd7TSUuwjF81VCD3aGuWddDcVO2U5BCCGEEEKI85GkroB6QkkAQokMSypcLKl0YzIo7lxRictuLlhcK2o8vHiwh5wOwXgagGVVnnMmdPFUhl/v76alLwrkc9GrF5Swrs7HFfNLePlQL1ldx2kx0jYQwz1YACaeztDaH6PIbsZmMpDTIZbK0tQblaROCCGEEEKIMZCkroDK3BaO+2MARFJZAOpKHDjPrHg5xZZVedCUorEzhKYUiytdLK5wn/OYpr7oUEIH+bVzbzX7WVDuYlWtl3K3hZ5QErvZwK/3d5HO5vd4yOn5P/FUFqfFSG6wPZ2VPfGEEEIIIcSFe/jhh3E6ncydO5eHH36YgwcP8s4777B+/fpChzZpJKkroGVVHlr9cbpD+Y3InRYjl9UVodTwcv+5nE5O1zEapqZapEFTLK/2sLzaM+ZjwvHMiLZUJkc4kcZjM1HhsVHhsQGwsC/CgY4wAHaTAY/NRLnbSnJwPzxNQY3PNgF3IoQQQgghLlXLly/nqaee4lOf+lShQ5l0ktQVUJHDzAfWVNMWiJPN5ajy2HCdNkqn6zoHO0PsOhEglsqyqMLF2jleHJbCjuSNpsQ1cqqky2rE5xg5jXRjfTGpTI7m3hgGo8a962rI6jpNvVEcFgPr63zM8TmmImwhhBBCCDGV9vwIXvwyBNvAUwOb/wJWfmjcp/3KV77C9773PWprayktLWXdunUsWTJa7XloaWnhYx/7GNFofpbZN77xDa644gpyuRwPPvggL7/8MvX19eRyOR544AHuueceXnzxRT7/+c+TyWTYsGED//Zv/4bFMn2WCklSV2BWs4EFZaNXtGzui/L8gXx1TIDtLQOkMjk2LymfwgjHpq7Yzto5Rew+ESCr69jMGtc2lGI3j/wW89rNvG9lFQOxFCZNG0pkw4k0FqNB9q8TQgghhJiN9vwInv2jfFV3gOCJ/GsYV2K3Y8cOnnzySXbu3Ekmk2Ht2rWsW7furP3Lysr47W9/i9Vq5ciRI3zkIx9h+/btPPXUU7S0tLB37156enpYsmQJDzzwAIlEgvvvv58XX3yRhoYGPv7xj/Nv//ZvfPazn73omCeaJHXTWEtfdCihO6mxK8xldb5hI3rTgdGgce2iUhZXuoilMpQ4LbisZ49RKTVU/fKkc/WfCMlYjIi/Dz2n4ywpwWqX0UAhhBBCiCnz4pdPJXQnpeP59nEkda+++ip33303drsdgC1btpyzfzqd5sEHH2TXrl0YDAYOHz4MwGuvvca9996LpmlUVFRw/fXXA3Do0CHq6+tpaGgA4L777uOb3/ymJHVibDRNjWxToE3kQJauQ7gbcilwlI97Y/Ppur9ceKCfxl076ezsJJfTqagoZ8nqtXhKSgsdmhBCCCHEpSHYdmHtF+DMmhTn8k//9E+Ul5eze/ducrkcVmv+86t+5mjKoLO1Tycyz20am1fqxHBGYrei2jNxa+rSCTj0S3jvu7DzCdj5PQi2T8y5p5njR49w8MABAgMDhIIBDh86RNPhQ4UOSwghhBDi0uGpubD2Mbrmmmt4+umnicfjhMNhnn322XP2DwaDVFZWomka3//+98lm81Xor7rqKn7605+Sy+Xo7u5m27ZtACxevJiWlhaOHj0KwPe//32uvfbaccU80SSpm8bm+OzcuaqShnInNUVWrm0oZX2d76z9e8NJdhz3816rn/5I8vwX6DkAnXtAH9w+INoHzdsgN/u2E+js6BzR1nr8+NA/YiGEEEIIMck2/wWYzqhwbrLl28dh7dq1bN26ldWrV/PBD36Qq6++GoCnn36ampoa3nzzTe644w5uueUWAP7wD/+Q7373u2zatInDhw/jcOSX5Hzwgx+kpqaG5cuX86lPfYqNGzfi8XiwWq18+9vf5t5772XFihVomsanP/3pccU80dRMGE5cv369vn379kKHMa0d74/y3J4OUpn8/0+rycCWVVVUF51ja4CDz0HX3uFtmhE2/E+wF01itFPv1Rd+Q/Oh4SNz5TVzuOnOLWgTOp9VCCGEEOLScfDgwbNWmRzVJFW/nCiRSASn00l/fz+XXXYZr7/+OhUVFVMex2hfV6XUDl3XR91sT9bUzRI7WwNDCR1AIp1lT3vg3Emd1TuyzeICk33iAyywuQsW0d7aSiqeX5xrslhZsGSpJHRCCCGEEFNp5YemVRJ3pve9730EAgFSqRRf+tKXCpLQXQxJ6maBXE6nb5Tplv2R1LkPLFsCPfsh5s+/Vgaou3LcxVKmo5o5c7jmlts53txELpujtr6O6urxzd8WQgghhBCzy8l1dDONJHWzgKYp5pU62H0iOKy9rvg8I26OYlj5YfAfg0wqPwTunZ2JjqZpVFVXU1VdXehQhBBCCCGEmFCS1M0Sa+YUMRBN0+qPoYC6Egcra7znP9Dmgeq1kx2eEEIIIYQQYpJIUjdLFNnN3LWmmp5QAsjvFzfaPndCCCGEEEKI2UWSulnEoCkqvSMLo+RyuWlXECSZydIXSWI2GCh1zb41fEIIIYQQQkwVSepmMb/fz5Hm44TDYarLy6itrcHpdBY6LDoDcV5s7KE3nMSgKZZXebhiQTFWk6HQoQkhhBBCiBnu4Ycfxul00t3dzbPPPovZbGb+/Pl8+9vfxuv1Fjq8STG9hm/EhPEHQjy77W1e2nWMd4/1sG3XYfbsP1jwzbazOZ3XjvbRG04Ovd7dFuBoT6SgcQkhhBBCiNnlpptuYt++fezZs4eGhgb+9m//ttAhTRpJ6mapxuNddAxEOblzXSCWorG1m1AoVNC4QvE0ncHEiPauYLwA0QghhBBCiKn0i6ZfcPNPbmbld1dy809u5hdNv5iQ837lK19h0aJF3HjjjRw6dAiAm2++GaMxPzFx06ZNtLW1Tci1piOZfjkLdAbiHO2NkM7mmONzML/UwUAsPaLfQDRFTh/lBFMhmwE9h81kwGExEIpnhr3tspqmPKT+aJJjPVGSmSzVXht1xY5xF5fpCSWIJDMUOy14bFN/T0IIIYQQ09Uvmn7Bw288TCKbf8DfGe3k4TceBuCOeXdc9Hl37NjBk08+yc6dO8lkMqxdu5Z169YN6/P444+zdevWi77GdCdJ3QzXPhDj6Z3tpLP5bG3PiSCbl5bh8niwWS3EE6c2Ja+tLMXrcU9tgLksdOyE9vcgl8Zavpyr65fyq4MDQwlmkcPEwvLha/1yOZ2srmMyTM5gcl8kwdPvdRBJ5pPLHQxwbUMpa+YWXdB5kuksbYE4iVSWjkCcg51hsrqOxahxw+IyFldO8ddbCCGEEGKa+uf3/nkooTspkU3wz+/987iSuldffZW7774buz2/R/OWLVuGvf+Vr3wFo9HIRz/60Yu+xnQnSd0M19gVHkroAHTgveMDXNtQRs+8RcQDfcRiUTweL+uWzsdgmOJiJN374chvT70+/gYNc8G+dgPtgThWk4H6EgfuwVEtXdc52BnivdYA8XSWReVO1s4twmmZ2FGvo93RoYQO8l+37ccHWFTpwm4e2z+LSDLNb/Z10eqP47QaeOlgD/PLnPgcFpKZHC8d6qHaa8MlI3ZCCCGEEHRFuy6o/UIoNfpsq+9+97s899xzvPjii2ftMxvImroZLpbMjGxLZSlzW7h2+VyWLlnMZRvWce365cyv8k19gL2HR7Z17aXGbWTjvGJW1XqHEjqA5r4ozx/opjecJJLIsON4gDeP+Sc8rFhq5Nctns6STOfGfI4j3RFa/fm1gNFElqwOJ/wxsrn8ORLpHP2x1MQELIQQQggxw1U4Ki6ofayuueYann76aeLxOOFwmGeffRaAX//61/zd3/0dzzzzzNAo3mwlSd0MN7fEMaJtYZkTu9lIqcvCujofa+b4Rt2/bkqMNjKomeAsT0pa+qPoZ6z7O9wVJhwfuUZwPEb7etQU2S5oHdxA9FTCdnI7hkQ6RyqbT+qMmsJtzY/6JVJZ9ncEefNYP0e6w6SzY08eLzXJdP5r9drRPg50BEmmC1uxVQghhBAT44/X/jFWg3VYm9Vg5Y/X/vG4zrt27Vq2bt3K6tWr+eAHP8jVV18NwIMPPkg4HOamm25i9erVfPrTnx7XdaYzmX45wy2ucBNJZtjVGiCb01lQ5uSy+uJCh3VK2bL8aJ1+WhJTvRYMoydPhlGSPaVATfDjhwVlTjbO87HzeIB0Nkd1kY2rF5ZeUKEUn9N8KkZ0llS4afFHMRs0FLBxng+fw0IineWX+zrZ155PUDI6XLOwhNtXVM7qaQAXI53N8UJjN4e7Tm1x0VAR5ZalFRgnaX2lEEIIIabGyXVz//zeP9MV7aLCUcEfr/3jca2nO+mLX/wiX/ziF4e1ff7znx/3eWcKSepmOLNR44r5Jays8ZDJ6njt5vMfNJVKG2DZ3dCzHzIpKF0E5cvP2n1+qZPdbUGyp5XpXFnjmfA1dSZD/uu2vMpDKpOjyGHGcIGVLxeWu2jtj3GsN0osnWNuiY1bl5djNhooc1moGhwN3H0iwM92ttM2EMOoaZS7rTz5TivVRXZW13on9L5muvaB+LCEDuBwV4TlVXHmFo8clRZCCCHEzHLHvDsmJIkTw0lSN0tMdNIzoUob8n/GoMZnZ8uqSg50hogmsywoc7JkEitIusdRxMRhNnLbiko6AnES6RwVHuuI6ZvhRJpdrQF6wknMBoXdkCOeiON22DjQEWR5lVtGoE4z2lrHfLtMwRRCCCGEOBtJ6sTYRfshHQdHKZgsk3aZuhIndSXO83ecBkwG7ZwjSN2hBEajwmdVhAMhwsn8OrwyWzFWg048ncUlSd2QcrcVo6bInDZSazIoyt2T9/0mhBBCCDHTSVI3i4Riafa1tNHR3UuJ08qyeTWUFk9AxctsGlpeg7btkMuAvRgW3Qbe2vGfe5azGA1ks1muqbPzmz39ABQ5zawuM+PIhnGMcfuE6SqaynDCHyOVyVHttVHsHF/yVey0cOPScl470kckmcFpMXL1whJ8DknqhBBCCCHOZmZ/ohRD0tkcv91xgNZD74GucwJobjnKPTdeg6uoZHwn7zsCrW+BZiBgrSaWNeNrfgfrinIwTrM1fNNMpcfKghIbu947wOXVFjI4MJMhFerFXWG7oMIs081ALMUv93TSE85vcG8yKG5fUcm80vGNsi6pdDO32E4onsZtM41530AhhBBCiEuVfFqaJTr9EVqPHYRcLl9pUtMIBAK0trWybLxJXbiLnMHKjux83jkUJJWO4XXauarYD5b81MN5pc4LLjRyKTAaNDbOLyPZW0J7jx9NZXFbjXhsbsqLvYUOb1wOdYWHEjqAdFbnzaZ+an12TOOcUmo3GyWZE0IIIYQYI/nUNEtkM0mID0Dcn58iabSC1UMuFR/3udsp57C2gd8c6sdrsGAhTWvSxj9sa8VhNdMZjLNxXjEfWl9LpadA++FNYw6rmQ3LF+E4cIBsNl/ww263U1ZWVuDIxscfSY5oC0TTJFJZTDZZJyiEEEKIwnj44YdxOp1TvqXBX/zFX3DNNddw4403Tul1QZK6WaPSmqHYaaU/MrghdjqG1WSg2je+ypH7O4K8cDRHLpqh2Z/AajLR4CuiJeni8ECYaxaWktPzpehfONDFZfXF1PjsM36t2EQrKSlh7dq1BINBNE2jqKgIq9V63uNyySTJ9na64zpJp4uKsqIL2iB9MpW5rRzqHr79QLnbgsMi/++FEEIIcen58pe/XLBry+P0WcIaOs4tS4ppqJuL3e5gTnkxt6+oxOe++L29kuks7zT5yRksWDzlYCsiYbDTa6qkJ2HAYtTI6jrzS53saQvy0/fa+dnOdp56rw1/dOQozqXO6XRSXV1NZWXleRO6TC5DaKAb/0sv85vXG/nBz9/iJ//9O77//B6OdIenKOJzW1zpor7k1PeX22rk8vnFM3qdoBBCCCEmV/DZZzlyw2YOLlnKkRs2E3z22Qk571e+8hUWLVrEjTfeyKFDhwDYtWsXmzZtYuXKldx9990MDAwAcN111/HZz36WK664guXLl/POO+8A8M4773DFFVewZs0arrjiiqHzfOc73+Guu+7izjvvpL6+nm984xv84z/+I2vWrGHTpk34/X4A7r//fn7yk58AUFdXx1/+5V+ydu1aVqxYQWNjIwDRaJQHHniADRs2sGbNGn7+859PyP1LUjdbGE2Uh/dzx5wM92+s4QOLncxVvWC6+OmQ8XSWSDK/b5jJbGFOZTlYPaTJF69YN9dHJJGhfSBGOJHGZjJi0BR94RQHOkITdWeXnKZAE08deYon3v3/8bNcI91aHF3XIZsh1NzKy4e6iZ9lP7ep5LSYeN/KSu5ZV8P7V1XxkY1zqC6yFzosIYQQQkxTwWefpfNLf0GmowN0nUxHB51f+otxJ3Y7duzgySefZOfOnTz11FO8++67AHz84x/n7/7u79izZw8rVqzgr/7qr4aOiUajvPHGG/zrv/4rDzzwAACLFy/mlVdeYefOnXz5y1/mz//8z4f679u3jx/84Ae88847fPGLX8Rut7Nz504uv/xyvve9740aV0lJCe+99x5/8Ad/wCOPPALkk88bbriBd999l5deeokvfOELRKPRcd0/yPTL2cM3DyweiPVjIV86n7Kl4Kq46FO6rSaqvTaO+2NEkhkayp3UlTio9uY32f7pjjbMRo2W/iQOs5FV5XbmmrMEMdB7chqouCC9sV5eOP4CGT1DMjzA0Wg/mVwfC4pWERqIo8cTBENxgvE0tmkwxdVo0Kj1SSInhBBCiPPr+aevoycSw9r0RIKef/o6njvvvOjzvvrqq9x9993Y7fnPJFu2bCEajRIIBLj22msBuO+++7j33nuHjvnIRz4CwDXXXEMoFCIQCBAOh7nvvvs4cuQISinS6fRQ/+uvvx6Xy4XL5cLj8XDnYLwrVqxgz549o8b1gQ98AIB169bx1FNPAfD888/zzDPPDCV5iUSC1tZWlixZctH3D5LUzR62Ilh5D3Tvg9hAfg+58mWgLn4qnKYprlhQTKwxS28oSTyVY1mVmysXluTX63ltHO4OU1tkoz7ag3P/K6QDQYpKS6nefDVQPXH3d4noiHSQ0fOjcJrdjiUepj3Yw+LiLAyAZrfhclhxWuWfrhBCCCFmlkxn5wW1Xwh1gZ95z+yvlOJLX/oS119/PU8//TQtLS1cd911Q+9bLKf2zNU0bei1pmlkMqPPoDrZx2AwDPXRdZ2f/vSnLFq06ILiPR+ZfjmbOMtg/g2w4oNQexmYL3493UkVHhsfWlfLhzbU8JGNc9i8tByryQBAQ4Wb962q5mOL3Xi2v0Gyt59cOoPJ34tn++tkY7FxX/9SYzKcKoJiKC7GazXic1nRdA1MJqxzariioQynZXoUSxFCCCGEGCtjZeUFtY/VNddcw9NPP008HiccDvPss8/icDgoKiri1VdfBeD73//+0KgdwA9/+EMAXnvtNTweDx6Ph2AwSHV1flDiO9/5zrhiOptbbrmFf/mXf8kvrQF27tw5IeeVx/3ivMxGjWrv2afYucJ+FpfZCCdMaErhshoxJhNkurowzJs3hZHOfLWuWrwWL4FkAM1sxlE/l9tMlTQY15HYZKei1EO5++xFVrpDCXadCNA+EKOqyMaa2qJz9j9JT6VINjWR6evD4HJhnjcPg8s1kbcmhBBCiEtc2f/5LJ1f+othUzCV1UrZ//nsuM67du1atm7dyurVq5k7dy5XX301AN/97nf59Kc/TSwWY968eXz7298eOqaoqIgrrriCUCjE448/DsCf/MmfcN999/GP//iP3HDDDeOK6Wy+9KUv8dnPfpaVK1ei6zp1dXU899xz4z6vOpklTmfr16/Xt2/fXugwxFkkDh8m/JvnR7R77r4Lc01NASKa2fwJP0cGjjCQGKDCUUFDUQN206mkujecpG0ghkFTzC12DG1xEEtl+NG7JxiInZr/7bWb+ND6GhwWE+lsjoFYCpvRgOuMbRHCL79C4rT54MbyMtx33IHBMf7RXiGEEELMXgcPHryg9WDBZ5+l55++TqazE2NlJWX/57PjWk93Ma677joeeeQR1q9fP6XXvRCjfV2VUjt0XR81aBmpE+NmrqnBWF5GprvnVNu8eZjGOZR+qfJZfWys3Djqe819UX6xp4N0Nv8wxmU1cueqKsrdVtoH4sMSOoBALE3bQAK3NcO2Q710hRKYjRob6opYN9eHQVNkentJ7Ns37LhUNMLxtgOYqyopt5cPmxYqhBBCCHGxPHfeOeVJ3KVAkjoxbprdjuvWW0kdOUImEMBUWoplwQKUwVDo0GaVXE5nR4t/KKEDCCcy7O8InnOKpUJn2+F8QgeQyuR4/Wg/RXYzC8tdZBMJyOWG+kc8Zl7LHqB3125MvdVUlc/nhtobKLIWTd7NCSGEEEJMkW3bthU6hAknSZ2YEEa3G+O6dYUOY1ZLZ3P0R0duFeEf3D6iqsiGz2HGf1qfIrsJm8VIVzBBPJUhp4PNbEBTiq5QgoXlLkwlJRg8brLBENl4nAPmdk507sFcV0fi4EHa02n2WEu4tvbaEdcWQgghhBCFJ9UvhZghLCYDtb6Rm8nXDG747TAbuX1FJcur3fgcZpZXu7l9RSV2o0ZXIM6e9iB724M0doaIpTLYB6uYajYbzutvwFBSTC4epyPTj3nOHPRMJr8xaGcnx4PHmQnrb4UQQgghLkUyUifEDLKhrpiBWJqeUBIF1JU4WFblHnq/1GXhpqXDN5zf3TpAjS+/iTxAKJEhkc4yr8w51MdcW0PRBz9I8KWXqMi4CbTvGnovl0hQai2+4P1fhBBCCCHE1Ji0pE4p9TjwPqBH1/Xlg20+4IdAHdACfEjX9YHJikGI2abUZeGedTX0hJJoGlS4bRi0cydbJwbiaJrihsVlhBJpzAYNr92EyXDGpptmM6biYha1+jhhcRFNhgFwlFSyqmLNpN2TEEIIIYQYn8kcqfsO8A3ge6e1PQS8qOv6V5VSDw2+/tNJjEGIWcdiNFDrO/u+gc29EY77Y2gK5pU4cdmMpDI6KbIYNI2sDkopLMaRhWysS5ZQ3tfHHbmNdHtiKLeTecuvothZNZm3JIQQQgghxmHS1tTpuv4K4D+j+f3Adwf//l3grsm6vhCXokNdYZ7Z3cHO1gA7jgd4amc7xQ4zDsupBE5TsH6uD5Nh5D9/g8OB+5ZbqLrmZlZvvJP1V99LcdmcqbwFIYQQQogp94UvfIFly5bxhS98YdT377//fn7yk5+MaN++fTt/9Ed/NNnhnddUr6kr13W9E0DX9U6lVNnZOiql/hfwvwDmzJEPlUKcj67r7DwxQO60eibZnE5LX4x71tbQ3BclndOp8dqoOcdIn1IKU9lZ/2kKIYQQQly0w2938ebPjxHxJ3H6LFz+/vk0bKw4/4GT7N///d/p7e3FYrFc0HHr16+fFpuYT9vql7quP6br+npd19eXlpYWOpwxy+V0kulsocMQs0wonmZ/e5D97UFC8fSofXI6RBOZEe3hZAaf08K6Oh+b5hWfM6ETQgghhJgsh9/u4qUnGon4kwBE/EleeqKRw293jfvcd911F+vWrWPZsmU89thjAPz6179m7dq1rFq1is2bNwPw8ssvs3r1alavXs2aNWsIh8Ns2bKFaDTKxo0b+eEPf3jWa7zwwgtcffXVNDQ08NxzzwH5Pe/e97735e8nEuETn/gEK1asYOXKlfz0pz8d932N1VSP1HUrpSoHR+kqgZ4pvv6kOtoTZvvxAQLRNHNL7FxW58NhNmI0KIyjTHUTYiy6gnGe3dNJZDBhc1qMvG9lJZXe4dsbGDTFogoX77YMrz204LQql0IIIYQQhfLmz4+RSeWGtWVSOd78+bFxj9Y9/vjj+Hw+4vE4GzZs4P3vfz+f/OQneeWVV6ivr8fvz68Ke+SRR/jmN7/JlVdeSSQSwWq18swzz+B0Otm1a9c5r9HS0sLLL7/MsWPHuP766zl69Oiw9//6r/8aj8fD3r17ARgYmLp6kFOd1D0D3Ad8dfC/P5/i60+armCcX+7tIjs49233iQD72oKUuSxommLNnCKWVbmlLLy4YDtPBIYSOoBIMsOutsCIpA5gzRwv8XSWQ11hlFIsr/KwosozleEKIYQQQozq5AjdWNsvxKOPPsrTTz8NwIkTJ3jssce45pprqK+vB8Dn8wFw5ZVX8rnPfY6PfvSjfOADH6CmpmbM1/jQhz6EpmksXLiQefPm0djYOOz9F154gSeffHLodVFR0Xhva8wmbfhIKfXfwJvAIqVUm1Lqf5BP5m5SSh0Bbhp8PSuc8MeHEjrQae2PseP4ABldpy+S4oWD3bT0RQsao5iZuoOJEW1dgZFtAA6LiZuWVvDxy+v4+Ka5XLuoFKt5ZJVLIYQQQoip5vSNvl7tbO1jtW3bNl544QXefPNNdu/ezZo1a1i1atWogykPPfQQ//Ef/0E8HmfTpk0jErNzOfN8Z77Wdb1gAziTWf3yI7quV+q6btJ1vUbX9f/Udb1f1/XNuq4vHPzvmdUxZyyjQQE6oJNI5/DHUmiaQpH/H6vr0Dq4+bMQF+Lk9gU5XSeVyaLrOrXFI0fpTue2mXDZTFMRnhBCCCHEmFz+/vkYzcPTD6NZ4/L3zx/XeYPBIEVFRdjtdhobG3nrrbdIJpO8/PLLNDc3AwxNvzx27BgrVqzgT//0T1m/fv0FJXU//vGPyeVyHDt2jKamJhYtWjTs/ZtvvplvfOMbQ6+ncvqlLPQap95wkt2tHeSSh4lF9xEO7yeX6ceoKRZXuEikT02bk3V14mKsrvViNmrsaw+y+0SQUCLNHJ+j0GEJIYQQQlyQho0VXP/RxUMjc06fhes/unjc6+luvfVWMpkMK1eu5Etf+hKbNm2itLSUxx57jA984AOsWrWKrVu3AvD1r3+d5cuXs2rVKmw2G7fddtuYr7No0SKuvfZabrvtNr71rW9htVqHvf9//+//ZWBgYOj8L7300rju60IoXdfP36vA1q9fr2/fvr3QYYzQFYzz3O5Oqu07GQjswWKbRzzrJZVRlBQtoCtsIZrMV8I0GxUfXFtDhefcIyzi0hP29+NvP0E2k8FTWo6vqhqlnXoA0BNK8NzuDrJD/1R1TAaND2+YI1MrhRBCCFFQBw8eZMmSJYUOY9YZ7euqlNqh6/qo+ydMdaGUWSMUS/FSYy8D0QCG+D6MWpZk/Bgus4nldSU4nA66kms53h/DYTGwpMItCZ0YIdzfy4FXtpHNpADoOnqY+jXrqFxwaji/PRAnOGKrgizd4QRzi2XETgghhBDiUidJ3QVKZ3PsbQvy6/0dtA8kMKoEZnsGSFPsMJPIZEhn4xg1A6tri1hdO3VVb8TM03eidSihy9PpOHyQ0jn1GM1mAEyjTNtVgMkglVSFEEIIISbSV77yFX784x8Pa7v33nv54he/WKCIxkaSugu0vz3I9uN+DnSEqSt28HZTmCXLlxIK7SCVyVHqsmA2mLE75hU6VDEDpJMjq1hmUimy2QxG8knd3GI7xQ4z/dFTyd+CcicVbhn5FUIIIYSYSF/84henfQI3GknqLtD+jhBGTdEXSRFNpplX6uStthqunGunyt3FospySn0rsdvmFDpUMQN4yiroa20BwGp14jB5cNqL0SKgm3WUQeGymnjfqkoOdYUZiKao8FhZVOlG02SkTgghhBBCSFJ3wewWjbaBGLoOPeEUoUSGBaVOMC3n8uW34bGbCx2imEFKaueSjEXxnziBI+zC0KewllmI7erFPMeFtaEIpRQ+h4XL549vDxchhBBCCDE7SVJ3gWqK7Pzo3TYuqy/iSHeE7nAClGJBuZM0IY4MDOAyuyi3lxds80ExcxiMRuYsW0mpdw6xXT0YvWYY3NswdSKMqdKB0S3JnBBiZmvqjXC0J4JCp9RlpbbIRrHLev4DhRBCjIkkdRdoQYmTxRUu2gMJ5hTb2TjPh9NiJGVs4adHdpHVs2horCxbycaKjRg0KTkvzk/LahjNZyRvOuiJLLgLE5MQQuT0HE2BJk6ET2DUjMzzzqPaWX1B5zjaE+EXezpwWowc7Y3QMRCnwmvlpiXlXLWwFKtp5O9JfzRJIJbGazfhc8iDLSHEhfvWt76F3W7n4x//+KScf9u2bTzyyCM899xzPPPMMxw4cICHHnpoUq41FpLUXaAip4Vbllfy5rH+obZid5rdve8STacwGzXcVhO7e3ZT66ql1lVbwGjFTGFwmfMDdKdtG6lMCs1lKlhMQgixv28/r7a/OvT6oP8gt9ffTo2rZsznONgZxKgp2gNxmnujdATjHPfH6AwkMBk0rl1UNqz/rhMDvNvsx6BpaApW13pZPUcqSQsxWxx89SVeffJ7hPv7cBWXcPWHP86Sq6+f8Ot8+tOfHrU9k8lgNE5sCrRlyxa2bNkyoee8UJLUXYQNdT6KHWa6QwmcFgN9yTb2NQ1wch/3UpeZuhIHgURAkjoxJgavBesCL4mmIGR1NLOGtcGHwSZJnRCiMNLZNHt69wxry+QyHBk4ckFJXTKdw2oy0OaPcWIglm/UIJHO8lZzP5vmFWMZHK3rCSXY0TJA20CCw90hdB06ghFM5hh1xV4cJtmbU4iZ7OCrL/H8Y98gk0oCEO7r5fnHvgEw7sTue9/7Ho888ghKKVauXMn8+fNxOp18/vOf57rrruOKK67g9ddfZ8uWLTQ0NPA3f/M3pFIpiouLeeKJJygvL+f222+no6MDgObmZh599FG2bt3KH/zBH7B9+3aMRiP/+I//yPXXD4/1O9/5Dtu3b+cb3/gG999/P263m+3bt9PV1cXf//3fc8899wDwta99jR/96Eckk0nuvvtu/uqv/mpc93w6SeougkFTLCx3sbDcRSCWYt9eDaMyktbzG0T3hlMU2c14LJ4CRypmCqUUljoPxlI7eiKD5jChWeWfpxCicDK5DMlsckR7IjtyK5ZzWVDu4t3mfiymU3tu2s1GlFIYlMIfTVHpzW/R0h9NEU6kaewKAdBQneFQ5CW+sT3ChrkVrCtfx8rSlbJmXYgZ6tUnvzeU0J2USSV59cnvjSup279/P1/5yld4/fXXKSkpwe/38+ijjw7rEwgEePnllwEYGBjgrbfeQinFf/zHf/D3f//3/MM//AO//OUvAdixYwef+MQnuOuuu/jmN78JwN69e2lsbOTmm2/m8OHD54yns7OT1157jcbGRrZs2cI999zD888/z5EjR3jnnXfQdZ0tW7bwyiuvcM0111z0fZ9OPjWOUzCeZiBiYX3Fet7pfIesnkOhWOhdQpWzqtDhiRnG4DCBQ0bnhJjNcjmdo71hWvtjmI0a88ucVHvthQ5rBJvJxgLvAvb17xvWPtc994LOs6zKTSqTxWzQ2NsewmrScFmMFNlNlHus2Myn1tR5bEY6gvmksdRtoCn6Jh2RXqo1G9F0nDc63sBn9VFireS4P0Y8laXCbR1KCoUQ01u4v++C2sfqd7/7Hffccw8lJSUA+Hy+EX22bt069Pe2tja2bt1KZ2cnqVSK+vr6off6+vr42Mc+xo9+9CM8Hg+vvfYan/nMZwBYvHgxc+fOPW9Sd9ddd6FpGkuXLqW7uxuA559/nueff541a9YAEIlEOHLkiCR100WR3YzRoMjG6ri2qoR4LoRVc3JZ+UKMmnx5hRBCDLenPcBLjb2nXrcFuXtNNdVF0y+xW1O+hqye5VjgGEbNyPKS5SwsWnhB5zAZNC6rL2ZhmROr2UBHII7PHSWUayNrbaMzHsdhXYBJM1HusDDP52B/e5DqkiSt/T14bCY8dhOaAh2d46EO3jik0xHIJ38Gpdi8pIxl1TI7RojpzlVcQrivd9T28dB1/bwj+A7Hqenbn/nMZ/jc5z7Hli1b2LZtGw8//DAA2WyWD3/4w/zFX/wFy5cvHzr3hbJYThV4Onm8ruv82Z/9GZ/61Kcu+HxjoZ2/izgXt83ENQtLQUEg7CAVq6TeW0utz1no0IQQQkwzyUyW944HhrWlszqHusOFCeg8XGYX18+5ng8v+TBbF21lfcV6TNrFzSYocli4Y2UlVy020Zx8jVCuiajezksnXmJXzy4ygQR9e3opzurU2M2YMeGxWrAYNao8tqEPbNG4NpTQAWR1nTeb+omlMhNyz0KIyXP1hz8+otq30Wzh6g+Pr0Ll5s2b+dGPfkR/f76Qod/vP2f/YDBIdXW+ku93v/vdofaHHnqIlStX8uEPf3io7ZprruGJJ54A4PDhw7S2trJo0aILjvGWW27h8ccfJxKJANDe3k5PT88Fn+dsZChpAiyr9lDptdIbTuGwGAjG0vxkRxvZbI6lVR6WV3swGyV/FkKIS1mypYVQWyehIxEyNidGnw8GE5V4Klvg6M7NabrwB5WJSBilGbDYT41AOi0m0loXZe7hHz/2du+lPldGSzDHsaYB1hY7SCqoqt/A/sCeoSmaPqsPuyoHhq/rCycyRJNZ7Gb5WCPEdHZy3dxEV79ctmwZX/ziF7n22msxGAysWbOGurq6s/Z/+OGHuffee6murmbTpk00NzcD8Mgjj7Bs2TJWr14NwJe//GX+8A//kE9/+tOsWLECo9HId77znWEjcWN18803c/DgQS6//HIAnE4n//Vf/0VZWdl5jhwbdTFDilNt/fr1+vbt2wsdxpgc6Ajxm/1dw9quWlDChvqRc3uFEEJcGpJNzYR+9SvQNN5wzmFfqx9z3VyMZeUA3Ly0fNZMH0xEI7Tu3Y2/4wRKaZTPX0jNkuUYTfkRvpdPvMz+/v3DjjGkFFsyN3IwovFO86ktg5wVVixlEeaUpyl3epjnmceJfp3n93cPO77EaeZDG2qxGMe5N2wmlU+0DbK2WYixOnjwIEuWLCl0GLPOaF9XpdQOXdfXj9ZfHmlNsMOjTKHZ1xFkzRwvRoOM1gkhxKUocfgQ5HKQy7FGC5Or9XFswI+9uoI1c30sqnBN2rVzOR2lmLKKke2NB+g70TL4KkvHoQNY7A4qFzQAUO2sHpHU1fvqsbdZKbPmhrUnMgo3VVw351TCNr80y7KqOAc7Q+R0cFqMXN1QOr6ELp2A9u3QuSef1FWtgep1ktwJIWYMSeqmiJRfFkKIS5eePFXC2+bv5WpTgA3VVfg21GB3TE7lxmQmy54TQfZ3BDEaNFZU55cDGLTJ+32UTqXwt58Y0R7o6hhK6uZ553F15mp29+4mmU1S76lnffl6TNkM1R0RNs0v4b1WP2kUpWUOblhcNixhs5oM3LS0nBXVHuLpLOVuCw7LOJOvzp3QfGqTdY69BJoJataN77xCCDFFJKmbYIsqXLT0RTl9UuuKSf4lKoQQYnqzzF9AuvVUsqOn03jLiyctoQPYfSLA60dPTWX8XWMPRk1N6jRPg8GAyWolnRy+5s1kPXWfmtJYUbqCRb5FZHIZ7Kb8mjt9QQ6D28zGcIpl84tIOoyUFtmHNiY/nVJq4rYx0HXo3DuyvXufJHVCiBlDkroJtqjcha7r7GsPks7qLK1ys3yWrJMQQghxcayLGsglEyT27kXPZLEuXoR9cCH+ZMhkc+zvCI1oP9QdZlm1h3A8zUAsjdtmxGs3T9h1NYOByoWLObbj7XyyBGhGI6Vz60b0NRvMmA2nrq0MGuZKJ1TClO86N9o0S8PEfV2EEGKySVI3wTRNsbTKw9IqSeRE4WSyOfoiSTSlKHVZZPqvEFMl0gO5DDjKwHDqV6wymXCsW4dtxQrI5dCs1gm53EBigK5oFybNRLWzGpspnw4ppYbtWWQ2aFhMGhajxsGOINsO95JI5zAbNa6YX8yaOUUTEg9AWd08zBYrgZ4uNIMBX1U1ruLSCTv/hDu5hu7Qr05vhIqVBQtJCCEulCR1Qswy/kiSFxt7aBuIoyloqHBxTUMpDin1LWa5ZCZLMJ7GYTaMf43VhUrFoOkl6NoPeha8tdBwCziGJzOaeeJGf1pCLbzY8iLJXH69Xqm9lJvn3ozHkp/yv6LGy8uHe/HYTJzwx+gMxlk310dfJEkinS9IksrkePVwH1UeG+WeiUk0lVIUVVVTVFU9Iec7m3g6TnuknVQuRYWjAp91HFWmK1bm19D1HARNg7IlULp44oIVQohJJp/yhJhl3jnup20gDkBOh8bOMCVOCxvqZFsNMXu1+qO8fKiXvkgKh9nIxvk+VtV4py6A7n35yoknBU5Ay+uw7K5JuVwml2F75/ahhA6gN9bL4YHDbKjYAMDKGg9GTfHf7xynP5qm1GXheH+U7lCCy+eXEIyngfzm3b3hxIQldVMhmAzy2+O/pSeW37jXpJm4ae5N1HnqLu6EmgYVy/J/hBBijAKBAD/4wQ/4wz/8w0KHgtTYF2IWSaaztPTGRrSf6B/ZJsRsEU9l+O2BbvoiKQCiqQzbGntoH3y4MRF6w0la+qNEk+nROwwcH9nmb4FUdMJiOF08E2cgOTAyjMSpNqNBw24xUua2saTSTYnTgtmoEUpkSKSHb3busM6sZ7xHBo4MJXQA6Vyad7reIZ07y/8fIcS0Ed3ZQ+dX36HtoVfp/Oo7RHf2nP+gaSoQCPCv//qvhQ4DkKROiFnFaNDwOkZOO/PYZa8lMXv1hlOE4plhbTkdOoPjT+oy2RyvHunlv99p5en32nnijaMcazkOXXuh70h+2iWAbZQ1aVYXGCzjjmE0dqOdUtvIdWpnthnOWE7rtBgpd1s4fZnt4koXNUX2yQhz0pyevJ4UTAZJZBKj9J7mctn8yG7/sVPfT0LMUtGdPQSeOkI2kJ9lkA0kCTx1ZEISu7vuuot169axbNkyHnvsMQD+8z//k4aGBq677jo++clP8uCDDwJw/PhxNm/ezMqVK9m8eTOtra0APPvss2zcuJE1a9Zw44030t3dDcDDDz/MAw88wHXXXce8efN49NFHAXjooYc4duwYq1ev5gtf+AKRSITNmzezdu1aVqxYwc9//vNx39dYzaxHc0KIczJoinVzi/hVqItsLl95zm42sKzKXeDIhJg8VpOGQSmyuj6s3WYex2bUg473x9jeMphARHuJBtt4qSVEpWcPdi0L5cth6fuhfFl+PVYqku+rDDDn8mHFUiaSQTNwWeVl/Pb4b4mm86OBtc5aFhYtHNavymujwmOlK5hPdpRSrK4t4soFxQTjabx2M3OL7ZgMhX/Gm8nmONQVpnUghsWosajCRbV39GSzxFbCkcCREW1248xKTklF4fDz0HsI0MHqhSXvy6/JFGIWCv2mBX1wTe9JejpH6DctONaUjevcjz/+OD6fj3g8zoYNG7jjjjv467/+a9577z1cLhc33HADq1atAuDBBx/k4x//OPfddx+PP/44f/RHf8TPfvYzrrrqKt566y2UUvzHf/wHf//3f88//MM/ANDY2MhLL71EOBxm0aJF/MEf/AFf/epX2bdvH7t27QIgk8nw9NNP43a76evrY9OmTWzZsmVKCtZJUifELLOwzIVznZETA3EMGtSVOCh2TM5ogRDTQanLwooaD7tOBIbaKjxW6orH/wG/Jzy4Zi2TzI+k5DKEA234faXY/W+DxQUtr8HKD8Hq3xvskwLv3En/YF7lrOKehnvojnZj1IxUOisxacNH5S0mA7cuq2Bve4COYIJyl5Xl1W5KXdNv/dx7rQPD9tU72BniA2tqRt2PrqGogfZoO62h/NN1h8nBZRWXYdDGn8hPqe4D0Nt46nUiAE0vw+qPwCj3Ek9lSGd13DaZfSFmppMjdGNtvxCPPvooTz/9NAAnTpzg+9//Ptdeey0+X76mwL333svhw4cBePPNN3nqqacA+NjHPsaf/MmfANDW1sbWrVvp7OwklUpRX18/dP477rgDi8WCxWKhrKxsaBTvdLqu8+d//ue88soraJpGe3s73d3dVFRUjPv+zkeSOiFmoUqvbeI25hVimlNKceWCEircFnoiSdxWEwtKnRNSAXNoOnM6mt93LRXDbLbgzA0Aen6kJdQB6Rg4ivN/ppDD5GCed945+xQ5zFzTML4n4JMtlsqwszUwrC2V0TnSGx71Z5nD7OCWulvoinaRzqapcFQMbWI+o4Q7R7ZFuiAZBpt3qCmb09nZOsDO1gDJTJb6UgdXzC+haAL3GBRiKhi8llETOIN3fA+ft23bxgsvvMCbb76J3W7nuuuuY9GiRRw8eHBMx58cSfvMZz7D5z73ObZs2cK2bdt4+OGHh/pYLKdiNBgMZDKZM0/DE088QW9vLzt27MBkMlFXV0ciMTXTwgs/30IIIYQYJ7NRY0mVh2sbylgzpwjXeEcyBlrh4LMsaPsZNzubKHGYAB1ltnPZXDfecP5pLwZzftsC4/Qb+ZpJMlmddDY3oj2VHtl2kkkzUeuqZZ533sxM6ABso1QltnnhjPs52hPm1SN9RJL5kbrDXRHePNY3NTEKMYHct9ShTMPTD2XScN9SN67zBoNBioqKsNvtNDY28tZbbxGLxXj55ZcZGBggk8nw05/+dKj/FVdcwZNPPgnkE7Grrrpq6DzV1fntWL773e+e97oul4twODwsjrKyMkwmEy+99BLHj49SRGuSyEidEEKIS0o8laEzmMjvOe21YTGeMc0t1An7fgKZJCagIXuCcu9SWtevpCTVQW37byCbzn8gd1bC3MtHnSonxs5tMzG/zEljZ3hY+5wJmEI7rZUvy0+/jPbmX2tGmHsVGIePwJ3wjyyg0tQbJRhP45GpmGIGObluLvSbFrKBJAavBfctdeNeT3frrbfyrW99i5UrV7Jo0SI2bdpEdXU1f/7nf87GjRupqqpi6dKleDweID9V84EHHuBrX/sapaWlfPvb3wbyBVHuvfdeqqur2bRpE83Nzee8bnFxMVdeeSXLly/ntttu40//9E+58847Wb9+PatXr2bx4qnb71LpZywsn47Wr1+vb9++vdBhCCGEmOG6Qwl+va8TfzRf+r7Sa+WWZRXDp7G1vA7Nrww/UDPAuk9AIgiRHsjEwOIB37wpn3I5WwXjad5q6uNYTxSrycCaOV5W1XjRtMkvMFBQyQgMtEAmAZ4acI1ce/PakV7ebRle8dNhMfD7m+ZiN8vzeVFYBw8eZMmSJYUOY1SRSASn00kmk+Huu+/mgQce4O677y50WGMy2tdVKbVD1/X1o/WXnwRCCCEuGTta/EMJHUBnIMH+9iBXLSwlGEuR1XWK0BmRRug6oEPJgvwfMeE8NhO3LKskuiCNUdOwmC6R0U+LEyqWn7PLgjIne9qCJDOnpqOuneOVhE6I83j44Yd54YUXSCQS3Hzzzdx1112FDmnSyE8DIYQQs182TTrQgTXei8vsJpw69VZPOMnvDvawvyOIDiz0lHKltQp3ouNUp+IF+bVzYtJNRIGb2abCY+PuNdUc7Y2QSGepLbKzsNxV6LCEmPYeeeSRQocwZSSpE0IIMbvF/ND4S4zBVqq6IrhtVTQXX0NnykY2p5PN5djdFhjq3jigsBVv4DrLexAfgNIGqN0IU7DPkBBnI1WNhRDnIkmdEEKI2a3tXQieQKGo8lg5FEtiSgYIJYxUeCzkciPXlh+OWLnyio9gUjkwyMiREEKI6U22NBBCCDH1Ij3QcxDC3YPr1SaRv2norzZ3EccNc9nf1Eoyk6U/muJobxSXdfgzTpfViEHTJKETQggxI8hInRBCiKmTTsCR52HfUxDrz1ePXHgzzL8OzI7JuaazHOIBADpVBSf6Qtidpdgd+Y1k46kUp1eC1hSsnVM0+6suCiGEmDVkpE4IIcTU6TkA+5+GaA/oWeg/Ak3boGvf5F2zej2Y8puDp3KAZgJ35dDbHpuZZZVuNtb72FBXxN1rq1lUIUUohBBiNmlsbOTyyy/HYrHMygIqMlInhBBi6gy05IuPDGtrglD75F2zaA6s+RgMHKcCC66UIpw7Na3SbNBYXOXGNzhyJ4QQYvLs2bOHF198kWAwiMfjYfPmzaxcuXLSr+vz+Xj00Uf52c9+NunXKgQZqRNCCDF1rF4wnpE8Wdz5jbwnk6MEatbhrFnOLWvrqS6yYjIoytwWbltRgaYUu1oH2N7ipzuUmJBLhkIhWlpbaG5tJhQKTcg5hRBiJtuzZw/PPvsswWAQgGAwyLPPPsuePXvGdd6WlhYWL17M//yf/5Ply5fz0Y9+lBdeeIErr7yShQsX8s4771BWVsaGDRswmWbnWmkZqRNCCDF1ypdC2dJ8RUo9B8oANZdB2WKS6SzRVAa31YTRMHnPHGuL7FStrSWWzGA1G/BHU/x4exuRZAYAo6a4fUUl88ucF32N/v5+3m15l33+fURSEeq99Vy/8HrmlM2ZqNsQQogZ58UXXySdTg9rS6fTvPjii+MerTt69Cg//vGPeeyxx9iwYQM/+MEPeO2113jmmWf4f//v/83aEbqTJKkTQggxdVwVcPmD0LYdYr0krOU0m+bR2KRzpOswJoNGZZGNqxeUUF1kn7QwDJrCZcs/rd3fERxK6AAyOZ3tx/3UlzguuljK4Z7DbDuxjayeBWBfzz4wwsdLP46S/e6EEJeokyN0Y22/EPX19axYsQKAZcuWsXnzZpRSrFixgpaWlnGff7qTpE4IIcTUchTDolvojyT56XttGDTF7w62kcnpVHqsoBTP7+/mI5fNwWo2THo4A9HUKG1p0tkcFu3irt8R7RhK6E460ncEf8JPsa34os4phBAzncfjGTWB83jGPwXfYjk1tV/TtKHXmqaRyWTOdtisIWvqhBBCFERTb5RoMkskkSEzuAF4dyhBIp0lEE/THZ6YtW3nU+sbOSI4p9iOxXTxCaXLPrJ6ptPhxKjkWaq4NOi6TjyVGbZdiBCbN28esabNZDKxefPmAkU0e8hvFyGEAPRslmRTE6nWVjSrFcu8eZgqK89/oLhoqWwOANNp6+dyOmR1HU2B1Tj5o3QAS6vc9ISTHO2JoOtQ6bVyWX3RuM65rHoZO7p2MBDKV/q02+1cVncZHuskF4QRYho44Y/xbrOf7nCCCo+Ny+p8VBfZCh2WmAZOrpsrRPXLrq4u1q9fTygUQtM0vv71r3PgwAHcbvekX3sqqJnwBGX9+vX69u3bCx2GEGIWi+3aRfTV14ZeK7MZ95Y7MU9iYpfN6bQHYgRjaYocZqo8tktmw+tgPM3BzhAHOoKYDIrtxwN0BRO4rUaWVLpZXu3hpqXlU7b+LJfT6QknyOR0yt3WYYnmxeoId7CvZx+RdIR5vnks8S3BcmblTyFmmVA8zX+/00osdWr6sctq5EPra4kkM6QyOcrcFuxmGVeYLQ4ePMiSJUsKHcasM9rXVSm1Q9f19aP1l39RQohLXi6ZJL5z57A2PZUiefTopCV12ZzOK4d72X0igA4oBZfV+bh8fvGML6SRTqfp6ekhFAphtVopLS3F6TxVSfJId5gXDnaTSGfpDSfxOSxsqveR1XU8NhN1xU4ayp1T+nXQNEWFZ2JHEqpcVVS5qib0nEJMd+2B+LCEDvLrVl9s7OF4fxRdB7fNyC3LKqiZxGJIQlxqJKkTQlzy9HQaPZUe2Z5ITto12wPxoYQOQNdhe8sA80udlHusk3bdqdDU1ERHR8fQ6+7ublatWoXNZiOeyvDK4V4S6RygKHVZyeZyLKl0s6rWO+MTWiEudYZRZhv0R1N4Qgnyk8N0/JEUrx7p5d51tZO6fYkQlxL5lySEuOQZnE7M8+pHtJtqaibtmsFYijMnv2d1nUB8ZHI5k0QiETo7O4e1xeNx+vv7AQjFM4QSw6uQGTSNSDIjCZ0Qs0BtkY1Sl3lYW5E9XxgjFE9zsDPMrhMBXjvSR3N/tBAhCjEryUidEEIA9o0bQddJNTWDyYRt9SqsCxdM2vWsJgPtAzHCyQwOs5FSlwWHxYjPYT7/wdNYJjN6tbtsNj8dy2k14DAbiaaGJ3Ze+8y+byFEns1s5PYVlRzoCNETSlLhsWLQFC8d6uFIT5h0Nv/zQaHY3uyn0mPFaTGd56xCiPORpE4IIQCj24375pvJhsMogwHNPnlrPZLpLDtPDFBTZOfdFj+BWJpYKsMnrqyn1DWzC2k4nU6cTieRSGSoTSk1tAeRw2LiygXFvNjYQ3ZwG4P6EgfzSh0FiVcIMfF8DgtXLSwdeh2Mp3m7uX8ooTMZFKtqPXSFknQGEiwsl6ROiPGSpE4IIU5jcI3cX2yitQXitA8kMBs0Ni8pJ5nJYjZqlDhndkIHYDQaWbRoEc3NzQQCASwWC3PnzsXr9Q71WVbtocRloTuYwGExUuOzYTlj+4JYLEZ/fz+ZTAaPx0NRUZFMzxRihvLYTNy0pByjppHJ6jitRiLJ/Gi98RKp+CvEZJOkTgghplhmcH+2VDZHKp7/eyKdI5PLFTKsCeN2u1mxYgXJZBKj0Thio1mAcreVcvfoBWGi0Si7d+8mmcwXqlFK0dDQQFWVVJIUYqaaW+LA3TpAbzhFcHDtcKXHSpVX9q8TYiJIUieEEFOsymPDYTbSHYoTSWYwGjSqPFaqzlJSvzMQ50hPmGQmR22RnYXlrlErzE0nmqZhs13ch7Wenp6hhA5A13WOHz9OaWnpqAmiEGL6s5+x1q7cY2VplRuLyXD+gwdlszliwRQGo8LmMsvo/QzV2fVzmo49QiLZidVSybz5n6ey4v2FDmvGk6ROCCGmmMtmYlWth2d3RemLpCh3W6jwWmGUzyedgThP72wnns4ST2XZrg1w09Jy1tf5pj7wKXJ6QndSKpUik8lIUifEDHbmWrsLEQ0madnbR3QgiVJQXOuidokPk3nsSaEovM6un9PY+EVyuTgAiWQHjY1fBBhXYheNRvnQhz5EW1sb2WyWL33pSxw6dIhnn32WeDzOFVdcwb//+7+jlOLdd9/lf/yP/4HD4eCqq67iV7/6Ffv27ZuQ+ysk2dJACCGmWCyVYU9bkOoiG1fML6bWZ6e1P87R3siIvod7wgzEUhzsDLG3PcietgDP7u4gFE8VIPKpcbKoyum8Xi8Wy8xfcyiEuHC6rtN+aIDoQHLwNfS1hulvy//MjKYyvN3Uzw/eOs6v93byUmM3u04E8Ecnb69RcXGajj0ylNCdlMvFaTr2yLjO++tf/5qqqip2797Nvn37uPXWW3nwwQd599132bdvH/F4nOeeew6AT3ziE3zrW9/izTffxGCYPQ8FJKkTQogpFk1miSQzJDI5QonM4EbcEIqN3KMukc7R6o8RHtzbLafD8f4YLbN4f6fS0lJqamrQtPyvKLfbTX19/dBrIcSlJZ3IEO5PjGiPDOTbXj/SxxvH+kmks/xw+wkee6WJZ3e186N322gPxKY6XHEOiWTnBbWP1YoVK3jhhRf40z/9U1599VU8Hg8vvfQSGzduZMWKFfzud79j//79BAIBwuEwV1xxBQC/93u/N67rTicy/VIIIaaY22akxGmmLzJ8tK1klO0MylwWQmdsSD6/zDHi2NnEaDSycOFCqqqqyGazOByOWfU0VQhxYQwmAyabkWx4+M89i92IP5qksSuM2ahx3B8jlsrviTkQS+O0mvKzIrxj36ImHE9ztC9CNJGhzG2hvsSJySAPlCaK1VJJItkxavt4NDQ0sGPHDn75y1/yZ3/2Z9x8881885vfZPv27dTW1vLwww+TSCRG3Ud1tpDvUiGEmGIWo4GrG0pxWvLP1TQFy6rczC91jujbUObihsVleG0mbCYDy6vdVHpsWI2zP8lxOBy43W5J6IS4xBmMGtULPajTPrWabQZ81YM/M3UwGxR9kZHTLfvC556Cmc6eqjocTaZ5bm8n2xp7ebdlgF/s6eLNo/0Tcg8ib978z6Npw4toaZqNefM/P67zdnR0YLfb+f3f/30+//nP89577wFQUlJCJBLhJz/5CQBFRUW4XC7eeustAJ588slxXXc6kZE6IYQogLpiB7+3sZbuUBKbyUCFxzpqJTeH1chl9cWD1S4V8cGn0A0VIxNAIYSYrYqrXZitRsL+JJpR4S21Y3WasOs6C8udHOmJUFtkpy+SQinw2vNFleYWjz5K1xmIs+P4AB3BOFUeG+vmFtEfTdEVHD7Nc1dbgKXV7lmxj+h0cLIYykRXv9y7dy9f+MIX0DQNk8nEv/3bv/Gzn/2MFStWUFdXx4YNG4b6/ud//ief/OQncTgcXHfddaOu456J1EwYhly/fr2+ffv2QochhBAFoes6x/ujtAcSWIwa80oc+OQDhhBCABBOpNl5YoB2f5xjvRFSmRxFDgtzfHY2LynDazcP9U1lU7QGO3m3eYBgxEE8lf8c7LYaWVHj4fVRRubuXVdDjW/sUzgvNQcPHmTJkiWFDmPMIpEITmf+wehXv/pVOjs7+ed//ucCRzXSaF9XpdQOXdfXj9ZfRuqEEGKaU0pRV+KkrkRG54QQ4kwuq4lrFpaRy+lkdZ3uUH60rcJtxXjaerj+eD8vtr5IY287R7sj1HvnMse+jlDMRCiRIavrKJWvrnmSx2aixGlhIDHAQf9BuqPdlNnLWFK8BJ919m4tM5v94he/4G//9m/JZDLMnTuX73znO4UOaUJIUieEEEIIIWY8TVNoKGqKRh9Ve6/7PfrifWhKoQNNgeP4KkpRaj66DsV2C9cuLOWt5n4S6RzFTjPXLSpFaRleaH6B3ngvAJ3RTk6ET/D++e/HZrKNei0xfW3dupWtW7cWOowJJ0mdEEIIIYSY1VLZFO2RdgBcViMOi4FoMktvvINq40IcFiM1Pht2s5EF5U7iqSweuwmL0UBzsHkooTvJn/DTHmlnQdGCQtzOtKPr+qjrwsXFuZjlcZLUCSGEEEKIWc2kmfBZfcQiMUwGjQVlTnpCSardZSz1uFle7cFuzn8sdllNuKymoWNzem7Uc2b17JTEPt1ZrVb6+/spLi6WxG4C6LpOf38/Vqv1go6TpE6IS0gyFkPP5bA6z702K5nOksrkcNlM5+wnhBBCzARKKdaUraEn1kMql8JuNrK80stt9ZsotZee89hKRyUuk4twOjzUZjfZqXJWTXbYM0JNTQ1tbW309vaev7MYE6vVSk1NzQUdI9UvhbgEZFIp2g7uo7v5KHpOp7hmDnOWr8JiH77uIJfT2dseZPtxP4lUjrpSO5fPK8bnkEqLM1EileVwd5jeSBKPzURDuQu3JOpCiEuYP56fNmnQDNQ4a3Bb3GM6rjvazXs979EV7aLMXsaasjWS1IkpJ9UvhbjE9R5vpuPwwdNeN2E0m6lfvW5Yv6a+KC819nDyUc/hrgiZjM6W1VUypWKGyeV0XjrUQ2PXqSfLR3oivH911dAUIyGEuNT4bD58tguvWlnuKOfWultJ59KYNJP8ThTTjnb+LkKIyZINh4nt2kX45VeIHzhALpmclOv4O9pGtPWdOE4mnSacSNMZiBNPZWgfiA0ldLFUhlA8zbGeCAOx1KTEJSZPVyjOoe7w8LZgglZ/rEARCSHEzKaUwmwwS0InpiV5XCtEgeRiMUK/+Q2Zzq6htnR7O67Nm1Ha2J+3hOJpTgx+UK/12UedXmceZbGt2WbnQFeYN5r8xFM53FYjc4rt5HSd1v4Y3aEEOuCzmwhEU2OagtkdTBCIp/DazZS7L2yBr5hYiXSO0WbXJ9KjL/gXQgghxMwlSZ0QBZI6cWJYQgeQPHQY2/LlmCorz3t8NpqmozfMu82txBJxjBYLBx0erlxURaV3+L45pXXz6G8/QS6br9SllMJat4TfHOonO/jJP5TIsOdEAJvZQNfgxq0AiyrcvNXip8bnwGwcPdnUdZ23m/280+Qnq+sYlGLjPB+X1fvkiWaBVHisuKxGwonMUJtBU1R6JNkWQgghZhtJ6oQokFGnWur6mKZgprqjxI/42RU8wf6DTSiTAc1uxONxcchlpdJbPay/t7ySJVffQKCznVwuh7esgtasnaw+vFKVpmnMLXawoS47tPEqOnQHkwTjaUpdo4/WdQYTvN3UT25wZCir67zV1M/cYjs+pwEAs8F81vtJpLO0DcSJpTKUu60yyjcB7GYjNy8r57Uj/fSGE7itJi6fXyxfWyGEEGIWkqROiAIxlZeDwQDZU/vcKKcDY1nZOY/T01mSRwIkTFkON7cNtelpjVA4QmBgAKgecZyntAxP6alz9/dERvRRCoocJoyahttqGJqq5zAbsZvPPiV0IJYaSuhOsph0dvfupLv9KOiwpHgJK0tXYjEMTwzjqQy/2d9Nc18UyI8m3bC4jOXVnnN+HcT5zfE5uHe9jXAijcNsxGIyFDokIYQQQkwCKZQiRIGYystx3XA9mssJSmEoKca9eTOGM7YZOFM2kSEXz5DNZHCZT/uQns1nVR7L2KY71hTZqCtxDGtbVuVhWaWHUpdlaFqmpmDjPB8Oy9lL4XvtJkbMsjS3savvXSKpCJF0hHc632F3+15ioeEjkcd6o0MJHUA2p/PG0X4iyfSY7mMmiqcyHOuN0NwbIZme3M1rTQYNn8MiCZ0QQpyhO9rNa+2v8ZuW37C/bz+prBQFEzOXjNQJUUDWxYsxz51LLhbD4HKhzMOnKGayORq7whzpiWA2KBZVuJlfZEOzG7HlFPXFHqKpDJFEGgwKl83EwtrysV3bZODWZeUc640SjKcpcZqpK3FgMRp4/5oqWvpixFMZKj02qots5zxXpdvGurlF7Dg+gK6Dw2IgptpwWvI/YtKpLMGeGK93bsdsKcVdYqd2sQ+TxUAgPjJ5y99TBuc5EsmZqieU4Nf7uuiP5j88lLut3LKsnGKn7AUohBBTpS/Wx3PNz5HM5B80HgscozvqZ4XvMnwOMwZt8teDpzI5Wv0xIskMJU4z1V6brEMXF02SOiEKTLPZ0GyjJ0172oK8fPjUurejPRHet7KK2gVecgf6WV5Rj8NkpisRxlHsYtniBdSUl4z52jazcdRpjk6L6YKmP2qa4sr5JdSXOPKVMp1m3unx0BHLj8CF+xMkoxmKPWZyGehrDWN1mKha4KXEMXKtndtqxDNLN8l+78TAUEIH0B1KsKctyPWLzz3tVgghxMRpCbUMJXTZnE57IM7u1tdpLSnGYXZx3aKys64jnwiJdJYXD3ZzuDu/FEJTcOWCEtbXXfgeekKAJHVCTFvpbI7dbYFhbTkdDnWHmb+iEoPTjC2UxLeokow1h9liwWQqXCKkaYqaIjs1Rfnpo0tyS+hs7SSbzZKMplFK0eBcTGYgP90w1BenaoGX+lIHy6rcHOgMoetgMWpc01CKbRZukJ3L6Zzoj49obw+MbBNCCDF50rlTs0T80RTtA3EMSgMtR9tAnFeP9HL3mupJGzlr9UeHEjrI/35/q6mf+aVOikZ52CnE+cy+T01CzBK6rpPJjtxT7GSbwWHC4MgncdNx4l5DUQMGZeBo4BhFsTAVqgZPqJzc4PbmFnv+x4/FaODGJeUsrXITT2Upc1tn7SidpimqvNZhv8gBKjzT8f+gEELMXlWOKnaxCx2dcCKf4NV75xCPWwCdtoE4wXgar31yEqxgbOTSg3RWJ5zISFInLookdUJMU2ajgaVVbt5pHhjWPr/MWaCILoxSigVFC1hQtIB+a4Sm93rJDZbINJoNlNS4hvqeHOW7FKydU0RHMEFkcP84r83IympvYYMSQohLzBz3HK6tvZZdPbtwWbIUl8yl3LSMYDT/e8pmMmA5y96sE2G0ddQ2s0aRfXY+1BSTT5I6IaaxtXOKADjQGcasKVbN8bK4wl3gqC5ccaUT8+UGQr0JlEHhLbNhd1+ao1OVXhsfXl/LiYHYYDJrm5UFYYQQYjpTSrG0eCkLvQvpqY7w270BBqL50TMFrK8rmtRlAHN8dtbOKWLXiQFyOpiNGtc2lOGapTNVxORTuq6fv1eBrV+/Xt++fXuhwxCiYFKZHJoCo0F2ISk0XdfpDiUIxNIU2c2UuS0zslpZIp3lUFeIVn8cl9XIonIXld5zVzkVQojZqj+SpKk3SjKTpcpro77EMek/23VdpyeUJJxMU+K0TNpUTzF7KKV26Lq+frT3ZKROiBnAPIlTQC5F2VgMslkMLtf5O59G13XeavLzTnM/OR0MSnHZPB8b630zLrF7u8nPe62npvYe7Axxz7raSa32JoQQ01Wx0zLlW8sopSj3WCnHOqXXFbOTJHVCiEtGLpUitmMHiX37IZfDvHABjo0bMTgc5z8Y6AomhhI6gKyu83ZTP3XFdio8M2eUKxBLseeMyqqJdI6m3ogkdUIIIcQMJEmdEOLiJSPQfwQSIXCWg9UD4U4w2cBbB+bplegkDh4kvn3H0Ovk/gNoZjPOq64a0/H+WGoooTspp8NALD2jkrpMTic7ytT79CjVVoUQQggx/UlSJ8QMlcxkaR+IE0tlKHfbpn6EJRWF/U9DsC3/OtoDjjIwmCGbAk8NLH0/WCe+sEs2p9PcF6UnnMBpMTKv1DGmYiOppuYRbckjR3Fs3Igawx5/XrsJTTEssdMUeGwz60epz26mrthBc190qE1TzKoKpKlUiu7ubqLRKHa7nbKyMqxWmeIkhBBidppZn0SEEADEUxl+s7976EO5UVNsXlrG0krP1AXhbzqV0GXTMHA837bw1nxSF2yD3kNQu2HCL/1WUz/vNPuHXh/osHLnqkoc50nstCIvqrsbPX1qfyBltYLBMKbrVrptrJ1bxI7jA+g6KAXr5vqonEGjdJDfQuKahhIsJo2WvigOi5F1c4uoKxnbNNTpLpvN0tjYSH9//1BbX18fy5cvx2yWQgRCCCFmH0nqhJiBjvVGh42yZHI6bxztp67YgX0SSzAPkzx1fXJpyCQBHfTTpvDFAxN+WX80yXvHh+/d1xlM0NwXY3m1h0w2x8HOEI1dYQyaYmmlm7leEx0dHfRkMhidDsotVmzd3QDYV69CaWMrRKNpiivnl1Bf4iAQS+G1m6ny2GZckRQAn8PCbcsriaUymAwapllUWTUYDA5L6E62BYNBSktLCxSVEEIIMXkkqRNiBhqIpka0hRMZIonM1CV1ror8UJWug8ECZjsYrfm2k5wT/wE6lsqSOXNhGxBN5jfz3tseZNuh3qH2nlCCxZYAeiIMBgNZl4tQNMrK9etwl5Vhrq+/oOuf3Ch9tkxVnLLvlymUyWRGbU+fNkIrhBBCzCaz77e5EJcAn3PkFDKPzYjLOoX/pIvmwvzr4fgbkE5A9TpwlEKkF1BQthhKFk34ZUscFjw2E8H4aVMogXK3hWxOZ8+JwLD+XlOWxuMdLCrPb19gcLvB7SZeVUXpnDkTHp+4cIe7QuxpCxJLZVlc4WJljRereWxTYkfjdrsxm82kUqcefhgMBjyeKZyeLIQQQkwhSeqEmIEWlDpprYjS2BUB8vvYXb2wFJvZSDan0xmMk8rkqPRYsU3WSIxSULsRShZDKgL24vzaupPVL91VoF38B/OzsZoN3LCkjG2NPQzE0piNGpfVFzHH50AHTk7+9NhMRBJp9FGqPIrpo6k3wq/2dQ0Vn3n9WD/JbI6rF17YKK8/kuRgVxh/NEWlx8q8hQtpO36cWCyGzWajvr4exxi3rhBCCCFmGknqhJiBLCYDNy+rZHl1nFgqS7nbgtdupi+c4Jd7O2nui+K0miiym7lleQXV3kks5GHz5P8AmKxgvbANvS9GXbGDj1w2B380hd1ixGM7VSBleZWbPe1B3jzWRyiRwWM1strpoC+SpGRwY1mj0UhRUdGkxynOr6k3MmKbiH3tQdbNLRrz1NBwIs2zezrxD05LPtoTYX6pg1tXryGTTmE2mzEa5dedEEKI2Ut+ywkxQxk0Ra3v1Lqu9oEYz+3p5HeNPQDUeK2UW3UOtPZS6a5F0/Jr3dLZHAPRFFaTAbft/GX8pyuLyUDlKMnqylovR3sipLI57GYDPqcFR1kNxmwYszmNy+mgpqYGl2vyk08xNVr7Y0MJ3UlNvVF6IqlZs/ZRCCGEOBdJ6oSYBXI5nXeb/XSFEgA0FJuxxrpo3Bei12mhxpxg4YJ59EbSbDvUS1cogdmosX5uEevrfBi0mVe98WwsRgNOi5FVNV4AlFL4ExA3ebll3VzsY9jPbrpLprMc7Apxoj+Gy2ZicYVrRm1+frp5pU72d4SGjdYtr/ZcUAGX9CiFc3Qgk5Wpt0IIIS4NktQJMQvE0hk6gglcViN2swF7sp+2nj5sJgMGDTo62nA5HbzWkR1K/FKZHG8c68fnMLOwfHaNWtX47BztjQ5rq/XZZ0VCB/DGsX52nVYQprEzzAfX1Uz9BvQTYF6pk9uWV7C3PUQ0mWFxpYuV1d6h97OhEMkjR8j09WEsKcHS0IDhjFHWGq8No6aGVUX1OUxUuPObjadSKfx+P+l0GpfLhcfjmZHbUAghCiccT9PSHyOVzVLlsY06U0SIQpKkTohZwGYy4nOaiSQyLCmz032sCQCrSaPaa0NTiq6+fnrCI38JdYUSsy6pW1Lhpj+S4kBniGxOp6bIxqZ5xYUOa0L4o0n2tQeHtcXTWZr7ojMyqQNoqHDTUOEe0Z5LJgn/9gXSHR0AJA8fIXW8Fff77kA7bRPxEpeF21dW8naTn0AsRYXHyuXzirGaDaRSKfbv308gEADyI7cLFiygpqZmSu5NCDHz+SPJYet2DZrilmUVLKqYXb87xcwmSZ0Qs4BBU2yqL+aXezuZW+LAHfUST8SoK3bitedHp+xWK2ajIp4aPiXNbpr4CpWFZjUbuHFpOWvmeMlkdUpcllkzxTST1cmOMt0wk82N0ntmS7d3DCV0p9raSbe3Yzljf8H5pU7m+uzE01mcFuPQSFxfX99QQgeg6zotLS2UlJRgtVon/R6EEDPfoe7wsHW72ZzO28391Jc4MBu1AkYmxCmS1AkxS9SVOPjwZbV0BOLkihbRfaIZ42AiYzKZqKmqYJ0lzWtH+4eOKXKYmFfmLFTIk67YOTNHrs6lxGlhTrGd4/2xoTZNQY1v9k0F0lPJ0dvPsom40aDhMgz/gBWPx0f0S6fTpFIpSeqEEGMSiI/8mROKp0mks5LUiWlDkjohZhGfw4LPYUHXPVT5XASDQQwGAyUlJTidTtY5dYocFrqCcWwmA/PLnHjtIzcyF9OXpimuayjl7RY/LX1RnBYj6+ry+/TNNsbKSpTVip5IDLUpmxVjRcWYz+F0jnxoYbPZJKETQoxZpcdKY2d4WFuVx4bTIh+jxfQh341CzEJKKUpKSigpKRnWrmmKBWVOFszi0blLgc9p4bbllcRSGUwGDZNhdj4pNno8uG++iejbb5PxD2As9uHYuBGje+T6u7MpLi6murqajo4OdF3HbDazYMECzGZ5mCGEGJtF5S46gwkOd4XJ6VDsNHP5/OKhrYKEmA6Urk//ks/r16/Xt2/fXugwhBBCFICeyZCNxTDY7aiL2ERc13XC4TDpdBqn04nFMvum5QohJpeu6/SEkqSyOcpcFiyzcD26mP6UUjt0XV8/2nsFGalTSv0f4H+S30poL/AJXdcT5z5KCCHEpUgZjRc0OjfieKVwj+N4IYRQSlHukWnbYvqa8qROKVUN/BGwVNf1uFLqR8CHge9MdSxCCCEuHZFkmgMdIdr8cTwOE8srPfIhTQghxKxQqDV1RsCmlEoDdqDjPP2FEEKIi6brOq8c7uVQVyTf4IdjPRHuWVuDbxZWSRVCCHFpmfLV9bqutwOPAK1AJxDUdf35M/sppf6XUmq7Ump7b2/vVIcphBBiFukJJznSHRnWFk1mOe6PneUIIYQQYuaY8qROKVUEvB+oB6oAh1Lq98/sp+v6Y7qur9d1fX1paelUhymEEGIWyeV0RtmznUx29GJhuWyWUF8P4f5ecrnsJEcnhBAXJ50O4fe/SWfn0/T3v0Yq1X/+g8SsVIjplzcCzbqu9wIopZ4CrgD+qwCxCCGEuASUuixUe620B07V5DJqitrBTdtT2RTZXBabyUYsFOTYjncI9/UACm9FFfPWbcBqn317AQohZq5cLkVv329JxNsAiMdbicWaqaz8AEbjOX5exQagex+xQDc9+DhurMPu8lJbZKMrmCCVzVFdZKPaa5+iOxEToRBJXSuwSSllB+LAZkD2KxBCCDFpjAaNzUvKeeVIL4e7wpS5rFzdUEKpy8ye3j3s7t1NOptmgXcBZQOWwYQOQCfQ1U73MS9zV6wu5C0IIcQwiWTXUEJ3UjrtJ544gcu5GBJB6G3MJ3GOEihbjK5D8NCrBAb8+KNpstFDOBxHOFRyE0+/d4ISpxWlFAaluGlZOUsqpXLwTDHlSZ2u628rpX4CvAdkgJ3AY1MdhxBCiEtLVzBBbyhJidNCJpujK5gka+jktfbXhvrs7d1DScjMXIObXPbUtMtAdxdzVxQiaiGEGJ2ey5y9PZ2AA89C8AQZs5tYdwfGgT785gqO7tlLJJ5iIJamtLyC4tgA2XiYY70xrCYjLquJrK7zbouf+aVOzMaLX62VTiUJ9/aQzWRxlZbKjIdJVJDql7qu/yXwl4W4thBCiEtPIJZi2+FeUpncUNt7rQPUZI8N66c0jZZYG3WONRA6VUTF4fZMWaxCCDEWFksFRpOXTDow1KYZrFhtNRA4DsEThIzlNB1sJubvI2v1QvUyjCYLeiwFQG93F0UL6okk8q9PX3scjmdIprMXndTFw2GOvP06kYH8Oj+TxUbDpivxlJVf1PnEuU15oRQhhBBiqg3EUsMSOshvc5DJqhF9i0oq0NSpZ54ms5WyeQsmPUYhxPTVEYjxVlM/7zT30x1KnP+AKWA02ikrvRm7vR5Ns2Gz1VJWdhtmkxfScbJGBy1HTxDz9wGQzmRpPbAPg9mLxahx8qdfGhMOlwe72YDTYhg6f3WRDaf14sd/eo83DSV0AOlknLaD+9BzuXMcJS5WofapE0IIIaaMy2rCqCkypz2GzumwwLuQQF8rGf3UNKYNcy+noaGOQHcXmqbhKa/ALiN1QlyyjvaE+eXeLrKDPz+2twywZVUVNb7CFxKxWispL7+TXC6JpplRanC8xlNNwuAh0tc41NdoUBhsLpLKjsnuwqc0oroVypdTZnOyZZWdVn+MnA5lbguXzy9GqZEPvsYqMuAf0RYN+MmkU5gs1os+rxidJHVCCCFmvRKnhcvqfbzZ1I8+mNctrnSxuNRHzrCWg/0H0dFZXrKcFaUrMGpGHN6iwgYthCgIXdfpCiYIxNN4bEb2nAgMJXQAyUyOvR3BaZHUAfnCJoYzkiRHKab6TRj3HCMT7gOTHaO3lmLdjNnlI1lUjj2XYX5tHdVz6nBYzQD0hpOkczlKndZxraUDcPqKCXR1DG8rKsZoMo/rvADkshBsg0wS3JVgcY3/nDOcJHVCCCEuCZfV+6gpstEbSeG2GqkpsrOj52129uxEUxqa0mj0N7LAuwC3RSq+CXEp0nWdN5v6ebfZP7i+TMdqNGDSFOnTErtALD0l8aTTaQKBAMlkEpfLhccz9lkD5spF1Fy/lZYdb4HBDJpGicvDvPUbSGVzWCwWXC7XsNG4MvfEjaCVzq0n0NVBxD+4ps5qo3rJMpQ2ztVfqSgc/jX0Hs6/trhgyfugqG58553hJKkTQghxSVBKUV1kp7oo/3Q9kAiwt28vADk9R07PEUgGaA41s6p0VSFDFUIUSFcwcVpCB6A43BNmeZWHdOLUNO15JZNfxTGVStHY2Eh/fz4pUkqxYMECampqxnyOyoal2DxFRPr7MFkseKtqpqwCpc3pYsnV1xPu7SWbzeIuKcVin4DRzZ7GfEKnDHSb53AiYcZ06Ch1y8vxOG3jP/8MJUmdEEKIS1IqmyIzSknwRGZ6FEEQQkw9fyw1rAIkQLnbitNqJJzMoKFoqHCyvGbkiFkgEaA52Ew8G6fCXkGdpw5NXfyoVH9//1BCB/lRxJaWFkpKSrBaxzaippSiqKKKooqqi45jPExmC77qsSehYxLpAuCIqYFfNQbJZmOgQnjiLWzZUE+J89JcrydJnRBCiEtKOp0mkUjgNDkpsZXQF+8bek+hqHRUFjA6IUQhFdlNaGp4aX+bycBVC0owGw0oBcUO84gCIoFEgOeaniOUCg21XV55OWvK11x0LPF4fETbyZ9fY03qZiWbj4zJxTsdKbIn9xM1WgmmdBo7w1y18NL82khSJ4QQ4pLR29tLU1MTiUQCh8PB6qrV7NP20RPtwWK0sK58HbWu2kKHKYQokEqPjfV1Pra35KdgagrW1/mo8trOWQmyKdg0LKED2Nmzk4W+hThNzouKxeEYOU3SarVin4gpjDNZ2VISgV6Cxwa/3koD7xzQjAxEU4WNrYAkqRNCCHFJiMfjHDp0CIPBQCqVoqurC1uHjQ3rNuCocWA1WrGbLvEPS0Jc4pRSXDG/mLoSO4FYmiK7iUrPuRM6gHhm5KhaMpskmUledFJXXFxMdXU1HR0d6LqOyWRi/vz5mM0TUD1yBgtrGv6aJdTnwhxtjZIxOsGcT4CrvPk1dZlchlg6hs1kw6SZChnulJGkTgghxCUhHA6j6zp+v5+enh4AYrEYu3fu5qqrrsJuk4ROCDFYVMlrp9o79mPK7eUj2xzleC0XcJIzGI1GFi5cSHl5OalUCqfTic126RYCATjsP8yr7a+SzCZJZMBb00A8WE46Bw3lTpZUuTkROsHbXW/jT/gpshSxoXIDde66Qoc+6cZZU1QIIYSYGcxmMyaTid7e3mHtSikGBgYKFJUQYjao99SzoWIDZi0/ilbuKOeq6qswaIZxnVcphcfjobS09JJP6ELJ0FBCZ9XsFJtrQItz7RIzH9kwh9uWV5LV4/z2+G/pifWQyWXojffy4vEXCSQChQ5/0slInRBCiEuC2+3G6/WilEIf3IHcZrNhtVrPO7VKCCHOxaAZ2FCxgcW+xSQzSbxWL0ZNPmZPJH/CTzKbxGEoprnTyZGeILquEwr08dH1lSil6Ip1kcgOr2CczCbpjnbjtXoLE/gUke82IYQQlwRN05g/fz6RSITjx49jMpmw2+2YzWZ8Pl+hwxNCzAIuswuX2VXoMGYlp8mJURkJhjwc7j611YM/kuHdFj+3r6gcGikF8ODCFlPkNJ39XfsZSAxQ61yAnrNT7DBjM8+uNGh23Y0QQghxDiaTiZUrV1JSUoLf78dms1FRUYHb7S50aEKISRZNZWjzxwGdKq8Nl/XSKKAxW5TYS1hXvo6nOjqG2nzWItwWDyf8MZLpLFXOKmqcNWSCUbrf3UdToJNwKsy82mUcqWmnL7eTxY7rQLdw/eIy5pVeXBGb6UiSOiGEEJcUs9lMXV0ddXV1hQ5FCDFFesMJfrW3i/7Bkvduq5FbFpRSbjJgcJrRrPKReCZYU76GxhIHnX2taMqMQ3lAVxQ7zJiNGkoZuKHmet5s/Bl9SZ1UNoVDc9DZ3obD4qJN62WBu49YpJwXD/ZQ4bFinyUjdrPjLoQQQohB0ehRwpFD6Lk0DscCnM7FaLK2RYhL2p724FBCh67jbw/zbiDFDQ47mkFhWeLDXDZyXzgxvTT3xkglzZgpZiCaIhxNYDQY+OC62qG10SbdgCmUw6ZbMWbzP/sz6GRCYYyeHJlEADIeIljpC6eYUzw7fj/MjrsQQgghgGj0GN3dvwJyAMTjx8nlUni9awsbmBCioDoDp4pnZGNpssEkHRkdvE5yiSzJQwMYvVY08/iqVYrJdbQ3TCCe4bI6H7F0Bl2HYqeZKo91qM//n70/i40sy/M8v+9d7F7bd+O++r5GuMeeERlZWVmZlVWVWVmZlV093VKjoRGkBgRoMKN5ECRACzSQ3kbSjCSMoEYD8yBpHqSeVnX3VFd15b5EZkZk7OH7Rjp30vZ9uZsezJ10Bkl3ujtJo9H/HyAQbodG4zHS3Xh/ds75/32GSTSVxlhbIeAL0LSaBH0BBtJTVDSNpBcmv3YFL3WMkDnZw2eztyTUCSGEODJqtVs8DHQPVaufE41eRH1BGtAK8SKwLItKpQJ0K9v6fI//9z0S95OttgHwOt3XiNGYH6zun92Wg9uwJNQdcm63cDHllrU+Vmt3w91DqqYx/dIlSrksbt4hb5WxjAw32kHWKjpzd3K8c2KIV6IVUobLUSGhTgghxJHhedaWMddzAG/rnYUQfaler3P9+nWq1SoA4XCYs2fPEg7vXPTi5bE4C8Um+VoHRVeJhw1eToSg+eCiXldQTAl0h93xTIiby9VNr+jnR6L4vxTGE8OjvPXnP6C4ssxKrcPvl0qk8zl8Po1OGMqFHGeDd2HegPHXwOj/gikS6oQQQhwZwdAJGo2ZTWOR8FnUR8pcCyH629LS0nqgA6jVaiwsLHDmzJkdPycVNvmrV8dYLLVwLYdUro2+1ux+UAH/sThaQFbzD7uTAxH++LzHpwsl2h2XsyNRLo3Ft71vMBojGI0xdzdPc7aE2SkxYLehvgY1l8ZwhMTaNejU4Ox3D/aJ7AMJdUIIIY6MSPg0nmtRqX6O61pEwmeIxeQ8nRBHSbFY3DJWKBTwPG+9WMZ2AobOiYHuioybcbAHm3htFy1qoMXNfZuv2DuKonBuJMbZ4SieB6q688/7oXTYgGASGgkozoLnEolESWQGob4Aq9dg4k0IZfb/CewjCXVCCCGODEXRiMVeJhq9gOe5co5OiCMoGo1Sr9c3jcVisccGui9TDQ1jqP+33L2oFEVhtz/uqXSIV6YH+UzVcDSDoFvh6ycTBBtXwPNA8dh0KK9PSagTQghx5CiKhqLI+RghjqKRkRGKxSKtVreipWmajI6O9nhW4rDyaSp/cDrDmeEIjVKY9NLPiDQ+3QhyqRN9v0oHEuqEEEIIIUQfiUajXL58mVKphOd5xONxAoFAr6cldsFzPTzbRfGpT7Wy+jRaHYfbazUK9TaxoI+TA2FCpo/BqB+i4xB4CxY+gGYJ0qdg/A12vex3iEmoE0IIIYQQfcXv9zM0NNTraYinYOWadGbKOHULLWZiHouhx/b2LKPjevzk5iq3VmrrY3fXanz3pRFM34PdG5lTkD4JrgPa0YlCR+eZCCGEED1yZ63GjeUKLcvhxGCYc8MxDF3t9bSEEOJQcOodml9k8ezulkc718RtWoReG9rT3oBLpeamQAcwV2gyX2yuF8kBuitzRyjQgYQ6IYQQ4rncy9b4m8+X1pvizhebWLbL69Op3k5MCCEOCafYXg90D7l1G6fcQc3s3dbZluVsP96x9+xrHFbyNqIQQgjxHO6s1dYD3UOfzZdp73BxIYQQL5wdWg/sdT2rwagfv29zvNFVhcHY0T9zKaFOCCGEeA7ONqWwbdfDPQIlsoUQYi/oST9qaPMGQT0VQIv59/TrRAM+vn1+iGSo284mFtD51rlBMpGj34dQtl8KIYQ4UspNi7Vqi4BPYyQW2NSc1vM87pbucqd0B8dzOB47zsnESTT12d8uPpYOcWO5umns3EiUgCG/YoUQAkD16wRfymAt13FqFnrcxDcURNH2vurksUyY0XiAassmbOr49/DM3mEmv3GEEEIcGbdXq/z4+ioty0VR4OxwlK+fzmDq3V/qd0t3+dH9H+HhYVkWVxav8O7ou1wevvzMJdFPDkT45lmXzxbKtCyHs8MRXplI7OXTEkKIvqeFDbSTxoF8LdOnbVS7fEFIqBNCCHEkNDs2v7yVpWW5QLev7LWlCpPJIGeGowDcKt3Cw6PVarG2tobjOPy6+WuMgsGJE0P4jA4+PYFh7D6UqarCxbE450diuJ6HrsnJBiFEf2pWK1Sya6iaRmxgCEP6//UNCXVCCCGOhHLTotLaWuEsV++s/9l2uh+vVCo4TreQiaIqmOYid+78LclkFEXxkUy+TSx26am+vqoqqPR/A1shxIupuLLMrd/9GsfqvmYGIjFOf+WrBGPx3k5M7Iq8nSiEEOJIiPh1QtucY4sHfOt/Ph4/jud5tNvt9bHXB0+Sy/2KZrN7Ls7zLAqFX9NuZ/d/0kIIcQh4rsvC9SvrgQ6gWS2zdn+mh7MST0NCnRBCiCMhZPp4+0QK7ZHCKNPpEMczofXbp5On+eroVxlJjBAPxHlj6A1irorr2ZvO1HmeQ6eTO9D5CyFEr9iWRbNS3jLeKJUOfjLimcj2SyGEEEfGhdEYmYjJaqVF0NAZTwbWi6QA6KrOywMvMx2Y5u69u5SLZTyzg98MEIlEHnkkBV2PbP0C+6zasribrVFr2WQiJscyYXxyRk8Isc90wyCSSlNcXtw0Hk6lD3QenuexVmnTtl0GIuYzV67s2C6aqmx6k++ok1AnhBDiSBmM+hmMPr73UTQS5cK5C1SrVcDFslTq9asbH4++hN8/sqfzanUcWrZDLOBDUbZeaNQ7Nn/z+TLL5db62CsTCf7gdGZP5/EsHNdjudzE9TwGI/4XrqrcYeV5HvPVeZbry5iayVR0irg/3utpiT6kKApjZ8/TrJRp1WsAxDKDDEwfO7A5tDoOP7+V5eZKBdeDRNDHt84PMRrffbGWWtvio9kiN1drBA2NVybinB2Obvuae9RIqBNCCNFXWh2HSssi4tefqxecz+cjmUwC4LpfJxw5jtUpYhhJ/P4xFGVvVsg8z+PzhTIfzhZodBzGk0HeOZHe0gx3NlvfFOgAPl8ocW4k2tPGudWWxU+urzGbq+MB6bDBH58femJwFvvvSu4Kv178NR7dRvdfZL/gO8e/Q9Kf7PHMRD+KpDJc+KNvU8vnUDWdSCqNph9cVLi5WuX6cmX9drFh8d6dLD98ZXzXK26/vZvnymL3Meptm7+/toqpaxwfCO/LnA8TCXVCCCH6xq3VKr++naXctIn6db56MsPpoeffJqmqOqHgNASn92CWm83m6vzsxtqDy26YydWxHJe/fGVs40LFsWnWymC3QTcxNAV/ME/JWuGj1WUuqacYCg3t+dx249pShZlcff12rtbh9zMFvvvy3q5kiqfTtJp8tPbReqADqFpV7pbukhySUCeejWH6SY6M9eRrr1VbW8ZWym2qLYt48Mn97apNi1srtU1jngf383UJdUIIIcRhUai3+dHVVTpOtw9dpWXzo2srZCIGyVDvVrKeZLHUXL/sNnQFQ9NYKDb4cDbPxbE4weYq3PkRg+0gynIbLzqCb1TjF4u/xtAV9GCM+cZNvnPsO4yEDz5ILRabW8YWik2aHfu5VkrF82k7bdp2e8t4w2r0YDZCPL/YI5WKH4r6dQK73O6tqt3/cDaPvyjn6uT0tRBCiL6wVmmvB7qHLMdjpbz13d390rYdFksNcrWtF9M7eVioRVcVLNvjJ9dX+WCmwE9vZPmbzxZpzL4PlWXGrHn+8FSSibjCvfKnRAIaxzIhfJqK5VrcLt7er6f1WKnw1nfIk2HfpgI04uBFzSjDoeEt44OhwR7MZivP87C/9O9VHA6e57FcanJrtUp+m9eyQqvAreItFquLOK6zzSPsj5ODkU2vN5qi8Oax1K7P8IZMHy+NxTeN6arC8czRX6UDWakTQgjRB6x2m5Cx/fuQu30X93ktlZr85PoquVoHTVW4OBbj7eOpJ4ab45kQn86X0FSFn93sbsMcjvnx+zQW1vLMROA80NH8FBoOhWaTfKeE6jfQ1Y3n3LB7swJzbiTGvWyNUrPbuN2nKbw+mUJ9Qd79PqxUReWtkbf41eKvWK2voqs6F9IXOB473uupcXOlyifzRapNm1ODYV6ZSBDZZhVGHDzH9Xjvdo5P5ou4Xjf0fO1UhpfH4wDcKNzglwu/xHZtFBROJU7x7ti7GNqTtz8+r0TQ4AeXR7mfb9C2HUZiAYafokgKwGtTCYKGxky2TsDUODcUZSwZ3KcZHy4S6oQQQhxa9XKJxetXKa0u4wsGeTU6zqc1P47b3dB4PBNiNLH/v7Ad1+NXt7Pkap3125/OlRiM+Dk3En3s5ybDJj94ZYTP58vEAz4ifn1ju6iqU7N1UOC2O8qn93Pous7JsSm+qMwzX2hwZjiCoihMRCb2+2luKxMx+QevjnO/0MB2XMaSQdLhw7vdtR9VmxaO5+3q3NCjBoID/MXxv6DQKmCoxqGofHk/X+fvrizz4J8oH8+VaFgOf3ph66qiOHjzhQYfzRXXb9sPXtsmkkF8RoffLv4W2+2+gePhcbN4k8noJCcSJw5kfhG/jwujsSfez3JcdFXZUtXS1DUuTyS4PJHYrykeWhLqhBBCHEqu4zDzyYdUsqsA2J02SrHENy+/Q84LkAyZHM+EMPT9P0lQbna23ea5Umk9MdQBpMN+3jqucy9Xp9qyNz6g+xkYnYTla6w8WIiz0UmZZzmXDnKvdA/VM3l16CVOJU7t1dN5apHA7i60xNNpWQ4fzBS4sljGcT1ODoZ553j6qVa1dFVnIDiwj7N8OnOFxnqge+j2ao23pjskQvu/2iMer1DvbBmzHI9io4Pfq9B0tp6hLbaKW8Z6JV9r8+H9IvfzddIhk1cnE0ymQ72e1qEgoU4IIcShVC8V1wPdQ5riEbWqnDs3eaBzCfg0goZOrW1vGg+bu/81GjR0vnFmgJ/dWKPSsrvbGKeSTE7EIGESnqtBPQrBFJV2gKj+Ct8af5k/PjtCPHDwjdD7VdtyUFWlL5q2X1uq8NH9jQvm68tVTF3lD88cjnNxz0LXbWKRCopn0GgG6TguqgIvQJuwvhAPbn3DQFcVYgEfhhHBr/lpOZvfwIqZh+MNnY7t8qPrqyyXuvOrtxusVFr8w9fHZfcAEuqEEEIcUqqmo/sMbGvzO8uKdvAFOgKGzpvHkvz0xhreg1WIZMjg5ODTHcA/lgkzHPOTr3cIGfrGysXAGU4F21xvLa6fXbMdl7MDQxLodqnesflotsiN5QqmrnJpIsHF0dihPvs3+0iriIdur9Z450Qaow8L0cxV5vik+CPeX1pCUzReGriI3zrF6cH4U28tFftjPBnk/EiUq0vdXm6qAm8dT5IKm4DJW8Pdc5qO1y2QciJ+gsnYwb6JtpO1Sms90D3Utl3mCw0JdUioE0IIccjYpRad+1WccovRwElKgRzlSnfFzuf3kxjuTX+0i6Mx4kGD5VITv09jOh0i+gzFHwKGztgjrQA8z6NWq+EDvn9phNlCk47tMhIPMP6CHPDfCx/cK/DpfAmAesfhpzfWMHWVM8NP3h7bK0Fza3ALmjqaevhXGb+sbbf51eKvQG1zeihCttrmVukK/+DMJG+Pp57pMfPNPPPVeRzPYSwyxmCwf1cwDwufpvKNMwOcGoxQbVmkwgbDsY1iJOfS5xgIDpBtZgn5QgyHh/GpvS9ys1pucWWpzBeLJSJ+H4NRk4Cv+zqqyjIwIKFOCCHEIeI2LZpf5HBb3XeJA14E1VLxT0RQDY305DTBaG+2AimKwkQyyMQeBq1Op8OdO3dYW1vD8zwSiQSnT50iGJQwtxvVTpWZ8gzFVoX7FQ2/L0XL2vj4TL5+IKHO8zxWKy06tsdg1Nx1CfZzI1Fur9awHxxCUxV4ZSLel321yu0y5XYZgHjQWF+ZCwYb+I2nX3Vcqi3xtzN/S9vpltz/aPUjvj31bSajh2PVqJ/pmsrUY86hpYNp0sH0Ac7o8apNi//u8yUsx2UkFuD2Wo1ay+bscIRowMdkSl4vQUKdEEKIQ8Qut9cDHYCm6QS1GOnpE/gGjt4v7qWlJVZXN84NFotF5ubmOHPmTA9n1R9qVo2/vfe35Fo5bMfl8+UyL6UvoTun1kOS7wDCUbNj8/NbWW6tVHE9SIZ8fOvcECO7KMU+kQzxl6+OMpOtY7sek6kgU6neF31oWw531mrk6m1iAR8nB8KEzMev1gR8AUzNXA9hD4X1Z+sRdi1/bdNj2a7NZ2ufSah7Dp3FRVpXrmAXSxiTEwQuXkQLH/4ebnOFBpUHBaam0iGSIYPlcpPpdIh3TqRla+8DEuqEEEIcIjtchPffwsWuFItbq8rl83ls20bX5Vf048yWZ8m1ckB35WEwZvJZ9nO+OjhFqeZDUxVODGw+j7haaZGttgibPsYSAfQ9KKZyc7XKjeXq+u1C3eI3d3L85StjuzrPNxoPMho/PG9YOK7HT2+ubXpOd9dqfPelkceuQEaMCK8NvsZvln6DRzdUDweHmY5NP9M8iu2t/zZK7RKO66Cp/XfesNfsXI7K3/w7vHY3KDezWZxiieif/smWtgCPUyvm6TSbBKNx/AcUCB8tplpt2aiqwvFMhFcnEwzFnq6P3VEmvzGEEEIcGnrSRA3puPWNKpNq1ECPH81D8IFAgFKptGVM7cMzVQetaW8uvT4UDaCrKukoDEUinBmKbNpi9tl8iV/cyq73ODw7HOEPzww8sXn8k6xUtra6WC63qLZtYn3YcHu53OTmg0DnuC7lpsVSqcloPMBrU8nHBuGXMi+RDqRZa6wR8AWYjEwS8D3bRfdkZJJsI7t5LDopge4Zde7fXw90AB3HYeHjqxRjEzQjCS6OxZhI7rxK7DoOc1c/Z/n2DTzXRfP5OPbK62Qmni20P42xRICgodHodHdxeB6EA/qms4BCQp0QQohDRDV0AhczWIs1nEobLWZijEZQdnlGqd8MDQ2Ry+WwrO5BMFVVGR8fl1C3C4PBQRSU9VUhTVU4nRni26dOYmibt2OVmxa/uZtDVxVct/sZ15erHMuEOTX4fNVFY/6tW79iQR9+X3/+DFuWgwe4nsdMrk6u1q0+++H9Im3b42un0juu7CiKwmhklNHI6HPP41z6HIVWgdnyLB4eY5ExXs68/NyPK7pNxefyDXJ1C6dpM9OoMZOr81evjjMY82+5f8d2qGVXWbp5bX3MsSxmPvmIaHoQc5/PAMeDBt99eYRP7hdZqbSYSAW5PB7f9dnVF4WEOiGEEIeKHjHQzyR7PY0DEY/HuXTpEoVCAdd1SSQSxGL7VwjG87yn2mp1mI1HxvnKyFf4ePVjWk6LVCDFV0e+uiXQASwUGpQbFuWmxWDUJOzXqbUcSo2tjZif1umhMLdWq+tNnTVV4Y2p5HOvAPbKYMRPwFBZLrXWA51PUwkaOp/OFzk9FD6QLW9hX5hvT32bXDOH67mkA2lZpXsOvrEx0HWwbZodh3ytQ/DYNHe0INgeluMxm69vCnXlpsWHs3nurNVRGmUmo6PE6iu4TnfFzO60aVbLm0KdZ7lY2QZOzUILaOgDQdSn6Oe5k9F4gNF44Ei9hu01CXVCCCFED4XDYcL7fDal2bH5dL7EjeUqfkPj0nicM0ORvr44UhSFSwOXOB4/TstuETfj+LSt2x2L9Q4/u7HGF4tlbNfjXq7OmaEoQzGTZOj5t/UmQyZ/eXmU+4UGbdthNB7o63M+kYCPPz43xH/70QIAiaCPVyYS1No2rgfVts3QAc1FURQywcwBfbWjzTc4SOzP/pTGZ5+hrWQJXZpidewEDXvjxJr7yOE1z/P4xa017q51eyk26ja3lwv82akMZmUF6PYSNYOhTZ/TvF3AWtzov6ivNAi8nEF9hgqo2+nn16z9JqFOCCGE2IbneRQbHQxdJfyEyn895VigqPCYVYwPZop8PPeg8ETT4t9XVvBpKicGnhwmG40G9Xod0zSJRA5fEIwYESLGzlso7+frWA8qS87k6rge3Fqt8NUT03tWCj0S8HFhtDetNvbDsUyY/+CNMTJRE8fxqLZsPMCnKaRCUmmwXxmTkxiTk0Qdh8+urrC8uhG+NFVhMrXxZkS+3mEmu/FxfziCEYqw1lYZB1AUxs9dIBDZaBnilDtYSxufA2CX2tiFJsbQ4a+y2e8k1AkhhBBfUqi3+fXtHLP5Bj5N4fJEgtcmE3tSLXHPdGow9wGsXQPdD2Ovw/BL8KXQ1ejYXFsubxrzPJjJ1Z4Y6lZWVrh9+zauHqBmK4wOpLhwfKyvKnM6Xnf5IRPxEzZ16h0HQ1c5OxTFd5h+nofMeCLEhZEOv7uXxwP8PpWvnczsyeqm6C1V03j35ABhf5E7qzXiQYNL43FGHqnCqikKqqLgPvj3o2oaydExBoZMpgPDBBNJounNq6ie5WwuVflwvOPu6/MRXf3zqiyEEEIckN/ezXP3wbvUjuvx27t5on4f50b2v5H1rs28B0sfd//crsLNv+2Gu4HTW+6qbrO69qRq+81mk7t371JSwvzqZp625aDezPPHTYVvXRw/XAH3McYSQXRVwXY9AoZOwNAZjvkZjG8tCCE2KIrCa1NJjmVCVJo2iZBxaKt5VvM5svdnaNWqxAaGyEwfwzDl5/s40YCPPzg1wLsnMtu23kiEDM6NRPl8YeMNoYDh49z0CIPR7b+3WtRANVXc9iMhTgEtKm8EHIT+eEUWQgghDki1aTGTq28ZXyg2ejCbHbRr3RW6TTwo3Nly16Chc2F0cxhVle4Wu8dpNBo4io/37hZpW93CCK7n8f6dVeYKh+h78QSDUT/feWl4vSz6meEI3zw3IKt0u5QMmUylQ4c20NXLJW78+hes3L1FaXWZ+198wv3PPsbztlkyEls8rpfiO8fTfO1UhvFEgPMjUf7i0s6BDkA1dfzn0qhh/cFtlcDZ5JFtSXPYyEqdEEKInnBdD9fzDt2Kj09T8esalmNvGg/s0UH/PbHTGTp1+1/rr052qzHeWqsS9OmcH40ynX58qPP7/bQVnWbH2jSu6z7ytfYTQ+FhciwTZioVwnJcKYN+xJRWlrE6m3sF5ubvM3LqLKF4okezOhr8hsarkwlendz999GXDqDHh3GaFqqpoRoSNQ7KY7/TiqJowP8IGAP+zvO89x752P/K87z//T7PTwghxBHjeR7Xlit8MleibTmcGY5weSJB8JD88n94IfPzWxuNj/0+lVMDz9fPbE8ZQRi5DLO/3hhTNUif2vbufp/Ga1NJXpvafauIUCjExNAA5s0ibcteHwsEAsSC/VcsQ1UVzKcsie80LKzlOk61gxY18A2F0IKHc8XqReU9KK+/acz1cF05x9Uriq6iR2R17qA96Tfo/wMIAh8A/xdFUX7hed5/+uBjfwlIqBNCCPFU7qzV+NHV1fXz9B/MFLEcj6+fHujpvB718nicsF9nodjE1FWOD4Qfu+2oJ8bf7Ia77E3wBWHwAiSnn/nhqi0Ly3FJBI31Cpenpkb5i47KT68uo2oqfn+AcyPRPasaeZi5HYfmlRxOudurzc42cQotgpcGUPTDtbp80GrFAu16nUAkSnAf+yruRnRgAOW6ivdIiItlBgjF472blBA98KRQ94bneS8BKIryfwP+K0VR/hXwj4HDVdNYCCFEX5jJ1bcUSLu+XOGN6eShWa1TVYWTgxFODvZmda7ValGv1zEMg0hkhznoBoy+2v3vOViOy4ezRT6ZK2K7HlOpIF89mSYZMlEUhbdPDXNsMMFatU3UrzOWDL4Q59HsYms90G2MtbGLbXyZ/u1D9zw812X+2hcs3ryG57qomsbUS68wdGL7FeKDEE0PcPKNd1i6dY12vUZ8aITRM+dRpVG5eME86bfn+v4Kz/Ns4J8pivK/AX4K9M9meiGEEIfGdnlAUxV5p/CBbDbLrVu36HQ6KIrC2NgY09PTaNr+XKTeWq3yu3v59dt3s3U0VeE7L40A3SqIw/EAw/EXLMg42xfa8F7gbX2VfJaF61d5WLfedRxmP/+E6MAgwWjvVuzS4xMkR0dxbBufIdv+xIvpSW+1fagoyp88OuB53n8G/NfA1H5NSgghxNF1LB1G+1KJ/YujMQKHZJWulzqdDnfu3KHT6a4QeZ7H/Pw8hUJh377mdlU9Z3MNqk1rm3u/OLS4ieLbfJmkmip67MUNDY1ymS83InMdm2al0psJPUJVtQMJdJ7n4XovbrAXh9djf4N6nvdPdhj/F8C/2JcZCSGEONKmM2G+89Iw15YrtCybEwORw9X/rYcajQatVmvLeK1WI5PJbPMZz2+7La9+n7qrLZa5Ro5ybZm4apKKjoNxdFbztKCPwMU0nZkydtVCj/owp2Oo/hf3zQczFNoypqjqtuNHjed53Cjc4EruCm2nzZnkGS6mL2LqL27IF4fLrl6ZHlTB/A7d1bn1z/E87/+0P9MSQghxlB0fCHN8QHbxf5lpmmiahvOlin5+//4VaTk1EOHqYoWmtfE1X5lM4H9MCwfP8/ho5UM+uv1vcIoz6IrGG2PvcmnyG5A6tm9zPWi+VAA94cezHBSfhvKkju1HXHxgiIHp46zN3H0wojB6+hzhxO6rqvaru6W7/Hz+53gPVio/WPkAx3V4c+TN3k5MiAd2+3bTvwVawBeArDkLIYQQ+yAQCDA9Pc3du3fXmycnk0lSqdS+fc3BmJ8fvDLKvWyNtu0yGg9w/Ak96FbqK3x4/8e4+W6zcxuH9+d/yZjqJx0Z6lblPCIUVUExX9zVuUepmsaxy6+THB2nXa8TjMWIpvZnBfmwuVe+tx7oHrpRuMGlgUuyWicOhd2+So09rIIphBBCvGjsB2fatERivdz/fhkbGyMcDlOr1TAMg0QigWHsb1+4waj/qVo2lNol3FZ505jjWhTaJdL1LBiTez3Fniq1SiiKQszcvhhIu91maWmJYrFIMBhkaGiI+BEtqa9qGsnh0V5P48CpytbtyIqi7PvrwWFkdTpUc90+npF0Bt8+vz6J3dltqPtbRVH+2PO8v9/X2QghhHjhOI5DrdFkuWpRbLpE/DrTmRChQ1A4xanXqf/mN7Rv3wHPwzh+jPA776Dt1GZgDyiKQiKRIBiJslhskl1rMBjzyPSgmW++1qbatkkFDSKBjabbUSOKovk3rVuoikZU84NxdM5X1Tt1frf8O+6U7qAoCqcTpzmTuEy+4mHoGuOJAIaucufOHdbW1gAol8vkcjkuXbpEOCxbjI+K47Hj3CnewX1kw9q51DkM7cUKNPVyidvvv0ejXAIgGEtw8s23CcXiPZ2X2H2o+x3w/1MURQUsuj3qPM/z5GS7EEKIZ1Yqlbh37x43Ch4fzhWJxWJEo1HG4kG+8/LwpiIeluMyV2hQbnRIBA3Gk0H0fe6X1rpyhfaNm+u3O7fv0IxGCb/99r5+3WbH5u+vrXIvWwdAVxX+6OwA50YOpmy863q8P5Pnw9lu77qAofKHZwY5/aBv31BoiPMT73KltgKtMgoKLw1eZjB1BkLpA5njQfg89zk3iw9+/h68N/8J1xc7tKqTeMBo3M/XjsXIZrObPs+yLAqFgoS6I2Q6Ps23pr7F9cJ1Ok6HE/ETnE2e7fW0DtzK7ZvrgQ6gUS6ycucmx1+Vs4W9tttQ938EvgJ84T3c5C+EEEI8B8uyuHnzJg1X5eO5Eo7jUigU8fkMFlCYydU5/yDE2I7Lz26scXVpo3T6KxMJvnYqva/bn9r3ZraO3b5D6Ctf2fXXbXZs7qzVKTbaJEMmxzOhJ7ZvuJutrwc6ANv1eO9Onql06EAatM8VGvzu3kYbhWbH5Wc3VhmJ+Yn4fWiqxjvjX2cqMkmleJeE6mM4Mo6SPDpFUlzP5U7xzsZt12O+0KRizDBtHqPRcVgstbiT1dnu0kgul46e4/HjHI8f7/U09oRnOVj5Jl7DRo0Y6MkAivbk17Ty2urWsezWMXHwdvub4TZwRQKdEEKIvVKv12k0GlhmFMt5uKXJo91uEwgEqLft9fsuFJubAh3Ap/NFTg2G97UpthaN4uRym8disV0HOstx+fG1Ve48EtBmBkL8yYXhx7YMKDU6W8ZqbZtq0z6QUJertbeMNTsu+XqHiL+7DVNTNSaSJyB5AqvjYNkupro/DdJ7QVVUIkaEqlUFoOO4NC2b0XAY+5HG5FULRpLJTb0EVVU9smfqRP/zbJfm1TxWtrk+ZkxE8J968pnhSCpFq17dPJY4ZKvzVguyN6G2Cv4oZM5AIN7rWe273f5mWAZ+rijK3wLrr/TS0kAIIcSz8vl8qKpKAJt40KTU6P560TQNBTYV7qi2tjbCdj2otGyG93GOgYsX6MzNgW1jOy7Fjos7NM3MfJGTA2HCpu+xn79QbGwKdAB31uosFptMpXc+e5YMbT2nE/PrRAMHc85wu6+jqwqRL1WBdF2P1ZkyK/fKOJZLfDDI6OkkgfDjvy/94mLmIiuNFVzPxdBUYn4/I4ETlCsb56oyET8nB04yNzdHPp8nEAgwPj5OLHYwW2WFeFp2obUp0AF05qv4hkLoscef3R06cZpKNku72X1dMwMhhk6c2re5PjXPg3s/g6VPN8ZWrsBL/7Ab8I6w3f52mHnwn/HgPyGEEOK5hEIhRkdHmZ+f5+3pOL+drVDruMQiId45mWI8sVEWPx02UZVukHtIVxXS4f39lWRMTBD/wfdpz97nzlqFeX+KmVoA50aWu6s1/vzlEUzfzqtTLWv7LkCP9oTbzrFMmDPDDW4uV/EAQ1d591Tmids2n1bbcri6VOFetkbYr3N+JMZ4MshkMsSxTGjTFtDXppKkwpsv+ArLdeavbaxQFZbqeB6cfG1wT+fZK8fjxzE1k/nqPAoKfzAyxG9v2Tzs7jSRDHB6KELQ7+PMmTPYtt19U+IFrIgo+ofbsrcOeuC1H/+6BBBJpTn/jW9RfVAYKDowiBk8HC1M2g0LpVnEWL26+QP1LBTuwcilnszroOzqt4Pnef+7/Z6IEEKIF8/09DSRSIRqtcrp8QEwwyQiQWKBzSs9QzE/75xI89u7eWzXw9BU3j2Z3hIy9oNvaIhVM8rPqwvdao8PTiLMF5vcLzQ4NbhzJcy0rqE2LCzHQw1oKLqGT1MYjD5+3n6fxh+fG+LiSIx6x2EwahIPPluArdfr1Ot1/H4/kUhkU+D47b08n8yV1m/fWavxw1fGGI4H+JPzQ9wv1Kk0bTIRc1PIfqiab20ZK681aDUs/MGjsVo3FhljLDK2fnsqZrFUbmHoCqPxIIa+sY1W13tfsVV0Oa5DtplFQSETzGzbkuBFpUWMByUPN8YUn4Ia2d1rjD8Ywj81vT+Tewadls3CzSKFxRpKp0rGPMNIZA7deqTtirX1teqoeeyrj6Io/4Xnef+Joij/Fthyns7zvO/t28yEEEIceZqmMTg4yODg41d2FEXhtakkxzIhSg2LZMh45pDzLFqWs/WX4IPxnVhrDYyreb6ejPCb+wUqpRapqThfOz9IMvTkMKqpCmPJ53sHfHl5mdu3b+M4DoqiMD4+zvT0NKqqUm5aXFnc3GvOcjzu5eoMxwOYPo1Tg4/frqTpW1ekVF1F20XBhX4VCfg4HTgagfWoKrVK/HLhlyzUFlBQmIxO8u7Yu0SM/WtFspNms8nCwgL5fH59d0IymTzweTxKi5v4TyRo3SuB46EaKv5TSbQD2t6911bulcnNPTzn52flfhPfiTGGtQevb4oKsaPfW/FJP73/54P//+f7PREhhBDiSZIhc1eBaK8NRvwEDJVmZ2M7paYqDO3QsNtzPTqzZbA9pmwYmUxTVzwSw2Fij1nZ20uNRmM90EG3GuPc3ByJRIJkMonrepu2sz7kPkVNtORIiOxcDeeRcDt0LIbP7M+LQ3E0fJ79nIXaAgAeHrOVWZK5JG+NvHWg83Bdl1u3bq0X0Wk2m5RKJS5fvtzTdheKomBORdEHAngtGzXoQ/U/+d9ss9nEtm2CwSCadjiKIrmOS37pkXPLmg6pkxQrOYYHQ6DqMPU2xMd7N8kD8tifoOd5Hz34/y8URck8+HP2cZ8jhBBCHDWRgI9vnxvmvbs5qk0L06fy9vE0AzuFOsvBqW+cWzFaDgagV7cWfNkvzWZzPdA9ql6vk0wmSYQMTg6EubGyUclOVdh2m+VOwnE/p98apLBUx7ZcoqkAyZGj03xc9B/Xc5mtzG4Zn6vMHXioq1arm6qiAti2fWh6GGpBH+xim7TjONy/f5+FhQUcxyESiXDy5MlDUQxIURR8por1aN0XfxRjeAjOXgJfEIzDceZvvz1p+6UC/G+B/ynd3beqoig28H/1PO8/O4D5CSGEEIeC36eSDpt4nseJgTDjqe6FguVa3Mjf4GruCnarzZnYKc4NXkSLm9i5zRXm9PjBrTL6/X5UVcV1N1YXW60W7XabQqFALBbjnRNp/D6V26s1Qn6dVyYSj63KuZ1w3E84vn24FeKgqYpK0p+kZtU2jScDvd3y2M9yuRz3799fv12tVrlz5w6XLl3q+YqdoioMTcWY+Sz78LgziqqQnohC6MUIcw89aa31PwHeAV73PG8GQFGUY8D/XVGU/5nnef/nfZ6fEEII8dSKjQ6zuTod22UkHmD8Oc+m5Wpt/vWnS+tVK3O1AuWmxbfPD3Etd41fzP6M/OI8nUada7xPaeqPeG38bbSmjvtgxU5PB/ANP/8qVqVpoSis94vbSSgUYnJyktnZWTzPo1wuEwgEWF5eZn5+nuHhYU6ePMkfnhnknRMZdFVBVY/uWTjx4ng58zIr9RU6brffo6mZnEudO/B5RCIRkl/qYajres/P1D2tarW6ZaxSqdBsNg/FimN6PIJuaJSyTVQF4oNBoun96196WD0p1P1T4Fue5613XvU8756iKP8E+HtAQp0QQohDJV9r89efLFJ5ULZbVeCbZwc5P/rsW4Vmc/UtbQhurdR4daLFrbU8vtYAAQp0qOPhcat0m3F1iBOvvoVb7aBoKlrMRHmO0FRtWfz2bp5bq1VUReHiWIzXp5L4H9NSYXJykng8TqFQYHV1Fdu2se3u92V5eZl0Ok06nd5UwVGIfjceHef7J77fLZSiKIyHx3uyUqeqKqdOnWJxcZF8Pk84HGZkZORQBKGnYRhbi1L5fL5DVe01PhgkPvhircx92ZN+Gr5HA91DnudlFUWR0k9CCCEOnZsr1fVAB93edh/MFDgxEH5sT7nHcVwPTVFwPW+9CmbI1Pi3n6/w3nyJfL7IUGiCU5kE2fJNFKBeLOBi40s/3YVGo2NTbliE/fqm1biP7xe5ulR5cMvjw9kiYVPn8kRix8dSFIV4PI5t25u2Tz3UbDa3+Swh+l86mCYdTPd6GgQCAU6cOMGJEyd6PZVnlk6nWVpa2vR6MTExgd8v264PkyeFus4zfkwIIYToiVp7a2PdWtumZbvPFOos1wJjkaZxE0P1E9cnqNaC2K5Lve2QCWQo6lkWihXS4RSGZjAdmsQgiO57urYLN5Yr/PJWjnrHJmCofPVEhgujMTq2y83V2pb7312rPTbUPaT4DAoNm1anGxajD8JiKCRFTYQQ4NgW1XwOPAin0ui+jTeUgsEgL7/8MrlcDsuyiEajfbeFtN2w8Fzwh4/umtSTQt3LiqJUthlXAInnQgghDp3hmP+RFa2u0USAyDOW2f949WM+yn1ER21zv9xC4xZ/fuwvuLrgYDkumWAGb+A082szlJt+fnjym4QLLmOvXUBRd7+tsVjv8JPra3ScbmGTZsflpzfWGIiYpMMmQUOj/qXAGtpFGfJSo8Pf3ShiRAZZnrmLXWhwfCDCK+dOEI/Hn+p7IYQ4eprVCnd+/zuq+W6B+3AixfHX3yIUi6/fJxAIMD7ef20B7I7D0u0Sa3MV8CAxFGLsTAJzF1U/+82TWhocjiYUQgghxC6dHIyQrXa4slTGcT2GYn7eOZF+piIg1U6VL3JfAJAKm0T8OvOFBjPlWeqdEW4sVzk+EGIoMkImOMBEROX8sEHk4gD+pzw3s1Ztrwe6hxzXY63aYiDq55WJOH9/bXW9wpuuKpwbenxzcIDbazXWKm00NcDI8fMoTgfD72d4bAL1KUKnEOJoWrl7ez3QAdSKeZZv3+TEa29uuW+rYVHNtQCIZvyYgcMZjlqWw7WlCvm5Cis3SmQiJsmQQX6xhuZTmbrY+625e+3wnHAUQggh9oDfp/GNswO8PN7dtpiOmPi0ZwsvlmthuxurY4V6h7Vqh7Fgk+Gon7l8nflCg+iIj0jA5J1zI2QSz1Z1LWRoKMCXW3+HjO6v6rPDUfw+jflikbK7gE9vUaND0zpGwLfz16w2u73xHNdjtQlgoFouLdslePB93IV4Jp7rPtXK94vO8zycSgev7aBFfKiPCV+l1ZUtY+XVZTzPo9vdrKtaaHHno1WsVrdolBHQOPHaEOEDbNWyWx/dL/D5QpnIcptio0OpYXF6KEI86KO4XGf8bBLtiBWIklAnhBDiSEqFn/9CI2EmmIhMrDczbnQcFBSi+gCVqs2b02k6tsMrUwlOD0aIB5/uDN2jhuMBzo5EufbI1tGTA2FGHzQDVxSF0aSPK+VPWGgsADBTu858dZ5vTn4TXd3+V/pg1A+UN4/F/Ovn6oQ4zKzVVRqffoq9tIxvZJjA5cv4BgbWP95sNlldXaVerxMKhRgcHCQQ6MNy9pUVWPkMamuQmIChSxB4toq9nuPSulWks1gDDxSfiv9sEmNw+zO0kUSSZqW0aSyUSG4KdACrM+X1QAfQaTqszZYJXxrgMGl0bD5fKGM7Hrq/u+nQw6PY6BAP+vAFtCPZvkVCnRBCCLEDRVH4yshXUBWV+eo8g+E4pyKnaDWSgEelZZEM+bg0Fn/mypoPaarC109nmEwFKdQ7xAM+jqXD6+0Gqk2LT1bu8Nu5W4RMnXjQh6oo3CvfY7W+ymhkdNvHPT4Q5qVyi6tLFRzPIxny8e6JDPozrl4KcRA826Z5/Tq1n/8cBVCjMdq3bmOvrRH74Q/RgkEsy+L69euUyxtvWhQKBS5evIjP10dvWjRLcOW/hfaDN3TKC92Qd+GHoD39pbqVa9JZ2Cis5Fku7VtF9IQf1dj6OjV4/CTFtWWsB9Utfaaf4ZNnNt3H8zxqpa01EuvbjPWa63l4Htiuh570oy/VsW0XDw9FgaHp2HO1lzmsJNQJIYQQX9Kx3fVm3Al/gj+Z/hOqnSpWR+En1wssON0zJT5N4e3j6ecOdA+ZusaZbc7JVVsW/+azJapujoVi98JrLLHRVL3p7Nya4OF21Itj3e2omYi5Z/MVYr80Pv6Yyr/7W9p37wKgRaME33gdp1TGXlpCO3GCUqm0KdABlMtlyuUy6XQfnZkqzGwEuvWxe1BdhvjTFydxa9bWsZaD27C2DXWRVJoLX/8WlewqngvxwUH84cim+yiKQiztJzu3+bGj6cNXNzFs+jgzHOWz+RKLVofhi0nUmsPJTIjJyRjxgaPZz05CnRBCiL6Xr7VZLDXxaSoTyQAh89nepa80LD6cK3BnrUbE7+OViQSnh7oXNxEjAgb8+csB5ooN2pbDcDxAeg+2eT7JTLbOWrVNIpLE1Hy0HYvlcpNMxCTuDzEYGHzs5yuKwkD08F18CbEdp1ql+cmn3VrrD8cqFdzqg9WnB9sCHcfZ+smPGT+83B2Gn+15qIGtl/eKT0U1d34zJxCOEPhSkPuyweko9XKbRrm7OheKmwxMPblYUy+8eSyJqSvcWKliGyqXT6Q4MxzZsqX0KJFQJ4QQoq/dy9b4d18sYzndEiPpiMF3L44QNDU6lkvYr2/7i7zRsWlZDvGAgaoqeJ7Hr+5kufWgH1y97fB3V5YJGBoTyY13dv2GxqnBx1/87LV6p3txV6n5eXv4a3xe+IhCo0zUF+cbE+8SMQ92PkLsJ6/TwbMs1EgU1e/HbXVXxj3bRh8YwDcyAkAsFsPn82FZG6tHhmEQjR7OoLGj+AToJtjtjbHwIESHn+nh9HQAPR3Azj1YwVfAPB5/bLGU3QhGTc58ZZhasY2iQDjuR/Mdzm3cIUPnnRMZ3jqWRlU40mHuIQl1Qggh+pbtuPz2Xn490AGUGxa/u5dnpdyi0XEYTwb4yvE0mUh3Rc11PT6eK/LR/SJty2UsGeDdkxk0Fe6u1Tc9vuvBXKG+KdT1wmC0O3fH8yhX0lyI/DHxIZc/OTtN2JQVOHG0aIkEvrExrPl5zBMnsNbWcOt1zDNnCL3+GuqDQiiBQIBz584xOztLrVYjHA4zPT3df4VSQhk4/32Yex/qWUhMwsRXukHvGaiGRvBCGqvQ7Fa/jBposb3ZUaD7tL7avqgdwbNzO5FQJ4QQom81Ow6lxuYzHn6fxt98vsTxge7q1d1snZbl8MNXx9FUhXu5Or+6nVu///18A8/L8o0zme6uri/1FNAOwTu8U6kQbx9P8eFskY7jYmgmb04OSKATR5KiqoS/+g613/wGa2ERfyZN8JVXCLz00pa2Bslkkng8TqfTwTCM/u29mDwGiWlwLNCfvYruQ4pP3bHaZU9VVmD5kwfnBSdh+BKEUr2e1ZEgoU4IIUTfCpk6Q1GTucJGoZBK0yJgbP71tlhqka+1GYj6WSo1tjzOfLGB48H5kSifLWwUXjB0hel07y+MVFXhzWMpzgxHabRtkmEDU5diJ+Lo0tNpYt/9Lk6lgmoYqMGdV4dUVcXvPwJvcCjKngS6Q6tVgav/CloPXmOrq91Kny//o2delRQbJNQJIYToW6qq8NaxNOXmMuVmt0l4JmJiuZsLD2iqgq51V9z821R+NDQVU1N5+3iaaMDHTK5OxK9zfiTGUOzwbOWKBXzEnvNcjBD9QlFV9Hi819MQe6V0fyPQPVRZgspid6VSPBcJdUIIIfraaCLAP3pjgpVyqxvOdJW//nSJWttev8/F0RjJUPed4OMDYT6bL2/6+CuTCSIPwtJrU0lem0oe7JMQQoijztuhyudO4+KpSKgTQgjR94KGzrFMeP329y+PcnOlQrVtMxoLcGpoozpkKmTyg1dGuLNWp9FxGIn7OfHI5wohhNgH8UkwQtB5pCBVOAPRsd7N6QiRUCeEEOLIyURMMpHMjh9Ph/2kw0fgDI4QQvSLQBzO/wDmP+gWSklMwtgb4Dv41+JCvU2pYREN+A6k1+hBkFAnhBBCCPEEtmuzUl/Bdm0Gg4MEfBtnLT3PY6W+QqmxRtzqMISOEhvrrkIIITbEx7v/uS70qFLpZ/MlfnU7i+V46KrCW8e6W+77vZedhDohhBBCiMeodWr8fP7nzFXnAIgaUb458U2GwkN4nscHKx/wyeJvcFevolotLg2+ypuOinL6zyBzsreTF+Iw6lGgy9Xa/PJWFtvt9q6xXY/f3M0zlggyHD88RbGeRZ828xBCCCGEOBi3irfWAx1ApVPh9yu/p1KtsJBb4HruOm51BTp1XM/h09WPWDEDMP/bbt8xIcShkK911gPdQ67XDXv9ricrdYqixIF/AVyg2+b1f+h53m97MRchhBBCiMdZbaxuum3bNlfnrpLIJ1gprKD6VJJmkgLd4BcMnee3tTGMhsVYPM+ZsQx+Q/oKCtFrEb+OqnSD3KOiR6BVTK9W6v5L4O88zzsDvAxc79E8hBBCCCEeK+nf3OKiWq1ieiZY4Nf8rOZWoZNEU32Ew2d5fy7I1bkqN9bgxx/M8IsrCz2auRDiUcMxP5fHE5vGLoxGGe3zrZfQg5U6RVGiwNeA/wGA53kdoHPQ8xBCCCGE2I3TidPMlGcotAoAuJbLheQFrKpFyBdiODRMqVohlJii1hjEr4XwFS3a5RroVT6Zm+Ni0GbkzPEePxMhXmyKovDOyTQTqSCFRod4wMd4Moiu9f+JtF5svzwGZIH/WlGUl4GPgP/Y87z6o3dSFOWfAf8MYGJi4sAnKYQQQogXR8d28TwP07d1m2TcH+d7x7/HfHUe27WxgzbFpSIe3T1co+FRzPAxMsfT3F+wqRVW6VTnQe+WSncsi9r1mzhjg2hh6YkoRC+0LQddU9FUhal0iClCvZ7SnupFqNOBV4D/yPO89xVF+S+B/wXwv370Tp7n/XPgnwO89tpr3pZHEUIIIYR4To7r8cViiU/nSrRtl9NDEV6fShAyN5+xCfqCnE6eBqCoFamsVrBtGwBVVTl97DSpZIqg0uSzz9Y2VfcbSUeIFddwSiVyjs7N1Qr1jsNYPMjpoQiG3v+rBEIcVtWmxe/vF7izWiNo6rwyEefscLTvWxh8WS9C3QKw4Hne+w9u/0u6oU4IIYQQ4kDdWq3ysxvZ9dufzJXwPHj3ZJqO4xI0tl4qJRIJLl26RLFYxPM8EokE0WgUgNFEgG9fmuA31SLVpsV4MsSrgTZauUPBF+SvP1mkaTkA3FiuUm5afPVk+mCeLJCttpnJ1XFcj4lkgNFE8MC+thBPw+445BZqVLJNjKBOajRMJPn0jcp/fTfHjeUqAPWOw99fWyVgaEynj9aq+YGHOs/zVhRFmVcU5bTneTeBPwKuHfQ8hBBCCCFmcvUtY7+9l6PYbLNabjOZCvHmdJJU2Nx0n0gkQiQS2fYxz50aZSQ3R2NpBbO2BA2L4Ltf5fO6tx7oHvpsvsRLY7EDqb63XGry158u0rJcwOO3d+p860ycibifRkNB13Xi8Tg+X/9XAhT9b+FmkbXZyvrt/GKdM28PEYqaj/mszUqNDndWa5vGPA/u5xsS6vbIfwT8vxVFMYB7wH/Yo3kIIYQQ4gXm0zZvwaq2LFbKLQYiflqWy82VKvW2zV++Moam7m67lmqaJN55m9DiIm69jp7J4BscpHN7bct9bdfFctw9eS5Pcm25Qsty8TyHZnOOdnuNn14xeT15h2DgIqVShEQiwZkzZzDN3V84v8hsx6XUtPD7VMKmhOG90qx1yM5VN405lkNptfFUoU5TFDRV2dKbTteO1tZL6FGo8zzvU+C1XnxtIYQQQoiHTg1GuL5cxXlw0VdpWpwdjlJr2+v3WSg2yVZbDMV2X/ZcMQzM6elNY2PxIB9T4tHLy8lUiGTIeK7nsFvlZrcRum2XabfX8DyXbLGKnVCpVH5LMPgnFAoFstksY2NjBzKnfrZSbvKLW1mWyy38PpXXJ5Ncnkig7jL8Pw/X9WjZDgGfduTOhgF4rofnbS2p4TlPV2YjEvBxYTTGR/eL62O6qnAsfbSKpEDvVuqEEEIIIXpuMhXiey8Pc2u1RttyODcc4V62jm1vXDx23+1//mIm0+kQ3zg7wEezRRqWw1Q6yFemUwd2UT6ZCnE/38BxmkA3GEwl/TidAq7bIaw3AYNms/lUj1srtfj8boGbK2WiEZOXT6Y5MRzdh2dweFiOy89uZFmptABodlx+dTtHImRwLLO/2/ru5+t8MJMnW+0wFPPz5rHUjn3W7I6D67gYfdZcOxA2iGeClNYa62OKApHU0/eTe2M6ScSvM5OtE/LrnB2OMhI/emdJJdQJIYQQ4oU2lQ4z9eB8Tb7eZjbf2PTxM8MRMpHn346oKAovjcU5PRih47hE/Ad7oX1uOEKh3uGz+wXaisL0YILjoXmsegdNM3EcP+ASCu1+FcNpWrz34SKf3M0DsKpUube6ynffDDMcbREITGGamX16Rr1TrHfWA91DHrBcbu1rqCs1Ovy7L5YfnIvsng0r1Dv84zfGN1Vs9VyP1dkKK/fKOJZLfCjI6KkE/lB/hDtFVRg/n0IzNMprdYyAztCxGLHM04c6v0/j8kSCyxOJJ9+5j0moE0IIIYR4IBUy+f6lUa4tVyg3LcaTQc4Nb18Q5VmZPm3bfnj7LWDofOvcIJfHw5TKoNlfUK9VybcMYrE3KZchk8mQTu++Gmcl2+DafGn9tuOvUS3d4+bCIObQPVTtI4YG/wK/f3gfnlHvGLqKT1OwvrQdMODb3/YUi8XmeqB7qNqyWS63OTGwEdgKK3XmrubXb+cXangunHh1YF/nt5cCYR/HL2ewOkk0TUE9Ag3C95OEOiGEEEKIRwzG/AzGnr50er9IR0IkQ6/Sag1hJ6tMTsZoNv1MTOjEYjE0bfeB03XcjbNPmkLbXntwHurhx9tUq1cfG+oqTQsPiPXRFsF40OCViQTvzxQASBg6A6jEqi7F1TqxTHBfztbtVODjy3mnkmttuU9ptU67YWEG++f7DOAzDv4NkH4koU4IIYQQ4gWjqj6Cwan12zt0Z3iiWCLAmeEon8+VQPVw3Ra6T2M8ubGaZNnlbT+30bH53d0C15a7Hz8zFOUrJ1KEtukNeBi9MZ0kFTYolls07tXQmhb5SoX8TIWRUwnGTu/9dr/xZJBMxCBb7WyMJQKMfumMmKZvDX+qrqIewaqPoqs//tUIIYQQQohDR4/7eeelIYIBHzdWqgzGJzk9UiPln1tfrQsGJrf93C8Wyny2UNq4vVgmaGi8feLgmrE/D11TOT0UJWspzNg1eGRL7crdEqnREIHw3lY2DRo637k4wrXlCtlqm6GYn3NDUQx981JdYjjE2lwV95GtmkPTMXymXPofVfKTFUIIIYQQzyw2GuUPBsN81bJx1Qb5ws9pNh1AIxw5RTh8btvPu71W2zJ2c7XaN6HuoU7T3jLmOh5WyyGwDzVTEiGDd57wPYok/Jx+Y4jCcg274xJLB0iOHL0y/mKDhDohhBBC9DW3adFZqGGtNVCDPozxCL7001fJE89O0VV03QAMhoa+R6eTA1RMc+fwETZ1stX2prHoAVcE3Quh2NbKqEZAxx/p7XOJJP1Ekkf3bKjYTMrICCGEEKJveZ5H63aJ9mwFt2Fj55o0Pstil9tP/mSxLxRFxTQHHhvoAC6OxtAeKSaiKQoXx2L7Pb09F8sEGD2dWD+vZgR0pi6mMGSrY29ZLVj6DG7/COZ/D63tz3YeFfK3TQghhBB9y6l1sNY295XD9bDzLfRtVlAALMui3W7j9/vRdbkU6pXjA2F+cHmUmXwNPJhKh5hI9t8WQUVVGD2VIDUSptO2CUQMqdjYa64Ld34MK19sjGWvwYV/AEb//R3bDXklE0IIIcSRo+xQ5G91dZV79+7RbrcJBAIcP378qfqyib01ngwyngw++Y6HkNW2qZc76IZKKGbiD/vwh/tv++iRVF2G1Subx8pLUJiFofM9mdJ+k1AnhBBCiL6lhQ18A0Gs1UdW6zQFbZuzRLVajRs3buC63YqAjUaDGzdu8OqrrxIIyBk8sXuVXJN7n2bpNG0UBVLjESbOJtFlhe5wsJusl1/98vgRJWfqhBBCCNG3FEXBfzKOORVFDfnQMwGCL2W23XpZqVTWA91DlmVRrVYParriCHAcl7lr+fWql54HubkqhZV6j2cm1oWHwPxS80VFg+hIb+ZzAGSlTgghhBB9TQ348J9M4D/5+GbPPt/2W+N2Gv8yy7EotUv4dT8R4xm7de+TdieP1cmj6xFMcwhlp/2n4rm16zaNSmfL+HZjz6yyAuV50HyQmIZA/xWQOQiu6zGTq7NYamDqGscHQqTDfjDDcOY7cPcnUMuBPwpTX5VQJ4QQQgjR7+LxOIlEgmKxuD6WyWSIRqNP/Nzl2jK/WvwVuWYOQzO4PHCZywOXUZXeb3oqVz6nkP8VnmcDKrHYyyST76AoshVwP/j8GoZf39Kfzgzs/OZA07JRUTB9u/iZZG/CtX8D7oPHDyTgwg8hnHmeaR9Jny2U+MXNLN4jt39weYxMxITkNET/abfqpRECoz/Pbu6WhDohhBBCvBB8Ph9nz54lm83SaDQIh8Ok02k07fEX2pZjrQc6gI7T4f3l90n5U0zFpvZlrpZVoVr9gkZjDsNIEolcJBDYusrQsUoU8r9+EOgAXMrlT9CNcfz+id2FiD1k23Vct43PF0fZw8BrOS6r5RaO5zEU9R/483qUz9AYPZ1g9vMs3oPdvKG4SXJ4a2hodGw+nC1ybamCpiq8PB7j8kQCn7bD98axYfa9jUAH0CzC2lUIf33vn0wfaz743j56cq7edri1Wu2GOgDdeGHCsIQ6IYQQQvQd267jeQ4+35NX2R5lmiZjY2MAVJsWN9caWE6N0XiQwdj2jZqLreJ6oHvUamN1X0Kd5znk8j+n2ZgBoNNZo9G8z8jwP8Awkpvua1kFPM9av227LsvlFnfKd5mvuZwcjKCqCoamMpkKMRjdXTNqx3EprzVoVCzMoE5sIPDYvmue51Iuf0yp/DGu0yYQGCWZfBfTfP4L6krD4sfXV7lf6BbDSYcNvn1+iIFdPpf9kBmP4A/7qBVb6D5tx+/PJ3NFPrq/sTL83p08pq7y8vgOW4XtJrRKW8fr+T2a+RHg2GC36Ng+Wpaz5cONjr3NJx19EuqEEEII0Tdct0Op9CGVyhd4nkMofIJE/Cv4fE93xi1fa/NvPl2k9GALnaYq/OmFIU4Obn0cUzfxqT4s19o0HtD3p2Jmu71GszG7acx1mjSb97eEOl2PoSj6+krdaqXFYrFJOB7GQ+Gf/3KGkbif4ViA388W+POXR5l4QgsBz/NYvFFk5d5Gs+bYQJDjlzM7Vnes1+9RKLy3frvZnCdf+AXDQz947m2gV5bK64EOIFfr8OFsgT97qbfnoyIJP5HEzsHSclyuL28twnN3rb5zqDPCEBuD/N3N47Gx55lqT3Q6HQqFArZtE4vFiET24Bxq7jbcfw8aRaLREd7KnOfXq8amu4zGj/Y2y530fiO4EEIIIcQu1Wo3KJV+j+u28DyLWvU65fJHT/0415cr64EOwHE93p8tYDnulvvGzBiXMpc2jSX9SaaiU0/9dXdvm3Ls24yZRopE4g1AxcMjV+0Qj12g2E5zd62G5bjkam0AOrbHlcXSE79yo9xhdba8aay81qCc27kcfLu9tGWs1Vyi0yluc++ns1ja+nXni03a26zSHCaqouDfZpuo6XvM5beidAt6hNIbtzNn+q63WrPZ5PPPP+f69evcvn2bjz/+mGw2+3wPWluDa/8aKstgt1AK97hQ+RUXh0xUBQxd5c1jSU4OhvfmSfQZWakTQgghRN+oP9iSuGmsfptE4ito2tY2BjspN62tYw2LluVse97plcFXSAVSrDZWCfqCTEeniZpPt/Vztwwjgz8wSqu5uD6mqAZ+/8S294/FXsPvH6PTyRFv2tzKhdBUdf056urG8ylt87y/zOo462fFHtVp7bytTdW2rlqqqg9V3f3PZCepsMFCcXOwy4RNDP1wr01oqsJLYzF+en1tPY5risKZoe3/3jiuhwKo0RG4/E+7DbQ1H0SGQT3cz/XLVldXN7UKcV2X2dlZEokEuv6M8aM0B86XVsutEt8Ycbg8PYmhqUQeU6zmqJNQJ4QQQoi+oWtbt1apmv+pt/gNxwLcWq1tGhuJBwjvcG5MUzWOxY9xLH7sqb7Os1BVnXTqj6hUPqFen8EwUsRilzHN9Lb3VxQFv38Yv3+YwUSZz5ZWUVWHqXSIfL3DQGQjWB1LPXkVIxjxoRsadueRlTAFQtv0/nsoFDxGpfw5jrPxPY3FXnnqbbHbuTgaZzZXp/xgZdXUVV6dTPRF24YLIzH8usrdXB1dVTgxEGY6vfln0LFdPl8ocWWxjAK8NB7n4mgMPTnVkzk/D8/zyNc65MpVPK+70PhQo9HAsqxnD3XadoFNQdV8pMK7ePPAdXByd6hm5+ioQfxDp4kmtv831Y8k1AkhhBC7ZHXa1PJ5VE0jkkqjPqFqongy265Tr9/FtiuY5gDB4DSquvO77eHwaWq1W49Ue1SIRS+jqk93SXNmOMJKpcmt1Rqe1y2+8fax1LZBoXs2qsK9bB3Tp3JmKLLlwnyvGUaCdPobpFLOUwXWs8NRdE3hzloNXVMZivopNtooKJwcCnNx7Mmri0bAx9TLaeaudBtsa7rK6Ok4keTO58cMI8Xw8Pep1+/iuA385jCh0Ildz/txMhGTv3p1nPuFBq7nMZYIkAw9/wrgQVBVhVNDUU7tsDoH8MVCiV/d3ijE8/ObWVRF4eXx+AHMcO/UOza/upXl5kqVIc0hv1JhOh1a34IaiUQwzef4uSWmIZiERmFjLHV8173nrJnfMPP7vyNf725HNmODpN/+J0yMDD/7nA4RCXVCCCHELtSKeW5/8Fsa5TL1jk10YIjzb71NJHq4mlD3E9tusLr2N7Rby+tjsdglUqk/2PFzAoEJhoa+T6NxF89z8AcmCAWffvUsaOj8yflhLo+3sF2PgYi5Y5n8T+eK/PrORvXB26s1vvfyCFPp0FN/3af1tCuQiqJwajDKqcFuiPA8j1ytg6JAKmTsenUrORQikjBp1SyMgI4ZfPK2NsNIYRipp5rvbkUCPi6MHr0G3J7ncWWpsmX8xkql70Ld1cXKemEY14wwmMiQKxUYS4fwB/xMT0+jPs82Un8Uzv8Q1q50q4FGR2DoAqi7+DfSLFG6+av1QAfQLq+ydu8LMukMAaP/I1H/PwMhhBBin3mex/y1q1SLJe7n62RrbbyFMlk3wCtvvs5oYn+qIB51zeb9TYEOoFL5gnD43GNL4QcCowQCo8/99VVVYTj++J9d23L4dH5z0RDH9bibrR5IqHteiqJs9Ox6Sj5Tx2fq3M/X+fTmGvl6m2OZMJfG48SDxpMfQOyKpm4N2lofbC39srl8HYCMoePOtShWAyQSo2QiMaZPDxGK7kFVynD62fr1WU0ajcbW4VaNasuWUCeEEEK8COxOh2pujXy9w1p1453e4lqW92dy/EVsbNsLM/F4jrP1IsvzHBxn5yqL+62az1FaXcbzID44hB5NYLtbq4ZYznbVKY+ebLXNf/f5Eh27+3w/mStRqHf4i0ujzOXr3C80UBWYTocZf0KrBLGVonSLqfzk+trGGHB2ZH+K8OyFerlNbqFKs2oRSfhJj4cxgz5iQR9L5RZm2WZ5tRvwVFenbkAh3NybUPesgimCqWEoP1poScEJDxPxH404dDSehRBCCLGPdJ+PQDRGfbGwadyMRFkqtSg3O31zxucwMc1BupewGwFJ18MYRm+KFxRXlrj5m1/hOt3zeks3r3L6K+9yZijKp/OlTfed7oNVur0wl6/TsT08zwXPQ1E15vINPpsv8avbWdwHP7pP58t896VhjmVezHLyz+P8SAxNVbi5XAWley7y9Db9Eg+DdtPizodrtBvdKpSVbJNascXJ1wc5PxJltdyidr+7sq0oCsOxAIoCpdUm42d7OHHdIHbxO6Qbf01uZQHNZ8LEW6Qmzx6JVTqQUCeEEEI8kaKqjJ05z537y1BpARCJxXCTIwQ1ndARuSg4aH7/KKnU1yiW3sd1Wui+OOnUH6DrvXlHf/XenfVAB+A6Dst3bvH6G19FU+HGShVTV7k0nuDkwOG86N4P9WKBaiGP6zgEYzGiyTT387X1QAfdLanXlioS6p6BpiqcH4lxfuTwnxmsZFvrge6hcrZJrdRmJBXkTy8Oc6XqkV9pEAvoRPzds5jBaO9bDRjpKSa/+T8hll2igUEknt5d1cw+Ib+FhBBCiF1IDI/w1e98B+OLOzQsj6Y/TtbS+ObJ5I4FNsTjKYpCLHaJYPA4jlPH50s8Va+5vdZ6pK8WgM8w0R0Df9vl3RMZ3jqWQlUU9G362B1VSRo086tYnW7YreZzTKVDtKyt4a3a3rmPnTga3G22IgO4D7Yjp8Imly4PcfvDVVy7e1/Np5GZPBzbSQ3TZGBsutfT2BcS6oQQQohdymRSfO3tKPfzDVqWw0jcz0h8Y1XJdlxmcnVWq20ips7xgRBhs/fvUB92Pl9kT/qZPa/k6BiNSgmAcDhJoBLErGnU7RX0dIDA6QTqC9bc2Mkt8s1jYe5UPPINi8m4n2m1gJ4cYaXS3nTfEwOySnfURZIBVJ+Ka22EO3/EIBzfeDMmlglw7p1hytkWChDN+AlGj86K2GEloU4IIYR4ChH/zqXVf3svz4ezxfXb15f9/PnLw4Qk2PWFoeMnadXrFBbnCVph1AaEB9PggZ1t0gn68J9K9Hqae8KzXZyWjWpoqMbOK82qpmFWVrio6+hJH1a7iIrJqaEoJUvjxkoFVeluH7zYB9sHxfMJRg1OvDpAdrZKq9HBHzIYORFH/9LfoWDUlCB3wCTUCSGEEHsgV2vzyVxp09hyucVMrnEk+2sdRUYgyMk3vkKzdp727/OoAZVuIZcuK9c8EqHOyjVp3S7g1mxUv455IoYxvP0qW2pkjLV7d3Btm47d3V45dPwE0UiYb54L88Z0EkVh/eyUONraDYvCYp1yrommKUTH/QSj0t7iMJBQJ4QQQuyBZsfBcbeWuW905JxRP1EUhWAkhpdoY+c2t1bQQv0fXNyWTetqDrfjrt9uXiughnzo26ysxAaHOP3218jen8Fqt0iOjpGZ3Gj2Hn3BtqO+6BZuFskv1ABwbbh/NY/u10iN7N/WW8/zmMnVmSs08GkqJzJhBiMGlGahugpmBJLHwdiDfqGdBlQWQdUhOgp6/wRWCXVCCCHEHkiHDWIBnXJzI8QpwFDMv+3927bDjZUqc7k6Ib/O2aHoExthHwWe51FuWpi6eqhLiRvjEZxSC+9BfzZFVzDG+//MmFPprAe6da6HU+5sG+qgWyQoMTxyALMTh1m7aVFcqW8Zr2Rb+xrqvlgs89Pra+uNTz6bL/H90Roji3+7cafkFJz9CzCeo3JueRFu/g20auC0ITEBp78Lgf7YaXF4X02FEEKIPhIwdP7o7CA/v7lGoW7h96m8MZVkPLH9Rcb79wp8dH/j/N2N5So/fHWMwej2IfAoyFbbvHcnx3yhgd+n8dpUgkvjcRRla+P2UqPDjZUq2WqbgYjJ2eHotqtCnudRaljoqkJkD1eNfOkAyquDOPluCwst5d8x9PQTxbd95c6dxkWfaVdh+TMozkIwDcMvQXRvArmqKqiaims7m8Y1feu/373SsV2uLpZJmT6ankO949Ju1rgxO8eIoYL34A2KwiwU7sHQhWf7Qp4Hix9DbQ1aZYhPQr0IK5/B9Nf27PnsJwl1QgghxB6ZTIX4R69PUGx0CBn6jiGj0rT4fKG0aaxtu9zL1o5sqHNcj1/eyjJXaABQa9v84maWWMC3pbdZq+Pwt1dWWCl3A9WdtRrzhQZ/fmkEU98oyFBqdHjvTo672Tq6qvDSWJzXpxOb7vM89Kh5JILco7SYiW84iLXcWB/TEyZ68mj+vXuhuC7c/hFkb3Zvl+Yhdxsu/fcglHruh/eZOoNTMRZvFtbHVE0hMRx67sfeSTnfgNk69UKLYNQkPRZkrtWh6TpgahuhDqBVefYvlL0Fv/uvoDzfva3q8NI/7Ia8PiGhTgghhNhDpk9jKPb4bZS26257/s7eZuyoKNY7zBcbm8Y8YKHU2BLqFkrN9UD30HyxyVKxyfQj9/1gpsCt1e75Hsf1+P1sgWhA56Wx+L48h6NAURUCp5PoCT9OzUIN6PgGgo+tgCn2X7Vp4QKx51ltrq1A7tbmsU4NijN7EuoAho9HMfwq5WwT3dBIjYSI7NMbAp2WzcLneby6Tafj0Mk1qFc6DJxNMOHLQ2dzE3Qig8/+xZY+gnp247Zrw+0fw8Q7z/6YB0xCnRBCCHHAEkGD6UyIu2sb51MUBcaO8Jk6Q1fxqSodZ/N5Lr++9VKk86XtXevjzkbobXRs7mZrW+5zP1fvm1DnuB7L5SZty2Ew5j+wnoaKT8MY7X1fQAFty+H9ewWuLJVxPY9TgxHePpF6tr8LrtPdRrjd+B5RNZXMRJTMsAmqBtr+RYlqsYXVchiLB3Dc7jZr13Y4Hg1zeuwU3L7TDa2qDuNvQmLq2b9YuwZmFJzOxuqfVYdQZk+ey0GQUCeEEEIcMEVRePdkBlNXuZetEzQ0Xp1MMpXev21MvRYN+Lg8Eef9mY2tW2FT53hm63MeiQfw+1RajzQ4Dhk6I48UndFVlaChbboPQNDfH5c2jbbNT2+ucWe1hkf3e/Ht84NMpI7u3wGx1ZWlCh/NbZytvbpUwe9T+dqpgad/sMgQxMagvLAxpuoQn9iDmT7QrsHc72DtGvj8MPo6DL8M6t6fydT17mP6fRqnBiO0LAdVUTg5FMEYGIbYfwj1HJhhCKV3/bgP3zQyHt2mnTrRfRxVB7vVfZdt6l3InNrT57Sf+uOVTwghhDhiEkGDb58fptGx8WkqPu3oF6p4fTpJPGSwVGwQNHRODkZIhbeeWYsHDf7swjC/m8mTr3UYiJi8eSy16Yyioau8MpHgJzfW1hcnTF3lzNDerkC1ahal1Qa25RBJBYim/dsWdnlat9aq3F7dWGmstW1+fSfPX8UDL8TfBdF1d626ZezWao23j6fRn/bvgeaDU38K87+FwgwEkjDxJkSH92i2wOx7sPRx98+dOtz6u264Gzi7d1/jgXDCTzQdoJJrogABn0YobhJJP9jRYIa7/+1S23b4bL7E5wtlPODiaJRL4wn8Pg3GX4eX/hHM/KJbKGXgXPdM3T6uRO61/pmpEEIIcQQFD3FZ/73m01TODUc5Nxx94n0n0yHGkkEaHZuQoaOqW4PUhdEYIVNnvtBA11SOZ0JPPM/4NBqVDrc+WKHzsE3FnRKTF9MMTm4/f8/zdh34ctXO1rFam2rLIhk6WsVZxM7C5tZ//yFDR33WNw7CaTj752C1uyFvL1fQ2rXuCt2X5e/sS6jTdJXpl9PkF+vUy22CEYPUWBjfM57/vLpY4b07ecCj3nZYKDRwXXj7RLrb6+6lfwhTb4Pd7q54Gv21av7i/CYRQgghRF/RVIWIf+ezRYqicCwT3lJoZa8UlmsbgQ7Ag+XbJZLDofULS8/zuLZc4fOFEq7rcWIgwqXxOKbv8Ree8eDW5xUL6IReoJAv4NxIlLvZ+nqRJFWBSxPxbd/EeCq+fXhjQHlwhs7+0ri6f2dBzaCPkZPxPXms68sVHNdjrtBgrdLCA+pth7FEkIlUsPvcnudcXo/JK4cQQgghxDY6za0FJuyOg9Nx10Pd7dUqv7ubp9SwKDY6rFXb2K7LOyceX2Dh1GCEO2s1lh9U+dRVha8cTz8xDIqjZSod5i9fGeVurobrwmQyuKnC66FiBGDkFZj55caYqkPmTO/m9BRMXaXY6LBa2aisqyrwm7tZBqNjff9vT0KdEEIIIcQ2IkmT3PzmM0/hpB8zuHH5NJuv88VieT2c3V6DfL3Dy2Nxwo9ZZYwGfPzFpRHmCg3atstwLEAmItsuX0SjiSCjiWCvp/FYzWqHRrWDGXmZ0KkgSv7Og7N05yE51evp7cqF0Ri/vZdbv60ocHwgzHK5TalhMRiTUCeEEEIIceQkR8I0qxZr9yu4jkc4YTJ+JonyyNa4RsdZD3QP3c83WKm0OPGYUAcQMHRODz35fKEQvbR2v8Lc1Tyu46EoMHhsgrELl55/i+gBOz0U4Xsvj/LzW1nwYDjmp+O4BAyVoNnfgQ4k1AkhhBDiBdJuWBSWG7SbNqGYQXIohObbvpiEpqtMnE+RmYzg2B7BiA/1SxUJE0EDhW4j9YcGoyZHt428eJG0ahZz17qBDrpt8FbulommA8QHDvfq4pcpisJrUwny9Q5LpSb1joOqwLsnM489u9svJNQJIYQQ4qk1OjbXlirMF5vEAjrnh2MMPtJH7jDqtGxuf7hGo9xeH6tPtpm8mHps1cpA2NjxYy+NxXhpLMZsvoHjeqTDBscz4U099YToV626hWtvfYuiWe30XagDCJk+/vTCEPcLDZpth4GYyWi8/57HdiTUCSGEEH2uY7uslFu4nsdwzL/pwH+r43AvV6PctEmFDaZSIQz9+cqce57HL2/luL5cWR+7vVrjr14bO9Tl+MtrzU2BDiA7VyEzESEUf7Z5J0Im//23JvlgpkCu1mYw6ufN6RQhs//f+RfbazcsOi2HQNiH/ozl9fuFEdBRNWV9pe4hf7h//34HDJ0zR3Dbs4Q6IYQQoo+VGh1+dG2VhWITgHTY4E8uDJOJmLRth7+/tsLdbH39/i+PxfjDMwPP1UA7V+twc6WyaazRcZjN1fck1JVbNWbyeWotA79mcnwgTCK482rZbtnW1mqWnrf9+NOYTIUYTwRp2Q5+Xeu7s0ZidzzPY+VemaVbJRzbxQzpTF5I9+WK1W4FowYjpxIs3ijgPch1qfEI0fTe9YMUe0NCnRBCCNHHriyW1wMddAPXh/cL/OmFYeYLzU2BDuCLxTJnhqOMxJ/9osx2XNxtDo3Z7jM/5Lpr+Wv8q6s/52Y2TzoY56Xkm1xbGuD7l0eJBp5vdSCcMFEU1i9OAcyQTjD2/EFUVZUXqpH8i6iSazF/rbB+u123mf08x7l3RzC2aSJ+VAwfjxFJ+mlWOhhBnWjKv+Vsqeg9+YkIIYQQfWyh1NwyNl9oYDku9fZGl+BOy6acbZBfqrO6XMNxnj2BZSImY4nNoVBTFcYSz3eObLWxyt/f+xm3c3kAco0Sv8/+Cocm97K153psgEgywORLaXwBHRQIxU2mX8qs95wT4nHqpfaWsU7Tplnt9GA2B0dRFCJJPwNTUeIDQQl0h9TRfVtBCCGEeAFkIibLpc0l9QcifnRVYSBioirQbtrkF2u4joff0OgsN1hSNMbPJJ/pa+qayjfODPDBbIH7uQaxgI/XphKMPGfBgWw9S9uxN60CVtsNbKVMyx54rsd+aGAiSnwwiN12MEM+NLlAFbvk26bsvaIq8qaAOBQk1AkhhBB97OJIjNlsnUqruyrn96m8OplAURSG4wG+fnqAf//BAq7jEQ3ovD2dQq07rM1UGJiMYD7jlsZU2ORPLwzT6jj4dBVtD86R+X1+AoZG0NBodLrn3FRFQVdMhqJ7V03SMPUjvV1O7I/YYIBwwk+tuPEmytCxGMHo4S0OJF4c8oomhBBC9LGBqJ9/+No49wsNPA9GE/5NxUpeHo8TrDmsJKr4XQWv5uC4Ho5rb6lo9yz8e7hKMR4eZyo6QbMzw/18g1rb5tLgOS6PTjKZOrrFKER/MEydE68NUFrd6HN4lIukiP4ioU4IIYToc5GAjwujsR0/nk74Kd4p43pQL7epF1vEh0OszlQYPh7DDB6O8uSmbvLNyW9yLH6P0liZgBbneGKauF8unMXhYPh1BiaPXjl80f8k1AkhhBBHXHwwyOTFNPc+y1IvtUgMhYgkTNZmKziOx/FLmV5PcV3QF+RC+kKvpyGEEH1FQp0QQghxxCmKwuBUlFbNIhDWcTou7Wb3zFpxuUb7dPyZz9YJcZS0LYem5RD1+6TfoOgrEuqEEEKIF4UCncbmRtuqpsrFqxB0ez6+P5On3nYYifn56sk0QzFpsi36g9TxFUIIIV4QyeEgqrY5wA1ORfFJJUjxglsoNPjJ9VUqTRvH9ZgvNvnx9TU69rP3cxTiIMmruBBCCPGCiCQDnHpjiPxSHcdyiGYCpEbDvZ6WED23WGpu6o8IkK22ydZajD5n/0UhDoKEOiGEEOIFEk0HiKZlS5kQjzL1rZvXNFXB1Hdu2TGbq3E3W8dyXI5lQpwciKAoe7eVuV5qU842AYhmAoTj0g9P7ExCnRBCCCGEeKFNZ8J8Ol+i2LDWxy6MREmHtwYpz/O4fbvIp1eyuJ6HkTT58VoN23E5Fg0B4A8/X+GhcrbJ7d+vrPeSVG8rnHx9kFhGVg3F9iTUCSGEEEKIF1os4ON7L49wa61GtWUxFA1wcnBja7LreniOh+ZTKSzV+eBX8ywXW3QcF5+mcPG1Ie59mqfuFVEUSI2GGT2TwHjG86prs+X1QAfgOh6rMxUJdWJHEuqEEEIIIcQLLxk2eetLK3Oe55FbqLFyr4zddkiNhanmW5TqHbK1NgAKULpboaV4pNNhVEUhO1fF59cYO518prk0a9aWsdY2Y0I8JNUvhRBCCCGE2EZprcHMZ1malQ5W2yE3XyO/2kDXupfQruehawrVYpuQqaE+cqauuFx/5q+bGNq6IhffZkyIh2SlTgghhBD7arHU4M5qjZbtMJEMcWowgia98UQfKGdb8EhVTLvjoPtVTFVhNBFgvtDAclyGpiIsr9aJaDqpkAGAGXj2c3UDk1FadZvSSh0PiA8GGZyKPuezEUeZhDohhBBC7KnVSovryxUqTYtUyOT3s4X16+JrS1XqbZvXpp5tW9puuK7HaqWF43pkouZjKxgK8TjqNnvafIbG+Jkk167nGU4FSYyFyZmgqAoLxQbxgA9dV8lMRZ7565pBHydeHaBR6QAQjBgo8kaIeAwJdUIIIYTYE5bj8sVCif/m/XkaHZuBiEnQ1JkrNDieCdE9fQSfzJW4MBLDb+x92Kp3bH5+c43bqzU8DzIRk2+dG2Qw6t/zryWOvsRgkLXZyqaiJUPjUaJxnY8aTVYqTT4rVHhlKsHkxRRe1SI9GmVwNEw09XytQxRFIRSTNgZidyTUCSGEEGJPfDpf5Hd3C8wXGwBUmhbTmRC5apvhmJ+g0b3ssBwXy3Xxs/eh7vpShVsrtfXb2Wqb9+/l+fOXR/a0h5h4MURSAU6+PkRuoYrVdogPBsmMRdB8Kt86b/P7+0V8mkKz4zLbbDOc8jN9PrV+5k6IgyKhTgghhBDPrW05fDZfxnE3VjQs18Pv0/Dobol86PhAiIj/+fp47WSp1NwytlBs0ujYhMz9+ZriaItlAsQyW1fdTo9EWSw3ubvWPfcW8eu8cyItgU70hIQ6IYQQQjw3zwPb8Yj6dXRVwX4Q4kqNDt+5OETTcrBdOJ4J8dax9L7NIxE0gM1VB2NBn5yrE3suZOj82cURlstNOpbLYMyUNw5Ez0ioE0IIIcRz8xsa50aifDpf4uunB7i2XKbctLk4GufPXhoibPiwHJfIc1QE3I2zIxFur1UpN20AdFXhjamkrJ6IfaGpCmMJaTUgek9CnRBCCCH2xGuTCTQVri9X+cqxFKeHIlwcjaM+qNq3H2foviwd9vMPXh1nNl/HdlxGE0EpkiLEIWG1bBrVDrqpEYpKEZi9JKFOCCGEEHsiaOq8cyLDG9MpVEXpWS+6aMDHS2PxnnxtIcT2Sqt1Zj7PYbUcFFVhcDrK2OkEqqyi7wn5LgohhBBiT/k0VZqLCyHWWR2H+1fyWC0HAM/1WLlbprTW6PHMjg4JdUIIIYQQQoh906pZtBv2lvF6udOD2RxNEuqEEEIIIYQQ+8bwa2j61thhBuUk2F6RUCeEEEIIIYTYN2bQx8ipODyyKzuaDhAflMqhe0XisRBCCCH6xnKpyUq5hd+nMpEOETLkUkaIfjB0LEYwZtIot/GZOrGBAD5D+kfuFXklFEIIIURfuLZU5sfX13AeNDYfivn5zsVhovvc+04I8XiFepu7a3XatsNIPMBUKrTeyuQhRVGIpQPE0oEezfJok1AnhBBCiEOv1XH47d38eqADWCm3uL1a5dWpZA9nJsSLLV9r89efLFJpdQuhKBT52qkMr0wmejyzF4ucqRNCCCHEoVfv2NTaW6vnlVtbx4QQB+fOWm090AF4wIezRRod+bd5kCTUCSGEEOLQiwZ8pCPmlvGBbcaEEPvPtut0OgXa9tbw1rIcWpbTg1m9uGT7pRBCCCEOPZ+m8u7JND+6ukqlZaMqcGY4ysmBcK+nJsQLxfMcSuWPKZc/wXU6pNQMY7EzLJQ3zsqNJgLEA0YPZ/nikVAnhBBCiL4wkQzxj9+cYK3SxtRVhmJ+FEV58ieKvjZfaLBYamLoCtOpMImQhIVeqtfvUCz8Zv22qSxzLOJSab9JpWUzGvfz1ZPpLYVSxP6SUCeEEEKIvhE0dKbS/Xn5UmgWuFe+R8tuMRweZio6haZKSffHubpU5sfXVnlYH+djf4nvXx4hHfb3dmIvsFZredNtVVFI+vP88HKEjhchFTLRJNAduP58VRRCCCGE6CP5Zp5/e/ff0rAbAHye+5yvDH+Fy4OXezyzw6ttO3w4W+CRgqdUWzY3V2qkT0io6xVV3fq9V1STsD+IrsvPpVekUIoQQgghxD67V763Huge+nTtUxpWY4fPEB3LpbpNddNqy+rBbMRDodBxNC24aSweu4yuh3o0IwGyUieEEEIIse9admvLWNtt03baBH3BbT5DhP06Y4kgM7n6pvGRuDSv7iXTzDA09APqjbt4bgvTHCEUOtbrab3wJNQJIYQQQuyz4dAwX+S+WL9tOS4ZcxjPkYCyE0VRePt4ipbtsFxqoakKF0ainBqM9HpqLzzTTGOa6V5PQzxCQp0QQgghxD6bjk3z5tCbfLL2EblaEd0bpm0d5795f543ppO8NpmUaoHbGIj6+eErY+SqbQxdJRWWvoRCbEdCnRBCCCHEPtNUjTORBOFOgtstG8+uoRsVbtcD/OZOnsGon8lUCM9xAQVFk4D3kE9TGZYtlz3Rth0qTZuQqRE0JDYcZvLTEUIIIYTYZ5ZVIZv7EfVahUqlCoDWyjMS+R7z5QClapvBsoW1WANVwRiPYIxGJNyJnpnN1fnl7Sz5WoewqfP2iRTnR2K9npbYgVS/FEIIIYTYZ+3OGq7TwtBUHvZLd1wLU82jKJCqWLTvlnFbDm7DpnWziLVS6+2kxQur1rb4+6ur5GudB7dtfnJtjZVys8czEzuRUCeEEEIIsc+6vb0UgobOcGxjK6GHnzMDIcLFzpbPsVaORrsDu+NQK7XoNKUVQb9Yq7Spdza3k3A8j5VKu0czEk8i2y+FEEIIIfZZwD9MOHySWu0WY4kAEb8O2iiR+CnGEzHsj9dwO+7mTzoCWy9Lq3XuX83TrtvohsboqTgDU1EUpf+fWz9yXY+VSgvLcRmM+PEb2rb38/s0FAU8b/N4wCfrQYeVhDohhBBCiH2mKBqp1B/i909gWTky6Tih0In1hs3t8SitG4VHPgF8Q/3dzNlq2cx8nsNqOUB3xW7uap5g1CCSksInB63Zsfn5zTVurtbwPEgEfXz1ZIawqZEIGZj6RsAbivo5Oxzl2lJlfWwsHmAiKT0VDysJdUIIIYQQB6Bla+Takxj6NMORANojLQyM0TCKCtZqA0VV0IdD+Ab6+wK6XumsB7qHPA9q5baEuh64uVrlxoNzmp7ncWWpzO3VGuPJAEFT5xtnBhhLdP/OqarCH5zKMJYIkKu1iQV8nBgIE5AKmIeW/GSEEEIIIfbZfKHBv7+6QrVlowAnB8N848zA+kWyoioYo92Kl0eFz9h+C5/PlMvPXliptNb/XGpazBea6CokgjrXliusVlr8j786TTzU7QXo92lS7bKPyMZYIYQQQoh9ZDsu793JUW11C094wK3VGjdXq72d2D4LxgwGpqKbxiIpP7GMrNL1QjxgrP+51rZxPQ9VUZnJN1ittPlwtsgv7+Tp2O5jHkUcVvJWiRBCCCHEPqq2bNaqW6sGZrcZO0oURWHsTJJwwk+j0sYM+IgPBfHtUJxD7K/TgxFurVTJ1zsYmgoeTKWD3Frtbsn0+1Ry1RaLxQbTmXCPZyueloQ6IYQQQoh9FDQ1YgGdQn1zSf940NjhM44OTVdJjYZJjUpI6LVEyOAHr4xyP9+g2rK4uVLlx9dX1z/+ykSCWtuhLSt1falnoU5RFA34EFj0PO+7vZqHEEIIIcR+MnWNt46n+fsrK9hu94DZUMzP6cGjc35O9IeI38eF0e45ualUkJblUm/bRAI+bKcb5oZi/l5OUTyjXq7U/cfAdSD6pDsKIYQQQvSz04MREgEfy+UWpk9lIhkkKJUERQ8Nx4N86/wg793JUax3SIQM3jmRfiFWkI+inryaKIoyBnwH+D8A/2kv5iCEEEIIcZAGon4GorIKIg6P45kwY4kA9bZNyNQ39aoT/aVXbxH9F8D/HNhx34GiKP8M+GcAExMTBzMrIYQQQgghXiCmrkmYOwIOvKWBoijfBdY8z/vocffzPO+fe573mud5r2UymQOanRBCCHG0uK5Ho2PjfblZmBDiyKk0LX5zJ8f/98M5fnJjldVHetOJo60XK3XvAN9TFOXPAD8QVRTl/+V53j/pwVyEEEKII+v2apVrSxVytRaZiJ83p1MMShEEIY4k23H58fVV7ucbACwUW8xk6/zVa+PEAr4ez07stwNfqfM873/ped6Y53lTwD8CfiqBTgghhHh+rutRanSotyx+fnON//zf3+Rf/n6GuVyNbLXNv7+2Qttyej1NIcQ+WKm01gPdQ9WWzdyXxsTRJGWXhBBCiCNgtdLivTs5qi0LPPj1nSyFQh69U+VGUUG1RkknEyyXW0ylQ72erhBij7k7tJdzdvqAOFJ6Guo8z/s58PNezkEIIYTodx27u+2qbTnczVZRFIVyvU224ZHymRhei7sLy4zHfOia0uvpCiH2wWDMZCBqslZpr4+Zusp4MtjDWYmDIit1QgghRJ/L19pUGhaW4/LFQpWBiMH9XJVMNECn42GgEDB0hgIWQ1JSX4gjydQ1/vjcEB/eLzCXb5AJm7wyGScVNns9NXEAJNQJIYQQfc6nq6RCBv/y40Xy9TZDURNdVSnUWpwcCBOwXP7sXIp3Byx07cCP0wshDkgmYvKnF4bp2A4+TUVRZGX+RSGhTgghhOhz6bBJIuTDenB25vpKhQvjcQKqzal0gG/GypzwPqaT+jM+vl+kUG+TCpucGgwTMqUq3n6rtS10RcVvSC8wcTAM6Tv3wpFQJ4QQQhwBL40lOD8URQUaHYds3SFlerw56uNUKIqV+B7/bkbhfiG7/jkzuTrffWkEQ5fVu/1QbVm8f6/ArdUqPk3l0niMSxMJfLJaKvZAq+NwfaXCfKFB2K9zbjjKUCzQ62mJHpFQJ4QQQhwBgzE/f35phPhtH7W2gwKcHY5w9swIGDrz2Rr3C0ubPud+vsFiscF0JtybSR9xv58t8MViGYC27fLrO3kChs6F0ViPZyaOgvfu5Pj8wd8vgFsrNf7qtTE5Q/eCklAnhBBCHBGvTyUZjPpZq7YImzpT6RBBo/urvm1vX9Z8p3HxfFodh1srtS3jM9mahDrx3Ar1NleXK5vGmpbDvWxdQt0LSkKdEEIIcUSoqsJUOrRtH7qhqB9TVzeFOL9PZSgm1TD3g6oqmLpK80vN3uVc3dNp1SwKy3WsjkMobpIcCqLK9lUsx8N1vS3j9jZj4sUg/yqEEEKIF0AiZPAnF4ZIhw00VSEdNvj2+SHiQaPXUzuSDF3l8mR805iuKpweimwaKzct7q7VWK20DnB2/aFVt7j5/goLNwqs3itz7+M1Fm+Xej2tQyEdNrf0n1MVGE/ImboXlazUCSGEEC+IY5kwY4kgtbZF2PRJgZR99tJonKBPYyZfx6epnByIbLoQv7Fc4ac31mjbLpqicHkiztsn0miqlKEHKK00aDesTWOr98pkxiL4wy921VZNVfjD0xneny0wm6sT8ft4bTLBmDQaf2FJqBNCCCFeIIauktTlzM1BUFWFU0NRTg1Ft3ys2rT42c219e2wjufx4f0iY4mAFK55wP7S1lUA1/Gw5RwoAMlwtyddo2NjaKr0oHzByU9fCCGEEOKAFRodWtbWcJKrtXswm8MpnNh63jMUNwlENlbpCvU2C8UG7W0C4IsiaOgS6ISs1AkhhBBCHLSIX8enKVjO5sIWseDR21boeR7Xl6tcXSpjuS5nh6KcH4k9cftvLBNg4nySpTtl7I5DOOFn4nwSTVOxHZff3SvwyVwR2/WIB3z80bkBJpJbiwQ9qm073F2rka22iQZ8nMiEiQSO3vdcvHgk1AkhhBBCHLBkyOTNY0neu5PHe5DrTg6EnxhK+tHNlSp/f3WFh/F1tZzFdj1en0o+9vMUVWHoWJzUSBir4+IP+1AfnDecydX5/Wxh/b6lpsXPb2b5D173Y+rbVxj1PI9f39rc2+3mSpU/vzRCyJBLYtHf5G+wEEIIIUQPvDaZZDgWIFttE/H7GE8Gdgwk/ez2apUvF9q/sljm0ngc3y62Dfr8Or4v7cTcrlpovtahVLcYjG3/PcyWGlRX1hjw+cjZKq4Hy+UWc7k6Z0ekd6DobxLqhBBCCCF6QFEUxhJBxhJHu2Lhdp3TvOesdRL2b72E9ftUgjv0AVybX+HjD2+wtlRgIKAxkklwPTRM2/G29BIUoh9JqBNCCCGEEPvm5GCEu9n6prELY9FdrdLt5Hg6zLVohdVKt7CMArw+ldz2fFyhVOf/83cfU1jJs1Zt47geb55wmZ4OcEuLMRTbWpAFwGo7lNYatBs2wYiP2EAQTdqAiENKQp0QQgghxEFrVyF7C1olCA1A+iRb9hgeEacHIziuxxeLJSzb49xIlItjz7fdMRLw8b1LI8xkGzQ6NoNRP5Op7Vc8by/kKa8V0FSFRNBHuWnx6XyZfzA5wJ+ejBK78TnF+Xm0ZJLA+fP4hoawLYd7n2YprzXWH2dgKsrkhRSKIn0ExeEjoU4IIYQQ4iB1mnDtX0NpfmNs+CKc+jNQj95KkKoqXBiNcWF0b8+thU3frsJhGxVUDVwHv0/D0DUc12VsOEHi2oc0ZmYBsFdW6czMEP/hD6m0fOuBTjdUNF2luFwjNRYmsk2rBSF67ei9cgghhBBCHGbF2c2BDmDlClSXAXBcB8eVc157ZWwwjm94YP22qsCxqUEG4iE6s/c33ddrtrDm57FaDooCRkCnsFRn7mqe4kqTWnFrgRYA1/Uo1NtUm9a+PhchdiIrdUIIIYQQB8mqbx3zPOx2lc9WP+J6/jqqonI+fZ7zqfPoqlyuPY/pdIhvff0Sv/s0Rr1YZnwoztcuTaF7bdb7STzCc12CMRMjqDN3NY/d6VZ1qRRaLN0skR4Joxoa15bKXF2q0Ow4+A2NWtOi43pcHo/z+nTyuc4MCvG05FVCCCGEEOIgRUfWtwOuM8LcdRq8v/r++tB7i++hKzrn0+d7MMmjQ1EULk+lOD0So2U5xAMGqqrgWRa+kWGspeWN+xoGxtgYetJPJOHHefAjUnWVeCaIY7vUSm0WHIsfX18DPO6s1SjUO7wx3e27d325Qizg4/webzcV4nEk1AkhhBBCHKToCJz6Nsz8qlswJZjCPfENPlr7YMtdbxVvSajbI0FDJ/hIk3HF5yP89T+k8eknWPfn0JJJgpcvoafTAMQGggxMRnAst3uu7sHKm6qpXJ+vANC2XBaLTbK1bhXOWMDA71OZSgcl1IkDJaFOCCGEEOKgDb8MqZPdUBeIo2gGWvajLXfTFblU2096Kkn0j/4Iz7JA1zdVtoyk/cTSAeql9vpYbCBIOGnCbPd203IoNjrARj++5XKLlvWcjfiEeEqy2VcIIYQQoheMIEQGQTdRFGXLipyCwunk6R5Nbv/la20+my9xZbHc8wIjis+3pVWBYeocf2WA0dMJUqMhxs8lmX45jaapnB2OAt0gF/Z3e+NNp0IUGx10VSEe3NovT4j9JG//CCGEEEIcAv//9u48Rs77vu/4+/fMfc/s7ux98KZIiqIoUbIUy5aPuLGdOHbSGk2QBkFRNC2QJk6Tomj7T5r/WqAI2qJAi8BuGyBHkdpOk7S24zOJYzuSdVEiRYuHuLtc7n3PfT2//jHDJZdLkRTF3Wdn5/MCBjvzm2ee+e7DJTmf/V3Huo7hMz4ur1zGcRwOZw5zOH3Y67K2xdXFAl95Y5pqvdm/lYkF+NRjg3THQx5XBsVqnbcXCqyXa2TjIfYdTG1Z9OT4YHNo5auTK9QaLtl4iKVCheFMhL5kiKP9SS9Klw6mUCciIiKyzap1F59j8DnvvHG1z/FxrPsYx7qP7WBlO8day9sLBcaXClyazxHx+6g36rgWVgo13pxe5wNHsp7WWKrW+eobM0wulzbanhjN8PzRzXX5HMPJ4TQnh9PMrJb43uVFgn6HdCzIM/u76NkF4VQ6i0KdiIiIyHtUWK/gNizRZHBjQQ2AQrXOqxMrXJjNEQn4ODWc4sRgCucu4W6vOj+9xjcvzFOtu5y9torPMXzwSJZcuQ7AYqHqcYUwsVzcFOgAzl5b5dhAgt7knTcdH0hH+NknhilU6oSDPm1lIJ5QqBMRERF5QLVqg6kLyyxO5bAuxDMhxh7rIZZs9tS8+PYyr11bBSBfrvOtC/OEAg5H+jpreF694fLq5CrWQsBnSIT9rBRrzK+XiYcDNFzLUPrOoWknFSv1LW0NaylW774ZvOMYEhHNoxPv6FcJIiIiIg9oaSrPwmQz0AHkVypMv7WCtZZitc6PZtc3HW+BtxfvsPn4Htdwbw1GhuFMlEjQR7HawO8Y9vfENhYf2W4rhSpTK0VK1To06jBzFt74Elz4c/abWfy+zb2osaCfnkRwR2oTeVDqqRMRERF5QPmV8pa29cUytUoD5x3m0AU6cOhlKODjcF+cs9fWAIiF/Dw6mOTMvi4O9cbpT4bxb/OwRde1/HB8mR+OL1NrWFIRPz/TM01m5rsbx6TNm/z0yCf5yvUI5ZpLKuLnQ0d7iYfUCye7m0KdiIiIyAMKRm5+lLKNBm6xSCgTwRdwCPocTg2n+f6VpY1jfMZwMBv3otQdZa0lX64T9DuEAj4Anhrrolq3XJ7P4RjDqZEuzuzLEPL7dqSmyeXC5j8LW+faue8ST7sb8+CMdRmrT/AL7/sJ8pUamWiQSFAfl2X300+piIiIyAPqHo6zdD1PeXGN6tVxbLXC4PEUxe9OE3v2WU6PZggHHK7MFwgHHB4ZSLKvZ++GukKtwGqxzqsTBSYWi0SDPp7cl+HkUIpEJMDHH+1nrdSNz2HHe7/m1iubHvuwVCsVilWHVOSWXkK3RjISIKk5ctJGFOpERERE7kO5WGN1rki96pLoCpPsCRNLhnjkfX3M/uUU9ZEg0aAhuHiF8lwDfzZL5MQJTo1kODWS8br8bVWul3l57mWuro7z9vUuVvMBBmIDVBsBvv2jeRIhP/tbPZQpj8JSPLT5Y+9yxYG+4wTdtzYf2HVoB6sSeTgU6kRERERuU6k3mF+v4DjQn4xQLda4+OIclUKteYCBsUd76NuXJOCWiU+9Do3NKyTW5uaInDjhQfU77/zSec4unCXpG+Li/AqutfiMn8H4INbC1GppI9R5ZX82xnA6wtRqc8uChrX4D/wYEdsD8+fBCcLQk9B33NM6RR6EQp2IiIjILRZyFb7+5izz6xUMsL8nxmPh8M1AB2Bh+vIqXYMxfJEITiyKu57bdB5fIrGzhXvo0solAKypE/T5KNfrLJeXGYwPAhDcBXu3RYN+PnlqgKuLBfKlOr3JEGPdMXCGYez94Djg05BLaU/e/w0TERER2UVevLrEfGv+1Y0tCGYWi1uOq1fq1KsNnFCI2FNPNUNBiy+dJnT48L3frFqAuvebbr9XUX8UgGJjkVMjaQACTjMgRYM+DuySxWFiQT+PDqZ45mA3B7Lxm6uTBkIKdNLW1FMnIiIi0lKpNbi2XNrSXvLD7f1u8UyEULQZBMLHj+OkUtRnZjChMMF9Y3fvqSutwPjfwOIVCERg9GkYeBxMe253cKLnBNOFaRq2QSI5y0ce6SPujDGUzHCkL0E2EfK6RJE9TaFOREREpCXgc+iOB5ha2Tw/LtQVoj8ZZX5iHbdhiaaCjBzP4Nyy51xwaIjg0NC938RauPIdWGgt0FEvwVt/AcE49NxH794udDB9EL/xM7E+AcBYcoyx1JjHVYl0DoU6ERERkRbHMZzZ183c+jS1hgUgGfZzZCBJbzJMdixBveYSTQTx+R9wFktxGZau3NZoYWXCs1BXb7jMrpWpNlz6U2GiD7A321hKQU7EKwp1IiIiIrfY3xPj7z81ytRKEb/jMNod3ViGPxIPvvc38AXA8YFb39rugUKlxrcuzHNloQBAKuznJx7tZygT9aQeEXn3FOpEREREbpNNhLZvHlg42Vw6f+L7N9v8Ic966d6ayXFloUDYZxiu5fCtlrl4qUH/mUM3FxJpE4v5ChNLzXA62hXTXD7pGAp1IiIiIjtt9FkIJWHlKgRj0HsCkoM7W0M1D3M/IjV5hQ8EIjiLLtM/eJ2Ga6lGQuRjnyF14pGdrek9uLZc5M/PTlOpuwCE/Mt86tQgI13qcZS9T6FOREREZKf5gzB0unnzgtuAi38BCxcJrRRJLyxi1+okeo6zPr9OwK1T/cH3aOwfxRdtj1B09trqRqADqNRdXplYplitM7FUJBL0caQ3QV8q7GGVIttD+9SJiIhIR6lWqxQKBRqNxr0P3qvWpmDhIgDd8RChehV3eZKutItjYCgdgVIJd23N40Lv31Jh635/56dzfPfSIuen13lpfIUvvzrF3HrZg+pEtpd66kRERKRjTE9PMz4+TrVaJZFIcPDgQdLptNdl7bzGzQAUCfjI9iTJLQepRx2SQymiQT8mEsa52157u8y+nijLtwS7aqNBOOhQrNwM7+Way5X5PH1J9dbJ3qKeOhEREekIKysrXLx4kUqlgrWW9fV13nrrLWq1mtel7bzEACT6wbpgXULDB0iMjhENZ5rbGfh8xJ55Fl887nWl99RouKwtFDkYDHGyN45jwACjmSjpSICGtZuOv3WIpsheoZ46ERER6Qjr6+vY2z7gF4tF8vk8mUzGo6o8kpuFpcvN/fKqeUwwTui5f4pxxggWi/izWQK9vV5XucXMWpELMzkWchXGumMcz8aYfXOV1fkiAOmAw6eP9hLKNFcv/cobM6yVNm8dMZyJeFG6yLZSqBMREZGOEAhs3QfOGIPf34Efh2Zeg2Ac+k82h2I6AUxumtDjH/K6sjuqN1xeGl/hz1+fBmC0K8pCbpnqXJHQYo0bGy+4NZf1iTwnhpP4fA7PHe7B5xiuLhYI+X2cGctwMLv7ex9F3q0O/FdMREREvGatJZfLUavVSCQSBIMPYVPve+jq6iIWi1EoFDbaBgcHSbTRvLGHptxaAMU44A/fbLMWzO7bm+7c9BpfemWKyeVmj9yVhTwfPtrL/GKJ7qohFvRtHFvJV6mW60QCQbpiIX7ysUHWSzWCPofwLceJ7CUKdSIiIrKjarUaV65cYXZ2Fmst4XCYo0eP0tXVta3vGw6HOXnyJAsLC5TLZRKJBNlsdlvfc9fKHoX8/G1tx3ZloHNdyxtTa1huDp21FiaXi/QnYtjK5uGVoZAlWJ4CG4VkPwDJyNZeWpG9RKFOREREdtTi4iIzMzMbj8vlMleuXCGZTG77UMhIJMLo6Oi2vkdbGDwNlRzMngcs9B6D4Se9ruodNVxLKhzguinhtrKd61oSfREGYpBbLAHglJcY7prHd+51cHww8jTs+0DzvsgeplAnIiIiOyqfz9+xrVwuE2+D1Rb3hGAMjn4CRp9troAZ3d5e0vfCcQwnBlOsFGsc7k0ws1aiVGvwvgNdnD7QTTLoZ32pRKNYILbwEpHS1eYL3QZM/ADSo9B1wNtvQmSbKdSJiIjIjgqHt+4RFgqFdmRendwmkva6gvtyaiSNMXD++hqH++KcGExxaiSNz2kOF830x2BpFiaubH1xfkGhTvY8hToRERHZUdlslvn5edbX14HmCpT79+9XqGsp1oo4xiHs1wbZNwT9Dmf2dfHEaAZjmj8zW4ST4PjBrd/WntqZIkU8pFAnIiIiO+rGgiXLy8sbq1+m02mvy/JcoVrg5bmXubR6CZ/x8WjPo5zqPUXA2duLfLgNl0bNxR/y3Tms3cJx7vJ8LAtjz8L43zRXUoHmXMGu/Q+xWpHdSaFOREREdlwwGKS/v9/rMnaVswtnObd0buPxi7MvEvFHONFzwsOqttfyTIHpSytUinUSXWGGjmSIpUP39dqG26BYKxIOhG8G39Efg9Qo5OeaPXSZfeBXD7DsfQp1IiIi0tZc12VlZYVCoUA4HCaTydxxo/HdrNqocmnl0pb2q2tXOdFzgly5RrHSIB0NEArsjZUc86sVrrwyj20tZ7k6V6RarnPs2UF8Aeeur53OT/PCzAsslBZIhVI81fcUB9IHwHEgM9q8iXQQhToRERFpa+Pj40xMTGw8zmazPPLII9u+PcLD5BiHoD9IoV7Y1B71R3nt2go/uLJEuebSFQvw/JFe9vXEPKr04cktlTYC3Q3FtSqF9QrJ7sg7vq5YK/LNiW+SrzVXUV0qLfGtyW+RCqXojnRva80iu9Xdfw0iIiIi4oFKrcHVhTyX5/MUq/V3PC6Xy3Ht2rVNbQsLC6ysrGx3iQ+V3/Fzsufkpjaf8dHlP8RfvbVAueZiDFTqlr++uHDXa9IuHN8d5seZd2i/xVxxbiPQ3VBza8wWZh9meSJtpX1+hSUiIiIdYaVQ5WvnZ5ldKwOQiQb4xKMD9KW2rgZZqVRwXXdLe7lc3vY6H7bj3ccJ+8KMr48TdILsT+1nbjmGa8vEQ37ylRoXpteJh31cmFnnybHdu7fc/Uj1RglF16kUaxttXQNxYsm7z6l7p4Vjgj7NnZPOpVAnIiIiu8ob11c3Ah3ASrHGy5PLfPLk4JZjY7EYgUCAWq22qb0dNzF3jMOhzCEOZQ5ttOXzawR9Dgv5CmevrQJg1uAbnwzSpgAAFPxJREFU5+foT4UZSkc9qva9C0cDHH6ql8WpPJVCjXhXmO7hOOZuK1wC/bF+9qX2Mb42vtHWE+lhOD68zRWL7F4KdSIiIrKrTK9t7WWbWilRa7gEfJtnjkQiEQ4fPsylS5eo1Wo4jsPY2Bip1N7Ym2ysJ8ZwV44fvLS00daXChMK+BhfLLZ1qAOIJkOMHr+/1S5v8Dt+nh96nqHYEAulBdKhNIczh4kE3nkenshep1AnIiIiu0pvIsTM6uZg158Mbwl0N/T19ZFMJikWi4TDYWKx9l9E5IZo0M/T+7r44dUVCtU6saCfdLQ5zNBi7/HqvSsWjHGq95TXZYjsGgp1IiIisqucHEpxbbnEcqEKQCzov+f8sUgkQiSyN3tqBtJRnjvcw4WZ3EabzzHs79474VVE3huFOhEREdlVsokwf/fJIaZWSriuZTgTJRH2M7dWJlep0RMPbfRWdYrnDvcQD/u5NJcnFQlwajjNUObOQy9rtRqrq6u4rksymdyzYVdEblKoExERkV0nHgrwSH9zlcNavcHXX5/kby/NgXFIJ2N85FgfqWSehtugL9ZHNNDec8vuJR4K8NyhLM8dyt71uFKpxIULF1hbWwMgGAxy/PhxMpnMTpQpIh5RqBMREZFd7ezla3z1xbc2ZpDlSut8Yf1tnjxSIteYJxFI8LGxj9Ef7/e0zt1gdnZ2I9ABVKtVJiYmSKVSOI62JxbZq/S3W0RERHatUqnE1ZnlTUuCrNXWubYyRz5vWVxcZHJ+kh9M/QBr23fhkFy5xhtTa7zw9hKTy8UH/l5yudwd227f8kFE9hb11ImIiMiuVavViPpvBhxjHEqNEo7rks8vkss3Q8y56jk+NPwhMvH2G2a4XqrxZ2evs5BrLgxjDHzoaJbHR97995JIJFhaWtrSFgjcecNuEdkb1FMnIiIiu1Y0GqUv5uPYYHPfOWst8WCMU0MxlouTG8elAinya3mvynxPLs3lNgIdgLXw4tsrFCo3e9dc11KtN+55rv7+/k179AWDQcbGxjT0UmSPU0+diIiI7Fp+v59DB/YBVxlN9VBuGIYHEnxv8v+xVq0DEAlGOJ48TqN279CzG+Ur9S1thWqdYtUlFoIr83lemlhmrVhjXzbGmbEMXbE7b9gdiUQ4efKkVr8U6TAKdSIiIrKrpdNpHn/sJMVikUAgQCAQwCk9x3xynrqtkzZp3LxL6mDq3ifbhfqS4S1tvckQ6WiAmdUSX3llkqDf0PAFOH99nVypzmdOD+FzzB3PFwgEyGbvvkqmiOwt6osXERGRXc/v92/0Ovn9fo7sP8JgcJBUOUWgEeDIkSNtu2z/gWycJ0YzGyGtKxbg+SNZ/I061968SnZmCf9r10lcXmDUcZheKbGQK3tctYjsJuqpExERkbaTTCZ57LHHKJfL+P1+gsH23Yw86Hd4/miWE0NJyrUG2XiIUMBH6dx5KlMFrl9Ybh44XyAwX2LwA/txzJ176USkMynUiYiISFtyHIdo1LtNxxfzZS7PFSjW6gymIhzqjeP3PfggqJ745nlyxfllKlNF/H4f9dYiKbVcga4GZBO3HFvJweq15rKZ6VEIxh64BhFpTwp1IiIi0rFc1zKxVGAuVyEa9HEgGyMeuvfy/0v5Cn/yyvTGIidnr63xzIFunj3Y/dBqM8EQgfwch5MxFusBynWXdCzEaFcMc6Onbn0azv8fKLc2HI92wfHPQKLvodUhIrufQp2IiIh0rJcmlvne5Zv7up27HuJTpwZJhAPkqjnqbp10KH0zRLVcWShsWbXylckVHh1Mkog8nD3h4vsG6R5dw72yzIgxGJ+PYE832cHEzYOmXroZ6ACKyzD9Chz9xEOpQUTag0KdiIiIdKTVYpUfXl3Z1Da3XuHy/Dpl/0XOL56nbuuMJcd4ZuAZMuHWQiy1IuVqdcv5anWXSt0lsamxDNUchFLgf3fz/oLDw+z/qENkcJ7lmSKRbJqBx0dJZVtbFFjbHHZ5u7Wpd/U+ItL+FOpERESkI5WqDaoNd1Ob6zYYn5ul5l4jE8mwxBJX164SMAF+vO9JmPg+LF5iKHiMl3OR1jDHZi/ecCZCJhaERh1ys5C7DrPnaVQLzEYOYntO0Ds4QtB///PuYiODHBwZ5IC1W3oLMQYyozB7bnN7auRBLoeItDGFOhEREelIXbEgXbEAy4UaAI1Gg8XFRXqdIjOL4xhjOHjwIAvBBSbWxylUasRmXgdgv/smH+w+yEulKJVAiuFMhA8czuIrLcPFr8H8BZh9nfWRD/Pt4mHGL8xhnWX6H3kfH3tshJ741r3p7mZLoLth6ClYvw7FFcCCEwBfCCZfhJ5DzTl2IrLnKdSJiIhIRwoFfHz4kV6+fWGelWKNerXCqYEotnodAGstkxOTDD4ySM3NEVid2Hit0yjzpLnAscNZyv2jpCNBHMfAW9+G1UmorIN1Obfs4+ryXLNXrVFldmGRlybifPzEwOZi3Ebz9i6HaJLsh9O/2BxyuXoNFi/Ctb9tPjf1Epz6LMS0EbnIXqdQJyIiIh1rtCvGzz89ymKhQm55iblrb5NrxPE7fupunWqtiq/h40TfaYIXv7P5xdYl6lSJxkKUSiUWFxaoza2TCo2QKedwgnGu5dxmwIv2gFsDx8/kUpFq3W0Ow7QWZl6HqR9CvQy9x2DwCQjFwXefC64EY5Aahcvf2rxoSmWt2WO4X6FOZK9TqBMREZGOFgr4GEpHWagVmHFdYoEYRzNHWamsEAwGOTNyhtHMKORX4doLN1/oD0H3YYrFIm+88QbFYhHm5qG8xqH9xxlZn6Y74mO6HADbgFgPhBL0xEMEfK3hlIuX4K2vAhYaNXj9j2HyBYj3weApGDwNju/e30RxCRYvQy0PwURz2KVxoJLfjksmIruMQp2IiIgI0NXVxcjICFNTU0QDUVLRFEeOHKG3q7d5wL73QzgNy1cglIC+RyE5yOLkZDPQASSHoLzO5PVZekffx8lGiKvhUfJuAKI9hAJ+nhi9ZYuE5bcB27y/PtUcRllahgMfgUvfaM6PGzh598LLa3Dl283z3Fj5MjUCmX3NekRkz1OoExEREQF8Ph8HDx6kt7eXarVKPB4nHL5lQRN/CIafaN5uUalUbj6IZGDgMaqldWrDJ+jLDvNZk2RiqYhrLSNdUXrioeaxjXrznJjm0Mz8fLPd+NkIeosX7x3qlq40F0vJHoFGFVbGobAExz7VHM4pInueQp2IiIhIizGGZDL5rl6z5fhgnGTPIJGRk+DzkQbS0VsWQGnU4PrLMP0alFZaK1YGm8MlAQYeg0quef9+5tVVC82vpVXIHoW+k2AsDJx+9wuviEhbuv+NUkRERERki56eHsbGxvD5mnPf4vE4hw4d2ni8xczrcOU7zUAHUJiDWDfsew4O/jgEomDdZsjrPX7vApKD3Ngrj2oByitgfM05fCLSEdRTJyIiIvIe+Hw+Dhw4QH9/P/V6nWg0it9/l49Yc7dtFh7pgnoVnv1VWHgTFi42e+j6jjf3mruXzH44+GGY/D7UyhDvhUMfg0DovX1jItI2FOpEREREHoJoNHp/B95pSKXPD/4ADJxq3t4Nx4HR9zXnz9WKzZCoYZciHUXDL0VERER2Uv9jbAyXvGHw9Hs/bzgJiX4FOpEOpJ46ERERkZ3Ud6I5X27+zebm472PQO8Jr6sSkTamUCciIiKyk4xpzpfru49FUG7TcC0X53JMLhcI+30c7oszmL7PYZ8ismcp1ImIiIhso5VClcsLeQqVOgOpMAeycQK+rTNgrLW4FnyOucNZml6dXOG7lxY3Hp+bXuNnTg8p2Il0OIU6ERERkW2yWqzyp69dZ6VYA+BV4On9Xbz/0M3tBtaLNf7q4jxnr68SDwU4PZrmybEM8dDmBVVK1TqvTq5uaqvWLZfm8gp1Ih1OoU5ERERkm7y9mN8IdDe8OrnCicEk6WiQWsPli69c4+vn57Ct5y/N53Fdy4cf6dv0unrDUq27W96jcoc2EeksWv1SREREZJuUq1sDV61hqdSa7TOrZc5OrW0EOoDFXIWLcznWSpvDYCIS4GBvbMv5RrvUSyfS6dRTJyIiIrJNBlJhDGwKbX3JMF3x5rYDDeti7jCFznLn37w/e7A5bPPthQIBn8MTo2kO9yUedtki0mYU6kRERES2yb6eGM8fyfLi+DLlWoP+VJjnj2Q3FkoZSEY4nI0zu1bGtpJfNOjjeH+SRGTrJuWpSICPPzpArlQj4HMIB307+e2IyC6lUCciIiKyTYwxnB7LcKQ/Trnmko4GN61uGQ76+HtnRkhFArw+tUYq6ucDh7K870D3Xc97p8AnIp1LoU5ERERkm8VCAWKhOz/XlwzzC8+M8dm6S8Dn4NxlSwMRkTtRqBMRERHxmDGGUEBDKUXkwez46pfGmBFjzHeMMReMMeeNMZ/b6RpERERERET2Ci966urAb1prXzHGJICXjTHfsNa+6UEtIiIiIiIibW3He+qstTPW2lda93PABWBop+sQERERERHZCzzdfNwYsw84Dbxwh+d+2RjzkjHmpYWFhR2vTUREREREpB14FuqMMXHgS8CvW2vXb3/eWvu71toz1toz2Wx25wsUERERERFpA56EOmNMgGag+wNr7Ze9qEFERERERGQv8GL1SwN8Abhgrf2dnX5/ERERERGRvcSLnrr3A78IfMQY81rr9kkP6hAREREREWl7O76lgbX2bwCz0+8rIiIiIiKyF3m6+qWIiIiIiIi8Nwp1IiIiIiIibUyhTkREREREpI0p1ImIiIiIiLQxhToREREREZE2plAnIiIiIiLSxhTqRERERERE2phCnYiIiIiISBtTqBMREREREWljCnUiIiIiIiJtTKFORERERESkjRlrrdc13JMxZgEoAIte19KhetC194quvXd07b2l6+8dXXvv6Np7R9feW7r+92fMWpu90xNtEeoAjDEvWWvPeF1HJ9K1946uvXd07b2l6+8dXXvv6Np7R9feW7r+752GX4qIiIiIiLQxhToREREREZE21k6h7ne9LqCD6dp7R9feO7r23tL1946uvXd07b2ja+8tXf/3qG3m1ImIiIiIiMhW7dRTJyIiIiIiIrdRqBMREREREWljuzrUGWNGjDHfMcZcMMacN8Z8zuuaOoUxJmyMedEYc7Z17X/b65o6jTHGZ4x51Rjzf72updMYY8aNMW8YY14zxrzkdT2dxBiTNsZ80Rjzo9a//c96XVOnMMYcbf3M37itG2N+3eu6OoUx5p+3/r89Z4z5I2NM2OuaOoUx5nOt635eP/Pbyxjz340x88aYc7e0dRljvmGMudT6mvGyxna1q0MdUAd+01p7DHgG+BVjzHGPa+oUFeAj1tpTwOPAx40xz3hbUsf5HHDB6yI62IettY9r35wd95+Ar1lrHwFOob8DO8Za+1brZ/5x4EmgCPyJt1V1BmPMEPBrwBlr7aOAD/g5b6vqDMaYR4F/DDxN89+cnzLGHPa2qj3tfwIfv63tXwHfstYeBr7Veizv0q4OddbaGWvtK637OZr/uQ95W1VnsE351sNA66ZVdXaIMWYY+Eng817XIrJTjDFJ4IPAFwCstVVr7aqnRXWujwJXrLUTXhfSQfxAxBjjB6LAtMf1dIpjwN9aa4vW2jrwV8DPeFzTnmWt/Wtg+bbmTwO/17r/e8BndrKmvWJXh7pbGWP2AaeBFzwupWO0hv+9BswD37DW6trvnP8I/EvA9biOTmWBrxtjXjbG/LLXxXSQA8AC8D9aQ48/b4yJeV1Uh/o54I+8LqJTWGuvA/8BmARmgDVr7de9rapjnAM+aIzpNsZEgU8CIx7X1Gn6rLUz0OzQAXo9rqcttUWoM8bEgS8Bv26tXfe6nk5hrW20huEMA0+3hijINjPG/BQwb6192etaOtj7rbVPAJ+gOez7g14X1CH8wBPAf7XWngYKaBjOjjPGBIGfBv6317V0itYcok8D+4FBIGaM+QfeVtUZrLUXgH8PfAP4GnCW5vQfkbay60OdMSZAM9D9gbX2y17X04law5/+kq1joGV7vB/4aWPMOPC/gI8YY37f25I6i7V2uvV1nuacoqe9rahjTAFTt4wK+CLNkCc76xPAK9baOa8L6SA/Dly11i5Ya2vAl4Ef87imjmGt/YK19glr7QdpDg285HVNHWbOGDMA0Po673E9bWlXhzpjjKE5t+KCtfZ3vK6nkxhjssaYdOt+hOZ/OD/ytKgOYa3919baYWvtPppDoL5trdVvbHeIMSZmjEncuA/8HZrDc2SbWWtngWvGmKOtpo8Cb3pYUqf6eTT0cqdNAs8YY6Ktzz4fRYsE7RhjTG/r6yjws+jnf6f9GfBLrfu/BPyph7W0Lb/XBdzD+4FfBN5oze0C+DfW2q94V1LHGAB+zxjjoxn+/9haq6X1pRP0AX/S/FyFH/hDa+3XvC2po/wq8AetIYBvA//Q43o6SmtO0ceAf+J1LZ3EWvuCMeaLwCs0h/69Cvyut1V1lC8ZY7qBGvAr1toVrwvaq4wxfwR8COgxxkwBvwX8O+CPjTH/iOYvOD7rXYXty1irBQ1FRERERETa1a4efikiIiIiIiJ3p1AnIiIiIiLSxhTqRERERERE2phCnYiIiIiISBtTqBMREREREWljCnUiItJxjDENY8xrxpjzxpizxpjfMMY4refOGGP+87s83z8zxlw2xlhjTM/2VC0iInJn2tJAREQ6jjEmb62Nt+73An8IfM9a+1sPeL7TwArwl8AZa+3iw6pVRETkXhTqRESk49wa6lqPDwA/BHqA54F/Ya39KWPMvwX2AwPAEeA3gGeATwDXgU9Za2u3nGcchToREdlhGn4pIiIdz1r7Ns3/E3vv8PRB4CeBTwO/D3zHWnsSKLXaRUREPKVQJyIi0mTeof2rrd64NwAf8LVW+xvAvh2oS0RE5K4U6kREpOO1hl82gPk7PF0BsNa6QM3enLfgAv6dqVBEROSdKdSJiEhHM8Zkgf8G/BerieYiItKGFOpERKQTRW5saQB8E/g68NsPejJjzK8ZY6aAYeB1Y8znH1KdIiIi96TVL0VERERERNqYeupERERERETamEKdiIiIiIhIG1OoExERERERaWMKdSIiIiIiIm1MoU5ERERERKSNKdSJiIiIiIi0MYU6ERERERGRNvb/AbYzYB/80ocQAAAAAElFTkSuQmCC", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + ] + }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" - } - ], - "source": [ - "exc = data_all_conds['exc']\n", - "inh = data_all_conds['inh']\n", - "exc_df_all = pd.DataFrame(exc,columns = features_ext)\n", - "exc_df_all = exc_df_all.replace([np.inf, -np.inf], np.nan).dropna(axis=0)\n", - "exc_df_all = exc_df_all.reset_index(drop=False)\n", - "exc_df_all.cond = exc_df_all.cond.apply(modify_cond_list)\n", - "inh_df_all = pd.DataFrame(inh,columns = features_ext)\n", - "inh_df_all = inh_df_all.replace([np.inf, -np.inf], np.nan).dropna(axis=0)\n", - "inh_df_all = inh_df_all.reset_index(drop=False)\n", - "inh_df_all.cond = inh_df_all.cond.apply(modify_cond_list)\n", - "\n", - "plot_UMAP_all_conds(exc_df_all[['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']],labels=exc_df_all['cond'],neighbours=20,distance=0.0,random_state=0,figsize=[15,10])\n", - "plot_UMAP_all_conds(inh_df_all[['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']],labels=inh_df_all['cond'],neighbours=20,distance=0.0,random_state=0,figsize=[15,10])\n" - ] - }, - { - "cell_type": "code", - "execution_count": 190, - "metadata": {}, - "outputs": [], - "source": [ - "exc_df_all_ = exc_df_all[exc_df_all.columns[1:]]\n", - "inh_df_all_ = inh_df_all[inh_df_all.columns[1:]]\n" - ] - }, - { - "cell_type": "code", - "execution_count": 191, - "metadata": {}, - "outputs": [ + }, { "name": "stderr", "output_type": "stream", "text": [ - ":6: SettingWithCopyWarning: \n", - "A value is trying to be set on a copy of a slice from a DataFrame.\n", - "Try using .loc[row_indexer,col_indexer] = value instead\n", - "\n", - "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n", - ":6: SettingWithCopyWarning: \n", - "A value is trying to be set on a copy of a slice from a DataFrame.\n", - "Try using .loc[row_indexer,col_indexer] = value instead\n", - "\n", - "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n", - ":6: SettingWithCopyWarning: \n", - "A value is trying to be set on a copy of a slice from a DataFrame.\n", - "Try using .loc[row_indexer,col_indexer] = value instead\n", - "\n", - "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n", - ":6: SettingWithCopyWarning: \n", - "A value is trying to be set on a copy of a slice from a DataFrame.\n", - "Try using .loc[row_indexer,col_indexer] = value instead\n", - "\n", - "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n", - ":6: SettingWithCopyWarning: \n", - "A value is trying to be set on a copy of a slice from a DataFrame.\n", - "Try using .loc[row_indexer,col_indexer] = value instead\n", - "\n", - "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n", - ":6: SettingWithCopyWarning: \n", - "A value is trying to be set on a copy of a slice from a DataFrame.\n", - "Try using .loc[row_indexer,col_indexer] = value instead\n", - "\n", - "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n", - ":6: SettingWithCopyWarning: \n", - "A value is trying to be set on a copy of a slice from a DataFrame.\n", - "Try using .loc[row_indexer,col_indexer] = value instead\n", - "\n", - "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" } ], "source": [ - "def return_class_based_df(df_acsf,df_all):\n", - " all_dfs = []\n", - " for i in np.unique(df_acsf['class']):\n", - " exp_class_0 = np.unique(df_acsf[df_acsf['class']==i]['exp_name'])\n", - " df_ = df_all[df_all.exp_name.isin(exp_class_0)]\n", - " df_['class'] = i\n", - " all_dfs.append(df_)\n", - " all_dfs_ = pd.concat(all_dfs)\n", - " return all_dfs_,np.unique(all_dfs_.cond)\n", + "# for i in range(10):\n", + "# plot_UMAP(combined_exc_inh[combined_exc_inh.ei_labels==0][['AP_avg', 'resistance','fr','thr', 'isi', 'sub_thr','imp']],\n", + "# combined_exc_inh[combined_exc_inh.ei_labels==1][['AP_avg','resistance','fr', 'thr', 'isi', 'sub_thr','imp']],\n", + "# n,\n", + "# dist,\n", + "# np.repeat(['acsf'],len(combined_exc_inh[combined_exc_inh.ei_labels==0])),np.repeat(['acsf'],len(combined_exc_inh[combined_exc_inh.ei_labels==1])),\n", + "# figsize=[12,6],\n", + "# random_state=i) \n", + "# for i in range(10):\n", "\n", - "df_exc_for_sig,conds_exc = return_class_based_df(df_exc, exc_df_all_)\n", - "df_inh_for_sig,conds_inh = return_class_based_df(df_inh, inh_df_all_)" + "# df_exc_NC = exc_df[['AP_avg','resistance', 'thr','isi', 'sub_thr','imp']] # df_all_conds_exc[['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','thr']] #pd.DataFrame(data_exc,columns=features)\n", + "# df_inh_NC = inh_df[['AP_avg','resistance', 'thr','isi', 'sub_thr','imp']]\n", + "for i in range(10):\n", + " inh = combined_exc_inh[['AP_avg','resistance', 'thr','isi', 'sub_thr','imp']]#[combined_exc_inh.ei_lables_new==0.0]\n", + " exc = combined_exc_inh[['AP_avg','resistance', 'thr','isi', 'sub_thr','imp']]#[combined_exc_inh.ei_lables_new==1.0]\n", + " plot_UMAP(inh,\n", + " exc,\n", + " 20,\n", + " 0.0,\n", + " np.repeat(['acsf'],len(inh)),np.repeat(['acsf'],len(exc)),\n", + " figsize=[12,6],\n", + " random_state=i) " ] }, { "cell_type": "code", - "execution_count": 172, - "metadata": {}, + "execution_count": 171, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 892 + }, + "id": "DemEWFyfZmwI", + "outputId": "405fa15c-0249-42c4-f2dd-7fd451c98450" + }, "outputs": [ { - "name": "stdout", - "output_type": "stream", - "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:8.711e-20 t=1.067e+01\n", - "1.0 vs. 2.0: t-test independent samples, P_val:7.402e-05 t=-4.198e+00\n", - "0.0 vs. 2.0: t-test independent samples, P_val:2.968e-03 t=3.057e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:5.339e-01 t=6.237e-01\n", - "1.0 vs. 2.0: t-test independent samples, P_val:1.740e-15 t=1.006e+01\n", - "0.0 vs. 2.0: t-test independent samples, P_val:1.179e-18 t=1.125e+01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:1.047e-01 t=-1.633e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:3.219e-03 t=-3.045e+00\n", - "0.0 vs. 2.0: t-test independent samples, P_val:5.324e-04 t=-3.598e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:5.849e-21 t=1.113e+01\n", - "1.0 vs. 2.0: t-test independent samples, P_val:1.497e-01 t=-1.456e+00\n", - "0.0 vs. 2.0: t-test independent samples, P_val:9.357e-06 t=4.709e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:3.209e-03 t=2.999e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:3.229e-02 t=2.182e+00\n", - "0.0 vs. 2.0: t-test independent samples, P_val:3.637e-02 t=2.126e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:1.063e-03 t=3.344e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:3.863e-01 t=-8.715e-01\n", - "0.0 vs. 2.0: t-test independent samples, P_val:2.903e-01 t=1.064e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:3.543e-07 t=5.349e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:3.009e-05 t=-4.447e+00\n", - "0.0 vs. 2.0: t-test independent samples, P_val:9.745e-01 t=-3.203e-02\n" + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "#@title clustering the UMAP embeddings\n", + "\n", + "df_exc_NC = exc_df[['AP_avg','resistance', 'thr','isi', 'sub_thr','imp']] # df_all_conds_exc[['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','thr']] #pd.DataFrame(data_exc,columns=features)\n", + "df_inh_NC = inh_df[['AP_avg','resistance', 'thr','isi', 'sub_thr','imp']] #df_all_conds_inh[['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','thr']] #pd.DataFrame(data_inh,columns=features)\n", + "ind_feat = [0,3,4,6,18,20]\n", + "\n", + "def plot_UMAP_clusters(data_inh,data_exc,neighbours,distance,condition_inh,condition_exc,k_exc,k_inh, random_state,c_list =['green','purple','cyan'],save=False):\n", + " \"\"\"plots UMAP for excitatory and inhibitory cells \n", + "\n", + " Args:\n", + " data_inh (ndarray): cell X feature matrix for inhibitory cells\n", + " data_exc (ndarray): cell X feature matrix for excitatory cells\n", + " c_exc (array): _description_\n", + " c_inh (array): _description_\n", + " neighbours (int): number of neares neighbours\n", + " distance (float): minimum distance between points\n", + " \"\"\"\n", + " data_exc_umap_scaler = StandardScaler()\n", + " data_exc_umap = data_exc_umap_scaler.fit_transform(data_exc)\n", + " data_exc_umap = normalize(data_exc_umap)\n", + " data_inh_umap = data_exc_umap_scaler.fit_transform(data_inh)\n", + " data_inh_umap = normalize(data_inh_umap)\n", + "\n", + " neighbours = neighbours\n", + " dist = distance\n", + " clusterable_embedding2d_exc = umap.UMAP(n_neighbors=neighbours,min_dist=dist,\n", + " n_components=2,random_state=random_state,).fit_transform(data_exc_umap)\n", + " #initialize kmeans parameters\n", + " kmeans_kwargs = {\n", + " \"init\": \"random\",\n", + " \"n_init\": 10,\n", + " \"random_state\": 1,\n", + " }\n", + "\n", + " # #create list to hold SSE values for each k\n", + " # sse = []\n", + " # for k in range(1, 11):\n", + " # kmeans = KMeans(n_clusters=k, **kmeans_kwargs)\n", + " # kmeans.fit(clusterable_embedding2d_exc)\n", + " # sse.append(kmeans.inertia_)\n", + "\n", + " # #visualize results\n", + " # plt.plot(range(1, 11), sse)\n", + " # plt.xticks(range(1, 11))\n", + " # plt.xlabel(\"Number of Clusters exc\")\n", + " # plt.ylabel(\"SSE\")\n", + " # plt.show()\n", + "\n", + " fig = plt.figure(figsize=[8,8])\n", + " ax12d = fig.add_subplot(1,1,1)\n", + "\n", + " kmeans_exc = KMeans(n_clusters=k_exc,random_state=random_state).fit(clusterable_embedding2d_exc)\n", + " centroids_exc = kmeans_exc.cluster_centers_\n", + " labels_exc = kmeans_exc.labels_.astype(float)\n", + "\n", + " df_2d_exc = {'UMAP1':clusterable_embedding2d_exc[:, 0],\n", + " 'UMAP2':clusterable_embedding2d_exc[:, 1],\n", + " 'condition':condition_exc,\n", + " 'class':labels_exc}\n", + "\n", + " ax12d.set_xticks([])\n", + " ax12d.set_yticks([])\n", + " sns.scatterplot(data=df_2d_exc,x='UMAP1',y='UMAP2',hue='class',palette=['grey','grey'],ax=ax12d)\n", + " ax12d.set_title('UMAP clusters for excitatory neurons 2D')\n", + " ax12d.legend([])\n", + " if save:\n", + " plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/exc_umap_cluster.png',dpi=200)\n", + " else:\n", + " plt.show()\n", + "\n", + " #--------------------INH\n", + " clusterable_embedding3d_inh = umap.UMAP(n_neighbors=neighbours, min_dist=dist,\n", + " n_components=3,random_state=random_state).fit_transform(data_inh_umap)\n", + "\n", + " clusterable_embedding2d_inh = umap.UMAP(n_neighbors=neighbours, min_dist=dist,\n", + " n_components=2,random_state=random_state).fit_transform(data_inh_umap)\n", + "\n", + " #initialize kmeans parameters\n", + " kmeans_kwargs = {\n", + " \"init\": \"random\",\n", + " \"n_init\": 10,\n", + " \"random_state\": 1,\n", + " }\n", + "\n", + " #create list to hold SSE values for each k\n", + " # sse = []\n", + " # for k in range(1, 11):\n", + " # kmeans = KMeans(n_clusters=k, **kmeans_kwargs)\n", + " # kmeans.fit(clusterable_embedding2d_inh)\n", + " # sse.append(kmeans.inertia_)\n", + "\n", + " # #visualize results\n", + " # plt.plot(range(1, 11), sse)\n", + " # plt.xticks(range(1, 11))\n", + " # plt.xlabel(\"Number of Clusters inh\")\n", + " # plt.ylabel(\"SSE\")\n", + " # plt.show()\n", + "\n", + " fig = plt.figure(figsize=[8,8])\n", + " # ax2 = fig.add_subplot(1,2,1,projection='3d')\n", + " ax22d = fig.add_subplot(1,1,1)\n", + " \n", + " kmeans_inh = KMeans(n_clusters=k_inh,random_state=random_state).fit(clusterable_embedding2d_inh)\n", + " centroids_inh = kmeans_inh.cluster_centers_\n", + " labels_inh = kmeans_inh.labels_.astype(float)\n", + "\n", + " df_2d_inh = {'UMAP1':clusterable_embedding2d_inh[:, 0],\n", + " 'UMAP2':clusterable_embedding2d_inh[:, 1],\n", + " 'condition':condition_inh,\n", + " 'class':labels_inh}\n", + "\n", + "\n", + " sns.scatterplot(data=df_2d_inh,x='UMAP1',y='UMAP2', hue='class',palette=c_list, ax=ax22d)\n", + " ax22d.set_xticks([])\n", + " ax22d.set_yticks([])\n", + " ax22d.set_title('UMAP clusters for inhibitory neurons 2D')\n", + " if save:\n", + " plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/inh_umap_cluster.png',dpi=200)\n", + " else:\n", + " plt.show()\n", + "\n", + " return labels_exc, labels_inh\n", + "\n", + "def plot_UMAP_clusters_single(data_inh, neighbours,distance,labels,k_inh, random_state,c_list =['green','purple','cyan'],use_kmeans=False,save=False):\n", + " \"\"\"plots UMAP for excitatory and inhibitory cells \n", + "\n", + " Args:\n", + " data_inh (ndarray): cell X feature matrix for inhibitory cells\n", + " data_exc (ndarray): cell X feature matrix for excitatory cells\n", + " c_exc (array): _description_\n", + " c_inh (array): _description_\n", + " neighbours (int): number of neares neighbours\n", + " distance (float): minimum distance between points\n", + " \"\"\"\n", + " data_umap_scaler = StandardScaler()\n", + " data_umap = data_umap_scaler.fit_transform(data_inh)\n", + " data_umap = normalize(data_umap)\n", + " \n", + " neighbours = neighbours\n", + " dist = distance\n", + " clusterable_embedding2d_exc = umap.UMAP(n_neighbors=neighbours,min_dist=dist,\n", + " n_components=2,random_state=random_state,).fit_transform(data_umap)\n", + " #initialize kmeans parameters\n", + " kmeans_kwargs = {\n", + " \"init\": \"random\",\n", + " \"n_init\": 10,\n", + " \"random_state\": 1,\n", + " }\n", + "\n", + "\n", + " fig = plt.figure(figsize=[8,8])\n", + " ax12d = fig.add_subplot(1,1,1)\n", + " if use_kmeans:\n", + " kmeans_exc = KMeans(n_clusters=k_inh,random_state=random_state).fit(clusterable_embedding2d_exc)\n", + " centroids_exc = kmeans_exc.cluster_centers_\n", + " labels_exc = kmeans_exc.labels_.astype(float)\n", + " else:\n", + " labels_exc = labels\n", + " df_2d_exc = {'UMAP1':clusterable_embedding2d_exc[:, 0],\n", + " 'UMAP2':clusterable_embedding2d_exc[:, 1],\n", + " 'class':labels_exc}\n", + "\n", + " ax12d.set_xticks([])\n", + " ax12d.set_yticks([])\n", + " # sns.scatterplot(data=df_2d_exc,x='UMAP1',y='UMAP2',hue='class',palette=c_list,ax=ax12d)\n", + " sns.scatterplot(data=df_2d_exc,x='UMAP1',y='UMAP2',hue='class',ax=ax12d)\n", + "\n", + " ax12d.set_title('UMAP clusters for excitatory neurons 2D')\n", + " ax12d.legend([])\n", + " if save:\n", + " plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/exc_umap_cluster.png',dpi=200)\n", + " \n", + " plt.show()\n", + "\n", + " \n", + "\n", + " return labels_exc\n", + "\n", + "data_inh_ = combined_exc_inh[combined_exc_inh.ei_labels_old==0.0]\n", + "data_inh = data_inh_[data_inh_['ei_labels_misclassify']==0.0][['AP_avg','ap_width','resistance','thr','isi', 'sub_thr', 'imp']]\n", + "data_exc = combined_exc_inh[combined_exc_inh.ei_labels_old==1.0][['AP_avg', 'ap_width','resistance','thr','isi', 'sub_thr', 'imp']]\n", + "# for neighbours in range(5,50):\n", + "# labels_exc, labels_inh = plot_UMAP_clusters(data_inh,\n", + "# data_exc,\n", + "# 20,\n", + "# 0.0,\n", + "# condition_inh=np.repeat(['acsf'],len(data_inh)),\n", + "# condition_exc=np.repeat(['acsf'],len(data_exc)),\n", + "# k_inh=3,\n", + "# k_exc=2,\n", + "# random_state=0,c_list = ['blue','crimson','teal'],save=False)\n", + "# for i in range(5,50,5):\n", + "# for i in range(10):\n", + "labels_inh = plot_UMAP_clusters_single(data_inh,\n", + " 20,\n", + " 0.0,\n", + " labels=data_inh_[data_inh_.ei_labels_misclassify==0.0]['ei_labels_old'],\n", + " k_inh=3,\n", + " use_kmeans=True,\n", + " random_state=42,\n", + " c_list = ['blue','crimson','teal'],\n", + " save=False)\n" + ] + }, + { + "cell_type": "code", + "execution_count": 23, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 876 + }, + "id": "RlyAe4cnZ6g3", + "outputId": "3c362c24-0d73-4227-dffb-2fd4acb138f3" + }, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "#@title effect of noise\n", + "fac = 0.1\n", + "data_exc = exc_df #data_acsf_exc_all_conds\n", + "data_inh = inh_df #data_acsf_inh_all_conds\n", + "\n", + "# df_exc_NC = pd.DataFrame(data_exc,columns=features)\n", + "# df_inh_NC = pd.DataFrame(data_inh,columns=features)\n", + "\n", + "# data_exc_w_noise =data_exc +fac*np.random.randn(data_exc.shape[0],data_exc.shape[1])\n", + "# data_inh_w_noise =data_inh +fac*np.random.randn(data_inh.shape[0],data_inh.shape[1])\n", + "\n", + "df_exc_NC = exc_df #pd.DataFrame(data_exc,columns=features)\n", + "df_inh_NC = inh_df #pd.DataFrame(data_inh,columns=features)\n", + "\n", + "df_exc_NC_w_noise = exc_df # pd.DataFrame(data_exc_w_noise,columns=features)\n", + "df_inh_NC_w_noise = inh_df # pd.DataFrame(data_inh_w_noise,columns=features)\n", + "\n", + "\n", + "# plot_UMAP(df_inh_NC,\n", + "# df_exc_NC,\n", + "# 15,\n", + "# 0.0,\n", + "# labels_inh,\n", + "# labels_exc,\n", + "# figsize=[8,4],\n", + "# random_state=42)\n", + "\n", + "\n", + "plot_UMAP(df_inh_NC_w_noise[['Vm_avg', 'resistance', 'thr','isi', 'sub_thr','imp']],\n", + " df_exc_NC_w_noise[['Vm_avg', 'resistance', 'thr','isi', 'sub_thr','imp']],\n", + " 15,\n", + " 0.0,\n", + " labels_inh,\n", + " labels_exc,\n", + " figsize=[8,4],\n", + " random_state=42)" + ] + }, + { + "cell_type": "code", + "execution_count": 22, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 381 + }, + "id": "hiSEQ_OpcZ7c", + "outputId": "e79a4a57-d5f3-4057-db79-bf056f106017" + }, + "outputs": [ + { + "ename": "NameError", + "evalue": "name 'data_exc' is not defined", + "output_type": "error", + "traceback": [ + "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[1;31mNameError\u001b[0m Traceback (most recent call last)", + "\u001b[1;32m\u001b[0m in \u001b[0;36m\u001b[1;34m\u001b[0m\n\u001b[0;32m 1\u001b[0m \u001b[1;31m#@title effect of seed\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m----> 2\u001b[1;33m \u001b[0mdf_exc_NC\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mpd\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mDataFrame\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdata_exc\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0mcolumns\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mfeatures\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 3\u001b[0m \u001b[0mdf_inh_NC\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mpd\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mDataFrame\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdata_inh\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0mcolumns\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mfeatures\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 4\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 5\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;31mNameError\u001b[0m: name 'data_exc' is not defined" + ] + } + ], + "source": [ + "#@title effect of seed\n", + "df_exc_NC = pd.DataFrame(data_exc,columns=features)\n", + "df_inh_NC = pd.DataFrame(data_inh,columns=features)\n", + "\n", + "\n", + "plot_UMAP(df_inh_NC[['Vm_avg', 'resistance', 'thr','isi', 'sub_thr','imp']],\n", + " df_exc_NC[['Vm_avg', 'resistance', 'thr','isi', 'sub_thr','imp']],\n", + " 15,0.0,labels_inh,labels_exc,figsize=[8,4],random_state=42)\n", + "\n", + "plot_UMAP(df_inh_NC[['Vm_avg', 'resistance', 'thr','isi', 'sub_thr','imp']],\n", + " df_exc_NC[['Vm_avg', 'resistance', 'thr','isi', 'sub_thr','imp']]\n", + " ,15,0.0,labels_inh,labels_exc,figsize=[8,4],random_state=300)\n" + ] + }, + { + "cell_type": "code", + "execution_count": 151, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 1000 + }, + "id": "qdbYofkvu_-m", + "outputId": "4ede55b8-b370-41c2-8f10-308e15033a85" + }, + "outputs": [ + { + "ename": "IndexError", + "evalue": "index 6 is out of bounds for axis 0 with size 6", + "output_type": "error", + "traceback": [ + "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[1;31mIndexError\u001b[0m Traceback (most recent call last)", + "\u001b[1;32m\u001b[0m in \u001b[0;36m\u001b[1;34m\u001b[0m\n\u001b[0;32m 2\u001b[0m \u001b[0minds\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mones_like\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mind_feat\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0mdtype\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mbool\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 3\u001b[0m \u001b[0minds\u001b[0m\u001b[1;33m[\u001b[0m\u001b[0mi\u001b[0m\u001b[1;33m]\u001b[0m \u001b[1;33m=\u001b[0m \u001b[1;32mFalse\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m----> 4\u001b[1;33m plot_UMAP(df_inh_NC[df_inh_NC.columns[ind_feat][inds]],\n\u001b[0m\u001b[0;32m 5\u001b[0m \u001b[0mdf_exc_NC\u001b[0m\u001b[1;33m[\u001b[0m\u001b[0mdf_exc_NC\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mcolumns\u001b[0m\u001b[1;33m[\u001b[0m\u001b[0mind_feat\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m[\u001b[0m\u001b[0minds\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 6\u001b[0m 'blue','blue',15,0.0,labels_inh,labels_exc,figsize=[8,4],random_state=200)\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\pandas\\core\\indexes\\base.py\u001b[0m in \u001b[0;36m__getitem__\u001b[1;34m(self, key)\u001b[0m\n\u001b[0;32m 4305\u001b[0m \u001b[0mkey\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0masarray\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mkey\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mdtype\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mbool\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 4306\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 4307\u001b[1;33m \u001b[0mresult\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mgetitem\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mkey\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 4308\u001b[0m \u001b[1;32mif\u001b[0m \u001b[1;32mnot\u001b[0m \u001b[0mis_scalar\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mresult\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 4309\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mndim\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mresult\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;33m>\u001b[0m \u001b[1;36m1\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;31mIndexError\u001b[0m: index 6 is out of bounds for axis 0 with size 6" + ] + } + ], + "source": [ + "for i in range(len(ind_feat)):\n", + " inds = np.ones_like(ind_feat,dtype=bool)\n", + " inds[i] = False\n", + " plot_UMAP(df_inh_NC[df_inh_NC.columns[ind_feat][inds]],\n", + " df_exc_NC[df_exc_NC.columns[ind_feat][inds]],\n", + " 'blue','blue',15,0.0,labels_inh,labels_exc,figsize=[8,4],random_state=200)\n", + " " + ] + }, + { + "attachments": {}, + "cell_type": "markdown", + "metadata": {}, + "source": [ + "##Run this" + ] + }, + { + "cell_type": "code", + "execution_count": 149, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 1000 + }, + "id": "tbJAbqsK73kM", + "outputId": "1d76cb01-a3b6-451f-9f1f-e6ed5b6358dd" + }, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "from sklearn.preprocessing import StandardScaler\n", + "scaler = StandardScaler()\n", + "# transform data\n", + "feat = ['AP_avg', 'resistance', 'thr','mi', 'isi', 'sub_thr','imp']\n", + "df_exc = exc_df[['AP_avg', 'resistance', 'thr', 'isi','mi', 'sub_thr','imp','exp_name']].reset_index(drop=True)\n", + "df = scaler.fit_transform(df_exc[feat])\n", + "df = normalize(df)\n", + "df_exc[feat] = df\n", + "df_exc['class'] = labels_exc\n", + "\n", + "sns.pairplot(df_exc,\n", + " hue='class',\n", + " diag_kws = {'common_norm':False,\n", + " 'common_grid':True,},\n", + " palette='winter',corner=True)\n", + "\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": 178, + "metadata": {}, + "outputs": [ + { + "data": { + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
resistanceexp_name
0-0.224211NC_170623_aCSF_D1ago_E4
1-0.395767NC_170626_aCSF_D1ago_E1
2-0.187684NC_170626_aCSF_D1ago_E2
30.003602NC_170626_aCSF_D1ago_E4
4-0.155117NC_170627_DopD2D1_E1
.........
1300.464975xuan_9-5-19_E1
131-0.459726xuan_9-5-19_E3
132-0.004898xuan_9-9-19_E1
133-0.463492xuan_9-9-19_E3
1340.650472xuan_9-9-19_E4
\n", + "

135 rows × 2 columns

\n", + "
" + ], + "text/plain": [ + " resistance exp_name\n", + "0 -0.224211 NC_170623_aCSF_D1ago_E4\n", + "1 -0.395767 NC_170626_aCSF_D1ago_E1\n", + "2 -0.187684 NC_170626_aCSF_D1ago_E2\n", + "3 0.003602 NC_170626_aCSF_D1ago_E4\n", + "4 -0.155117 NC_170627_DopD2D1_E1\n", + ".. ... ...\n", + "130 0.464975 xuan_9-5-19_E1\n", + "131 -0.459726 xuan_9-5-19_E3\n", + "132 -0.004898 xuan_9-9-19_E1\n", + "133 -0.463492 xuan_9-9-19_E3\n", + "134 0.650472 xuan_9-9-19_E4\n", + "\n", + "[135 rows x 2 columns]" + ] + }, + "execution_count": 178, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "# df_inh[[['resistance'],['exp_name']]]\n", + "df_inh[['resistance','exp_name']]" + ] + }, + { + "cell_type": "code", + "execution_count": 173, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 1000 + }, + "id": "F1sQKqZ-r4l0", + "outputId": "ee4f2e13-f23f-461e-d749-d45adfd970b1" + }, + "outputs": [ + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "from sklearn.preprocessing import StandardScaler\n", + "scaler = StandardScaler()\n", + "# transform data\n", + "# mpl.rcParams[\"axes.labelsize\"] = 20\n", + "\n", + "feat = ['AP_avg', 'ap_width','resistance', 'thr','mi', 'isi', 'sub_thr','imp']\n", + "df_inh = combined_exc_inh[combined_exc_inh['ei_labels_old']==0.0]\n", + "df_inh = df_inh[df_inh.ei_labels_misclassify==0.0][['AP_avg', 'ap_width','resistance', 'thr', 'isi','mi', 'sub_thr','imp','exp_name']].reset_index(drop=True)\n", + "df = scaler.fit_transform(df_inh[feat])\n", + "df = normalize(df)\n", + "df_inh[feat] = df\n", + "df_inh['class'] = labels_inh\n", + "\n", + "plot = sns.pairplot(df_inh,\n", + " hue='class',\n", + " corner=True,\n", + " diag_kws = {'common_norm':False,\n", + " 'common_grid':True,},\n", + " palette=['blue','crimson','teal']\n", + " )\n", + "# plot = plot.map_upper(sns.scatterplot).add_legend(title='Class', fontsize= '12')\n", + "# plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/pairplot_inh.png',dpi=200)\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": 167, + "metadata": {}, + "outputs": [ + { + "ename": "AttributeError", + "evalue": "module 'matplotlib.pyplot' has no attribute 'squeeze'", + "output_type": "error", + "traceback": [ + "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[1;31mAttributeError\u001b[0m Traceback (most recent call last)", + "\u001b[1;32m\u001b[0m in \u001b[0;36m\u001b[1;34m\u001b[0m\n\u001b[0;32m 8\u001b[0m 'spk_fr_adp']].corr(),size_scale=250)\n\u001b[0;32m 9\u001b[0m \u001b[0mplt\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0msavefig\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m'C:/Users/Nishant Joshi/Documents/DNM/corrplot_inh.png'\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0mdpi\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;36m200\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m---> 10\u001b[1;33m \u001b[0mplt\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0msqueeze\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 11\u001b[0m \u001b[0mplt\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mshow\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 12\u001b[0m \u001b[0mfig\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mplt\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mfigure\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mfigsize\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m8\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;36m8\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;31mAttributeError\u001b[0m: module 'matplotlib.pyplot' has no attribute 'squeeze'" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "from heatmap import heatmap, corrplot\n", + "fig = plt.figure(figsize=(8,8))\n", + "ax = fig.add_subplot()\n", + "corrplot(data = inh_df[['AP_avg','resistance', 'thr', 'isi', 'sub_thr','imp', 'dvdt_p',\n", + " 'dvdt_n','adaptation', 'peak', 'peak_adaptation',\n", + " 'ap_width', 'hyp_value', 'fist_spike', 'up_down_ratio', \n", + " 'isi_adaptation', 'thr_adp_ind', 'psth', 'int_fr', 'fr', \n", + " 'spk_fr_adp']].corr(),size_scale=250)\n", + "plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/corrplot_inh.png',dpi=200)\n", + "plt.show()\n", + "fig = plt.figure(figsize=(8,8))\n", + "ax = fig.add_subplot()\n", + "corrplot(data = exc_df[['AP_avg','resistance', 'thr', 'isi', 'sub_thr','imp', 'dvdt_p',\n", + " 'dvdt_n','adaptation', 'peak', 'peak_adaptation',\n", + " 'ap_width', 'hyp_value', 'fist_spike', 'up_down_ratio', \n", + " 'isi_adaptation', 'thr_adp_ind', 'psth', 'int_fr', 'fr', \n", + " 'spk_fr_adp']].corr(),size_scale=250)\n", + "plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/corrplot_exc.png',dpi=200)\n", + "# plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": 186, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "-0.016053926802939947 0 -0.003461879558791012\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + ":28: UserWarning: This figure includes Axes that are not compatible with tight_layout, so results might be incorrect.\n" ] }, { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAABKcAAAJPCAYAAACpR7eoAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjMuNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8QVMy6AAAACXBIWXMAAAsTAAALEwEAmpwYAACPZ0lEQVR4nOzdfZicZXnw/+9JEiOtUF4CQhJiqEmwYBFli/ZREYRgYlugVG3AaLTUoE8EK1qE6qOhLX0wVqtBKkakrpWAVLHEmgQC/VnqUxCC1UhQki0E2AQkG15ECZCX8/fH3Bsmy2az2ZnZe2b2+zmOPXau634752a5cs8510tkJpIkSZIkSVIZ9io7AEmSJEmSJI1cJqckSZIkSZJUGpNTkiRJkiRJKo3JKUmSJEmSJJXG5JQkSZIkSZJKY3JKkiRJkiRJpTE5JUmSJEkatIh4e0SsjojtEdHRZ9tFEdEVEfdGxFvKilFSaxlddgCSJEmSpJZyN3AG8OXqyog4EpgFHAWMB26OiGmZuW34Q5TUSkZccmrcuHE5efLkssOQVKO77rqrJzMPKjuOobItktqDbZGkZjDcbVFm/gwgIvpuOg24NjOfBe6PiC7gOOC2gc5nWyS1h1raohGXnJo8eTIrV64sOwxJNYqIB8qOoRa2RVJ7sC2S1AyaqC2aANxeVe4u6l4gIuYCcwEmTZpkWyS1gVraohGXnJIkSZIkDSwibgYO6WfTxzPzhl0d1k9d9rdjZi4CFgF0dHT0u4+kkcPklCRJkiRpJ5l58hAO6wYOqypPBDbUJyJJ7czV+iRJkiRJ9bAEmBURYyPicGAqcEfJMUlqASanJEmSJEmDFhF/HBHdwO8D34uIGwEyczVwHXAPsByY50p9kgbDYX2SJEmSpEHLzO8A39nFtkuAS4Y3Ikmtzp5TkiRJkiRJKo3JKbW8zMriHvPnzx+wLEn1Mph2Z7B1kiRJrcznItVDjLQ/gI6Ojly5cmXZYaiOvvGNb7BhwwYee+wxDjjgAMaPH/+C8uzZs8sOU3UWEXdlZkfZcQyVbVFrG0y7AwyqzvaptQ1XWxQRVwF/CDyama/sZ3sAXwDeCjwNvCczf7S789oWtYfMJCKYP38+8+fP77cM9LuP2oPPRSqTz0XqVUtbZM8ptbzZs2dz2GGHsWDBAiZNmtRvWZLqaTDtzmDrpEH6GjBjgO0zqayKNRWYC3xpGGJSk7j66qtZsGABzzzzDAsWLOi33F+dJNWDz0WqB3tOqeUtXryY7u7uHRn3iRMnvqB81llnlR2m6sxvCFWmwbQ7wKDqbJ9a23C2RRExGfi3XfSc+jLw/cy8pijfC5yQmQ8PdE7bovZxzTXX8M53vpPFixcza9asF5T720ftw+cilcnnIvWqpS1ytT61vDPPPHNHN/ULLrhgp67svWVJqqfBtjuDrZPqYALwUFW5u6h7QXIqIuZS6V3FpEmThiU4NVbvB8MLLriABx98sN8y8II6PwRKqgefi1QP9pyS1JLK+IYwIg4Dvg4cAmwHFmXmFyLiAOCbwGRgHfCOzHx8oHPZFkntoYl6Tn0P+L+Z+YOifAtwQWbeNdA5bYvag3NOyZ5TkpqBc05J0vDYCnwkM38HeB0wLyKOBC4EbsnMqcAtRVmShlM3cFhVeSKwoaRYNMx6k0y9K171V97VPpIkNQOTU5I0SJn5cO/qV5n5FPAzKsNmTgM6i906gdNLCVDSSLYEeHdUvA54cnfzTUmSJDUL55ySpCEohte8Gvgh8NLeD4GZ+XBEHLyLY5znRdKQRMQ1wAnAuIjoBj4FjAHIzCuApcBbgS7gaeC95UQqSZK050xONZnrr7+e5cuXlx2GRrgZM2ZwxhlnlB1G04qIlwDfBv4iM3852KERmbkIWASVuRUaF2HtbIvUDGyLnpeZZ+5mewLzhikcSRpRfC5Ss2jnZyOTU01m+fLlrFmzhmnTppUdikaoNWvWALRto1eriBhDJTF1dWZeX1T/IiIOLXpNHQo8Wl6E9WFbpLLZFgn8QKjm0c4fCLV7PhepGbT7s5HJqSY0bdo0Fi1aVHYYGqHmzp1bdghNKypdpL4K/CwzP1e1aQkwB7i0+H1DCeHVnW2RymRbJPADoZpDu38g1OD4XKSytfuzkckpSRq81wPvAn4aET8u6v6KSlLquog4G3gQeHs54UlS+/EDocrW7h8IJakZmJySpEHKzB8Au5pg6qThjKXRjjjiiLJD0Ajn36AkqVn4b5KaQbv/HZqcajLt/gen5uffoAA+8pGPlB2CRjj/BiVJzcJ/k9QM2v3v0ORUk2n3Pzg1P/8GJUnNwi9M1Az8O5SkxjM5JUmSpKbkFyZqBv4dSlLj7VV2AJIkSZIkSRq5TE5JkiRJkiSpNC2VnIqIURHx3xHxb0X5gIhYERFri9/7lx2jJElly0wA5s+fv8vyYOskSZKkRmu1Oac+BPwM2LcoXwjckpmXRsSFRfljZQUnZSYRwfz585k/f36/ZWBQdRFR5luR1MKuvvpqNmzYwDPPPMOCBQsYP378C8rAoOpmz55d5luRJEnSCBCt8q1oREwEOoFLgPMz8w8j4l7ghMx8OCIOBb6fmQMup9HR0ZErV64chog1En3jG99gw4YNPPbYYxxwwAE7PhBWl4FB1fmBcGARcVdmdpQdx1DZFqnRrrnmGt75zneyePFiZs2a9YJyf/vsqk67ZlskqRnYFkl7Zt26dcycOZM3vOEN/Nd//RcTJkzghhtu4Ctf+QpXXHEFo0eP5sgjj+Taa68tO9SWUktb1ErD+j4PXABsr6p7aWY+DFD8Pri/AyNibkSsjIiVGzdubHigGrlmz57NYYcdxoIFC5g0aVK/5cHWSdJQLV68mIceeogLLriABx98sN/yYOskqZ4GM+xYkobD2rVrmTdvHqtXr2a//fbj29/+Npdeein//d//zapVq7jiiivKDnFEaYmeUxHxh8BbM/N/R8QJwEeLnlNPZOZ+Vfs9npkDzjtlVl6NtHjxYrq7u3f0gJo4ceILysCg6s4666wy30rT8xtCadccYjx8bIukPTOYXuZ+SbfnbIukPbNu3TqmT5/O2rVrAfj0pz/Nli1b+MEPfsBLXvISTj/9dE4//XRe8pKXlBxpa6mlLWqVOadeD5waEW8FXgzsGxHfAH4REYdWDet7tNQoNeKdeeaZOz7YXXDBBTt9IOwtA4Ouk6Sh6E0o9fZE2FV5T+okqR5mz57NNddcw4UXXrjTsOPqsiQNh7Fjx+54PWrUKDZv3sz3vvc9br31VpYsWcLf/M3fsHr1akaPbpW0SWtriWF9mXlRZk7MzMnALODfM3M2sASYU+w2B7ihpBAlYHAfCAdbJ0mS1G4GM+xYksqwfft2HnroIU488UQWLFjAE088wa9+9auywxoxWj0FeClwXUScDTwIvL3keCRJkiTtwmB7mUvScNu2bRuzZ8/mySefJDP58Ic/zH777Vd2WCNGyyWnMvP7wPeL15uAk8qMR5IkSdLg7MmwY0lqlMmTJ3P33XfvKH/0ox8tMRpBiwzrkyRJkiRJUntquZ5T0kCuv/56li9fXnYYLW/GjBmcccYZZYchtTTbo9rZFkmS1B58LqqPdn42sueU2sry5ctZs2ZN2WG0tDVr1vgPh1QHtke1sS2SJKl9+FxUu3Z/NrLnlNrOtGnTWLRoUdlhtKy5c+eWHYLUNmyPhs62SJKk9uJzUW3a/dnI5JQkSZLUphxKUx/tPJRGkpqBySm1lSOOOKLsEFqe91CqD/9fqo33T6qP3qE006ZNKzuUltU7FMnklDR0/rteu3a/hyan1FY+8pGPlB1Cy/MeSvXh/0u18f5J9eNQmtq0+1AaaTj473rt2v0eOiG6JEmSJEmSSmNySpIkSZIkSaVxWJ8kSZLUptp9jpLh4D2UpMYzOSVJkiS1qXafo2Q4eA8lqfEc1idJkiRJUpPKTADmz5+/y/Jg66RmZc8p7ZCZRATz589n/vz5/ZaBQdVFRJlvRZIkSZLawtVXX82GDRt45plnWLBgAePHj39BGRhU3ezZs8t8K9IuxUjLnnZ0dOTKlSvLDqMpfeMb32DDhg089thjHHDAATsaveoyMKg6Gz01WkTclZkdZccxVLZFe2bdunXMnDmTN7zhDfzXf/0XEyZM4IYbbuArX/kKV1xxBaNHj+bII4/k2muvLTtUjTC2RZKagW1R+7vmmmt45zvfyeLFi5k1a9YLyv3ts6s6qVFqaYtMTmknNnpqFT6EjSzr1q1jypQprFy5kmOOOYZ3vOMdnHrqqVxwwQXcf//9jB07lieeeIL99tuv7FA1wtgWSWoGtkXtbfHixXR3d+/oDDBx4sQXlIFB1Z111lllvhW1OZNTe8CGb9ds9NRKfAgbWdatW8f06dNZu3YtAJ/+9KfZsmULP/jBD3jJS17C6aefzumnn85LXvKSkiPVSGNbJKkZDHdbFBGfAf4IeA74H+C9mflEse0i4GxgG3BeZt64u/PZFg3M6VfUKmppi5xzSjuceeaZOxqvCy64YKdGr7cMDLpOkupp7NixO16PGjWKzZs3873vfY9bb72VJUuW8Dd/8zesXr2a0aP9p02SpAZbAVyUmVsj4tPARcDHIuJIYBZwFDAeuDkipmXmthJjbXm9CaXeic13Vd6TOqnZuFqfdhhMozfYOklqtO3bt/PQQw9x4oknsmDBAp544gl+9atflR2WJEltLzNvysytRfF2YGLx+jTg2sx8NjPvB7qA48qIUVJr8etlSVJL2rZtG7Nnz+bJJ58kM/nwhz/snFNqaxExA/gCMAq4MjMv7bP9t4BvAJOoPOP9fWb+07AH2sZcnKH1LFy4kK6urprO0d3dDbBjOouhmDJlCuedd15NcTSxPwO+WbyeQCVZ1au7qHuBiJgLzAWYNGlSI+OT1AJMTkmSmt7kyZO5++67d5Q/+tGPlhiNNPwiYhRwOTCdyoe9OyNiSWbeU7XbPOCezPyjiDgIuDcirs7M50oIuW2tXbuWa665hq985Su84x3v4Nvf/jaXXnrpToszqL1s3ry57BBKERE3A4f0s+njmXlDsc/Hga3A1b2H9bN/v3N+ZOYiYBFU5pyqOWBJLc3klCTpBa6//nqWL19edhgtb8aMGZxxxhllh6H2cBzQlZn3AUTEtVSGz1QnpxLYJyrj618CPEblQ6Pq6PDDD+eYY44B4Nhjj2XdunUcffTRvPOd79yxOIOaRz16K/WeY+HChTWfq5Vk5skDbY+IOcAfAifl85POdgOHVe02EdjQmAiHj89F9eFzkQZicqrJ2PDVzkZPqt3y5ctZs2YN06ZNKzuUlrVmzRoA2yPVywTgoapyN/DaPvt8EVhC5YPgPsCfZub2vidyKE1tXJxB2jHM+GPAmzLz6apNS4DFEfE5KhOiTwXuKCHEuvK5qHY+F2l3/Fezydjw1cZGT6qfadOmsWjRorLDaFlz584tOwS1l8EMlXkL8GPgzcDLgRUR8Z+Z+cudDnIoTV1VL87whje8gcWLF/OrX/3KOfDU7r4IjKXSzgDcnpnvz8zVEXEdlV6dW4F57bJSn89FtfG5SLtjcqoJ2fANnY2eJKlNDWaozHuBS4vhNV0RcT/wCtqg10Izc3EGjUSZOWWAbZcAlwxjOJLagMkpSdoDEXEVlfkVHs3MVxZ1B1BZpWYysA54R2Y+XlaMktrSncDUiDgcWA/MAs7qs8+DwEnAf0bES4EjgPuGNco25+IMkiQ1hsmpJnPEEUeUHUJL8/5pGHyNSlf2r1fVXQjckpmXRsSFRfljJcRWN/6/VDvvoeopM7dGxAeBG4FRwFXF8Jn3F9uvAP4G+FpE/JTKMMCPZWZPaUHXgXNx1ofzcUq18d/02nkPtTsmp5rMRz7ykbJDaGnePzVaZt4aEZP7VJ8GnFC87gS+T4snp/x/qXbeQ9VbZi4Flvapu6Lq9QbglOGOq5Gci7N2zscp1c5/02vnPdTumJySpNq9NDMfBsjMhyPi4P52coUsSdpzzsVZG+fjlCS1gr3KDkCSRorMXJSZHZnZcdBBB5UdjiRJkiQ1BZNTklS7X0TEoQDF70dLjkeSJEmSWobJKUmq3RJgTvF6DnBDibFIkiRJUktxzilJ2gMRcQ2Vyc/HRUQ38CngUuC6iDibylLuby8vQklqH67uVDvvoSSpFZickqQ9kJln7mLTScMaiCSNAK7uVDvvoSSpFTisT5IkSZIkSaUxOSVJeoGenh7OPfdcNm3aVHYokiRJpfK5SGo8h/XV0cKFC+nq6qrpHN3d3QBMnDhxyOeYMmUK5513Xk1xSBrZOjs7WbVqFZ2dnZx//vllhyNJklQan4ukxrPnVJPZvHkzmzdvLjsMSSNYT08Py5YtIzNZtmyZ3xJKkqQRq6enh6VLl5KZLF261OciqUHsOVVH9eit1HuOhQsX1nwuSRqKzs5OMhOA7du3+y2hJEkasTo7O9m6dSsAW7Zs8blIapCW6DkVEYdFxP8XET+LiNUR8aGi/oCIWBERa4vf+5cdqyS1uhUrVrBlyxag8hB20003lRyRJElSOW666aYdX9plJjfeeGPJEUntqSWSU8BW4COZ+TvA64B5EXEkcCFwS2ZOBW4pypKkGkyfPp0xY8YAMGbMGE455ZSSI5IkSSrHuHHjBixLqo+WSE5l5sOZ+aPi9VPAz4AJwGlAZ7FbJ3B6KQFKUhuZM2cOEQHAXnvtxZw5c0qOSJIkqRwbNmwYsCypPloiOVUtIiYDrwZ+CLw0Mx+GSgILOHgXx8yNiJURsXLjxo3DFqsktaJx48Yxc+ZMIoKZM2dy4IEHlh2SJEmSpDbWUhOiR8RLgG8Df5GZv+z9Zn93MnMRsAigo6MjGxehJLWHN77xjXz3u9/lTW96U9mhtKyenh4uvvhi5s+fb4JPI9bChQvp6uoa8vHd3d0ATJw4ccjnmDJlSl0WrZE0Mp188sk7zTM1ffr0EqOR2lfL9JyKiDFUElNXZ+b1RfUvIuLQYvuhwKNlxSdJ7eTzn/8827dv57Of/WzZobSsL3/5y/zkJz/hy1/+ctmhSC1r8+bNbN68uewwJI1g55xzDnvtVfnYvNdee3HOOeeUHJHUnlqi51RUukh9FfhZZn6uatMSYA5wafH7hhLCk6S2smbNmh29Fbq7u+nq6mLKlCklR9Vaenp6WLFiBVBZ5eecc86x95RGpFp7LPUev3DhwnqEI0l7bNy4cUyfPp0bb7yRU045xX/PpQZplZ5TrwfeBbw5In5c/LyVSlJqekSsBaYXZUlSDebPn79T+ZOf/GQ5gbSwL3/5y2zfvh2A7du323tKkqQWds455/CqV73KXlNSA7VEz6nM/AGwqwmmThrOWCSp3fX2mtpVWbt3880371ResWIFf/VXf1VSNJJaVa1zdoHzdkn1MG7cOC677LKyw5DaWkskpyRJwyciyMydypKk1tTqc3bVI0FXq7Vr1wK1D1OthQlCSe3O5JQkaSdvetOb+P73v7+jfMIJJ5QWS6saP348Dz300E5lSdpT9UhGtPq8XV1dXaz++Rr2n/Cy0mLYPvpFAGx46tlSrv/4+gdKua4kDSeTU5KkncyePXun5NS73vWu8oJpUT09PQOWJUmDt/+ElzF93qfKDqM0Ky6/uOwQWl6tPfAcHis1XqtMiC5JGib/8i//slP5uuuuKymS1nXKKafsVH7LW95SUiSSJKlWmzdvbvkhslKzs+eUJGknt9xyy07lm2++2cm899Af/dEfccMNN+won3rqqSVGI0nSyFZrj6VWHx4rtQJ7TkmSdlI9GXp/Ze3ed7/73Z3KS5YsKSkSSZIkqfmZnJIk7eTkk0/eqTx9+vSSImldN910007lG2+8saRIJEmSpOZnckqStJNzzjmHiABgr7324pxzzik5otZz4IEHDliWJEmS9DyTU5KknYwbN44JEyYAMH78eBMrQ/Dwww8PWJYkSZL0PJNTkqSd9PT08Itf/AKAX/ziF2zatKnkiFpPb8+zXZUlSZIkPc/klCRpJ52dnWzZsgWALVu20NnZWXJEreekk07aqdx3Hi9JkiRJzxtddgCSpObS32Te559/fknRtKZzzjmHFStWsH37duftkqQanHHrrRz0yKPsv+qnZYdSmjesX8fGQw4uOwxJaih7TkmSduJk3rWrnrdrwoQJ3kNJkiRpAPackiTtxMm8a9fT08MjjzwCPD9vlwkqSdpz1x9/PBueepbp8z5VdiilWXH5xYzfZywnlB2IJDWQPackSTtxMu/aVc/TlZnO2yVJkiQNwJ5TkqSdnHTSSdx44407yiNxMu+FCxfS1dU15ONXrVrF9u3bgcqk8kuWLGHdunV7fJ4pU6Zw3nnnDTkOtZeImAF8ARgFXJmZl/azzwnA54ExQE9mvmkYQ5QkSRoSk1OS1GZqTaz0rtTX66GHHhpSgmQkJ1b2339/Nm3atFNZqkVEjAIuB6YD3cCdEbEkM++p2mc/4B+BGZn5YEQ4g7IkSWoJJqckSTsZM2YMo0aNYtu2bey///6MGTOm7JCGXa1JtZ6eHv7kT/6EzGTs2LFceeWVzjmlWh0HdGXmfQARcS1wGnBP1T5nAddn5oMAmfnosEcpSZI0BCanJLWliHgp8HfA+MycGRFHAr+fmV8tObSGq0dvpQ984AOsW7eOq666yqTKEIwbN44DDjiATZs2MXPmTO+h6mEC8FBVuRt4bZ99pgFjIuL7wD7AFzLz68MTnvpTa0/Weli7di1Qn38bhmok96SVJA2OySlJ7eprwD8BHy/Ka4BvAm2fnKqHMWPGMHXqVJMqNTjkkEN45plnmDNnTtmhqD30tzJB9imPBo4FTgL2Bm6LiNszc81OJ4qYC8wFmDRpUgNCVa+uri5W/3wN+094WWkxbB/9IgA2PPVsKdd/fP0DpVxXktRaTE5JalfjMvO6iLgIIDO3RsS2soMaDL9pr6jlm/ZmuIe9E6BffPHFpcVgb4W20g0cVlWeCGzoZ5+ezPw18OuIuBV4FZXk/A6ZuQhYBNDR0dE3waU623/Cy5g+71Nlh1GaFZeX1waqcSLib6gMLd4OPAq8JzM3FNsuAs4GtgHnZeaNuzyRJBVMTklqV7+OiAMpehZExOuAJ8sNaXD8pr32b9q9h/ZWaEN3AlMj4nBgPTCLyhxT1W4AvhgRo4EXURn29w/DGqWkkeIzmfl/ACLiPOCTwPuLaRRmAUcB44GbI2JaZrbEF4SSymNySlK7Oh9YArw8Iv4fcBDwtnJDGpwzbr2Vcx55lP03/bLsUGDDxlIu+/j6dWx89JGazmFvhXJ7K9Tae627uxuAiRMn1hRHu/QeK3p/fhC4ERgFXJWZqyPi/cX2KzLzZxGxHFhFpTfDlZl5d3lRS2pXmVn9kPKbPD/M+DTg2sx8Frg/IrqoLOhw2zCHKKnFmJyS1JYy80cR8SbgCCpztdybmVtKDkvD5Ixbb+WgRx5l/1U/LTuU0rxh/To2HnJw2WEM2ebNm8sOoelk5lJgaZ+6K/qUPwN8ZjjjkjQyRcQlwLup9Ew/saieANxetVt3Udff8c5/J2kHk1OS2lJEzAOuzszVRXn/iDgzM/+xQdebAXyBSo+GKzPz0qGe6/rjjy99SNpTPZVeS/uMO6SU6z9+4L4c9YppnFDDObY89wyPr19Xp4j23NYtzwEwesyLSrn+lueeKeW6vWrtrdR7/MKFC+sRjiRpD0XEzUB/DwIfz8wbMvPjwMeLOaY+CHyKwS3eUKl0/jtJVeqanIqIp3hh4/MksBL4SGbeV8/rSdIA3peZl/cWMvPxiHgfUPfkVESMAi4HplP5hvDOiFiSmfcM5XxTpkypZ3hDsvaRSmJl/D5jS7n++FdMq+k+rPqzPyt9QvTeSeWnTp1aWgxTpkypKcEnSRq5MvPkQe66GPgeleTUYBZvkKQXqHfPqc9RaXwWU8maz6KSbb8XuAp8RpY0bPaKiMjM3gnRR1GZILgRjgO6ehPwEXEtlTkXhpScaob5cVq914r3UJKkxomIqZm5tiieCvy8eL0EWBwRn6MyIfpU4I4SQpTUYuqdnJqRma+tKi+KiNsz868j4q/qfC1JGsiNwHURcQWVHp3vB5Y36FoTgIeqyt1UVsnaiXMrSGoltU5qXw+9PRDLTDi3y6T6Up1dGhFHUFl84QEqz1kUCzVcR+ULuq3APFfqkzQY9U5ObY+IdwDfKsrVK2M5jljScPoYcA7wASo9OW8CrmzQtQY1v0Irza3w9NNP09XVRVdXV1MMM2xFv/zlL7nvvvu46667OPbYY8sOR9pjXV1dpc9/t310pcPrhqeeLeX6j69/oJTrSs0uM/9kgG2XAJcMYziS2kC9k1PvpDIh8D9S+WB2OzA7IvamMkmeJA2LzNwOfKn4abSmml+hHr0d1qxZA8DcuXN55StfOaRzjPTeBuvWrQPg4x//OMuXN6rTntRY+094GdPnfarsMEqz4vKLyw5BkqQRod7Jqacy8492se0Hdb6WJO1SRLwemA+8jEpbF0Bm5m834HJ3AlMj4nBgPZX59s5qwHWGxdNPP73j9datW3n66af5jd/4jRIjGn61Jvh++ctfsn37dqByP9/znvew77777vF5RnqCT5IkSSNDvZNT/xUR9wPfBL6dmU/U+fySNFhfBT4M3AU0dK6DzNwaER+kMs/VKOCqzFzdyGsOpNZkxtve9radyk888QRXXtmoEZHtqbfXVHX56KOPLicYSZIkqcnVNTmVmVMj4jgqvQY+HhH3ANdm5jfqeR1JGoQnM3PZcF0sM5cCS4freo306KOPDlgeCWpN8B1//PE7lbdv3+6qfZIkSdIu7FXvE2bmHZl5PpWl1R8DOut9DUkahP8vIj4TEb8fEa/p/Sk7KEmSJEnSzuracyoi9gX+mErPqZcD36GSpJKk4fba4ndHVV0Cby4hlpay9957s3nz5p3K2jMHH3zwTj3ODj744BKjkSRJkppbveec+gnwr8BfZ+ZtdT63JA1aZp5Ydgyt6phjjuG2255vwl/96leXGE1r+ru/+zv+/M//fEf50ksvLTEaSWptj69/oNSVE5/qeQSAfcYdUsr1H1//AONfMa2Ua0vScKl3cuq3MzPrfE5JGpKI+APgKODFvXWZ+dflRdQafvKTn+xU/vGPf1xOIC1s2rRpO3pPHXzwwUyZMqXskCSpJTVD+7n2kecAGL/P2FKuP/4V02q6DxGxF/C2zLyuflENr1pX0a3V2rVrgdrnpKyFK/iq3dU7OTUuIi7ghR8GHUYjaVhFxBXAbwAnAlcCbwPuKDWoFjF9+nS++93vsn37dvbaay9OOeWUskNqSX/3d3/Hhz70IXtNSVINmuHDeG8MrbqwRWZuL1YVbtnkVFdXF6t/vob9J7yslOtvH/0iADY89Wwp1398/QOlXFfNpaenh4svvpj58+dz4IEHlh1O3dU7OXU18E3gD4H3A3OAjXW+hiQNxv/KzKMjYlVmXhwRnwWuLzuoVjBnzhyWLVvGc889x5gxY5gzZ07ZIbWkadOmsWzZsC0YqRYQERdk5oKIuIzKHHg7yczyP4VLalcrIuKjVD6r/bq3MjMfKy+kPbP/hJcxfd6nyg6jFGUOa1Xz6OzsZNWqVXR2dnL++eeXHU7d1Xu1vgMz86vAlsz8j8z8M+B1db7GTiJiRkTcGxFdEXFhI68lqaX0zuj9dESMB7YAh5cYT8sYN24cM2fOJCKYOXNmW34zI5XkZ8Xvlbv4kaRG+TNgHnArcFfxY7sjtYienh6WLVtGZrJs2TI2bdpUdkh1V+/k1Jbi98MR8QcR8WpgYp2vsUNEjAIuB2YCRwJnRsSRjbqepJbybxGxH/AZ4EfAOuDaMgNqJW984xuJCN70pjeVHYrUNjLzu8XLe6isbvxh4C+Ln4+WFZek9peZh/fz89tlxyVpcDo7O+md3nv79u10dnaWHFH91XtY399GxG8BHwEuA/al8uDVKMcBXZl5H0BEXAucRuWhb4+VPdEeONmeVEcLMvNZ4NsR8W9U5sF7puSYWsYXv/hFtm/fzhe+8AW+/vWvlx2O1G6+QSUh9VNge8mxSBohIuJ/AZOp+gyYmf4jL7WAFStWsGVLpS/Qli1buOmmm9puaF9dk1OZ+W/FyyepTEK8k4i4KDP/bx0vOQF4qKrcDby2n+vOBeYCTJo0aZcnK3uiPXCyPamObgNeA1AkqZ6NiB/11mnX1qxZw7p16wBYt24dXV1dTbFaktRGNmbmkrKDkDRyRMQ/Ay8HfgxsK6oTMDkltYDp06ezdOlStmzZwpgxY9pywaJ695zanbcD9UxORT91/U0wughYBNDR0fGC7dVG8kR74GR7an0RcQiVxPXexdDi3nZiXyqr92k3/vZv/3an8l//9V/be0qqr09FxJXALcCOb6My00UbJDVKB3Bk9o4LktRSehcsAthrr73acsGi4U5O9ZdMqkU3cFhVeSKwoc7XkNRa3gK8h0p78Fmeb3eeAv6qpJhaSm+vqV2VJdXsvcArgDE8P6wvcUVRSY1zN3AI8HDZgUjac70LFi1ZsqRtFywa7uRUvTP1dwJTI+JwYD0wCzirzteQ1EIysxPojIg/ycxvlx1PK5o8efJOCanJkyeXFovUpl6Vmb9bdhCS2l9EfJfKZ7B9gHsi4g527rF5almxqbXUOj9zd3c3ABMnDn29tJE+N/KcOXNYt25dW/aagvqv1rc7de05lZlbgQ8CN1JZnvm6zFxdz2tIalkTI2LfqLgyIn4UEe03OLsBPvGJT+xU/uQnP1lSJFLbut3VhSUNk78HPkdlaoPTgb+j0rP8c8BLywtLI83mzZvZvHlz2WG0tHHjxnHZZZe1Za8pGP6eU/9S7xNm5lJgab3PK6nl/VlmfiEi3gIcTGUYzT8BN5UbVvObNm3ajt5TkydPdjJ0qf7eAMyJiPup9GAIIDPz6HLDktRuMvM/ACJiTO/rXhGxdzlRqRXV2mOp9/iFCxfWIxy1obomp4rhdefywiVKTy1+/109rydJA+jtqflW4J8y8ycRUe9579rWJz7xCT70oQ/Za0pqjBllByBpZIiIDwD/G/jtiFhVtWkf4P+VE5UkvVC9e079K/BV4Ls8P8GnJJXhroi4CTgcuCgi9sF2adCmTZu2Y0UQSfWVmQ+UHYOkEWMxsIzKiukXVtU/lZmPlRPSnjvj1ls56JFH2X/VT8sOpRRvWL+OjYccXHYYUkPVOzn1TGbaT09SMzgbOAa4LzOfjogDqQztkyRJGhEy80ngSeDMsmORpIHUOzn1hYj4FJU5XapXgfhRna8jSf2KiFdk5s+pJKag0o29xIgkSZJUi+uPP54NTz3L9HmfKjuUUqy4/GLG7zOWE8oORGqgeienfhd4F/Bmnh8+k0VZkobD+cBcKivR9GV7pGHT09PDxRdfzPz589t2VRVJkiSpHuqdnPpj4Lcz87k6n1eSBiUz5xa/Tyw7Fo1snZ2drFq1is7OTs4///yyw5FUgpE+Tw44V44kaXD2qvP5fgLsV+dzStIei4i3F5OgExGfiIjrI+LVZcelkaGnp4dly5aRmSxbtoxNmzaVHZIkSZLUtOrdc+qlwM8j4k52nnPq1DpfR5J25/9k5r9ExBuAtwB/D1wBvLbcsDQSdHZ2sn17ZXT7tm3bWrL31MKFC+nq6irt+mvXrgXgvPPOKy0GgClTppQeg1rXSJ8nB5wrR5KgPs9V3d3dAEycOHHI52jm55q6JKci4ovANcDI/ZdXUrPZVvz+A+BLmXlDRMwvMR6NICtWrGDr1q0AbN26lZtuuqnlklNdXV2s/vka9p/wslKuv330iwDY8NSzu9mzcR5f/0Bp15YkSaq2efPmskNoqHr1nFpLpVfCocA3gWsy88d1OrckDcX6iPgycDLw6YgYS/2HMkv9euMb38iNN964o3z88ceXGM3Q7T/hZSO+x4ckSVKt6tFbqfccCxcurPlczaguH9Qy8wuZ+fvAm4DHgH+KiJ9FxCcjYlo9riFJe+gdwI3AjMx8AjgA+MtSI5IkSZIkvUBdexFk5gOZ+enMfDVwFpXV+35Wz2tI0mBk5tPAo8AbiqqtVHp5Sg33n//5nzuVb7311pIikSRJkppfXZNTETEmIv4oIq4GlgFrgD+p5zUkaTAi4lPAx4CLiqoxwDfKi0gjyfTp0xk9ujJyfvTo0ZxyyiklR6R2EBEzIuLeiOiKiAsH2O/3ImJbRLxtOOOTJEkaqrokpyJiekRcBXQDc4GlwMsz808z81/rcQ1J2kN/DJwK/BogMzcA+5QakUaMOXPmsNdelX9iR40axZw5c0qOSK0uIkYBlwMzgSOBMyPiyF3s92kqw5olSZJaQr0mRP8rYDHw0cx8rE7nlPZYT08PF198MfPnz+fAAw8sOxyV67nMzIhIgIj4zbID0sgxbtw4Zs6cyZIlS5g5c6btkerhOKArM+8DiIhrgdOAe/rsdy7wbeD3hjc8SWqsx9c/UNpCFU/1PALAPuMOKeX6j69/gPGvcCpntbe6JKcy88R6nEeqVWdnJ6tWraKzs7Pllm1X/UREAP9WrNa3X0S8D/gz4CvlRqaRZM6cOaxbt85eU6qXCcBDVeVu4LXVO0TEBCq9Rt/MAMmpiJhLpac7kyZNqnugklRvU6ZMKfX6ax95DoDx+4wt5frjXzGt9HsgNVq9ek5Jpevp6WHZsmVkJsuWLWPOnDn2Vhihih5Tp1OZc+qXwBHAJzNzRamBaUQZN24cl112WdlhqH1EP3XZp/x54GOZua2So+9fZi4CFgF0dHT0PYckNZ3zzjuvKa6/cOHCUuOQ2pnJKbWNzs5OMivP2Nu3b7f3lG4DnsjMvyw7EKkVnXHrrRz0yKPsv+qnZYdSmjesX8fGQw4uO4xe3cBhVeWJwIY++3QA1xaJqXHAWyNiq/N/SpKkZlfX1fqkMq1YsYItW7YAsGXLFm666aaSI1LJTgRui4j/iYhVvT9lByVJQ3QnMDUiDo+IFwGzgCXVO2Tm4Zk5OTMnA98C/reJKUmS1ArsOaW2MX36dJYuXcqWLVsYM2aMS7drZtkBSK3s+uOPZ8NTzzJ93qfKDqU0Ky6/mPH7jOWEsgMBMnNrRHyQyip8o4CrMnN1RLy/2H5FqQFKkiTVwOSU2sacOXNYtmwZAHvttZeTEI9wmflA2TFIUj1l5lJgaZ+6fpNSmfme4YhJkiSpHhzWp7bRu3R7RLh0uyRJkiRJLcKeU2orLt0uSZIkSVJrMTmltuLS7WqUiHg7MB/4HeC4zFxZte0i4GxgG3BeZt5YSpCSVEeu2Nh0KzZKktS2TE5J0uDcDZwBfLm6MiKOpLJq1lHAeODmiJiWmduGP0RJkiRJaj0mpyRpEDLzZwAR0XfTacC1mfkscH9EdAHHAbcNb4SSVF+u2NhcKzZKzSgiPgp8BjgoM3uKOnuUq+0sXLiQrq6uUmNYu3YtAOedd15pMUyZMqVh1zc5JbWZWhvO7u5uACZOnDjkczSy0WpCE4Dbq8rdRd0LRMRcYC7ApEmTGh+ZJElSg0TEYcB04MGqOnuUNyETKxW1fEbp6upi9c/XsP+El9U5qsHbPvpFAGx46tlSrv/4+sYuhm5yStJONm/eXHYIpYmIm4FD+tn08cy8YVeH9VOX/e2YmYuARQAdHR397iNJktQi/gG4AKh+RrJHeRMysVKfxMr+E1424nsTN5LJKanN1PptRO/xCxcurEc4LSUzTx7CYd3AYVXlicCG+kQkSZLUfCLiVGB9Zv6kz5QH9ihvUiZWGptYUe1MTlVxVRpXpZGGYAmwOCI+R6X7+lTgjnJDkiRJqs1APcqBvwJO6e+wfursUS5pt0xOSdIgRMQfA5cBBwHfi4gfZ+ZbMnN1RFwH3ANsBeY5r4IkSWp1u+pRHhG/CxwO9Paamgj8KCKOwx7lkobI5FQVV6VxVRppVzLzO8B3drHtEuCS4Y1IkiRp+GXmT4EdQy0iYh3QkZk9EWGPcklDYnJKkiRJklQze5RLGiqTU5IkSZKkIcnMyX3K9iiXtMf2KjsASZIkSZIkjVwmpyRJkiRJklQah/VJkiRJkiTtwhm33spBjzzK/qt+WnYopXnD+nVsPOTg3e84RPackiRJkiRJUmmavudURHwG+CPgOeB/gPdm5hPFtouAs4FtwHmZeWNZcUqSJEmSms/ChQvp6uoa8vFr164F4LzzzhvyOaZMmVLT8SrX9ccfz4annmX6vE+VHUppVlx+MeP3GcsJDTp/0yengBXARZm5NSI+DVwEfCwijgRmAUcB44GbI2KaS5VKkiRJgtqTEmBiQrD33nuXHYLU9po+OZWZN1UVbwfeVrw+Dbg2M58F7o+ILuA44LZhDlGSJElSmzIx0fpGemLQ+ZIaP1+Satf0yak+/gz4ZvF6ApVkVa/uok6SJElqCo+vf4AVl19c2vWf6nkEgH3GHVLK9R9f/wDjXzGtlGuDSQlJ9WN73tj2vCmSUxFxM9DfHf54Zt5Q7PNxYCtwde9h/eyfuzj/XGAuwKRJk2qOV41Ta9fr7u5uACZOnFhTHHa9liRJtZoyZUrZIbD2kecAGL/P2FKuP/4V05riPkgjmfMl1T5fUjO0Y+3enjdFciozTx5oe0TMAf4QOCkzexNQ3cBhVbtNBDbs4vyLgEUAHR0d/Saw1B42b95cdgiSJElAc/Ta6Y1h4cKFJUciSa3L9rzxmiI5NZCImAF8DHhTZj5dtWkJsDgiPkdlQvSpwB0lhKg6qvV/+nb/H1aSJEmSpHbT9Mkp4IvAWGBFRADcnpnvz8zVEXEdcA+V4X7zXKlPkiRJkiSptTR9ciozdzmoMTMvAS4ZxnAkSZIkSZJUR02fnBpuzsBf7ooqqn1S+FqtXbsWKH9ctZPSS5IkSdLIYHKqijPwu6JKM+jq6mL1z9ew/4SXlXL97aNfBMCGp54t5fpQSZJKkiRJkkYGk1NVmqGXhhN6C2D/CS8b8Uu9SpIkSZJGhr3KDkCSJEmSJEkjl8kpSZIkSZIklcbklCRJkiRJkkrjnFNSkznj1ls56JFH2X/VT8sOpTRvWL+OjYccXHYYkiRJUltwVfpyV6Wvx4rs9VhVvZlXRDc5JUmSpH75YabcDzOSVA/NsBq7q9LXbu+99y47hIYyOSU1meuPP54NTz074lfrG7/PWE4oOxBJGsGa4SHeDzOSVLtm6Ckz0lelb4b/Bs3O5JQkSZJeoBkepEf6hxlJkkYKJ0SXJElqARExIyLujYiuiLiwn+3vjIhVxc9/RcSryohTkiRpT5mckiRJanIRMQq4HJgJHAmcGRFH9tntfuBNmXk08DfAouGNUpIkaWhMTkmSJDW/44CuzLwvM58DrgVOq94hM/8rMx8vircDE4c5RkmSpCExOSVJktT8JgAPVZW7i7pdORtY1t+GiJgbESsjYuXGjRvrGKIkSdLQmJySJElqftFPXfa7Y8SJVJJTH+tve2YuysyOzOw46KCD6hiiJEnS0Lhan9SEHl//ACsuv7iUaz/V8wgA+4w7pJTrQ+X9j3/FtNKuL0lNqBs4rKo8EdjQd6eIOBq4EpiZmZuGKTZJkqSamJxSXS1cuJCurq7Srr927Vqg/OWvp0yZMuQYpkyZUudo9szaR54DYPw+Y0uLYfwrppV+HySpydwJTI2Iw4H1wCzgrOodImIScD3wrsxcM/whSpIkDY3JKdVVV1cXq3++hv0nvKyU628f/SIANjz1bCnXh0qvn1qUnVjrvf7ChQtLjUOS9LzM3BoRHwRuBEYBV2Xm6oh4f7H9CuCTwIHAP0YEwNbM7CgrZkmSpMEyOaW623/Cy5g+71Nlh1GasobjSZLaW2YuBZb2qbui6vWfA38+3HFJkiTVygnRJUmSJEmSVBp7TkltptZ5v+oxb1ctc25JkiRJkkYWk1OSdrL33nuXHYIkSZIkaQQxOSW1GXssSZIkSZJaiXNOSdIgRMRnIuLnEbEqIr4TEftVbbsoIroi4t6IeEuJYUqSJElSyzE5JUmDswJ4ZWYeDawBLgKIiCOBWcBRwAwqS7iPKi1KSZIkSWoxJqckaRAy86bM3FoUbwcmFq9PA67NzGcz836gCziujBglSZIkqRWZnJKkPfdnwLLi9QTgoapt3UXdC0TE3IhYGRErN27c2OAQJUmSJKk1OCG66uqMW2/loEceZf9VPy07lNK8Yf06Nh5ycNlhaAgi4mbgkH42fTwzbyj2+TiwFbi697B+9s/+zp+Zi4BFAB0dHf3uI0mSJEkjjckpSSpk5skDbY+IOcAfAidlZm9yqRs4rGq3icCGxkQoSZIkSe3H5JTq6vrjj2fDU88yfd6nyg6lNCsuv5jx+4zlhLIDUV1FxAzgY8CbMvPpqk1LgMUR8TlgPDAVuKOEECVJkiSpJTnnlCQNzheBfYAVEfHjiLgCIDNXA9cB9wDLgXmZua28MCVJkhorIuZHxPrimejHEfHWqm0XRURXRNwbEW8pM05JrcOeU3W0cOFCurq6ajrH2rVrATjvvPOGfI4pU6bUdLykF8rMKQNsuwS4ZBjDkYbF4+sfYMXlF5dy7ad6HgFgn3H9TQM3PB5f/wDjXzGttOtLUpP7h8z8++qKiDgSmAUcRaVH+c0RMc0v7iTtjsmpJrP33nuXHYIkSUyZsst87LBY+8hzAIzfZ2xpMYx/xbTS74MktZjTgGsz81ng/ojoAo4Dbis3LEnNzuRUHdlbSZLULsr+N633+gsXLiw1DknSLn0wIt4NrAQ+kpmPAxOA26v26S7qXiAi5gJzASZNmtTgUCU1O+eckiRJkiTtJCJujoi7+/k5DfgS8HLgGOBh4LO9h/VzquynjsxclJkdmdlx0EEHNeItSGoh9pxS3TlHiXOUSJIkqbVl5smD2S8ivgL8W1HsBg6r2jwR2FDn0CS1IZNTqquy5+ZwjhJJkiSpsSLi0Mx8uCj+MXB38XoJsDgiPkdlQvSpwB0lhCipxZicUl05R4kkSZLU9hZExDFUhuytA84ByMzVEXEdcA+wFZjnSn2SBsPklCRJkiRp0DLzXQNsuwS4ZBjDkdQGWmZC9Ij4aERkRIyrqrsoIroi4t6IeEuZ8UmSJEmSJGnPtUTPqYg4DJgOPFhVdyQwCziKynjmmyNimt1GJUmSJEmSWker9Jz6B+ACdl6G9DTg2sx8NjPvB7qA48oITpIkSZIkSUPT9MmpiDgVWJ+ZP+mzaQLwUFW5u6jr7xxzI2JlRKzcuHFjgyKVJEmSJEnSnmqKYX0RcTNwSD+bPg78FXBKf4f1U5f91JGZi4BFAB0dHf3uI0mSJEmSpOHXFMmpzDy5v/qI+F3gcOAnEQEwEfhRRBxHpafUYVW7TwQ2NDhUSZIkSZIk1VFTD+vLzJ9m5sGZOTkzJ1NJSL0mMx8BlgCzImJsRBwOTAXuKDFcSZIkSZIk7aGm6Dk1FJm5OiKuA+4BtgLzXKlPkiRJkqT6WrhwIV1dXUM+fu3atQCcd955Qz7HlClTajpeza2lklNF76nq8iXAJeVEI0mSJEmSdmfvvfcuOwQ1uZZKTkmSJEmSpOFljyU1WlPPOSVJkiRJkqT2ZnJKkiRJkiRJpTE5JUmSJEmSpNKYnJIkSZIkSVJpTE5JkiRJkiSpNCanJEmSWkBEzIiIeyOiKyIu7Gd7RMTCYvuqiHhNGXFKkiTtKZNTkiRJTS4iRgGXAzOBI4EzI+LIPrvNBKYWP3OBLw1rkJIkSUNkckqSJKn5HQd0ZeZ9mfkccC1wWp99TgO+nhW3A/tFxKHDHagkSdKeMjklSZLU/CYAD1WVu4u6Pd2HiJgbESsjYuXGjRvrHqgkSX319PRw7rnnsmnTprJDUZMaXXYAkiRJ2q3opy6HsA+ZuQhYBNDR0fGC7WoeCxcupKurq6ZzrF27FoDzzjtvyOeYMmVKTcdLUmdnJ6tWraKzs5Pzzz+/7HDUhExOSZIkNb9u4LCq8kRgwxD2GVa1JldMrNRu7733LjsESSNcT08Py5YtIzNZtmwZc+bM4cADDyw7LDUZk1NqKs3wEAs+yEpSrWzP6+5OYGpEHA6sB2YBZ/XZZwnwwYi4Fngt8GRmPjy8YdbXSE+stMnfrqQRrrOzk8xKR93t27fbe0r9MjmltjLSH2IlqV3Ynu8sM7dGxAeBG4FRwFWZuToi3l9svwJYCrwV6AKeBt5bVry9TK5IklasWMGWLVsA2LJlCzfddJPJKb2AySk1FR9iJak92J7XX2YupZKAqq67oup1AvOGOy5JkgYyffp0li5dypYtWxgzZgynnHJK2SGpCblanyRJkiRJaog5c+YQUVmzY6+99mLOnDklR6RmZHJKkiRJkiQ1xLhx45g5cyYRwcyZM50MXf1yWJ8kSZIkSWqYOXPmsG7dOntNaZdMTkmSJEmSpIYZN24cl112WdlhqIk5rE+SJEmSJEmlMTklSZIkSZKk0kRl1eGRIyI2Ag+UHcdujAN6yg6ihXn/atcK9/BlmXlQ2UEMlW3RiOE9rE0r3D/bosZrhb+DZuc9rF2z30PbosZr9r+BVuA9rF2z38Mht0UjLjnVCiJiZWZ2lB1Hq/L+1c57KPDvoB68h7Xx/gn8O6gH72HtvIfyb6B23sPatfM9dFifJEmSJEmSSmNySpIkSZIkSaUxOdWcFpUdQIvz/tXOeyjw76AevIe18f4J/DuoB+9h7byH8m+gdt7D2rXtPXTOKUmSJEmSJJXGnlOSJEmSJEkqjckpSZIkSZIklcbkVEkiYkZE3BsRXRFxYT/bIyIWFttXRcRryoizmUXEVRHxaETcvYvt3sMBRMRhEfH/RcTPImJ1RHyon328h23Otqh2tkW1sS0S2BbVg21RbWyL1Mv2qDa2RbUZyW2RyakSRMQo4HJgJnAkcGZEHNlnt5nA1OJnLvClYQ2yNXwNmDHAdu/hwLYCH8nM3wFeB8zz73BksS2qm69hW1QL26IRzraobr6GbVEtbItke1QfX8O2qBYjti0yOVWO44CuzLwvM58DrgVO67PPacDXs+J2YL+IOHS4A21mmXkr8NgAu3gPB5CZD2fmj4rXTwE/Ayb02c172N5si+rAtqg2tkXCtqgubItqY1ukgu1RjWyLajOS2yKTU+WYADxUVe7mhX9wg9lHA/MeDlJETAZeDfywzybvYXuzLRoe3sNBsi0asWyLhof3cJBsi0Y026PG8/4N0khri0xOlSP6qcsh7KOBeQ8HISJeAnwb+IvM/GXfzf0c4j1sH7ZFw8N7OAi2RSOabdHw8B4Ogm3RiGd71Hjev0EYiW2RyalydAOHVZUnAhuGsI8G5j3cjYgYQ6XRuzozr+9nF+9he7MtGh7ew92wLRrxbIuGh/dwN2yLhO3RcPD+7cZIbYtMTpXjTmBqRBweES8CZgFL+uyzBHh3MRP/64AnM/Ph4Q60xXkPBxARAXwV+Flmfm4Xu3kP25tt0fDwHg7AtkjYFg0X7+EAbItUsD1qPO/fAEZyWzS67ABGoszcGhEfBG4ERgFXZebqiHh/sf0KYCnwVqALeBp4b1nxNquIuAY4ARgXEd3Ap4Ax4D0cpNcD7wJ+GhE/Lur+CpgE3sORwLaoPmyLamZbNMLZFtWHbVHNbItke1QHtkU1G7FtUWS2/NBESZIkSZIktSiH9UmSJEmSJKk0JqckSZIkSZJUGpNTkiRJkiRJKo3JKUmSJEmSJJXG5JQkSZIkSZJKY3JKLSki5kfER8uOQ5JsjyQ1A9siSc3AtkhDZXJKkiRJkiRJpTE5pZYQEe+OiFUR8ZOI+Oc+294XEXcW274dEb9R1L89Iu4u6m8t6o6KiDsi4sfF+aaW8X4ktS7bI0nNwLZIUjOwLVK9RGaWHYM0oIg4CrgeeH1m9kTEAcB5wK8y8+8j4sDM3FTs+7fALzLzsoj4KTAjM9dHxH6Z+UREXAbcnplXR8SLgFGZubms9yaptdgeSWoGtkWSmoFtkerJnlNqBW8GvpWZPQCZ+Vif7a+MiP8sGrl3AkcV9f8P+FpEvA8YVdTdBvxVRHwMeJkNnqQ9ZHskqRnYFklqBrZFqhuTU2oFAQzUxe9rwAcz83eBi4EXA2Tm+4FPAIcBPy4y94uBU4HNwI0R8eZGBi6p7dgeSWoGtkWSmoFtkerG5JRawS3AOyLiQICiu2i1fYCHI2IMlYw8xX4vz8wfZuYngR7gsIj4beC+zFwILAGOHpZ3IKld2B5Jaga2RZKagW2R6mZ02QFIu5OZqyPiEuA/ImIb8N/Auqpd/g/wQ+AB4KdUGkGAzxQT6QWVhvMnwIXA7IjYAjwC/PWwvAlJbcH2SFIzsC2S1Axsi1RPToguSZIkSZKk0jisT5IkSZIkSaUxOSVJkiRJkqTSmJySJEmSJElSaUxOSZIkSZIkqTQmpyRJkiRJ0qBExBsj4t5hvuZLI+LWiHgqIj47nNcerIh4fUSsjYhfRcTpZcfTalytT5IkSZIkNa2I+D/Aq4E/ySZNYkTELcCSzPxC2bG0IntOSZIkSZKk3YqI0SVd+mXAPUNJTA1jzC8DVg/TtdqOySlJkiRJkkoQEYdFxPURsTEiNkXEF4v6vSLiExHxQEQ8GhFfj4jfKrZNjoiMiPdGxEMR8XhEvD8ifi8iVkXEE73nKfZ/T0T8v4i4LCKejIifR8RJVdvfGxE/K4bM3RcR51RtOyEiuiPiYxHxCPBPvXVV+3wsItYXx9/be+6IGBsRn4+IDcXP5yNibJ/zfqR4fw9HxHt3cY++BswBLiiGzJ08yHPviLmfc748Iv69uOc9EXF1ROw3iP8uUyLiP4r72BMR3yzq/wf4beC7RYxj9+wvQSanJEmSJEkaZhExCvg34AFgMjABuLbY/J7i50QqSY+XAF/sc4rXAlOBPwU+D3wcOBk4CnhHRLypz773AeOATwHXR8QBxbZHgT8E9gXeC/xDRLym6thDgAOo9Aya2+c9HAF8EPi9zNwHeAuwrtj8ceB1wDHAq4DjgE/0Oe9vFe/7bODyiNi/733KzPcAVwMLMvMlmXnzIM/db8y9oQP/FxgP/A5wGDC/eE8D/Xf5G+AmYH9gInBZEePLgQeBPypifLafa2oAJqckSZIkSRp+x1FJjvxlZv46M5/JzB8U294JfC4z78vMXwEXAbP6DFH7m+KYm4BfA9dk5qOZuR74TypzNPV6FPh8Zm7JzG8C9wJ/AJCZ38vM/8mK/6CSfHlj1bHbgU9l5rOZubnPe9gGjAWOjIgxmbkuM/+n6j38dRHTRuBi4F1Vx24ptm/JzKXAr4AjBnnvdnfugWImM7syc0WxfSPwOaA3mTfQf5ctVBJe4/vUq0YmpyRJkiRJGn6HAQ9k5tZ+to2n0nOn1wPAaOClVXW/qHq9uZ/yS6rK6/vM1/RAcQ0iYmZE3B4Rj0XEE8BbqfSw6rUxM5/p7w1kZhfwF1R6HT0aEddGxPgB3sP4qvKmPu/96T4xD2R3595lzAARcXAR6/qI+CXwDZ5/zwP9d7mASq+rOyJidUT82SDj1W6YnJIkSZIkafg9BEzaxYTdG6j00Ok1CdjKzgmoPTEhIqLP+TYUcyN9G/h74KWZuR+wlEoCpteAk5Bn5uLMfEMRbwKfHuA9bBhi/H3t7ty7mzj9/xb7HJ2Z+wKzef497/K/S2Y+kpnvy8zxwDnAP0bElCG+B1UxOSVJkiRJ0vC7A3gYuDQifjMiXhwRry+2XQN8OCIOj4iXAH8HfHMXvXkG42DgvIgYExFvpzLP0lLgRVSG5W0EtkbETOCUwZ40Io6IiDcXSa5nqPTY2lb1Hj4REQdFxDjgk1R6KNVDrefeh8owwiciYgLwl1XbdvnfJSLeHhETi/0ep5Lg2oZqZnJKkiRJkqRhlpnbgD8CplCZTLubyuTmAFcB/wzcCtxPJfFzbg2X+yGVydN7gEuAt2Xmpsx8CjgPuI5KsuUsYMkenHcscGlx3keoJMH+qtj2t8BKYBXwU+BHRV091Hrui4HXAE8C3wOu792wm/8uvwf8MCJ+ReU+fSgz76/pnQiA2HnYqSRJkiRJahcR8R7gz4uhd1JTsueUJEmSJEmSSmNySpIkSZI0KBFxVUQ8GhF372J7RMTCiOiKiFUR8ZrhjlFS6zE5JUmSJEkarK8BMwbYPpPK3EZTgbnAl4YhJg0gM7/mkD41O5NTkiRJkqRBycxbgccG2OU04OtZcTuwX0QcOjzRSWpVo8sOYLiNGzcuJ0+eXHYYkmp011139WTmQWXHMVS2RVJ7sC2S1AyarC2aADxUVe4u6h6u3iki5lLpWcVv/uZvHvuKV7xi2AKU1Bi1tEUjLjk1efJkVq5cWXYYkmoUEQ+UHUMtbIuk9mBbJKkZNFlbFP3UvWCJ+MxcBCwC6OjoSNsiqfXV0hY5rE+SJEmSVC/dwGFV5YnAhpJikdQiTE5JkiRJkuplCfDuYtW+1wFPZubDuztI0shmckqSJKmJRMSMiLi3WIb9wn6297tMe0QcERE/rvr5ZUT8xbC/AUltLSKuAW4DjoiI7og4OyLeHxHvL3ZZCtwHdAFfAf53SaFKaiEjbs4pSZKkZhURo4DLgelUhsbcGRFLMvOeqt2ql2l/LZVl2l+bmfcCx1SdZz3wneGLXtJIkJln7mZ7AvOGKRxJbcKeU5IkSc3jOKArM+/LzOeAa6ksy15tMMu0nwT8T2Y20yTJkiRJ/TI5pbZR+ZIG5s+fv1NZkqQWsqsl2Pd0n1nANf1dICLmRsTKiFi5cePGGsOVJEmqncP61DauvvpqNmzYwDPPPMOCBQsYP348s2fPLjssSZL2xGCWYB9wn4h4EXAqcFF/F+i7fPvQwpQkSaofe06pbcyePZvDDjuMBQsWMGnSJBNTkobVunXr+J3f+R3e9773cdRRR3HKKaewefNmFi5cyJFHHsnRRx/NrFmzyg5TzW8wS7Dvbp+ZwI8y8xcNiVBNzbZIktSKTE6pbSxevJiHHnqICy64gAcffJDFixeXHZKkEWbt2rXMmzeP1atXs99++/Htb3+bSy+9lP/+7/9m1apVXHHFFWWHqOZ3JzA1Ig4vekDNorIse7XdLdN+JrsY0qeRwbZIktRqTE6pbZx55plccMEFvPjFL+aCCy7gzDMHXEhEkuru8MMP55hjjgHg2GOPZd26dRx99NG8853v5Bvf+AajRzuaXgPLzK3AB4EbgZ8B12Xm6sEu0x4Rv0Flpb/rhzVwNRXbIklSqzE5pbYRUZmCo3dC9N6yJA2XsWPH7ng9atQotm7dyve+9z3mzZvHXXfdxbHHHsvWrVtLjFCtIDOXZua0zHx5Zl5S1F2RmVcUrzMz5xXbfzczV1Yd+3RmHpiZT5YVv8pnWyRJajUmpyRJapDt27fz0EMPceKJJ7JgwQKeeOIJfvWrX5UdlqQRxrZIktTs7NMrSVKDbNu2jdmzZ/Pkk0+SmXz4wx9mv/32KzssSSOMbZEkqdmZnJIkqQ4mT57M3XffvaP80Y9+tMRoJI1UtkWSpFbU0ORURHwY+HMggZ8C7wV+A/gmMBlYB7wjMx8v9r8IOBvYBpyXmTcW9ccCXwP2pjIJ6IcyMyNiLPB14FhgE/Cnmbmuke+p0a6//nqWL19edhga4WbMmMEZZ5xRdhgqkW2RmoFtkWyL1CxsjySpsRo251RETADOAzoy85XAKCrLIV8I3JKZU4FbijIRcWSx/ShgBvCPETGqON2XgLnA1OJnRlF/NvB4Zk4B/gH4dKPez3BZvnw5a9asKTsMjWBr1qzxg4Bsi1Q62yKBbZGag+2RJDVeo4f1jQb2jogtVHpMbQAuAk4otncC3wc+BpwGXJuZzwL3R0QXcFxErAP2zczbACLi68DpwLLimPnFub4FfDEiIjOzwe+roaZNm8aiRYvKDkMj1Ny5c8sOQU3Ctkhlsi1SL9silc32SJIar2E9pzJzPfD3wIPAw8CTmXkT8NLMfLjY52Hg4OKQCcBDVafoLuomFK/71u90TGZuBZ4EDmzE+5EkSZIkSVL9NXJY3/5UejYdDowHfjMiZg90SD91OUD9QMf0jWVuRKyMiJUbN24cOHBJkiRJkiQNm0YO6zsZuD8zNwJExPXA/wJ+ERGHZubDEXEo8GixfzdwWNXxE6kMA+wuXvetrz6mOyJGA78FPNY3kMxcBCwC6OjoaOohf0cccUTZIWiE829Q4N+ByuffoMC/AzUH/w4lqfGiUdMzRcRrgauA3wM2U1ltbyUwCdiUmZdGxIXAAZl5QUQcBSwGjqPS0+oWYGpmbouIO4FzgR9SWa3vssxcGhHzgN/NzPdHxCzgjMx8x0BxdXR05MqVKxvxliUNo4i4KzM7yo5jqGyLpPZgWySpGdgWSWoGtbRFDes5lZk/jIhvAT8CtgL/TaX30kuA6yLibCrzUb292H91RFwH3FPsPy8ztxWn+wCV5NbeVCZCX1bUfxX452Ly9MeorPYnSZIkSZKkFtHQ1foy81PAp/pUPwuctIv9LwEu6ad+JfDKfuqfoUhuSZIkSZIkqfU0bEJ0SZIkSZIkaXdMTkmSJEmSJKk0JqekYdS7AMH8+fN3KkuSJLWbXT33VJcHWydJam8NnXNK0s6uvvpqNmzYwDPPPMOCBQsYP348s2fPLjssSZKkuuvvuadvGRhUnc9LktTe7DklDaPZs2dz2GGHsWDBAiZNmuSDlqRhs27dOn7nd36H973vfRx11FGccsopbN68mYULF3LkkUdy9NFHM2uWi95Kqp/+nnv6lgdbJ0lqbzHSusl2dHTkypUryw5DI9TixYvp7u7mscce44ADDmDixImcddZZZYfVkiLirszsKDuOobIt0nBbt24dU6ZMYeXKlRxzzDG84x3v4NRTT+WCCy7g/vvvZ+zYsTzxxBPst99+ZYfaUmyLpF3r77mnbxkYVJ3PSwOzLZLUDGppixzWJw2jM888k4hg/vz5XHDBBc6hIGlYHX744RxzzDEAHHvssaxbt46jjz6ad77znZx++umcfvrppcYnqb3099zT33PQYOskSe3LYX3SMIoI4PkJPnvLkjQcxo4du+P1qFGj2Lp1K9/73veYN28ed911F8ceeyxbt24tMUJJ7WRXzz3V5cHWSZLam8kpSZJGqO3bt/PQQw9x4oknsmDBAp544gl+9atflR2WJEmSRhiH9UmSNEJt27aN2bNn8+STT5KZfPjDH3bOKUmSJA07k1OSJI0AkydP5u67795R/uhHP1piNJIkSdLzTE6prVx//fUsX7687DBa3owZMzjjjDPKDkNqabZHtbMtkmpnW1QftkeS1FjOOaW2snz5ctasWVN2GC1tzZo1PsRKdWB7VBvbIqk+bItqZ3skSY1nzym1nWnTprFo0aKyw2hZc+fOLTsEqW3YHg2dbZFUP7ZFtbE9kqTGs+eUJA1CRMyPiPUR8ePi561V2y6KiK6IuDci3lJmnJIkSZLUauw5JUmD9w+Z+ffVFRFxJDALOAoYD9wcEdMyc1sZAUqSJElSqzE5pbZyxBFHlB1Cy/Me7rHTgGsz81ng/ojoAo4Dbis3LJXN/5dq4/2T6sP/l2rnPZSkxovMLDuGYdXR0ZErV64sOwxJNYqIuzKzYxivNx94D/BLYCXwkcx8PCK+CNyemd8o9vsqsCwzv9XPOeYCcwEmTZp07AMPPDBM0UtqlOFui+rN5yKpPdgWSWoGtbRFzjklSYWIuDki7u7n5zTgS8DLgWOAh4HP9h7Wz6n6zfpn5qLM7MjMjoMOOqgRb0FSG4iIGcUcdl0RcWE/2yMiFhbbV0XEa6q27RcR34qIn0fEzyLi94c3ekmSpD3XsORURBxRNXHwjyPilxHxFxFxQESsiIi1xe/9q47pd1LhiDg2In5abFsYEVHUj42Ibxb1P4yIyY16P5LaX2aenJmv7Ofnhsz8RWZuy8ztwFeoDN0D6AYOqzrNRGDDcMcuqT1ExCjgcmAmcCRwZjG3XbWZwNTiZy6V5HmvLwDLM/MVwKuAnzU8aEmSpBo1LDmVmfdm5jGZeQxwLPA08B3gQuCWzJwK3FKU+04qPAP4x+IBDSoPXXN5/kFsRlF/NvB4Zk4B/gH4dKPej6SRLSIOrSr+MXB38XoJMKtIlh9OpY26Y7jjk9Q2jgO6MvO+zHwOuJbK3HbVTgO+nhW3A/tFxKERsS9wPPBVgMx8LjOfGMbYJUmShmS4hvWdBPxPZj5A5YGqs6jvBE4vXu+YVDgz7we6gOOKD4T7ZuZtWZkg6+t9juk917eAk3p7VUlSnS0oenCuAk4EPgyQmauB64B7gOXAPFfqk1SDCcBDVeXuom4w+/w2sBH4p4j474i4MiJ+s5HBShp5BjH0+Lci4rsR8ZOIWB0R7y0jTkmtZbiSU7OAa4rXL83MhwGK3wcX9bt60JpQvO5bv9MxmbkVeBI4sO/FI2JuRKyMiJUbN26syxsaKXonzJ8/f/6AZandZea7MvN3M/PozDy1tx0rtl2SmS/PzCMyc1mZcbYr2yKNIIOZx25X+4wGXgN8KTNfDfyaoof6Tgf7XDRog2l7BlsntYNBDj2eB9yTma8CTgA+GxEvGtZAJbWc0Y2+QNEQnQpctLtd+6nLAeoHOmbnisxFwCKorASxmzhU5eqrr2bDhg0888wzLFiwgPHjx7+gPHv27LLDlNTmbIs0ggxmHrtd7ZNAd2b+sKj/Fv0kp3wuGrzBtD3AoOpso9Qmdgw9BoiI3qHH91Ttk8A+xYiWlwCPAVuHO1BJrWU4ek7NBH6Umb8oyr/onbul+P1oUb+rB63u4nXf+p2OiYjRwG9RafxUJ7Nnz+awww5jwYIFTJo0qd+yJDWabZFGkDuBqRFxePEF3ywqc9tVWwK8u1i173XAk5n5cGY+AjwUEUcU+53Ezh8YtYcG0/YMtk5qE4MZevxF4HeofGb7KfChYkGZndiLU1K1aHQ34yKbfmNm/lNR/gywKTMvLcYoH5CZF0TEUcBiKtn48VQmS5+amdsi4k7gXOCHwFLgssxcGhHzgN/NzPdHxCzgjMx8x0DxdHR05MqVKxv1dtvO4sWL6e7u5rHHHuOAAw5g4sSJLyifddZZZYepESgi7srMjrLjGCrboj1jW6Rm1Yi2KCLeCnweGAVclZmXRMT7ATLziqI3whepLBDzNPDezFxZHHsMcCXwIuC+Ytvju7qWbdHABtP2AIOqs41SIw3Xc1FEvB14S2b+eVF+F3BcZp5btc/bgNcD5wMvB1YAr8rMX+7qvLZFUnuopS1q6LC+iPgNYDpwTlX1pcB1EXE28CDwdqhMKhwRvZMKb2XnSYU/AHwN2BtYVvxAZTWaf46ILio9pmY18v2MRGeeeSYRwfz587ngggvIzBeUJanRbIs0kmTmUipfxlXXXVH1OqnM6dLfsT8GWjZx32wG2/YMtk5qA4MZevxe4NKireqKiPuBV+BqxpIG0PCeU83GrLzUHuw5JakZ2BZJagbD2HNqNLCGyrDh9VSGIp9VrF7cu8+XgF9k5vyIeCnwIyo9p3p2dV7bIqk9NG3PKUmSJElSe8jMrRHxQeBGnh96vLp66DHwN8DXIuKnVBaw+thAiSlJApNTkiRJkqRBGsTQ4w3AKcMdl6TWNhyr9UmSJEmSJEn9sudUk7n++utZvnx52WG0tBkzZnDGGWeUHYbU0myL6sP2SKqNbVF92BZJkpqdPaeazPLly1mzZk3ZYbSsNWvW+BAr1YFtUe1sj6Ta2RbVzrZIktQK7DnVhKZNm8aiRYvKDqMlzZ07t+wQpLZhW1Qb2yOpPmyLamNbJElqBfackiRJkiRJUmlMTkmSJEmSJKk0DutrMkcccUTZIbQ0759UH/6/VDvvoVQ7/z+qnfdQktQKIjPLjmFYdXR05MqVK8sOQ1KNIuKuzOwoO46hsi2S2oNtkaRmYFskqRnU0hY5rE+SJEmSJEmlMTklSZIkSZKk0pickiRJkiRJUmlMTkmSJEmSJKk0JqckSZIkSZJUGpNTkiRJkiRJKo3JqSbT09PDueeey6ZNm8oORdIIZlskqRnYFkmSNDKYnGoynZ2drFq1is7OzrJDkTSC2RZJaga2RZIkjQwmp5pIT08Py5YtIzNZtmyZ3xJKKoVtkaRmYFskSdLI0dDkVETsFxHfioifR8TPIuL3I+KAiFgREWuL3/tX7X9RRHRFxL0R8Zaq+mMj4qfFtoUREUX92Ij4ZlH/w4iY3Mj302idnZ1kJgDbt2/3W0JJpbAtktQMbIskSRo5Gt1z6gvA8sx8BfAq4GfAhcAtmTkVuKUoExFHArOAo4AZwD9GxKjiPF8C5gJTi58ZRf3ZwOOZOQX4B+DTDX4/DbVixQq2bNkCwJYtW7jppptKjkjSSGRbJKkZ2BZJkjRyNCw5FRH7AscDXwXIzOcy8wngNKD3q69O4PTi9WnAtZn5bGbeD3QBx0XEocC+mXlbVr4++3qfY3rP9S3gpN5eVa1o+vTpjBkzBoAxY8ZwyimnlByRpJHItkhSM7AtkiRp5Ghkz6nfBjYC/xQR/x0RV0bEbwIvzcyHAYrfBxf7TwAeqjq+u6ibULzuW7/TMZm5FXgSOLBvIBExNyJWRsTKjRs31uv91d2cOXPoza3ttddezJkzp+SIJI1EtkWSmoFtkSRJI0cjk1OjgdcAX8rMVwO/phjCtwv99XjKAeoHOmbnisxFmdmRmR0HHXTQwFGXaNy4ccycOZOIYObMmRx44AvybJLUcLZFkpqBbZEkSSNHI5NT3UB3Zv6wKH+LSrLqF8VQPYrfj1btf1jV8ROBDUX9xH7qdzomIkYDvwU8Vvd3MozmzJnD0Ucf7beDkkplWySpGdgWSZI0MjQsOZWZjwAPRcQRRdVJwD3AEqD3CWMOcEPxegkwq1iB73AqE5/fUQz9eyoiXlfMJ/XuPsf0nuttwL9n77IuLWrcuHFcdtllfjsoqVS2RZKagW2RJEkjw+gGn/9c4OqIeBFwH/BeKgmx6yLibOBB4O0Ambk6Iq6jksDaCszLzG3FeT4AfA3YG1hW/EBlsvV/joguKj2mZjX4/UiSJEmSJKmOGpqcyswfAx39bDppF/tfAlzST/1K4JX91D9DkdySJEmSJElS62nknFOSJEmSJEnSgExOSdIgRcS5EXFvRKyOiAVV9RdFRFex7S1lxihJkiRJrabRc05JUluIiBOB04CjM/PZiDi4qD+Synx3RwHjgZsjYlrVnHmStEciYgbwBWAUcGVmXtpnexTb3wo8DbwnM39UbFsHPAVsA7ZmZn/TK0iSJDUVe05J0uB8ALg0M58FyMxHi/rTgGsz89nMvB/oAo4rKUZJLS4iRgGXAzOBI4EziyR4tZlUVjWeCswFvtRn+4mZeYyJKUmS1CpMTknS4EwD3hgRP4yI/4iI3yvqJwAPVe3XXdS9QETMjYiVEbFy48aNDQ5XUos6DujKzPsy8zngWipJ8GqnAV/PituB/SLi0OEOVJIkqV5MTklSISJujoi7+/k5jcow6P2B1wF/CVxXDK2Jfk6V/Z0/MxdlZkdmdhx00EENex+SWtpgEt4D7ZPATRFxV0TM7e8CJsolSVKzcc4pSSpk5sm72hYRHwCuz8wE7oiI7cA4Kh8KD6vadSKwoaGBSmpng0l4D7TP6zNzQzEv3oqI+Hlm3rrTjpmLgEUAHR0d/SbTJUmShpM9pyRpcP4VeDNAREwDXgT0AEuAWRExNiIOpzIHzB1lBSmp5Q0m4b3LfTKz9/ejwHdwDjxJktQCTE5J0uBcBfx2RNxNZQ6YOcV8L6uB64B7gOXAPFfqk1SDO4GpEXF4RLyIymqgS/rsswR4d1S8DngyMx+OiN+MiH0AIuI3gVOAu4czeEmSpKFwWJ8kDUIxMfHsXWy7BLhkeCOS1I4yc2tEfBC4ERgFXJWZqyPi/cX2K4ClwFuprA76NPDe4vCXAt+pTIfHaGBxZi4f5rcgSZK0x0xOSZIkNZHMXEolAVVdd0XV6wTm9XPcfcCrGh6gJElSnTmsr8n09PRw7rnnsmnTprJDkSRJkiRJajiTU02ms7OTVatW0dnZWXYokiRJkiRJDWdyqon09PSwbNkyMpNly5bZe0qSJEmSJLU9k1NNpLOzk8o0ErB9+3Z7T0mSJElqKhExIyLujYiuiLhwF/ucEBE/jojVEfEfwx2jpNZjcqqJrFixgi1btgCwZcsWbrrpppIjkiRJkqSKiBgFXA7MBI4EzoyII/vssx/wj8CpmXkU8PbhjlNS6zE51USmT5/OmDFjABgzZgynnHJKyRFJkiRJ0g7HAV2ZeV9mPgdcC5zWZ5+zgOsz80GAzHx0mGOU1IJMTjWROXPmEBEA7LXXXsyZM6fkiCRJkiRphwnAQ1Xl7qKu2jRg/4j4fkTcFRHvHrboJLWshianImJdRPy0GG+8sqg7ICJWRMTa4vf+VftfVIxdvjci3lJVf2xxnq6IWBhFBicixkbEN4v6H0bE5Ea+n0YbN24cM2fOJCKYOXMmBx54YNkhSZIkSVKv6Kcu+5RHA8cCfwC8Bfg/ETHtBSeKmBsRKyNi5caNG+sfqaSWMhw9p07MzGMys6MoXwjckplTgVuKMsVY5VnAUcAM4B+LMc0AXwLmAlOLnxlF/dnA45k5BfgH4NPD8H4aas6cORx99NH2mpIkSZLUbLqBw6rKE4EN/eyzPDN/nZk9wK3Aq/qeKDMXZWZHZnYcdNBBDQtYUmsoY1jfaUDvMnSdwOlV9ddm5rOZeT/QBRwXEYcC+2bmbVlZyu7rfY7pPde3gJN6e1W1qnHjxnHZZZfZa0qSJElSs7kTmBoRh0fEi6h0LljSZ58bgDdGxOiI+A3gtcDPhjlOSS2m0cmpBG4qxhrPLepempkPAxS/Dy7qdzV+eULxum/9Tsdk5lbgScCsjiRJkiTVWfGZ64PAjVQSTtdl5uqIeH9EvL/Y52fAcmAVcAdwZWbeXVbMklrD6Aaf//WZuSEiDgZWRMTPB9h3V+OXBxrXPJgxzxSJsbkAkyZNGjhiSZIkSVK/MnMpsLRP3RV9yp8BPjOccUlqbQ3tOZWZG4rfjwLfobL06C+KoXoUv3uXFt3V+OXu4nXf+p2OiYjRwG8Bj/UTh+OZJUmSJEmSmlDDklMR8ZsRsU/va+AU4G4qY5J7Z/ueQ2VMMkX9rGIFvsOpTHx+RzH076mIeF0xn9S7+xzTe663Af9ezEslSZIkSZKkFtDIYX0vBb5TzE8+Glicmcsj4k7guog4G3gQeDtAMVb5OuAeYCswLzO3Fef6APA1YG9gWfED8FXgnyOii0qPqVkNfD+SJEmSJEmqs4YlpzLzPvpfMnQTcNIujrkEuKSf+pXAK/upf4YiuSVJvSJiL+B1mflfZcciSZIkSRpYo1frk6Rhl5nbgc+WHUcr6+np4dxzz2XTpk1lhyJJkiSpzZmcktSuboqIPynmqtMe6uzsZNWqVXR2dpYdiiRJkqQ2Z3JKUrs6H/gX4NmI+GVEPBURvyw7qFbQ09PDsmXLyEyWLVtm7ylJkiRJDWVySlJbysx9MnOvzHxRZu5blPctO65W0NnZSe/Cp9u3b7f3lCRJkqSGMjklqW1FxISI+F8RcXzvT9kxtYIVK1awZcsWALZs2cJNN91UckSSJEmS2lnDVuuTpDJFxKeBPwXuAbYV1QncWlpQLWL69OksXbqULVu2MGbMGE455ZSyQ5IkSZLUxkxOSWpXpwNHZOazZQcy3BYuXEhXV9eQj9+yZcuOnlNbt25l7dq1nHfeeXt8nilTpgzpOEmSJEkji8P6JLWr+4AxZQfRisaMGcPo0ZXvLg444ADGjPE2SpIkSWoce05JaisRcRmV4XtPAz+OiFuAHb2nMrPtu/LUo7fSBz7wAdatW8eVV17JgQceWIeopJEpIl4EvIJKu3RvZj5XckiSJElNx+SUpHazsvh9F7Ckz7Yc5lha1pgxY5g6daqJKakGEfEHwBXA/wABHB4R52TmsnIjkyRJai4mpyS1lczsBIiID2XmF6q3RcSHyolK0gj1WeDEzOwCiIiXA98DTE5JkiRVcc4pSe1qTj917xnuICSNaI/2JqYK9wGPlhWMJElSs7LnlKS2EhFnAmdRGT5TPaxvH2BTOVGp1dS64iFAd3c3ABMnThzyOVzxsOWtjoilwHVUhhW/HbgzIs4AyMzrywxOkiSpWQyYnIqIfTPzlxFxQH/bM/OxxoQlSUP2X8DDwDgqQ2p6PQWsKiUijUibN28uOwSV78XAL4A3FeWNwAHAH1FJVpmckiRJYvc9pxYDf0hlYuGkMplnrwR+u0FxSdKQZOYDwAPA7w+0X0TclpkD7qORqx69lXrPsXDhwprPpdaUme8dynERMQP4AjAKuDIzL+2zPYrtb6WyMul7MvNHVdtHUVkcYn1m/uEQw5ckSRo2Ayaneh9oMvPw4QlHkobNi8sOQFJ7i4jDgXOByVQ9c2XmqQMcMwq4HJgOdFMZBrgkM++p2m0mMLX4eS3wpeJ3rw8BPwP2rcsbkSRJarBBzTkVEa8HfpyZv46I2cBrgM9n5oMNjU6SGifLDkBS2/tX4KvAd4HtgzzmOKArM+8DiIhrgdOA6uTUacDXMzOB2yNiv4g4NDMfjoiJwB8AlwDn1+dtSJIkNdZgJ0T/EvCqiHgVcAGVB61/5vk5FCRJkrSzZzJzT8d1TgAeqip3s3OvqF3tM4HKfHufp/Ksts+uLhARc4G5AJMmTdrD8CRJkupvsMmprZmZEXEa8IXM/GpE9LdMuyS1itj9LlU7R3wTOKIo7gc8kZnHFNsuAs4GtgHnZeaN9QtTUgv7QkR8CrgJeLa3snp+qH701zb17enZ7z4R8YfAo5l5V0ScsKsLZOYiYBFAR0dHQ3uR1rrypateSpI0Mgw2OfVU8eFrNnB8MR/CmMEc2HdSzmLlv29SmX9hHfCOzHy82LffD3gRcSzwNWBvYCnwoSJZNhb4OnAslSXi/zQz1w3yPUlqcxFxCJUhMgncmZmPVG1+156cKzP/tOq8nwWeLF4fCcwCjgLGAzdHxLTM3FZj+FJLa4akBJSemPhdKm3Nm3l+WF8W5V3pBg6rKk8ENgxyn7cBp0bEW6nMq7dvRHwjM2cP+R2UzFUvJUkaGQabnPpT4Czg7Mx8JCImAZ8Z5LF9J+W8ELglMy+NiAuL8sd28wHvS1S6n99OJTk1A1hGJZH1eGZOiYhZwKeLWCWNcBHx58AngX+n0svgsoj468y8CiAz7x7ieQN4B89/uDwNuDYznwXuj4guKgmx22p8C9KI1iZJiT8Gfjszn9uDY+4EphaTqa+n8mx0Vp99lgAfLOajei3wZGY+DFxU/FD0nPpo2YmpWhODrnopSdLIMKjkVNHb4HNV5Qep9Fga0C4m5TwNOKF43Ql8H/gYu/iAFxHrgH0z87binF8HTqeSnDoNmF+c61vAFyMiiglCJY1sfwm8OjM3AUTEgcB/AVfVeN43Ar/IzLVFeQKVxHmv3rlfXsB5XjSSmJQA4CdUhgE/OtgDMnNrRHwQuBEYBVyVmasj4v3F9iuofFH3VqALeBp4b53jliRJGlYDJqci4geZ+YaIeIqd5zsIIDNzd0sUf54XTsr50uLbPYpVZQ4u6nf1AW9L8bpvfe8xDxXn2hoRTwIHAj193kfLfCBcs2YNH/rQh7jsssuYMmVK2eFIrawbeKqq/BQ7TyD8AhFxM3BIP5s+npk3FK/PBK6pPqyf/ftNkA/nPC+SmsJLgZ9HxJ3sPOfUqQMdlJlLqSSgquuuqHqdwLzdnOP7VL4AlCRJanoDJqcy8w3F712u+LIrg52Us/qQ/kIYoH6gY3auaKEPhH/7t3/Lr3/9a/76r/+ar399t53TJPUREb29NNcDP4yIG6i0C6cBdwx0bGaevJtzjwbOoDLPXa/BzA+zR2qdq6ce1q6tdAwrcxJhJzFWG/hU2QFIkiS1gsHOOTUUr6efSTmBX0TEoUWvqUN5vqv7rj7gdRev+9ZXH9NdfGj8LeCxRr2hRluzZg3r1q0DYN26dXR1ddl7Stpzvcn0/yl+et3Qz7576mTg55lZ3ZtzCbA4Ij5HZb68qewmCbY7XV1drP75Gvaf8LJaTlOT7aNfBMCGp57dzZ6N8fj6B0q5rlRPmfkfZccgSZLUChqWnMrMfifljIjPAHOAS4vfvR8Y+/2Al5nbIuKpiHgd8EPg3cBlVcfMoTLx8NuAf2/l+ab+9m//dqeyvaekPZeZFzfw9LPYeUgfxVww1wH3AFuBefVYqW//CS9j+ryR2+lixeWN/M8oNVYdpkWQJEkaURrZc2pXLgWui4izgQeBt8NuP+B9APgasDeVidCXFfVfBf65mDz9MSofHFtWb6+pXZUlDV5E/H/0P8x3oCXcB5SZ79lF/SVUFn6QpJqmRZAkSRqJhiU5VT0pZ7Fy1km72K/fD3iZuRJ4ZT/1z1Akt9rB5MmTd0pITZ48ubRYpDbw0arXLwb+hEriW5IkSZLURMroOaVd+MQnPsGf//mf7yh/8pOfLDEaqbVl5l19qv5fRDj/ywjhpPIVtUwqX/Y9bIb7B07ML0mSNBxMTjWRadOm7eg9NXnyZCdDl2oQEQdUFfcCOoBDSgpHw8xJ5WufVL7se1j2/QMn5pckSRouJqeazCc+8Qk+9KEP2WtKqt1dVOacCmALsA44u8yANLycVL72SeW9h07ML0mSNBxMTjWZadOmsWzZst3vKGl3PgYsz8xfRsT/AV4DPF1yTINyxq23ctAjj7L/qp+WHUpp3rB+HRsPObjsMCRJkiQNA5NTktrVJzLzuoh4AzAd+CzwJeC15Yal4WCCzwSfJEmSWofJKUntalvx+w+AKzLzhoiYX2I8g3b98cez4alnR/xwqvH7jOWEsgMZwUzwmeCTJEkaLianJLWr9RHxZeBk4NMRMZbKxOgaAUzwmeCTJElS6zA5JaldvQOYAfx9Zj4REYcCf1lyTFLLMMFngk+SJGm4mJyS1JYy82ng+qryw8DD5UW0Zx5f/0CpK4U91fMIAPuMO6SU6z++/gHGv2JaKdeWJEmSNLxMTklSk5kyZUrZIbD2kecAGL/P2FKuP/4V05riPkiSpJ1FxAzgC8Ao4MrMvHQX+/0ecDvwp5n5rWEMUVILMjklSU3mvPPOKzuEHTEsXLiw5EhUpjJ78JXdew/swSdJfUXEKOByKishdwN3RsSSzLynn/0+Ddw4/FFKakUmpyRJ0guU3XOt7N57YA8+SerHcUBXZt4HEBHXAqcB9/TZ71zg28DvDW94klqVyakm09PTw8UXX8z8+fM58MADyw5HkjRCld2Dz957ktSUJgAPVZW7gddW7xARE4A/Bt7MAMmpiJgLzAWYNGlS3QOV1FpcVr3JdHZ2smrVKjo7O8sORZIkSZKqRT912af8eeBjmbltoBNl5qLM7MjMjoMOOqhe8UlqUfacaiI9PT0sW7aMzGTZsmXMmTPH3lOSNESueOh8SZKkuusGDqsqTwQ29NmnA7g2IgDGAW+NiK2Z+a/DEqGklmRyqol0dnaSWfniYfv27XR2dnL++eeXHJUktZ5mmCeo7DmTnC9JEjhlhOruTmBqRBwOrAdmAWdV75CZh/e+joivAf9mYkrS7picaiIrVqxgy5YtAGzZsoWbbrrJ5JQkDUHZ8yVVx+CcSZLKVD1lhM+VqlVmbo2ID1JZhW8UcFVmro6I9xfbryg1QEktyzmnmsj06dMZM2YMAGPGjOGUU04pOSJJkiS1qr5TRmzatKnskNQGMnNpZk7LzJdn5iVF3RX9JaYy8z2Z+a3hj1JSqzE51UTmzJlDMTabvfbaizlz5pQckSRJklpVf1NGSJLUjBqWnIqIF0fEHRHxk4hYHREXF/UHRMSKiFhb/N6/6piLIqIrIu6NiLdU1R8bET8tti2MIoMTEWMj4ptF/Q8jYnKj3s9wGDduHDNnziQimDlzpvMCSJIkacj6mzJCkqRm1MieU88Cb87MVwHHADMi4nXAhcAtmTkVuKUoExFHUplQ7yhgBvCPETGqONeXgLnA1OJnRlF/NvB4Zk4B/gH4dAPfz7CYM2cORx99tL2mJEmSVBOnjJAktYqGJaey4ldFcUzxk8BpQG+f4k7g9OL1acC1mflsZt4PdAHHRcShwL6ZeVtW+iV/vc8xvef6FnBSb6+qVjVu3Dguu+wye01JkiSpJk4ZIUlqFQ1dra/o+XQXMAW4PDN/GBEvzcyHATLz4Yg4uNh9AnB71eHdRd2W4nXf+t5jHirOtTUingQOBHr6xDGXSs8rJk2aVL83KEmS+rVw4UK6urqGfPzatWuB2ldenDJlSlOs3iiVoXfKiCVLljhlhCSpqTU0OZWZ24BjImI/4DsR8coBdu+vx1MOUD/QMX3jWAQsAujo6HjBdklqJ7UmBaA+iQGTAqrF3nvvXXYIUluYM2cO69ats9eUJKmpNTQ51Sszn4iI71OZK+oXEXFo0WvqUODRYrdu4LCqwyYCG4r6if3UVx/THRGjgd8CHmvYG5GkEcLEgGplYlJqDr1TRkiS1MwalpyKiIOALUViam/gZCoTli8B5gCXFr9vKA5ZAiyOiM8B46lMfH5HZm6LiKeKydR/CLwbuKzqmDnAbcDbgH/P3vVyJWmEMikgtbaImAF8ARgFXJmZl/bZHsX2twJPA+/JzB9FxIuBW4GxVJ7xvpWZnxrW4CVJkoagkT2nDgU6i3mn9gKuy8x/i4jbgOsi4mzgQeDtAJm5OiKuA+4BtgLzimGBAB8AvgbsDSwrfgC+CvxzRHRR6TE1q4HvR5IkqaGK56bLgelUeojfGRFLMvOeqt1m8vwKxq+lsqrxa3l+peRfRcQY4AcRsSwzb0eSJKmJNSw5lZmrgFf3U78JOGkXx1wCXNJP/UrgBfNVZeYzFMktSZKkNnAc0JWZ9wFExLVUVieuTk6dBny96C1+e0Ts1ztlAtDfSsmSJElNba+yA5AkSdIOO1YiLlSvUrzbfSJiVET8mMqcnisy84d9LxARcyNiZUSs3LhxYz1jlyRJGpJhmRBdkiRJgzKYlYh3uU9/KyVn5t077TjIVYzrsfJnreqxcmitylx5tB7/Dbq7uwGYOHHibvbctVZefdV7KEmtweSUJElS89jV6sV7tE+flZJ3Sk4NVldXF6t/vob9J7xsKIfXxfbRLwJgw1PPlnL9x9c/UMp162nz5s1lh9DyvIeS1HgmpyRJkprHncDUiDgcWE9lsZez+uyzBPhgMR/Va4EnM/PhAVZKHrL9J7yM6fNG7oJ/Ky6/uNTr16OnTe85Fi5cWPO5WpH3UJJag8kpSZKkJpGZWyPig8CNwCjgqmJF4/cX268AlgJvBbqAp4H3Fof3u1LycL8HSZKkPWVySpIGISKOAa4AXgxsBf53Zt5RbLsIOBvYBpyXmTeWFafqox5zlNRjrhznKBmZMnMplQRUdd0VVa8TmNfPcf2ulCxJktTsTE5J0uAsAC7OzGUR8daifEJEHEll2M1RwHjg5oiYVkxKrBFs7733LjsESWp5Tsxf4ZcVktqdySlJGpwE9i1e/xbPTz58GnBtZj4L3B8RXcBxwG3DH6LqxQ8AktQcnJi/PSbml6TdMTklSYPzF8CNEfH3VOZy+V9F/QTg9qr9uou6F4iIucBcgEmTJjUs0Hro6enh4osvZv78+Rx44IFlh9OSvIeSVB9OzF/uxPySNBxMTtVRPbodd3d3AzBx4sQhn8Nuv9LQRMTNwCH9bPo4cBLw4cz8dkS8A/gqlZWwop/9s7/zZ+YiYBFAR0dHv/s0i87OTlatWkVnZyfnn39+2eG0JO+hJEmSNDh7lR2AdrZ582Y2b95cdhjSiJSZJ2fmK/v5uQGYA1xf7PovVIbuQaWn1GFVp5nI80P+WlJPTw/Lli0jM1m2bBmbNm0qO6SW4z2UJEmSBs+eU3VUj95KvedYuHBhzeeSVFcbgDcB3wfeDKwt6pcAiyPic1QmRJ8K3FFGgPXS2dlJZTEw2L59uz1/hsB7KEmSJA2eySlJGpz3AV+IiNHAMxRzR2Xm6oi4DrgH2ArMa/WV+lasWMGWLVsA2LJly//f3v0H2VWWCR7/PiEdNmoGYjoKSQhh7EQGHSglK9TqsiB2lmanJrOWP3Bc6XWZIc4iwWJ2S4Zl+DVQpbVb7tqIIqUsjeXKUMquWKYnRMXJuAoCLgYCmvRqgp0QSUtGs8pAQp79455mOm1356bvj3Pv7e+n6lbufc977nnOm75v3zx5f3D//febWDlKtqEk1cc7N29m8Z5nWbjl8bJDKc3bdu1g7wmvKTsMSWoop/VJUhUy8zuZeWZmnpGZZ2Xmo+OO3ZyZr8vM12fmUJlx1kNvby9dXV0AdHV1sWbNmpIjaj+2oSRJklQ9R05Jkg7T39/P0FAlxzZnzhz6+/tLjqj92IaSVB/3nnMOu/e/MOt361uy4FjOLTsQSWogR06po4yOjnL55Ze7+LBUg+7ubvr6+ogI+vr6WLRoUdkhtR3bUJIkSaqeI6fUUdy6XaqP/v5+duzY4YifGtiGaneu9eNaP5IkNYsjp9Qx3Lpdqp/u7m5uueUWR/zUwDaUJEmSqtOwkVMRcRJwF3ACcAi4PTM/GRGvBv4aWAHsAN6TmfuKc/4CuAR4CVifmRuL8jOBO4H5wAbgiszMiDi2uMaZwC+A92bmjkbdk1qbW7dLklQ/rvXjWj+SJDVLI0dOHQT+PDN/DzgbuCwiTgOuAr6ZmSuBbxavKY5dBLwBuAD4dEQcU7zXZ6hs276yeFxQlF8C7MvMHuC/Ah9v4P2oxU22dbskSZIkSWptDUtOZeYzmfmD4vl+4ClgKbAWGCyqDQJ/VDxfC9ydmS9k5k+BYeAtEXEi8DuZ+b2sDIu5a8I5Y+/1ZeD8iIhG3ZNam1u3S5IkSZLUfpqy5lRErADeBDwEvDYzn4FKAgsYW2VyKfCzcaeNFGVLi+cTyw87JzMPAr8Efmtxj4i4NCIeiYhH9u7dW6e7Uqvp7+9nLDfp1u2SJEmSJLWHhienIuJVwFeAj2Tmr6arOklZTlM+3TmHF2TenpmrM3P14sWLjxSy2pRbt0uSJEmS1H4ampyKiC4qiakvZua9RfHPi6l6FH8+W5SPACeNO30ZsLsoXzZJ+WHnRMRc4DjgufrfidpFf38/p59+uqOmJJVudHSUyy+/3J1DJUmSpCNoWHKqWPvp88BTmfmJcYfuA8YyB/3AV8eVXxQRx0bEKVQWPv9+MfVvf0ScXbznxRPOGXuvdwHfyrHt2jQruXW7pFYxODjIli1bGBwcPHJlSZIkaRab28D3fivwAeDxiHisKLsa+BhwT0RcAjwNvBsgM7dGxD3Ak1R2+rssM18qzvsz4E5gPjBUPKCS/PpCRAxTGTF1UQPvR5KkqoyOjjI0NERmMjQ0RH9/v0lzSZqhfbt2sunWG0q7/v7RPQAs6D6hlOvv27WTJaeuKuXaktQsDUtOZeZ3mHxNKIDzpzjnZuDmScofAd44Sfk/UCS3JElqFYODg4wN5D106BCDg4NceeWVJUclSe2np6en7BDYvudFAJYsOLaU6y85dVVLtIMkNVIjR05JTTc6OsoNN9zA9ddf7ygFSaXZtGkTBw4cAODAgQPcf//9JqekWWhgYIDh4eFSY9i+fTsA69evLy2Gnp6eGV+/zLgnxjAwMFByJJLUuUxOqaOMX+PFfwhKKktvby8bNmzgwIEDdHV1sWbNmrJDklSC4eFhtv5oGwuXnlxaDIfmzgNg9/4XSrn+vl07S7muJKm9mJxSx3CNF0mtor+/n6GhyvKIc+bMcQdRaRZbuPRkei+7ruwwSlPmWlGSpPbRsN36pGabbI0XSSpDd3c35513HgDnnXeeiXJJUseIiAsi4scRMRwRV01y/P0RsaV4fDcizigjTkntxeSUOsZka7xIkiRJqo+IOAa4FegDTgPeFxGnTaj2U+BfZObpwF8Btzc3SkntyGl96hi9vb18/etf5+DBg8ydO9c1XiSVZnR0lAceeACABx54gHXr1jl6Sm1p366dpU7L2j+6B4AF3SeUcv19u3ay5NRVpVxbalFvAYYz8ycAEXE3sBZ4cqxCZn53XP0HgWVNjVBSWzI5pY7R39/P1772NaAyrc81XiSVZbJpxm7SoHbTClvXb9/zIgBLFhxbyvWXnLqqJdpBaiFLgZ+Nez0CnDVN/UuAockORMSlwKUAy5cvr1d8ktqUySl1lEOHDh32pySVYbJpxian1G7Wr19fdggvxzAwMFByJGpXAwMDDA8P1/Qe27dvB2r7TPT09LTEZ6oOYpKynLRixHlUklNvm+x4Zt5OMeVv9erVk76HpNnDNafUMT772c9O+1qSmqW3t5euri4Aurq6nGYsSW1s/vz5zJ8/v+wwWsUIcNK418uA3RMrRcTpwOeAtZn5iybFJqmNOXJKHeMb3/jGYa83bdrE1VdfXVI0kmaz/v5+hoYqsxjmzJnjNGNJKkmHjFZqJQ8DKyPiFGAXcBHwx+MrRMRy4F7gA5m5rfkhSmpHjpxSx4iIaV9LUrN0d3fT19dHRNDX1+di6DoqVWzTHhExUBzfEhFvLspPiogHIuKpiNgaEVc0P3pJnSwzDwIfBjYCTwH3ZObWiPhQRHyoqHYtsAj4dEQ8FhGPlBSupDbiyCl1jPPPP5+NGze+/Pod73hHidFImu36+/vZsWOHo6Z0VMZt095LZfrMwxFxX2Y+Oa5aH7CyeJwFfKb48yDw55n5g4hYADwaEZsmnCtJNcnMDcCGCWW3jXv+J8CfNDsuSe3NkVPqGOvWrWPOnMqP9Jw5c1i3bl3JEUmazbq7u7nlllscNaWj9fI27Zn5IjC2Tft4a4G7suJB4PiIODEzn8nMHwBk5n4qoxqWNjN4SZKkmXDklDpGd3c3vb29bNy4kTVr1vgPQklSO6pmm/bJ6iwFnhkriIgVwJuAhyZewO3bm+edmzezeM+zLNzyeNmhlOZtu3aw94TXlB2GJKnFOXJKHWXdunWcccYZjpqSJLWrarZpn7ZORLwK+Arwkcz81W9VzLw9M1dn5urFixfXFKwkSVI9OHJKHWVsGo0kSW2qmm3ap6wTEV1UElNfzMx7GxinqnDvOeewe/8L9F52XdmhlGbTrTewZMGxnFt2IJKklubIKUmSpNbx8jbtETGPyjbt902ocx9wcbFr39nALzPzmahsU/t54KnM/ERzw5YkSZo5R05JkiS1iMw8GBFj27QfA9wxtk17cfw2KrtkXQgMA78BPlic/lbgA8DjEfFYUXZ1sbOWJElSyzI5Nc7AwADDw8OlxrB9+3YA1q9fX1oMPT09pV5fkqTZrIpt2hO4bJLzvsPk61FJkiS1tIYlpyLiDuAPgGcz841F2auBvwZWADuA92TmvuLYXwCXAC8B6zNzY1F+JnAnMJ/KF7UrMjMj4ljgLuBM4BfAezNzRy0xDw8Ps/VH21i49ORa3qYmh+bOA2D3/hdKuf6+XTtLua4kSZIkSZqdGjly6k7gU1QSSGOuAr6ZmR+LiKuK1x+NiNOorKnwBmAJ8I2IWJWZLwGfobLd8YNUklMXAENUEln7MrMnIi4CPg68t9agFy49edYvWilJkqT62LdrZ6nfr/aP7gFgQfcJpVx/366dLDl1VSnXliS1j4YlpzJzc0SsmFC8Fl7erGMQ+Dbw0aL87sx8AfhpRAwDb4mIHcDvZOb3ACLiLuCPqCSn1gLXF+/1ZeBTERHFUHdJkiSpVD09PWWHwPY9LwKwZMGxpVx/yamrWqIdJEmtrdlrTr02M58BKHaVeU1RvpTKyKgxI0XZgeL5xPKxc35WvNfBiPglsAgYnXjRiLiUyugrli9fXrebUf3Vuu7XyEjlx2XZsmU1xeG6W5ooIs4AbgNeRWVa8vsz81fFsUmnJUuSZrdW+C4xFsPAwEDJkUiSNLU5ZQdQmGzxzpymfLpzfrsw8/bMXJ2ZqxcvXjzDENUOnn/+eZ5//vmyw1Bn+hxwVWb+PvA/gf8IMGFa8gXApyPimNKilCRJkqQ20+yRUz+PiBOLUVMnAs8W5SPASePqLQN2F+XLJikff85IRMwFjgOea2Twarxa/4fR/x1UA70e2Fw830Rlm/e/ZIppycD3SolSkiRJktpMs0dO3Qf0F8/7ga+OK78oIo6NiFOAlcD3iymA+yPi7IgI4OIJ54y917uAb7nelKQGegL4w+L5u/nHhPrLU4wL46cfHyYiLo2IRyLikb179zYsUEmSJElqJw0bORURX6Ky+Hl3RIwA1wEfA+6JiEuAp6n8A4/M3BoR9wBPAgeBy4qd+gD+jMrOf/OpLIQ+VJR/HvhCMUrhOSrTaiRpxiLiG8Bk2xn9J+DfAQMRcS2V5PiLY6dNUn/KKcbA7QCrV682mS5JkiRJNHa3vvdNcej8KerfDNw8SfkjwBsnKf8HiuSWJNVDZr7jCFXWAETEKuBfFWVTTUuWJEmSJFWhVRZEl9Qitm3bRl9fX027Jnaisd1FI2IOcA2VnftgimnJ5UQpSZIkSe3H5JSkw9x00038+te/5sYbbyw7lFbzvojYBvyIysio/w6VacnA2LTkv+HwacmSJEmSpCNo9m59klrYtm3b2LFjBwA7duxgeHiYnp6ecoNqEZn5SeCTUxybdFqyJEmSJOnITE6prgYGBkqdDrZ9+3YA1q9fX1oMAD09PaXHMBM33XTTYa9vvPFG7rrrrpKikSRJkiTNBianVFfDw8Ns/dE2Fi49uZTrH5o7D4Dd+18o5foA+3btLO3atRobNTXVa0mSJEmS6s3klOpu4dKT6b3surLDKM2mW28oO4QZW7FixWEJqRUrVpQWiyRJkiRpdnBBdEkvu+aaaw57fe2115YUiSRJkiRptnDk1Djv3LyZxXueZeGWx8sOpTRv27WDvSe8puwwVJJVq1a9PHpqxYoVLoYuSZIkSWo4k1OSDnPNNddwxRVXOGpKkqSS1WOjmXpsFtOuG71IktqHyalx7j3nHHbvf2HWr5e0ZMGxnFt2ICrNqlWrGBoaKjsMSZJUB/Pnzy87BEmSjsjklOrKqZFOjZQkSfXhaCVJ0mzhguiSJEmSJEkqjSOnVFdOjXRqpCRJkiRJR8PklNRhal08dWRkBIBly5bN+D1cOFWSJEmSVC2TUxPs27WTTbfeUNr194/uAWBB9wmlXH/frp0sOXVVKddWRT2SS88///yMzx87t5b3GBkZqXl3IRNckiRJkjQ7mJwap6enp+wQ2L7nRQCWLDi2lOsvOXVVze1QZoKv7OQe1J7gGx4eZuuPtrFw6ckzOn/OcYt55XEzvjyHijZ8ZY1tuHv/CzM+d9+unTVdW5IkSZLUPkxOjdMKozTGYhgYGCg5kpkpO8FXdnIP6pPgW7j05Fm/bpfU7kZHR7nhhhu4/vrrWbRoUdnhSJIkSS3L5JTqquwEX7sn9wDeuXkzxz/9NF1DXyvl+gcPVBJ8c7vmlXJ9gLNe/Af+fvny0q4v1cPg4CBbtmxhcHCQK6+8suxwJEmSpJZlcqqOal0rCGD79u1AbUke1+ppb8cdfzyvHB0t7fovvXgIgHlz55QWw7y5ryCPP76060u1Gh0dZWhoiMxkaGiI/v5+R09JkiRJU2j75FREXAB8EjgG+FxmfqzkkGoyf/78skMoVa0Jvnok96DcBN+bvv3tms6vVxuuXLlyxu9hglSz3eDgIJkJwKFDhxw9pVmrFX6v+ztJkqTW19bJqYg4BrgV6AVGgIcj4r7MfLKMePziU77ZntyrB9tQqt2mTZs4cOAAAAcOHOD+++83OSXNgL+TJEmaHdo6OQW8BRjOzJ8ARMTdwFqglOSUameCr3a2oVS+3t5eNmzYwIEDB+jq6mLNmjVlh6Q2cqRR4RERxfELgd8A/zYzf1AcuwP4A+DZzHxjUwOfhL+TJElSNcpbVKY+lgI/G/d6pCg7TERcGhGPRMQje/fubVpwkqTZqb+/n0r+AObMmUN/f3/JEaldjBsV3gecBrwvIk6bUK0PWFk8LgU+M+7YncAFjY9UkiSpfto9ORWTlOVvFWTenpmrM3P14sWLmxCWJGk26+7upq+vj4igr6/PxdB1NF4eFZ6ZLwJjo8LHWwvclRUPAsdHxIkAmbkZeK6pEUuSJNWo3ZNTI8BJ414vA3aXFIskSS/r7+/n9NNPd9SUjlY1o8KrGjk+FUeUS5KkVtPuyamHgZURcUpEzAMuAu4rOSZJkuju7uaWW25x1JSOVjWjwqsaOT4VR5RLqkVEXBARP46I4Yi4apLjEREDxfEtEfHmMuKU1F7aOjmVmQeBDwMbgaeAezJza7lRSZIkzVg1o8IdOS6pFHVYF0+SJtXWySmAzNyQmasy83WZeXPZ8UiSJNWgmlHh9wEXF6MTzgZ+mZnPNDtQSbNSTeviSdJU5pYdQLM9+uijoxGxs+w4jqAbGC07iDZm+9WuHdrw5LIDqIV90axhG9amHdqvrn1RZh6MiLFR4ccAd2Tm1oj4UHH8NmADcCEwDPwG+ODY+RHxJeBcoDsiRoDrMvPzU13PvmjWsA1r1+pt2KzvRZOteXdWFXWWAocl0SPiUiojqwBeiIgn6htq07X6z0g12v0e2j1+aP97eP1MT5x1yanMbPnFFSLikcxcXXYc7cr2q51t2Hj2RbODbVib2dp+mbmBSgJqfNlt454ncNkU577vKK9lXzQL2Ia1sw1fVrd18TLzduB26Iz29R7K1+7xQ/vfQ0Q8MtNz235anyRJkiSpKVwXT1JDmJySJEmSJFXDdfEkNcSsm9bXJm4vO4A2Z/vVzjYU+HNQD7ZhbWw/gT8H9WAb1s42pPZ18abRCe3rPZSv3eOH9r+HGccflWULJEmSJEmSpOZzWp8kSZIkSZJKY3JKkiRJkiRJpTE5VZKIuCAifhwRwxFx1STHIyIGiuNbIuLNZcTZyiLijoh4NiKemOK4bTiNiDgpIh6IiKciYmtEXDFJHduww9kX1c6+qDb2RQL7onqwL6qNfVFzdMJnvYp7eH8R+5aI+G5EnFFGnFM5Uvzj6v3TiHgpIt7VzPiqUc09RMS5EfFY8Xn+22bHOJ0qfoaOi4ivRcQPi/irWbetaRr2+yYzfTT5QWXxwP8L/C4wD/ghcNqEOhcCQ0AAZwMPlR13qz2Ac4A3A09Mcdw2nL79TgTeXDxfAGzz53B2PeyL6taO9kW1tZ990Sx/2BfVrR3ti2prP/uixrdx23/Wq7yHfwYsLJ73tdI9VBP/uHrforK4/bvKjnsGfwfHA08Cy4vXryk77qOM/2rg48XzxcBzwLyyYx8XX0N+3zhyqhxvAYYz8yeZ+SJwN7B2Qp21wF1Z8SBwfESc2OxAW1lmbqbyQZ2KbTiNzHwmM39QPN8PPAUsnVDNNuxs9kV1YF9UG/siYV9UF/ZFtbEvaopO+Kwf8R4y87uZua94+SCwrMkxTqeavwOAy4GvAM82M7gqVXMPfwzcm5lPA2RmK91HNfEnsCAiAngVlb79YHPDnFqjft+YnCrHUuBn416P8Nu//Kqpo+nZhlWKiBXAm4CHJhyyDTubfVFz2IZVsi+ateyLmsM2rJJ9UcN0wmf9aOO7hMoIklZxxPgjYinwr4HbmhjX0ajm72AVsDAivh0Rj0bExU2L7siqif9TwO8Bu4HHgSsy81BzwquLGX2O5zYsHE0nJinLGdTR9GzDKkTEq6j8z8hHMvNXEw9Pcopt2Dnsi5rDNqyCfdGsZl/UHLZhFeyLGqoTPutVxxcR51FJTr2toREdnWri/2/ARzPzpcrAnZZTzT3MBc4EzgfmA9+LiAczc1ujg6tCNfH/S+Ax4O3A64BNEfF3k/RJrWpGn2OTU+UYAU4a93oZlazo0dbR9GzDI4iILipfwL6YmfdOUsU27Gz2Rc1hGx6BfdGsZ1/UHLbhEdgXNVwnfNarii8iTgc+B/Rl5i+aFFs1qol/NXB3kZjqBi6MiIOZ+b+aEuGRVftzNJqZvwZ+HRGbgTOorCVXtmri/yDwsaws4DQcET8FTgW+35wQazajz7HT+srxMLAyIk6JiHnARcB9E+rcB1xcrHR/NvDLzHym2YG2OdtwGsUc5s8DT2XmJ6aoZht2Nvui5rANp2FfJOyLmsU2nIZ9UVN0wmf9iPcQEcuBe4EPtMhInfGOGH9mnpKZKzJzBfBl4N+3UGIKqvs5+irwzyNibkS8AjiLyjpyraCa+J+mMuqLiHgt8HrgJ02NsjYz+hw7cqoEmXkwIj4MbKSyWv8dmbk1Ij5UHL+Nys4IFwLDwG+oZE81TkR8CTgX6I6IEeA6oAtswyq9FfgA8HhEPFaUXQ0sB9twNrAvqg/7oprZF81y9kX1YV9UM/uiBuuEz3qV93AtsAj4dDH66GBmri4r5vGqjL+lVXMPmflURPwNsAU4BHwuM58oL+p/VOXfwV8Bd0bE41SmyH00M0dLC3qCRv2+icpIMUmSJEmSJKn5nNYnSZIkSZKk0pickiRJkiRJUmlMTkmSJEmSJKk0JqckSZIkSZJUGpNTkiRJkiRJKo3JKbWliLg+Iv5D2XFIkv2RpFZgXyRJamcmpyRJkiRJklQak1NqCxFxcURsiYgfRsQXJhz704h4uDj2lYh4RVH+7oh4oijfXJS9ISK+HxGPFe+3soz7kdS+7I8ktQL7IklSJ4nMLDsGaVoR8QbgXuCtmTkaEa8G1gP/LzP/S0QsysxfFHVvAn6embdExOPABZm5KyKOz8y/j4hbgAcz84sRMQ84JjOfL+veJLUX+yNJrcC+SJLUaRw5pXbwduDLmTkKkJnPTTj+xoj4u+IL1/uBNxTl/xu4MyL+FDimKPsecHVEfBQ42S9fko6S/ZGkVmBfJEnqKCan1A4CmG6I353AhzPz94EbgH8CkJkfAq4BTgIeK/4X8X8Afwg8D2yMiLc3MnBJHcf+SFIrsC+SJHUUk1NqB98E3hMRiwCKoevjLQCeiYguKv87SFHvdZn5UGZeC4wCJ0XE7wI/ycwB4D7g9KbcgaROYX8kqRXYF0mSOsrcsgOQjiQzt0bEzcDfRsRLwP8Bdoyr8pfAQ8BO4HEqX8gA/nOxqGdQ+RL3Q+Aq4N9ExAFgD3BjU25CUkewP5LUCuyLJEmdxgXRJUmSJEmSVBqn9UmSJEmSJKk0JqckSZIkSZJUGpNTkiRJkiRJKo3JKUmSJEmSJJXG5JQkSZIkSZJKY3JKkiRJkiRJpTE5JUmSJEmSpNL8f2SSt6S7mjV2AAAAAElFTkSuQmCC", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" + } + ], + "source": [ + "\n", + "# features_ = ['Vm_avg','resistance', 'thr', 'isi', 'sub_thr','imp']\n", + "scalar = StandardScaler()\n", + "data = inh_df[inh_df.columns[:-3]] #[['Vm_avg','resistance', 'thr', 'isi', 'sub_thr','imp']].to_numpy()\n", + "data = scalar.fit_transform(data)\n", + "data = normalize(data) \n", + "features = inh_df.columns[:-3]\n", + "pca_x = PCA(whiten=True,random_state=40)\n", + "# Project the data in 2D\n", + "reduced_data = pca_x.fit_transform(data)\n", + "exp_var = pca_x.explained_variance_ratio_\n", + "loadings = pca_x.components_.T * np.sqrt(pca_x.explained_variance_)\n", + "n_components = 2\n", + "\n", + "\n", + "# fig = plt.figure(figsize=[10,10])\n", + "\n", + "fig = plt.figure(frameon=True,figsize=[10,10])\n", + "axloadings = fig.add_axes([0, 0, 1, 1])\n", + "# axloadings.axis('off')\n", + "for i, feature in enumerate(features):\n", + " # if (abs(loadings[i, 0])+abs(loadings[i, 1]))>0.5:\n", + " if feature == 'resistance':\n", + " print(loadings[i, 0],0,loadings[i, 1])\n", + " axloadings.plot([0,loadings[i, 0]],[0,loadings[i, 1]])\n", + " axloadings.annotate(feature, xy = [loadings[i, 0], loadings[i, 1]],xytext=[loadings[i, 0]+0.05*loadings[i, 0], loadings[i, 1]+0.05*loadings[i, 1]])\n", + "axloadings.patch.set_visible(False)\n", + "axloadings.set_xlabel('PC1')\n", + "plt.tight_layout()\n", + "plt.show()\n" + ] + }, + { + "cell_type": "code", + "execution_count": 151, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 575 }, + "id": "VG373I3JeFwp", + "outputId": "4ffdd9d3-f431-48eb-8976-52164af9e02d" + }, + "outputs": [], + "source": [ + "from statannotations.Annotator import Annotator" + ] + }, + { + "cell_type": "code", + "execution_count": 152, + "metadata": { + "id": "PuqsbEnLFm7G" + }, + "outputs": [], + "source": [ + "from itertools import combinations" + ] + }, + { + "cell_type": "code", + "execution_count": 153, + "metadata": {}, + "outputs": [], + "source": [ + "def modify_cond_list(cond):\n", + " if cond in ['aCSF','ACSF']:\n", + " return cond.lower()\n", + " elif cond.lower() in ['d1','d1ago']:\n", + " return 'd1ago'\n", + " elif cond.lower() in ['dop','dopamine','dopa']:\n", + " return 'dopamine'\n", + " \n", + " elif cond.lower() in ['m1-ag', 'm1-ago', 'm1-ago+ant', 'm1-ant', 'm1-ant+ago']:\n", + " return 'm1'\n", + "# array(['ACSF', 'AgoAnta', 'Cirazoline', 'D1', 'D1ago', 'D2', 'DOPA',\n", + "# 'DOPAMINE', 'Dop', 'M1-ag', 'M1-ago', 'M1-ago+ant', 'M1-ant',\n", + "# 'M1-ant+ago', 'aCSF', 'aCSF_BIC', 'sag'], dtype=object) \n", + " else:\n", + " return cond.lower() \n", + " \n", + "def plot_significance_new(data,var,hue,ax,palette='mako',test ='Mann-Whitney'):\n", + "\n", + "\n", + " ax.tick_params(axis='x', labelsize=20)\n", + " ax.tick_params(axis='y', labelsize=20)\n", + "\n", + " sns.boxplot(data=data,\n", + " x=hue,\n", + " y=var,\n", + " # whis=[0, 100], \n", + " width=.6, palette=palette,ax=ax)\n", + " # boxprops={\"facecolor\": (.2, .6, .8, .5)},\n", + " # medianprops={\"color\": \"red\"},ax=ax) \n", + " sns.stripplot(x=hue, y=var , data=data,\n", + " size=3, color=\".4\", linewidth=0,ax=ax)\n", + " ax.set_xlabel('class',fontdict={'fontsize':20})\n", + " ax.set_ylabel(var,fontdict={'fontsize':20})\n", + "\n", + "\n", + " pairs = np.unique(data[hue])\n", + " pairs = [i for i in combinations(pairs,2)]\n", + "\n", + "\n", + " annotator = Annotator(ax,pairs, data=data, x=hue,palette=palette, y=var)\n", + " annotator.configure(test=test, text_format='star', loc='inside')\n", + " annotator.apply_and_annotate() \n", + " \n" + ] + }, + { + "cell_type": "code", + "execution_count": 7, + "metadata": {}, + "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:1.926e-02 t=2.844e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:2.404e-02 t=-2.709e+00\n", - "0.0 vs. 2.0: t-test independent samples, P_val:8.521e-01 t=1.947e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:8.693e-01 t=-1.693e-01\n", - "1.0 vs. 2.0: t-test independent samples, P_val:7.125e-02 t=2.044e+00\n", - "0.0 vs. 2.0: t-test independent samples, P_val:1.553e-01 t=1.625e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", + "exc\n", + "Cirazoline : 21, acsf : 0\n", + "D1 : 10, acsf : 0\n", + "D1ago : 18, acsf : 0\n", + "D2 : 19, acsf : 0\n", + "DOPAMINE : 9, acsf : 0\n", + "Dop : 11, acsf : 0\n", + "M1-ag : 2, acsf : 0\n", + "M1-ago : 18, acsf : 0\n", + "M1-ago+ant : 3, acsf : 0\n", + "M1-ant : 11, acsf : 0\n", + "M1-ant+ago : 4, acsf : 0\n", + "aCSF : 70, acsf : 0\n", + "sag : 9, acsf : 0\n", + "inh\n", + "Cirazoline : 19, acsf : 0\n", + "D1 : 6, acsf : 0\n", + "D1ago : 49, acsf : 0\n", + "D2 : 44, acsf : 0\n", + "DOPA : 1, acsf : 0\n", + "DOPAMINE : 11, acsf : 0\n", + "Dop : 7, acsf : 0\n", + "M1-ag : 2, acsf : 0\n", + "M1-ago : 20, acsf : 0\n", + "M1-ago+ant : 1, acsf : 0\n", + "M1-ant : 12, acsf : 0\n", + "M1-ant+ago : 5, acsf : 0\n", + "aCSF : 138, acsf : 0\n", + "aCSF_BIC : 35, acsf : 0\n", + "sag : 13, acsf : 0\n" + ] + } + ], + "source": [ + "\n", + "def return_acsf_and_drug_counts(data):\n", + " acsf_data = data[data.cond=='acsf']\n", + " conds = np.unique(data.cond)[2:]\n", + " for cond_i in conds:\n", + " data_exps = data[data.cond==cond_i]['exp_name']\n", + " acsf_cond_i = len(acsf_data[acsf_data.exp_name.isin(data_exps)])\n", + " print(cond_i+' : '+str(len(data_exps))+', acsf : '+str(acsf_cond_i))\n", + "print('exc')\n", + "return_acsf_and_drug_counts(inh_df_all) \n", + "print('inh')\n", + "return_acsf_and_drug_counts(exc_df_all) \n" + ] + }, + { + "cell_type": "code", + "execution_count": 154, + "metadata": { + "colab": { + "base_uri": "https://localhost:8080/", + "height": 1000 + }, + "id": "uTujsbEo7YRi", + "outputId": "fa899fbf-9c98-48e1-ce75-e13192961274" + }, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "exc = data_all_conds['exc']\n", + "inh = data_all_conds['inh']\n", + "exc_df_all = pd.DataFrame(exc,columns = features_ext)\n", + "exc_df_all = exc_df_all.replace([np.inf, -np.inf], np.nan).dropna(axis=0)\n", + "exc_df_all = exc_df_all.reset_index(drop=False)\n", + "exc_df_all.cond = exc_df_all.cond.apply(modify_cond_list)\n", + "inh_df_all = pd.DataFrame(inh,columns = features_ext)\n", + "inh_df_all = inh_df_all.replace([np.inf, -np.inf], np.nan).dropna(axis=0)\n", + "inh_df_all = inh_df_all.reset_index(drop=False)\n", + "inh_df_all.cond = inh_df_all.cond.apply(modify_cond_list)\n", + "plot_UMAP_all_conds(exc_df_all[['AP_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']],labels=exc_df_all['cond'],neighbours=20,distance=0.0,random_state=0,figsize=[15,10])\n", + "plot_UMAP_all_conds(inh_df_all[['AP_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']],labels=inh_df_all['cond'],neighbours=20,distance=0.0,random_state=0,figsize=[15,10])\n" + ] + }, + { + "cell_type": "code", + "execution_count": 155, + "metadata": {}, + "outputs": [], + "source": [ + "exc_df_all_ = exc_df_all[exc_df_all.columns[1:]]\n", + "inh_df_all_ = inh_df_all[inh_df_all.columns[1:]]\n" + ] + }, + { + "cell_type": "code", + "execution_count": 156, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + ":6: SettingWithCopyWarning: \n", + "A value is trying to be set on a copy of a slice from a DataFrame.\n", + "Try using .loc[row_indexer,col_indexer] = value instead\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:3.226e-01 t=1.047e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:7.147e-01 t=-3.773e-01\n", - "0.0 vs. 2.0: t-test independent samples, P_val:5.644e-01 t=6.098e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", + "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n", + ":6: SettingWithCopyWarning: \n", + "A value is trying to be set on a copy of a slice from a DataFrame.\n", + "Try using .loc[row_indexer,col_indexer] = value instead\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:1.421e-02 t=3.032e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:8.968e-02 t=-1.901e+00\n", - "0.0 vs. 2.0: t-test independent samples, P_val:3.685e-01 t=9.721e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", + "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n", + ":6: SettingWithCopyWarning: \n", + "A value is trying to be set on a copy of a slice from a DataFrame.\n", + "Try using .loc[row_indexer,col_indexer] = value instead\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:7.945e-01 t=2.683e-01\n", - "1.0 vs. 2.0: t-test independent samples, P_val:7.863e-01 t=2.794e-01\n", - "0.0 vs. 2.0: t-test independent samples, P_val:7.540e-01 t=3.281e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", + "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n", + ":6: SettingWithCopyWarning: \n", + "A value is trying to be set on a copy of a slice from a DataFrame.\n", + "Try using .loc[row_indexer,col_indexer] = value instead\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:2.675e-01 t=-1.182e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:4.828e-01 t=-7.320e-01\n", - "0.0 vs. 2.0: t-test independent samples, P_val:7.954e-02 t=-2.109e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", + "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n", + ":6: SettingWithCopyWarning: \n", + "A value is trying to be set on a copy of a slice from a DataFrame.\n", + "Try using .loc[row_indexer,col_indexer] = value instead\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:2.503e-01 t=1.229e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:9.438e-01 t=-7.247e-02\n", - "0.0 vs. 2.0: t-test independent samples, P_val:3.011e-01 t=1.131e+00\n" + "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n" + ] + } + ], + "source": [ + "def return_class_based_df(df_acsf,df_all):\n", + " all_dfs = []\n", + " for i in np.unique(df_acsf['class']):\n", + " exp_class_0 = np.unique(df_acsf[df_acsf['class']==i]['exp_name'])\n", + " df_ = df_all[df_all.exp_name.isin(exp_class_0)]\n", + " df_['class'] = i\n", + " all_dfs.append(df_)\n", + " all_dfs_ = pd.concat(all_dfs)\n", + " return all_dfs_,np.unique(all_dfs_.cond)\n", + "\n", + "df_exc_for_sig,conds_exc = return_class_based_df(df_exc, exc_df_all_)\n", + "df_inh_for_sig,conds_inh = return_class_based_df(df_inh, inh_df_all_)" + ] + }, + { + "cell_type": "code", + "execution_count": 27, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" + } + ], + "source": [ + "plot_UMAP(df_exc_for_sig[df_exc_for_sig.cond=='acsf'][['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']],\n", + " df_inh_for_sig[df_inh_for_sig.cond=='acsf'][['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']],\n", + " 20,\n", + " 0.0,\n", + " np.repeat(['acsf'],len(df_exc_for_sig[df_exc_for_sig.cond=='acsf'])),np.repeat(['acsf'],len(df_inh_for_sig[df_inh_for_sig.cond=='acsf'])),\n", + " figsize=[8,4],\n", + " random_state=42)" + ] + }, + { + "cell_type": "code", + "execution_count": 44, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:608: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\relational.py:651: UserWarning: No data for colormapping provided via 'c'. Parameters 'cmap' will be ignored\n" + ] + }, + { + "data": { + "text/plain": [ + "Text(0.5, 1.0, 'UMAP inhibitory')" + ] + }, + "execution_count": 44, + "metadata": {}, + "output_type": "execute_result" }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "fig = plt.figure(figsize=[10,10])\n", + "exps = df_exc_for_sig[df_exc_for_sig.cond=='d1ago']['exp_name']\n", + "\n", + "ax22d = fig.add_subplot(1,1,1)\n", + "data_exc_umap_scaler = StandardScaler()\n", + "data_exc_umap = data_exc_umap_scaler.fit_transform(df_exc_for_sig[df_exc_for_sig.exp_name.isin(exps)][['Vm_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']])\n", + "data_exc_umap = normalize(data_exc_umap)\n", + "clusterable_embedding2d = umap.UMAP(n_neighbors=20, min_dist=0.0,\n", + " n_components=2,random_state=20).fit_transform(data_exc_umap)\n", + "df_2d = {'Dim1':clusterable_embedding2d[:, 0],\n", + " 'Dim2':clusterable_embedding2d[:, 1],\n", + " 'condition':df_exc_for_sig[df_exc_for_sig.exp_name.isin(exps)]['cond']}\n", + "\n", + "sns.scatterplot(data=df_2d,x='Dim1',y='Dim2',hue='condition', cmap='gist_rainbow',ax=ax22d)\n", + "ax22d.set_title('UMAP inhibitory')" + ] + }, + { + "cell_type": "code", + "execution_count": 466, + "metadata": {}, + "outputs": [], + "source": [ + "df_exc_for_sig.to_csv('G:/My Drive/Cluster Feature files/all_data_wcond_exc.csv')\n", + "df_inh_for_sig.to_csv('G:/My Drive/Cluster Feature files/all_data_wcond_inh.csv')" + ] + }, + { + "cell_type": "code", + "execution_count": 469, + "metadata": {}, + "outputs": [ + { + "ename": "KeyboardInterrupt", + "evalue": "", + "output_type": "error", + "traceback": [ + "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[1;31mKeyboardInterrupt\u001b[0m Traceback (most recent call last)", + "\u001b[1;32m\u001b[0m in \u001b[0;36m\u001b[1;34m\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0msns\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mpairplot\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdf_inh_for_sig\u001b[0m\u001b[1;33m[\u001b[0m\u001b[0mdf_inh_for_sig\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mcond\u001b[0m\u001b[1;33m==\u001b[0m\u001b[1;34m'm1'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\_decorators.py\u001b[0m in \u001b[0;36minner_f\u001b[1;34m(*args, **kwargs)\u001b[0m\n\u001b[0;32m 44\u001b[0m )\n\u001b[0;32m 45\u001b[0m \u001b[0mkwargs\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mupdate\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m{\u001b[0m\u001b[0mk\u001b[0m\u001b[1;33m:\u001b[0m \u001b[0marg\u001b[0m \u001b[1;32mfor\u001b[0m \u001b[0mk\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0marg\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mzip\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0msig\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mparameters\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0margs\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m}\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m---> 46\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0mf\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m**\u001b[0m\u001b[0mkwargs\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 47\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0minner_f\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 48\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\axisgrid.py\u001b[0m in \u001b[0;36mpairplot\u001b[1;34m(data, hue, hue_order, palette, vars, x_vars, y_vars, kind, diag_kind, markers, height, aspect, corner, dropna, plot_kws, diag_kws, grid_kws, size)\u001b[0m\n\u001b[0;32m 1987\u001b[0m \u001b[1;31m# Set up the PairGrid\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1988\u001b[0m \u001b[0mgrid_kws\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0msetdefault\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m\"diag_sharey\"\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mdiag_kind\u001b[0m \u001b[1;33m==\u001b[0m \u001b[1;34m\"hist\"\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 1989\u001b[1;33m grid = PairGrid(data, vars=vars, x_vars=x_vars, y_vars=y_vars, hue=hue,\n\u001b[0m\u001b[0;32m 1990\u001b[0m \u001b[0mhue_order\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mhue_order\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mpalette\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mpalette\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcorner\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mcorner\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1991\u001b[0m height=height, aspect=aspect, dropna=dropna, **grid_kws)\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\_decorators.py\u001b[0m in \u001b[0;36minner_f\u001b[1;34m(*args, **kwargs)\u001b[0m\n\u001b[0;32m 44\u001b[0m )\n\u001b[0;32m 45\u001b[0m \u001b[0mkwargs\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mupdate\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m{\u001b[0m\u001b[0mk\u001b[0m\u001b[1;33m:\u001b[0m \u001b[0marg\u001b[0m \u001b[1;32mfor\u001b[0m \u001b[0mk\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0marg\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mzip\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0msig\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mparameters\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0margs\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m}\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m---> 46\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0mf\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m**\u001b[0m\u001b[0mkwargs\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 47\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0minner_f\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 48\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\axisgrid.py\u001b[0m in \u001b[0;36m__init__\u001b[1;34m(self, data, hue, hue_order, palette, hue_kws, vars, x_vars, y_vars, corner, diag_sharey, height, aspect, layout_pad, despine, dropna, size)\u001b[0m\n\u001b[0;32m 1248\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mdespine\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1249\u001b[0m \u001b[0mutils\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mdespine\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mfig\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mfig\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 1250\u001b[1;33m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mtight_layout\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mpad\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mlayout_pad\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 1251\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1252\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0mmap\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mfunc\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;33m**\u001b[0m\u001b[0mkwargs\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\seaborn\\axisgrid.py\u001b[0m in \u001b[0;36mtight_layout\u001b[1;34m(self, *args, **kwargs)\u001b[0m\n\u001b[0;32m 62\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_tight_layout_pad\u001b[0m \u001b[1;32mis\u001b[0m \u001b[1;32mnot\u001b[0m \u001b[1;32mNone\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 63\u001b[0m \u001b[0mkwargs\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0msetdefault\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m\"pad\"\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_tight_layout_pad\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m---> 64\u001b[1;33m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mfig\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mtight_layout\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m*\u001b[0m\u001b[0margs\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;33m**\u001b[0m\u001b[0mkwargs\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 65\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 66\u001b[0m def add_legend(self, legend_data=None, title=None, label_order=None,\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\matplotlib\\figure.py\u001b[0m in \u001b[0;36mtight_layout\u001b[1;34m(self, pad, h_pad, w_pad, rect)\u001b[0m\n\u001b[0;32m 3507\u001b[0m \u001b[0mprevious_engine\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget_layout_engine\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 3508\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mset_layout_engine\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mengine\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 3509\u001b[1;33m \u001b[0mengine\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mexecute\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 3510\u001b[0m \u001b[1;32mif\u001b[0m \u001b[1;32mnot\u001b[0m \u001b[0misinstance\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mprevious_engine\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mTightLayoutEngine\u001b[0m\u001b[1;33m)\u001b[0m\u001b[0;31m \u001b[0m\u001b[0;31m\\\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 3511\u001b[0m \u001b[1;32mand\u001b[0m \u001b[0mprevious_engine\u001b[0m \u001b[1;32mis\u001b[0m \u001b[1;32mnot\u001b[0m \u001b[1;32mNone\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\matplotlib\\layout_engine.py\u001b[0m in \u001b[0;36mexecute\u001b[1;34m(self, fig)\u001b[0m\n\u001b[0;32m 176\u001b[0m \u001b[0mrenderer\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mfig\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_get_renderer\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 177\u001b[0m \u001b[1;32mwith\u001b[0m \u001b[0mgetattr\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mrenderer\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m\"_draw_disabled\"\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mnullcontext\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 178\u001b[1;33m kwargs = get_tight_layout_figure(\n\u001b[0m\u001b[0;32m 179\u001b[0m \u001b[0mfig\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mfig\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0maxes\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mget_subplotspec_list\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mfig\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0maxes\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mrenderer\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 180\u001b[0m \u001b[0mpad\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0minfo\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'pad'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mh_pad\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0minfo\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'h_pad'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mw_pad\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0minfo\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'w_pad'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\matplotlib\\_tight_layout.py\u001b[0m in \u001b[0;36mget_tight_layout_figure\u001b[1;34m(fig, axes_list, subplotspec_list, renderer, pad, h_pad, w_pad, rect)\u001b[0m\n\u001b[0;32m 291\u001b[0m \u001b[0mtop\u001b[0m \u001b[1;33m-=\u001b[0m \u001b[1;33m(\u001b[0m\u001b[1;36m1\u001b[0m \u001b[1;33m-\u001b[0m \u001b[0mkwargs\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m\"top\"\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 292\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 293\u001b[1;33m kwargs = _auto_adjust_subplotpars(fig, renderer,\n\u001b[0m\u001b[0;32m 294\u001b[0m \u001b[0mshape\u001b[0m\u001b[1;33m=\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mmax_nrows\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mmax_ncols\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 295\u001b[0m \u001b[0mspan_pairs\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mspan_pairs\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\matplotlib\\_tight_layout.py\u001b[0m in \u001b[0;36m_auto_adjust_subplotpars\u001b[1;34m(fig, renderer, shape, span_pairs, subplot_list, ax_bbox_list, pad, h_pad, w_pad, rect)\u001b[0m\n\u001b[0;32m 80\u001b[0m \u001b[1;32mfor\u001b[0m \u001b[0max\u001b[0m \u001b[1;32min\u001b[0m \u001b[0msubplots\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 81\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0max\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget_visible\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m---> 82\u001b[1;33m \u001b[0mbb\u001b[0m \u001b[1;33m+=\u001b[0m \u001b[1;33m[\u001b[0m\u001b[0mmartist\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_get_tightbbox_for_layout_only\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0max\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mrenderer\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 83\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 84\u001b[0m \u001b[0mtight_bbox_raw\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mBbox\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0munion\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mbb\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\matplotlib\\artist.py\u001b[0m in \u001b[0;36m_get_tightbbox_for_layout_only\u001b[1;34m(obj, *args, **kwargs)\u001b[0m\n\u001b[0;32m 1413\u001b[0m \"\"\"\n\u001b[0;32m 1414\u001b[0m \u001b[1;32mtry\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 1415\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0mobj\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget_tightbbox\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m*\u001b[0m\u001b[0margs\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;33m**\u001b[0m\u001b[1;33m{\u001b[0m\u001b[1;33m**\u001b[0m\u001b[0mkwargs\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m\"for_layout_only\"\u001b[0m\u001b[1;33m:\u001b[0m \u001b[1;32mTrue\u001b[0m\u001b[1;33m}\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 1416\u001b[0m \u001b[1;32mexcept\u001b[0m \u001b[0mTypeError\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1417\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mobj\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget_tightbbox\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m*\u001b[0m\u001b[0margs\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;33m**\u001b[0m\u001b[0mkwargs\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\matplotlib\\axes\\_base.py\u001b[0m in \u001b[0;36mget_tightbbox\u001b[1;34m(self, renderer, call_axes_locator, bbox_extra_artists, for_layout_only)\u001b[0m\n\u001b[0;32m 4383\u001b[0m \u001b[1;32mfor\u001b[0m \u001b[0maxis\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_axis_map\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mvalues\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 4384\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0maxison\u001b[0m \u001b[1;32mand\u001b[0m \u001b[0maxis\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget_visible\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 4385\u001b[1;33m \u001b[0mba\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mmartist\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_get_tightbbox_for_layout_only\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0maxis\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mrenderer\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 4386\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mba\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 4387\u001b[0m \u001b[0mbb\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mappend\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mba\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\matplotlib\\artist.py\u001b[0m in \u001b[0;36m_get_tightbbox_for_layout_only\u001b[1;34m(obj, *args, **kwargs)\u001b[0m\n\u001b[0;32m 1413\u001b[0m \"\"\"\n\u001b[0;32m 1414\u001b[0m \u001b[1;32mtry\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 1415\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0mobj\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget_tightbbox\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m*\u001b[0m\u001b[0margs\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;33m**\u001b[0m\u001b[1;33m{\u001b[0m\u001b[1;33m**\u001b[0m\u001b[0mkwargs\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m\"for_layout_only\"\u001b[0m\u001b[1;33m:\u001b[0m \u001b[1;32mTrue\u001b[0m\u001b[1;33m}\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 1416\u001b[0m \u001b[1;32mexcept\u001b[0m \u001b[0mTypeError\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1417\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mobj\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget_tightbbox\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m*\u001b[0m\u001b[0margs\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;33m**\u001b[0m\u001b[0mkwargs\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\matplotlib\\axis.py\u001b[0m in \u001b[0;36mget_tightbbox\u001b[1;34m(self, renderer, for_layout_only)\u001b[0m\n\u001b[0;32m 1323\u001b[0m \u001b[0mticks_to_draw\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_update_ticks\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1324\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 1325\u001b[1;33m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_update_label_position\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mrenderer\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 1326\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1327\u001b[0m \u001b[1;31m# go back to just this axis's tick labels\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\matplotlib\\axis.py\u001b[0m in \u001b[0;36m_update_label_position\u001b[1;34m(self, renderer)\u001b[0m\n\u001b[0;32m 2563\u001b[0m \u001b[1;31m# get bounding boxes for this axis and any siblings\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 2564\u001b[0m \u001b[1;31m# that have been set by `fig.align_ylabels()`\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 2565\u001b[1;33m \u001b[0mbboxes\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mbboxes2\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_get_tick_boxes_siblings\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mrenderer\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mrenderer\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 2566\u001b[0m \u001b[0mx\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0my\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mlabel\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget_position\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 2567\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mlabel_position\u001b[0m \u001b[1;33m==\u001b[0m \u001b[1;34m'left'\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\matplotlib\\axis.py\u001b[0m in \u001b[0;36m_get_tick_boxes_siblings\u001b[1;34m(self, renderer)\u001b[0m\n\u001b[0;32m 2097\u001b[0m \u001b[1;32mfor\u001b[0m \u001b[0max\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mgrouper\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget_siblings\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0maxes\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 2098\u001b[0m \u001b[0maxis\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mgetattr\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0max\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34mf\"{axis_name}axis\"\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 2099\u001b[1;33m \u001b[0mticks_to_draw\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0maxis\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_update_ticks\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 2100\u001b[0m \u001b[0mtlb\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mtlb2\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0maxis\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_get_ticklabel_bboxes\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mticks_to_draw\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mrenderer\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 2101\u001b[0m \u001b[0mbboxes\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mextend\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mtlb\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\matplotlib\\axis.py\u001b[0m in \u001b[0;36m_update_ticks\u001b[1;34m(self)\u001b[0m\n\u001b[0;32m 1260\u001b[0m \u001b[0mthe\u001b[0m \u001b[0maxes\u001b[0m\u001b[1;33m.\u001b[0m \u001b[0mReturn\u001b[0m \u001b[0mthe\u001b[0m \u001b[0mlist\u001b[0m \u001b[0mof\u001b[0m \u001b[0mticks\u001b[0m \u001b[0mthat\u001b[0m \u001b[0mwill\u001b[0m \u001b[0mbe\u001b[0m \u001b[0mdrawn\u001b[0m\u001b[1;33m.\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1261\u001b[0m \"\"\"\n\u001b[1;32m-> 1262\u001b[1;33m \u001b[0mmajor_locs\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget_majorticklocs\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 1263\u001b[0m \u001b[0mmajor_labels\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mmajor\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mformatter\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mformat_ticks\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mmajor_locs\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1264\u001b[0m \u001b[0mmajor_ticks\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget_major_ticks\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mlen\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mmajor_locs\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\matplotlib\\axis.py\u001b[0m in \u001b[0;36mget_majorticklocs\u001b[1;34m(self)\u001b[0m\n\u001b[0;32m 1482\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0mget_majorticklocs\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1483\u001b[0m \u001b[1;34m\"\"\"Return this Axis' major tick locations in data coordinates.\"\"\"\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 1484\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mmajor\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mlocator\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 1485\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1486\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0mget_minorticklocs\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\matplotlib\\ticker.py\u001b[0m in \u001b[0;36m__call__\u001b[1;34m(self)\u001b[0m\n\u001b[0;32m 2134\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0m__call__\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 2135\u001b[0m \u001b[0mvmin\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mvmax\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0maxis\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget_view_interval\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 2136\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mtick_values\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mvmin\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mvmax\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 2137\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 2138\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0mtick_values\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mvmin\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mvmax\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\matplotlib\\ticker.py\u001b[0m in \u001b[0;36mtick_values\u001b[1;34m(self, vmin, vmax)\u001b[0m\n\u001b[0;32m 2142\u001b[0m vmin, vmax = mtransforms.nonsingular(\n\u001b[0;32m 2143\u001b[0m vmin, vmax, expander=1e-13, tiny=1e-14)\n\u001b[1;32m-> 2144\u001b[1;33m \u001b[0mlocs\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_raw_ticks\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mvmin\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mvmax\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 2145\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 2146\u001b[0m \u001b[0mprune\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_prune\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\matplotlib\\ticker.py\u001b[0m in \u001b[0;36m_raw_ticks\u001b[1;34m(self, vmin, vmax)\u001b[0m\n\u001b[0;32m 2081\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_nbins\u001b[0m \u001b[1;33m==\u001b[0m \u001b[1;34m'auto'\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 2082\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0maxis\u001b[0m \u001b[1;32mis\u001b[0m \u001b[1;32mnot\u001b[0m \u001b[1;32mNone\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 2083\u001b[1;33m nbins = np.clip(self.axis.get_tick_space(),\n\u001b[0m\u001b[0;32m 2084\u001b[0m max(1, self._min_n_ticks - 1), 9)\n\u001b[0;32m 2085\u001b[0m \u001b[1;32melse\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\matplotlib\\axis.py\u001b[0m in \u001b[0;36mget_tick_space\u001b[1;34m(self)\u001b[0m\n\u001b[0;32m 2732\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 2733\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0mget_tick_space\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 2734\u001b[1;33m ends = mtransforms.Bbox.unit().transformed(\n\u001b[0m\u001b[0;32m 2735\u001b[0m self.axes.transAxes - self.figure.dpi_scale_trans)\n\u001b[0;32m 2736\u001b[0m \u001b[0mlength\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mends\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mheight\u001b[0m \u001b[1;33m*\u001b[0m \u001b[1;36m72\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\matplotlib\\transforms.py\u001b[0m in \u001b[0;36mtransformed\u001b[1;34m(self, transform)\u001b[0m\n\u001b[0;32m 477\u001b[0m \"\"\"\n\u001b[0;32m 478\u001b[0m \u001b[0mpts\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget_points\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 479\u001b[1;33m ll, ul, lr = transform.transform(np.array(\n\u001b[0m\u001b[0;32m 480\u001b[0m [pts[0], [pts[0, 0], pts[1, 1]], [pts[1, 0], pts[0, 1]]]))\n\u001b[0;32m 481\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mBbox\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m[\u001b[0m\u001b[0mll\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;33m[\u001b[0m\u001b[0mlr\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mul\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m1\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\matplotlib\\transforms.py\u001b[0m in \u001b[0;36mtransform\u001b[1;34m(self, values)\u001b[0m\n\u001b[0;32m 1488\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1489\u001b[0m \u001b[1;31m# Transform the values\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 1490\u001b[1;33m \u001b[0mres\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mtransform_affine\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mtransform_non_affine\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mvalues\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 1491\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1492\u001b[0m \u001b[1;31m# Convert the result back to the shape of the input values.\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\matplotlib\\transforms.py\u001b[0m in \u001b[0;36mtransform_affine\u001b[1;34m(self, points)\u001b[0m\n\u001b[0;32m 2413\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0mtransform_affine\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mpoints\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 2414\u001b[0m \u001b[1;31m# docstring inherited\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 2415\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget_affine\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mtransform\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mpoints\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 2416\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 2417\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0mtransform_non_affine\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mpoints\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\matplotlib\\transforms.py\u001b[0m in \u001b[0;36mget_affine\u001b[1;34m(self)\u001b[0m\n\u001b[0;32m 2439\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_b\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget_affine\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 2440\u001b[0m \u001b[1;32melse\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 2441\u001b[1;33m return Affine2D(np.dot(self._b.get_affine().get_matrix(),\n\u001b[0m\u001b[0;32m 2442\u001b[0m self._a.get_affine().get_matrix()))\n\u001b[0;32m 2443\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32m<__array_function__ internals>\u001b[0m in \u001b[0;36mdot\u001b[1;34m(*args, **kwargs)\u001b[0m\n", + "\u001b[1;31mKeyboardInterrupt\u001b[0m: " + ] + } + ], + "source": [ + "sns.pairplot(df_inh_for_sig[df_inh_for_sig.cond=='m1'])" + ] + }, + { + "cell_type": "code", + "execution_count": 162, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "array(['acsf', 'agoanta', 'cirazoline', 'd1ago', 'd2', 'dopamine', 'm1',\n", + " 'sag'], dtype=object)" + ] + }, + "execution_count": 162, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "np.unique(df_inh_for_sig.cond)" + ] + }, + { + "cell_type": "code", + "execution_count": 164, + "metadata": {}, + "outputs": [ { "name": "stdout", "output_type": "stream", @@ -2087,9 +3171,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:4.307e-03 t=3.509e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:9.945e-03 t=-3.253e+00\n", - "0.0 vs. 2.0: t-test independent samples, P_val:5.418e-01 t=6.411e-01\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.874e-18 U_stat=3.470e+02\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.549e-03 U_stat=7.260e+02\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.188e-05 U_stat=1.070e+02\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2097,9 +3181,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:6.067e-01 t=5.286e-01\n", - "1.0 vs. 2.0: t-test independent samples, P_val:7.112e-02 t=2.046e+00\n", - "0.0 vs. 2.0: t-test independent samples, P_val:3.099e-02 t=2.692e+00\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.375e-01 U_stat=2.276e+03\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.409e-07 U_stat=8.870e+02\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.526e-06 U_stat=7.220e+02\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2107,9 +3191,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:9.487e-01 t=6.576e-02\n", - "1.0 vs. 2.0: t-test independent samples, P_val:5.879e-01 t=-5.619e-01\n", - "0.0 vs. 2.0: t-test independent samples, P_val:7.213e-01 t=-3.714e-01\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.059e-01 U_stat=2.855e+03\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.783e-04 U_stat=1.750e+02\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.745e-03 U_stat=1.800e+02\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2117,9 +3201,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:4.893e-04 t=4.729e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:8.059e-02 t=-1.968e+00\n", - "0.0 vs. 2.0: t-test independent samples, P_val:1.924e-01 t=1.443e+00\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.805e-18 U_stat=3.580e+02\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.417e-05 U_stat=8.140e+02\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.343e-01 U_stat=3.000e+02\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2127,9 +3211,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:2.091e-01 t=1.327e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:4.138e-01 t=8.569e-01\n", - "0.0 vs. 2.0: t-test independent samples, P_val:3.958e-01 t=9.045e-01\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.088e-02 U_stat=1.992e+03\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.420e-04 U_stat=7.550e+02\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.712e-03 U_stat=5.950e+02\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2137,37 +3221,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:1.150e-01 t=-1.699e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:1.880e-01 t=1.425e+00\n", - "0.0 vs. 2.0: t-test independent samples, P_val:7.924e-01 t=2.734e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:9.350e-02 t=1.822e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:2.456e-01 t=-1.242e+00\n", - "0.0 vs. 2.0: t-test independent samples, P_val:5.279e-01 t=6.641e-01\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.160e-02 U_stat=1.854e+03\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.101e-01 U_stat=5.470e+02\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.834e-01 U_stat=3.450e+02\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2175,9 +3231,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:4.866e-02 t=2.077e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:3.004e-01 t=-1.063e+00\n", - "0.0 vs. 2.0: t-test independent samples, P_val:7.890e-01 t=2.799e-01\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.240e-07 U_stat=1.267e+03\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.241e-01 U_stat=4.430e+02\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.688e-05 U_stat=1.080e+02\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2185,9 +3241,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:9.665e-01 t=4.248e-02\n", - "1.0 vs. 2.0: t-test independent samples, P_val:8.334e-01 t=-2.131e-01\n", - "0.0 vs. 2.0: t-test independent samples, P_val:2.049e-01 t=-1.422e+00\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.976e-02 U_stat=2.000e+00\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.852e-01 U_stat=9.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.722e-02 U_stat=3.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2195,9 +3251,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:8.814e-01 t=1.508e-01\n", - "1.0 vs. 2.0: t-test independent samples, P_val:2.031e-01 t=-1.316e+00\n", - "0.0 vs. 2.0: t-test independent samples, P_val:2.434e-01 t=-1.294e+00\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.193e-01 U_stat=7.000e+00\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.123e-01 U_stat=1.200e+01\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.366e-01 U_stat=1.700e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2205,9 +3261,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:3.429e-02 t=2.244e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:7.442e-01 t=3.309e-01\n", - "0.0 vs. 2.0: t-test independent samples, P_val:3.213e-01 t=1.081e+00\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.951e-01 U_stat=9.000e+00\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.123e-01 U_stat=1.200e+01\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.366e-01 U_stat=1.100e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2215,9 +3271,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:7.691e-01 t=-2.969e-01\n", - "1.0 vs. 2.0: t-test independent samples, P_val:1.097e-01 t=1.674e+00\n", - "0.0 vs. 2.0: t-test independent samples, P_val:1.991e-01 t=1.443e+00\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.073e-02 U_stat=0.000e+00\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.123e-01 U_stat=1.200e+01\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.082e-01 U_stat=5.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2225,9 +3281,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:8.747e-01 t=-1.593e-01\n", - "1.0 vs. 2.0: t-test independent samples, P_val:8.067e-01 t=2.479e-01\n", - "0.0 vs. 2.0: t-test independent samples, P_val:8.154e-01 t=2.440e-01\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.951e-01 U_stat=1.900e+01\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.705e-01 U_stat=5.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.247e-01 U_stat=1.500e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2235,27 +3291,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:5.206e-04 t=4.005e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:2.761e-01 t=-1.120e+00\n", - "0.0 vs. 2.0: t-test independent samples, P_val:3.020e-01 t=1.129e+00\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.193e-01 U_stat=2.100e+01\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.939e-01 U_stat=3.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.366e-01 U_stat=1.100e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2263,7 +3301,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:9.467e-04 t=4.250e+00\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.986e-01 U_stat=8.000e+00\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.939e-01 U_stat=1.300e+01\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.247e-01 U_stat=1.400e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2271,7 +3311,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:6.030e-03 t=3.275e+00\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.509e-03 U_stat=2.000e+00\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.186e-01 U_stat=1.200e+01\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.209e-02 U_stat=1.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2279,7 +3321,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:5.862e-01 t=5.581e-01\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.200e-01 U_stat=1.400e+01\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.281e-02 U_stat=1.700e+01\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.846e-01 U_stat=1.900e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2287,7 +3331,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:7.395e-03 t=3.169e+00\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.485e-01 U_stat=2.400e+01\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.973e-01 U_stat=9.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.750e-01 U_stat=8.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2295,7 +3341,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:7.079e-01 t=-3.830e-01\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.415e-03 U_stat=0.000e+00\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.453e-01 U_stat=1.400e+01\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.273e-02 U_stat=3.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2303,7 +3351,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:6.755e-01 t=4.282e-01\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.485e-01 U_stat=2.400e+01\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.973e-01 U_stat=9.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.595e-01 U_stat=1.400e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2311,27 +3361,16 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:1.194e-04 t=5.409e+00\n" + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.066e-01 U_stat=3.700e+01\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.973e-01 U_stat=1.000e+01\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.273e-02 U_stat=2.100e+01\n" ] }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - }, { "name": "stderr", "output_type": "stream", "text": [ - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\fromnumeric.py:3702: RuntimeWarning: Degrees of freedom <= 0 for slice\n", - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_methods.py:253: RuntimeWarning: invalid value encountered in double_scalars\n" + ":42: RuntimeWarning: More than 20 figures have been opened. Figures created through the pyplot interface (`matplotlib.pyplot.figure`) are retained until explicitly closed and may consume too much memory. (To control this warning, see the rcParam `figure.max_open_warning`). Consider using `matplotlib.pyplot.close()`.\n" ] }, { @@ -2345,23 +3384,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:7.639e-03 t=3.113e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:nan t=nan\n", - "0.0 vs. 2.0: t-test independent samples, P_val:nan t=nan\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\fromnumeric.py:3702: RuntimeWarning: Degrees of freedom <= 0 for slice\n", - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_methods.py:253: RuntimeWarning: invalid value encountered in double_scalars\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.136e-02 U_stat=1.000e+01\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.973e-01 U_stat=9.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.258e-01 U_stat=4.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2369,9 +3394,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:7.677e-01 t=3.012e-01\n", - "1.0 vs. 2.0: t-test independent samples, P_val:nan t=nan\n", - "0.0 vs. 2.0: t-test independent samples, P_val:nan t=nan\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.615e-01 U_stat=3.650e+01\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.676e-01 U_stat=6.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.914e-01 U_stat=1.200e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2379,37 +3404,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:8.835e-01 t=-1.492e-01\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\fromnumeric.py:3702: RuntimeWarning: Degrees of freedom <= 0 for slice\n", - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_methods.py:253: RuntimeWarning: invalid value encountered in double_scalars\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "1.0 vs. 2.0: t-test independent samples, P_val:nan t=nan\n", - "0.0 vs. 2.0: t-test independent samples, P_val:nan t=nan\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\fromnumeric.py:3702: RuntimeWarning: Degrees of freedom <= 0 for slice\n", - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_methods.py:253: RuntimeWarning: invalid value encountered in double_scalars\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.076e-01 U_stat=6.450e+01\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.433e-01 U_stat=2.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.888e-01 U_stat=8.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2417,9 +3414,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:3.194e-02 t=2.382e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:nan t=nan\n", - "0.0 vs. 2.0: t-test independent samples, P_val:nan t=nan\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.515e-01 U_stat=5.850e+01\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.433e-01 U_stat=2.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.888e-01 U_stat=8.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2427,37 +3424,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:9.162e-02 t=1.811e+00\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\fromnumeric.py:3702: RuntimeWarning: Degrees of freedom <= 0 for slice\n", - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_methods.py:253: RuntimeWarning: invalid value encountered in double_scalars\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "1.0 vs. 2.0: t-test independent samples, P_val:nan t=nan\n", - "0.0 vs. 2.0: t-test independent samples, P_val:nan t=nan\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\fromnumeric.py:3702: RuntimeWarning: Degrees of freedom <= 0 for slice\n", - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_methods.py:253: RuntimeWarning: invalid value encountered in double_scalars\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.850e-02 U_stat=2.550e+01\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.433e-01 U_stat=1.000e+01\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.892e-01 U_stat=2.400e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2465,23 +3434,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:9.044e-01 t=-1.223e-01\n", - "1.0 vs. 2.0: t-test independent samples, P_val:nan t=nan\n", - "0.0 vs. 2.0: t-test independent samples, P_val:nan t=nan\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\fromnumeric.py:3702: RuntimeWarning: Degrees of freedom <= 0 for slice\n", - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_methods.py:253: RuntimeWarning: invalid value encountered in double_scalars\n" - ] - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.076e-01 U_stat=6.450e+01\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.675e-02 U_stat=1.200e+01\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.652e-02 U_stat=3.600e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2489,27 +3444,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:2.993e-02 t=2.416e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:nan t=nan\n", - "0.0 vs. 2.0: t-test independent samples, P_val:nan t=nan\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.551e-01 U_stat=5.650e+01\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.676e-01 U_stat=7.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.639e-01 U_stat=2.200e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2517,9 +3454,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:2.096e-11 t=1.003e+01\n", - "1.0 vs. 2.0: t-test independent samples, P_val:2.932e-02 t=-2.427e+00\n", - "0.0 vs. 2.0: t-test independent samples, P_val:3.230e-02 t=2.301e+00\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.161e-03 U_stat=1.450e+01\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.433e-01 U_stat=1.000e+01\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.888e-01 U_stat=8.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2527,9 +3464,7 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:5.749e-01 t=5.666e-01\n", - "1.0 vs. 2.0: t-test independent samples, P_val:1.338e-02 t=-2.830e+00\n", - "0.0 vs. 2.0: t-test independent samples, P_val:7.808e-03 t=-2.956e+00\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.000e-03 U_stat=1.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2537,9 +3472,7 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:8.845e-03 t=-2.788e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:6.793e-03 t=3.172e+00\n", - "0.0 vs. 2.0: t-test independent samples, P_val:9.822e-02 t=1.735e+00\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.694e-03 U_stat=0.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2547,9 +3480,7 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:4.297e-08 t=7.132e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:2.076e-02 t=-2.605e+00\n", - "0.0 vs. 2.0: t-test independent samples, P_val:8.470e-01 t=-1.954e-01\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.815e-01 U_stat=2.000e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2557,9 +3488,7 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:1.853e-02 t=2.481e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:1.160e-04 t=-5.281e+00\n", - "0.0 vs. 2.0: t-test independent samples, P_val:1.669e-01 t=-1.435e+00\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.857e-03 U_stat=2.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2567,9 +3496,7 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:6.516e-01 t=4.558e-01\n", - "1.0 vs. 2.0: t-test independent samples, P_val:5.809e-01 t=-5.652e-01\n", - "0.0 vs. 2.0: t-test independent samples, P_val:4.244e-01 t=-8.155e-01\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.682e-01 U_stat=2.900e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2577,27 +3504,15 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:2.668e-03 t=3.257e+00\n", - "1.0 vs. 2.0: t-test independent samples, P_val:6.314e-01 t=-4.905e-01\n", - "0.0 vs. 2.0: t-test independent samples, P_val:3.014e-01 t=1.061e+00\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.815e-01 U_stat=2.000e+01\n", + "p-value annotation legend:\n", + " ns: p <= 1.00e+00\n", + " *: 1.00e-02 < p <= 5.00e-02\n", + " **: 1.00e-03 < p <= 1.00e-02\n", + " ***: 1.00e-04 < p <= 1.00e-03\n", + " ****: p <= 1.00e-04\n", + "\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.694e-03 U_stat=0.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2605,7 +3520,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:5.115e-02 t=2.349e+00\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.786e-02 U_stat=2.000e+00\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.403e-01 U_stat=2.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.976e-01 U_stat=2.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2613,7 +3530,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:8.281e-01 t=2.254e-01\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.825e-01 U_stat=8.000e+00\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.403e-01 U_stat=2.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.179e-01 U_stat=1.100e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2621,7 +3540,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:6.471e-01 t=-4.782e-01\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.367e-01 U_stat=1.500e+01\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.403e-01 U_stat=0.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.325e-01 U_stat=0.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2629,7 +3550,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:1.236e-02 t=3.344e+00\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.786e-02 U_stat=2.000e+00\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.403e-01 U_stat=2.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.285e-01 U_stat=9.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2637,7 +3560,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:3.939e-01 t=9.084e-01\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.784e-01 U_stat=1.000e+01\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.403e-01 U_stat=2.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.325e-01 U_stat=1.400e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2645,7 +3570,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:2.803e-01 t=-1.170e+00\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.784e-01 U_stat=1.800e+01\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.403e-01 U_stat=2.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.976e-01 U_stat=1.200e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2653,50 +3580,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: t-test independent samples, P_val:3.525e-01 t=9.958e-01\n" - ] - }, - { - "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAABKcAAAJPCAYAAACpR7eoAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjMuNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8QVMy6AAAACXBIWXMAAAsTAAALEwEAmpwYAAB3rUlEQVR4nOz9e5ydZX3v/7/eBEG2oiBECYEANpEWLEUZ0f6qVAU0uqtUrRZPoLWmVImprVWp9dBS9he11oYo0qhsoVWR7ZEqosBuZduKEixnREYIkgMQImpUJE3y+f2x7tHFOEkmmVlzrzXzej4e6zHrvu7rvu7Pyhou1vrMdUhVIUmSJEmSJLVhl7YDkCRJkiRJ0sxlckqSJEmSJEmtMTklSZIkSZKk1pickiRJkiRJUmtMTkmSJEmSJKk1JqckSZIkSZLUGpNTkjQJkhyY5N+S3JzkxiRL2o5JkiRJkgZBqqrtGCRp4CWZA8ypqm8n2RO4Gvj9qrqp5dAkSZIkqa/t2nYAU23fffetgw8+uO0wJE3Q1VdffW9VzW47jhFVtRZY2zzfkORmYC4wZnLKvkiaHvqtL9pR9kXS9GBfJKkfTKQvmnHJqYMPPpgVK1a0HYakCUpyR9sxbE2Sg4EnAN8cVb4IWAQwb948+yJpGujnvmg8/FwkTQ/2RZL6wUT6IteckqRJlOThwGeAP6uqH3efq6rlVTVUVUOzZw/sHzclSZIkaVKZnJKkSZLkIXQSUx+vqs+2HY8kSZIkDQKTU5I0CZIE+Chwc1X9Q9vxSJIkSdKgMDklSZPjd4BXAs9Mck3zeG7bQUmSJElSv5txC6JLUi9U1deBtB2HJEmSJA0aR05JkiRJkiSpNX2fnEry4iQ3JtmSZGjUudOSDCe5Jcmz24pRkiRJkiRJO6fvk1PADcALgSu6C5McBpwIHA4sBM5OMmvqw5MkSZIkSWOpKgDe9a53PehY6tb3a05V1c0AnY2wHuQE4IKqegC4PckwcDTwjamNUJIkSZIkjeXjH/84a9as4ec//znvec972H///XnFK17RdljqM4Mwcmpr5gJ3dh2vasp+RZJFSVYkWbFu3bopCU5TY+XKlfzGb/wGr33tazn88MN51rOexf33389ZZ53FYYcdxhFHHMGJJ57YdpiSZgD7I0n9wL5IUr95xStewYEHHsh73vMe5s2bZ2JKY+qLkVNJLgP2G+PU26rqC1u7bIyyMccHVtVyYDnA0NCQYwinmVtvvZVPfvKTfPjDH+YlL3kJn/nMZzjzzDO5/fbb2X333fnhD3/YdoiSZgj7I0n9wL5IUj/5xCc+wapVq3jzm9/M97//fT7xiU/wspe9rO2w1Gf6YuRUVR1XVY8f47G1xBR0Rkod2HV8ALCmt5GqHx1yyCEceeSRABx11FGsXLmSI444gpe//OX8y7/8C7vu2hc5WEkzgP2RpH5gXySpn7z0pS/lzW9+Mw996EN585vfzEtf+tK2Q1If6ovk1E66CDgxye5JDgEWAN9qOSa1YPfdd//F81mzZrFp0ya+9KUv8frXv56rr76ao446ik2bNrUYoaSZwv5IUj+wL5LUT0bWjx5ZEH2M9aSl/k9OJXlBklXAbwNfSvIVgKq6EbgQuAm4BHh9VW1uL1L1iy1btnDnnXfyjGc8g/e85z388Ic/5Cc/+UnbYUmageyPJPUD+yLtiCSnJ7kuyTVJvppk/6b8+CRXJ7m++fnMrVz/riSrm+uvSfLcqX0FkgZR34/prarPAZ/byrkzgDOmNiL1u82bN/OKV7yCH/3oR1QVb3zjG9lrr73aDkvSDGR/JKkf2BdpB723qt4OkOQNwDuAU4B7gedV1Zokjwe+wlY2pALeX1V/PyXRSpoW+j45JW3LwQcfzA033PCL4ze96U0tRiNpJrM/ktQP7Is0UVX1467Dh9FsOlVV/9VVfiPw0CS7V9UDUxmfpOnJ5JQkqec++9nPcskll7Qdhnps4cKFvPCFL2w7DEnSBCU5AzgJ+BHwjDGqvAj4r20kpk5NchKwAviLqrqvN5FKmi5MTk1zfiGcGfxCqH53ySWX8N3vfpfHPe5xbYeiHvnud78LYF+kvubnopnBz0Xbl+QyYL8xTr2tqr5QVW8D3pbkNOBU4J1d1x4OvBt41laa/xBwOp0RV6cD7wP+aIwYFgGLAObNm7fzL2YA2RfNDPZFO8bk1DTnF8Lpzy+EGhSPe9zjWL58edthqEcWLVrUdgjTXpKFwFJgFvCRqjpzjDpPB/4ReAhwb1X97hSG2Pf8XDT9+blofKrquHFW/QTwJZrkVJID6KwHfFJVfW8rbd898jzJh4EvbqXecmA5wNDQUI07+GnAvmj6sy/acSanZgC/EE5vfiGUpOkvySzgg8DxwCrgqiQXVdVNXXX2As4GFlbV95M8upVg+5yfi6Y3PxdNXJIFVXVrc/h84DtN+V50ElWnVdV/bOP6OVW1tjl8AXDD1urOZPZF05t90Y7bpe0AJEmStF1HA8NVdVtVbQQuAE4YVedlwGer6vsAVXXPFMcoaXo4M8kNSa6jM3VvSVN+KjAfeHuSa5rHowGSfCTJUFPvPUmub65/BvDGqX4BkgaPI6ckSZL631zgzq7jVcCTR9V5HPCQJP8O7AksrarzRzc0k9d5kbR9VfWirZT/HfB3Wzn3x13PX9mj0CRNYyanprlDDz207RDUY77HGgT+nk5/vsc9lzHKRq/RsitwFHAssAfwjSRXVtV3H3TRDF7nxd/T6c/3WIPA39Ppz/d4x6VqRn0mYWhoqFasWNF2GJImKMnVVTW0/Zr9yb5Imh6mqi9K8tvAu6rq2c3xaQBV9f911Xkr8NCqeldz/FHgkqr6P1tr175Imh78XCSpH0ykL3LNKUmSpP53FbAgySFJdgNOBC4aVecLwNOS7Jrkf9CZ9nfzFMcpSZK0w5zWJ0mS1OeqalOSU4GvALOAc6vqxiSnNOfPqaqbk1wCXAdsAT5SVe6SJUmS+p7JKUmSpAFQVRcDF48qO2fU8XuB905lXJIkSRPltD5JkiRJkiS1xuSUJEmSJEmSWmNySpIkSZIkSa0xOSVJkiRJkqTWmJySJEmSJElSa0xOSZIkSZIkqTUmpyRJ0oStX7+eN7zhDaxfv77tUCRJkjRgdm07gJls2bJlDA8P9/Qeq1evBmDu3Lk9u8f8+fNZvHhxz9qXJPW/8847j+uvv57zzz+fN77xjW2HowHV689Gfi6SNB72RdLUc+TUNHf//fdz//33tx2GJGkaW79+PZdccglVxSWXXOLoKfUtPxdJ6gf2RdKvcuRUi6Yik71kyRIAli5d2vN7SZJmpvPOO48tW7YAsHnzZkdPaaf1+rORn4skjYd9kTT1HDklSZIm5LLLLmPTpk0AbNq0iUsvvbTliCRJkjRITE5JkqQJOe6449h1185g7F133ZXjjz++5YgkSZI0SExOSZKkCTn55JPZZZfOR4pZs2Zx0kkntRyRJEmSBonJKUmSNCH77LMPCxcuJAkLFy5kn332aTskSZIkDRAXRJckSRN28skns3LlSkdNSZIkaYeZnJIkSRO2zz77cNZZZ7UdhiRJkgaQ0/okSZIkSZLUGpNTkjQJkpyb5J4kN7QdiyRJkiQNEpNTkjQ5PgYsbDsISZIkSRo0JqckaRJU1RXAD9qOQ5IkSZIGjckpSZoiSRYlWZFkxbp169oOR5Ik6VckOT3JdUmuSfLVJPs35Qcnub8pvybJOVu5/lFJLk1ya/Nz76l9BZIGUd8np5K8OMmNSbYkGeoqH1fnKEn9oqqWV9VQVQ3Nnj277XAkSZLG8t6qOqKqjgS+CLyj69z3qurI5nHKVq5/K3B5VS0ALm+OJWmbdm07gHG4AXgh8E9jnPte02lKkiRJkiaoqn7cdfgwoHawiROApzfPzwP+HXjLhAOTNK31fXKqqm4GSNJ2KJIkSZI07SU5AzgJ+BHwjK5ThyT5L+DHwF9X1f8b4/LHVNVagKpam+TRPQ9Y0sDr+2l923FIkv9K8rUkT2s7GEkzV5JPAt8ADk2yKslr2o5JkiRpLEkuS3LDGI8TAKrqbVV1IPBx4NTmsrXAvKp6AvDnwCeSPGICMbgWp6Rf6IuRU0kuA/Yb49TbquoLW7lspHNcn+Qo4PNJDh81DHWk/UXAIoB58+ZNVtiS9AtV9dK2Y5AkSRqPqjpunFU/AXwJeGdVPQA80Fx/dZLvAY8DVoy65u4kc5pRU3OAe7YSw3JgOcDQ0NCOTh2UNM30RXJqBzrH7mvG2zna8UmSJEnSOCRZUFW3NofPB77TlM8GflBVm5M8FlgA3DZGExcBJwNnNj+3NthAkn6hL5JTO2MHOkdJkiRJfWDZsmUMDw/3rP3Vq1cDMHfu3J7dA2D+/PksXry4p/do0ZlJDgW2AHcAI7vyHQP8bZJNwGbglKr6AUCSjwDnVNUKOkmpC5slDr4PvHiqX4CkwdP3yakkLwCWAbOBLyW5pqqezTY6R0mSJEkzz/333992CAOvql60lfLPAJ/Zyrk/7nq+Hji2N9FJmq76PjlVVZ8DPjdG+VY7R0nSjpkOf8me5n/FlqRpodf99JIlSwBYunRpT+8jSZpcfZ+ckqSZrteJI+gkj3r51+aRtnt5j9WrV/f038nklyRJktQbJqckqc8NDw9z0y23svf+B/XsHrs8YjYP2+nNoLdvy713AfCwfcfamHXyrN2wsSft3rfmjp60K0mSJMnklCQNhL33P4hjX/f2tsOYsS4/+/S2Q5BIshBYCswCPlJVZ446/3Q6u2Ld3hR9tqr+dipjlCRJ2hkmpyRJkvpcklnAB4HjgVXAVUkuqqqbRlX9f1X1e1MeoCRJ0gTs0nYAkiRJ2q6jgeGquq2qNgIXACe0HJMkSdKkMDklSZLU/+YCd3Ydr2rKRvvtJNcm+XKSw8dqKMmiJCuSrFi3bl0vYpUkSdohJqckSZL6X8Yoq1HH3wYOqqrfApYBnx+roapaXlVDVTU0e/bsyY1SkiRpJ5ickiRJ6n+rgAO7jg8A1nRXqKofV9VPmucXAw9Jsu/UhShJkrRzXBBdkqQ+sGzZMoaHh3vW/urVq7n//vt71v5U2GOPPZg7d6yZbJNn/vz5LF68uKf32ElXAQuSHAKsBk4EXtZdIcl+wN1VVUmOpvNHyPVTHqkkSdIOMjklSVIfGB4e5qZbbmXv/Q/qSfs/3biZTZtHzwIbLFs2bmbtho09a/++NXf0rO2JqqpNSU4FvgLMAs6tqhuTnNKcPwf4A+BPk2wC7gdOrKrBftMlSdKMYHJKkqQ+sff+B3Hs697edhgz1uVnn952CNvUTNW7eFTZOV3PPwB8YKrjkiRJmiiTU5LU515wxRXMvutu9r722rZDmbGeumYl6/Z7TNthSJIkSdOSC6JLkiRJkiSpNY6ckqQ+97ljjmHtho1O92rR5Wefzpw9d+PpbQciSZIkTUMmpyRJ6gNO32yf0zclSZLa4bQ+SZIkSZIktcaRU5Ik9QGnb7bP6ZuSJEntcOSUJEmSJEmSWmNySpIkSZIkSa0xOSVJkiRJkqTWuOaUJEmSJACWLVvG8PBw22HstJHYlyxZ0nIkEzN//nwWL17cdhiSNGVMTkmS1CfuW3MHl599ek/a3nDvXWza+EBP2p4qu+62O3vuu1/P2r9vzR3MOXRBz9qXBsHw8DA33XIre+9/UNuh7JQts3YDYO2GjS1HsvPuW3NH2yFI0pQzOSVJUh+YP39+T9vf8uNZ3L85Pb1Hr+2x2yzm7Llbz9qfc+iCnr8P0iDYe/+D3Dm0Rb36I4Uk9TOTU5Ik9QGnb0iSJGmmckF0SZIkSRIASU5Pcl2Sa5J8Ncn+TfnLm7KRx5YkR45x/buSrO6q99wpfxGSBo7JKUmSJEnSiPdW1RFVdSTwReAdAFX18ao6sil/JbCyqq7ZShvvH6lbVRdPRdCSBpvJKUmSJEkSAFX1467DhwE1RrWXAp+cmogkzQQmpyRJkiRJv5DkjCR3Ai+nGTk1yh+y7eTUqc3UwHOT7N2TICVNKyanJEmSJGkGSXJZkhvGeJwAUFVvq6oDgY8Dp4669snAz6rqhq00/yHg14AjgbXA+7YSw6IkK5KsWLdu3SS9MkmDyt36JEmSJGkGqarjxln1E8CXgHd2lZ3INkZNVdXdI8+TfJjOulVj1VsOLAcYGhoaa+qgpBnEkVOSJEmSJACSLOg6fD7wna5zuwAvBi7YxvVzug5fAGxthJUk/YIjpyRJkiRJI85MciiwBbgDOKXr3DHAqqq6rfuCJB8BzqmqFcB7khxJZyH1lcCfTEXQkgabySlJkiRJEgBV9aJtnPt34CljlP9x1/NX9iYySdOZ0/okSZIkSZLUmr5PTiV5b5LvNFuRfi7JXl3nTksynOSWJM9uMUxJM1yShU1fNJzkrW3HI0mSJEmDYhCm9V0KnFZVm5K8GzgNeEuSw+jsFHE4sD9wWZLHVdXmFmOVNAMlmQV8EDgeWAVcleSiqrqp3cgkSZKmn2XLljE8PNx2GDttJPYlS5a0HMnEzJ8/n8WLF7cdhqaJvk9OVdVXuw6vBP6geX4CcEFVPQDcnmQYOBr4xhSHKElHA8Mji4MmuYBOH2VySpIkaZINDw9z0y23svf+B7Udyk7ZMms3ANZu2NhyJDvvvjV3tB2Cppm+T06N8kfAp5rnc+kkq0asasp+RZJFwCKAefPm9TI+STPTXODOruNVwJNbikWSJGna23v/gzj2dW9vO4wZ6/KzT287BE0zfbHmVJLLktwwxuOErjpvAzYBHx8pGqOpGqv9qlpeVUNVNTR79uzJfwGSZrpx9UdJFiVZkWTFunXrpiAsSZIkSep/fTFyqqqO29b5JCcDvwccW1UjX/hWAQd2VTsAWNObCCVpm8bVH1XVcmA5wNDQ0JjJdEmSJEmaafpi5NS2JFkIvAV4flX9rOvURcCJSXZPcgiwAPhWGzFKmvGuAhYkOSTJbnQ2a7io5ZgkSZIkaSD0xcip7fgAsDtwaRKAK6vqlKq6McmFdBYc3gS8frJ36hv0XSBgeuwE4S4Q6nfNbqKnAl8BZgHnVtWNk3mP+9bcMdBz+zfcexcAe+67X8uR7Jz71tzBnEMXtB2GJEmSNC31fXKqquZv49wZwBm9uveg7wIBg78ThLtAaLIleQzwv4D9q+o5SQ4DfruqPjqRdqvqYuDiyYhxtPnzt9oNDoyf3t3pg+bsuVvLkeycOYcumBbvgyRJktSP+j451TZ3gWjXII8UUd/6GPC/gbc1x9+lswvohJJTvTQdRg6OjN5cunRpy5FIg6tZ6mApnRGaH6mqM7dS70l0djT+w6r69BSGKEmStFP6fs0pSZpk+1bVhcAW6EzJAyZ1SrAkTbYks4APAs8BDgNe2oz8HKveu+lMM5YkSRoIJqckzTQ/TbIPUABJngL8qN2QJGm7jgaGq+q2qtoIXACcMEa9xcBngHumMjhJkqSJcFqfpJnmz+nspPdrSf4DmA38QbshSdJ2zQXu7DpeBTy5u0KSucALgGcCT5q60CRJkibG5JSkGaWqvp3kd4FDgQC3VNV/txyWJG1PxiirUcf/CLylqjY3OxyP3VCyCFgEMG/evMmKT5IkaadN6rS+JBuS/HjU484kn0vy2Mm8lyTtjCSvBx5eVTdW1Q3Aw5O8ru24JGk7VgEHdh0fAKwZVWcIuCDJSjojQs9O8vujG6qq5VU1VFVDs2fP7lG4kiRJ4zfZa079A/CXdIaeHwC8CfgwnXURzp3ke0nSznhtVf1w5KCq7gNe2144kjQuVwELkhySZDfgRDpTlH+hqg6pqoOr6mDg08DrqurzUx6pJEnSDprs5NTCqvqnqtpQVT+uquXAc6vqU8Dek3wvSdoZu6Rrvkuzs9VuLcYjSdvV7Cx6Kp1d+G4GLqyqG5OckuSUdqOTJEmamMlec2pLkpfQ+WsdPHiR4dHrIkhSG74CXJjkHDr90inAJe2GJEnbV1UXAxePKjtnK3VfNRUxSZIkTYbJTk69HFgKnE3nS9+VwCuS7EHnr32S1La3AH8C/CmdBYa/Cnyk1YgkSZIkaQab7OTUhqp63lbOfX2S7yVJO6yqtgAfah6SJEmSpJZN9ppT/5nkq0lek2SvSW5bkiYsye8kuTTJd5PcluT2JLe1HZckSZIkzVSTOnKqqhYkOZrODjJvS3ITcEFV/ctk3keSJuCjwBuBq4HNLcciSZIkSTPeZI+coqq+VVV/DhwN/AA4b7LvIUkT8KOq+nJV3VNV60cebQclSZIkSTPVpI6cSvII4AV0Rk79GvA5OkkqSeoX/5bkvcBngQdGCqvq2+2FJEmSJEkz12QviH4t8Hngb6vqG5PctiRNhic3P4e6ygp4ZguxSJIk9aUkbwLeC8yuqnubstOA19BZGuENVfWVMa57FPAp4GBgJfCSqrpvisKWNKAmOzn12KqqSW5TkiZNVT2j7RgkSZL6WZIDgeOB73eVHUZnhszhwP7AZUkeV1Wj1/B8K3B5VZ2Z5K3N8VumJnJJg2qyk1P7JnkznQ7roSOFVeWIBEl9I8n/5Ff7qb9tLyJJkqQds2XLFj796U/zkpe8pBfNvx94M/CFrrIT6Gx29QBwe5JhOku4jJ4xcwLw9Ob5ecC/Y3JK0nZM9oLoHwe+AxwC/A2dYZxXTfI9JGmnJTkH+ENgMRDgxcBBrQYlSZK0g3bZZRc+8IEPTHq7SZ4PrK6qa0edmgvc2XW8qikb7TFVtRag+fnoSQ9S0rQz2SOn9qmqjyZZUlVfA76W5GuTfA9Jmoj/X1UdkeS6qvqbJO+jszi6JPVUkjdX1XuSLKOz1t2DVNUbWghL0gA7/vjj+fu//3uAhzRrPQFQVT/Y1nVJLgP2G+PU24C/Ap411mVjlO30ki5JFgGLAObNm7dD177giiuYfdfd7H3t6PyZpspT16xk3X6PaTsMTSOTnZz67+bn2mbazBrggEm+hyRNxP3Nz58l2R9YT2e0pyT12s3NzxVM4AudJI0499xzR57+OnB187yAx27ruqo6bqzyJL9J53PRtUmg813u20mOpjNS6sCu6gfQ+b432t1J5lTV2iRzgHu2EsNyYDnA0NCQfaI0w012curvkjwS+AtgGfAI4I2TfA9JmogvJtmLzu4z36bzAe4jrUYkaUaoqn9tnt5EZ2TCwfzys1gB57cQlqQBdvvttwOQ5PqqGtpO9e2qquvpmoaXZCUwVFX3JrkI+ESSf6CzIPoC4FtjNHMRcDJwZvPzC2PUmZDPHXMMazds5NjXvX2ym9Y4XX726czZc7dfLC4mTdSkJqeq6ovN0x8Bv7IjVpLTqur/m8x7StIOek+zkOdnknyRzqLoP285Jkkzy78AfwlcD2xpORZJA+4///M/AR6V5KSRsqqa9GR3Vd2Y5EI6CfZNwOtHdupL8hHgnKpaQScpdWGS19DZ7e/Fkx2LpOlnskdObc+LAZNTktr0DeCJAE2S6oEk3x4pk6QpsK6qLmo7CEmD75WvfCXf+973AB4OPKkpnrSRmFV18KjjM4Azxqj3x13P1wPHTsb9Jc0cU52cGmsRPUnquST70dlRZo8kT+CX/dEjgP/RWmCSZqJ3NqMMLgceGCmsKjdnkLRDVqxYwU033cQuu+zy/apa3HY8krSzpjo55UJ3ktrybOBVdBbvfB+/TE5toLP2iyRNlVfTWbz4IfxyWl/R5zuHLlu2jOHh4bbDmJCR+JcsWdJyJBMzf/58Fi82DyF4/OMfz1133dV2GJI0YY6ckjQjVNV5wHlJXlRVn2k7Hkkz2m9V1W+2HcSOGh4e5qZbbmXv/Q9qO5SdtmXWbgCs3bCx5Uh23n1r7mg7BPWB5z3veSRhw4YNHHbYYQALmgXLAaiq57cXnSTtuKlOTv2fKb6fJI12QJJH0Bkx9WE6a029taq+2m5YkmaQK5McVlU3tR3Ijtp7/4PcHatll599etshqA+86U1voqp4y1vewuc//3me/vSnr+WXI8Pf3XJ4krTDJjU5leQQYDEP3hr5F5n7qvpfk3k/SdoJf1RVS5M8m85Wya8G/jdgckrSVHkqcHKS2+msORWgquqIdsOSNCh+93d/F4D//u//Hnn+k6r6GkCSPVoMTZJ2ymSPnPo88FHgX3FrZEn9aWR68XOB/11V1yZxyrGkqbSw7QCkrXnBFVcw+6672fvaa9sOZcZ66pqVrNvvMdus86EPfYizzz6b2267jSOOOALgsCTXAXsC/zEFYUrSpJrs5NTPq+qsSW5TkibT1Um+ChwCnJZkT0ymS5pCVeWiQZIm5GUvexnPec5zOO200zjzzDM5+OCDh4HnARuq6gdtxydJO2qyk1NLk7yTzvSY7q2Rvz3J95GknfUa4Ejgtqr6WZJ96EztkyRpxvvcMcewdsNG1xZr0eVnn86cPXfj6duo88hHPpJHPvKRfPKTnxwp2mjiW9Igm+zk1G8CrwSeyYO3Rn7mJN9HknZIkl+vqu/QSUwBPNbZfJIkSZLUvslOTr0AeGxVTdr+vEneS2eI6kbge8Crq+qHSQ4GbgZuaapeWVWnTNZ9JU07fw4sorOTzWgm0SVJkgbIfWvuGNjdKzfcexcAe+67X8uR7Lz71tzBnEMXtB2GppHJTk5dC+wF3DOJbV4KnFZVm5K8GzgNeEtz7ntVdeQk3kvSNFVVi5qfz2g7FkmSJO28+fPntx3ChPz07s5Yjjl77tZyJDtvzqELBv59UH+Z7OTUY4DvJLmKB6859fydbbCqurd3vxL4g50Pb8e4W0n7xrNbibQjkrwYuKSqNiT5a+CJwOlV9V8thyZJkqRxWLx4cdshTMiSJUsAWLp0acuRSP1jUpJTST4AfBJ452S0tw1/BHyq6/iQJP8F/Bj466r6f1uJbxGd6TzMmzevxyFK6nNvr6r/k+SpwLOBvwfOAZ7cbliSJEmSNDNN1sipW+l8wZtDJ3n0yaq6ZrwXJ7kMGGvC7duq6gtNnbcBm4CPN+fWAvOqan2So4DPJzm8qn48upGqWg4sBxgaGqrxxuVuJe0bz24l0g7a3Pz8n8CHquoLSd7VYjySJEmSNKNNSnKqqpYCS5McBJwI/O8kD6UzmuqCqvrudq4/blvnk5wM/B5wbFVVc80DNFMHq+rqJN8DHgesmOjrkTStrU7yT8BxwLuT7A7s0nJMkiRJkjRjTeoXsqq6o6reXVVPAF5GZ/e+myfSZpKFdBZAf35V/ayrfHaSWc3zxwILgNsmci9JM8JLgK8AC6vqh8CjgL+cSINJXpzkxiRbkgxNQoySJEmSNGNManIqyUOSPC/Jx4EvA98FXjTBZj8A7AlcmuSaJOc05ccA1yW5Fvg0cEpV/WCC95I0zTVJ7nuApzZFm+hMTZ6IG4AXAldMsB1JkiRJmnEma0H044GX0lnD5VvABcCiqvrpRNuuqjH3p6yqzwCfmWj7kmaWJO8EhoBDgf8NPAT4F+B3drbNqrq5aXsyQpQkSZKkGWWyRk79FfAN4Deq6nlV9fHJSExJUg+8AHg+8FOAqlpDZ3RmzyVZlGRFkhXr1q2biltKmkaSLExyS5LhJG8d4/wJSa5rRpqvaHYllSRJ6nuTtSD6MyajHUmaAhurqpIUQJKHjeei8ewquj07u3OoJDXrbH4QOB5YBVyV5KKquqmr2uXARU0fdwRwIfDrUx+tJEnSjpmU5JQkDYJ05t19sdmtb68krwX+CPjw9q7d3q6iktRjRwPDVXUbQJILgBOAXySnquonXfUfBpgElyRJA8Ht0yXNGFVVwO/T2UThM3TWnXpHVS1rMy5JGoe5wJ1dx6uasgdJ8oIk3wG+RCf5/iucYixJUm+tX7+eN7zhDaxfv77tUAaGySlJM803gB9W1V9W1Zuq6tKJNth8GVwF/DbwpSRfmXCUkvRgY+248Csjo6rqc1X163QS8aeP1VBVLa+qoaoamj179uRGKUmSOO+887j++us5//zz2w5lYJickjTTPAP4RpLvNQsHX5fkuok02HwZPKCqdq+qx1TVsycpVkkasQo4sOv4AGDN1ipX1RXAryXZt9eBSZKkX1q/fj2XXHIJVcUll1zi6KlxMjklaaZ5DvBrwDOB53U9JKmfXQUsSHJIkt2AE4GLuiskmd+srUeSJwK7AX4iliRpCp133nls2bIFgM2bNzt6apxMTkmaUarqjrEebcclSdtSVZuAU4GvADcDF1bVjUlOSXJKU+1FwA1JrqGzs98fNmvtSdIOS/KmJDUyAjPJ8UmuTnJ98/OZW7nuXUlWJ7mmeTx3aiOX2nXZZZexadMmADZt2sSll054FZEZwd36JEmSBkBVXQxcPKrsnK7n7wbePdVxSZp+khwIHA98v6v4XuB5VbUmyePpJMt/ZWOGxvur6u97HKbUl4477jguvvhiNm3axK677srxxx/fdkgDwZFTkiRJkqRu7wfeTNfGC1X1X1U1stbdjcBDk+zeRnBSPzv55JPZZZdOqmXWrFmcdNJJLUc0GBw5JUmSJEkCIMnzgdVVdW2zjN1YXgT8V1U9sJXzpyY5CVgB/EVV3deDUHtm2bJlDA8P96z9kbaXLFnSs3vMnz+fxYsX96x9bd0+++zDwoUL+dd//VcWLlzIPvvs03ZIA8HklCRJkiTNIEkuA/Yb49TbgL8CnrWNaw+nM4V4a3U+BJxOZ9TV6cD7gD8ao51FwCKAefPm7UD0g2+PPfZoOwT12Mknn8zKlSsdNbUDTE5JkiRJ0gxSVceNVZ7kN4FDgJFRUwcA305ydFXdleQA4HPASVX1va20fXdXex8GvriVesuB5QBDQ0N9tXmDI440Ufvssw9nnXVW22EMFJNTkiSHr0uSJKrqeuDRI8dJVgJDVXVvkr2ALwGnVdV/bK2NJHOqam1z+ALght5FLGm6cEF0SVLP7bHHHg5hlyRpsJ0KzAfenuSa5vFogCQfSTLU1HtPkuuTXAc8A3hjS/FKGiCOnJIkOeJIkiT9iqo6uOv53wF/t5V6f9z1/JW9j0zSdOPIKUmSJEmSJLXG5JQkSZIkSZJaY3JKkiRJkiRJrTE5JUmSJEmSpNa4IPp23LfmDi4/+/S2w9hpG+69C4A9992v5Uh2zn1r7mDOoQvaDkOSJEmSJPWIyaltmD9/ftshTNhP794IwJw9d2s5kp0z59AF0+J9kCRJkiRJYzM5tQ3TYWv1JUuWALB06dKWI5EkSZIkSfpVrjklSZIkSZKk1pickiRJkiRJUmuc1idJkqTtesEVVzD7rrvZ+9pr2w5lRnvqmpWs2+8xbYchSdKkcuSUJEmSJEmSWuPIKUmSJG3X5445hrUbNnLs697edigz2uVnn86cPXfj6W0HIknSJHLklCRJkiRJklpjckqSJEmSJEmtMTklSZIkSZKk1pickiRJkiRJUmtMTkmSJEmSJKk1fZ+cSnJ6kuuSXJPkq0n27zp3WpLhJLckeXabcUqSJEmSJGnH9X1yCnhvVR1RVUcCXwTeAZDkMOBE4HBgIXB2klmtRSlJkiRJkqQd1vfJqar6cdfhw4Bqnp8AXFBVD1TV7cAwcPRUxydJkiRJkqSdt2vbAYxHkjOAk4AfAc9oiucCV3ZVW9WUjXX9ImARwLx583oXqCRJkiRJknZIX4ycSnJZkhvGeJwAUFVvq6oDgY8Dp45cNkZTNUYZVbW8qoaqamj27Nm9eRGSJEmSJEnaYX0xcqqqjhtn1U8AXwLeSWek1IFd5w4A1kxyaJIkSZIkSeqhvkhObUuSBVV1a3P4fOA7zfOLgE8k+Qdgf2AB8K0WQpS2admyZQwPD/es/dWrVwMwd+6Ys1onxfz581m8eHHP2pckSf3jvjV3cPnZp7cdxk7ZcO9dAOy5734tR7Lz7ltzB3MOXdB2GJI0pfo+OQWcmeRQYAtwB3AKQFXdmORC4CZgE/D6qtrcXpgaVFORPLr//vt71v5I2728x+rVq3v6bwQmwCRJ6gfz589vO4QJ+endGwGYs+duLUey8+YcumDg3wdJ2lF9n5yqqhdt49wZwBlTGI6moeHhYW665Vb23v+gnrS/yyNm87BH9KRpALY0fyF8WI//Qrh2w8aetX3fmjt61rYkTRdJFgJLgVnAR6rqzFHnXw68pTn8CfCnVXXt1EapQTfofyhasmQJAEuXLm05ksGX5E3Ae4HZVXVvkoOBm4FbmipXVtUpY1z3KOBTwMHASuAlVXXfVMQsaXD1fXJKmgp7738Qx77u7W2HMWMN6tQBSZoqSWYBHwSOp7Pu5lVJLqqqm7qq3Q78blXdl+Q5wHLgyVMfraRBl+RAOv3N90ed+l5VHbmdy98KXF5VZyZ5a3P8lu1cI2mG64vd+iRJkrRNRwPDVXVbVW0ELgBO6K5QVf/ZNTrhSjqbxUjSzng/8Ga2shv6dpwAnNc8Pw/4/UmKSdI0ZnJKkiSp/80F7uw6XtWUbc1rgC+PdSLJoiQrkqxYt27dJIYoaTpI8nxg9VamBR+S5L+SfC3J07bSxGOqai1A8/PRvYpV0vThtD5JmqAk7wWeB2wEvge8uqp+2GpQkqabjFE25oiGJM+gk5x66ljnq2o5nSl/DA0N7cyoCEkDLsllwFgLlr4N+CvgWWOcWwvMq6r1SY4CPp/k8Kr68U7GsAhYBDBv3rydaULSNOLIKUmauEuBx1fVEcB3gdNajkfS9LMKOLDr+ABgzehKSY4APgKcUFXrpyg2SQOmqo6rqsePfgC3AYcA1yZZSaev+XaS/arqgZF+paqupvMHuceN0fzdSeYAND/v2UoMy6tqqKqGZs+ePfkvUtJAMTklSRNUVV+tqk3Noeu8SOqFq4AFSQ5JshtwInBRd4Uk84DPAq+squ+2EKOkAVdV11fVo6vq4Ko6mE5i/IlVdVeS2c3mDCR5LLCATjJrtIuAk5vnJwNfmILQJQ04k1OSNLn+CNd5kTTJmgT4qcBX6GzlfmFV3ZjklCQjW7m/A9gHODvJNUlWtBSupOnpGOC6JNcCnwZOqaofACT5SJKhpt6ZwPFJbqWz49+ZrUQraaC45pQkjcO21maoqi80dd4GbAI+PlYbrvMiaSKq6mLg4lFl53Q9/2Pgj6c6LknTVzN6auT5Z4DPbKXeH3c9Xw8c2/PgJE0rJqckaRyq6rhtnU9yMvB7wLFVZeJJkiRJksbJ5JQkTVCShcBbgN+tqp+1HY8kSZIkDRKTU5I0cR8AdgcuTQJwZVWdsu1LJGnw3LfmDi4/+/S2w9hpG+69C4A99x1rlvZguG/NHcw5dEHbYUiSNKlMTknSBFXV/LZjkKRemz9/8Lu6n969EYA5e+7WciQ7b86hC6bFeyFJUjeTU5IkSdquxYsXtx3ChC1ZsgSApUuXthzJzLVs2TKGh4d71v5I2yPvda/Mnz9/Wvw3IfWrXvcVq1ev5v777+9Z+1Nljz32YO7cuT1rfyr7OpNTkiRJkqaFPfbYo+0QJE2C4eFhbrrlVvbe/6CetP/TjZvZtHnw9zDasnEzazds7Enb9625oyftbo3JKUmSJElTwtFGksbjBVdcwaK77mbvdT9sO5T+t/runjR735qVrLt7bU/aHssuU3YnSZIkSZIkaRRHTrWo1/NoYWrm3TvnXpIkSZI0WT53zDGs3bCRY1/39rZDmbEuP/t05uy5G0+fovuZnJrmnHe/fS+44gpm33U3e197bduhzFhPXbOSdfs9pu0wJEmSJEktMDnVIkcbSZIkSZKkmc7klGY8h4y2b6qHjEqSJEmS+ocLokuSJEmSJKk1JqckSZIkSZLUGpNTkiRJkiRJao3JKUmSJEmSJLXG5JQkSZIkSZJaY3JKkiRJkiRJrTE5JUmSJEmSpNaYnJIkSZIkSVJrTE5JkiRJkiSpNbu2HYDUD+5bcweXn31622HslA333gXAnvvu13IkO+++NXcw59AFbYchSZIkSWqBySnNePPnz287hAn56d0bAZiz524tR7Lz5hy6YODfB0mSJEmTxwEE7ZrqAQQmpzTjLV68uO0QJmTJkiUALF26tOVIJEmSJGniBv0P1w4g2HEmpyRJkiRJUt9wAMHM0/cLoic5Pcl1Sa5J8tUk+zflBye5vym/Jsk5bccqSZIkSdNBkjclqST7Nscv7/rudU2SLUmOHOO6dyVZ3VXvuVMevKSBMwgjp95bVW8HSPIG4B3AKc2571XVkW0FJkmSJEnTTZIDgeOB74+UVdXHgY83538T+EJVXbOVJt5fVX/f6zglTR99P3Kqqn7cdfgwoNqKRZIkSZJmgPcDb2br371eCnxy6sKRNN31fXIKIMkZSe4EXk5n5NSIQ5L8V5KvJXlaS+FJkiRJ0rSQ5PnA6qq6dhvV/pBtJ6dObZZmOTfJ3pMboaTpqC+SU0kuS3LDGI8TAKrqbVV1IJ1hpKc2l60F5lXVE4A/Bz6R5BFbaX9RkhVJVqxbt24qXpIkSZIk9aXtfP96Gw8eEDD62icDP6uqG7ZS5UPArwFH0vnO9r6ttON3NEm/0BdrTlXVceOs+gngS8A7q+oB4IHm+quTfA94HLBijPaXA8sBhoaGnBYoSZIkacba2vevZi2pQ4BrkwAcAHw7ydFVdVdT7US2MWqqqu7uau/DwBe3Us/vaJJ+oS+SU9uSZEFV3docPh/4TlM+G/hBVW1O8lhgAXBbS2FKkiRJ0kCrquuBR48cJ1kJDFXVvc3xLsCLgWO21kaSOVW1tjl8AbC1EVaS9At9Ma1vO85shpheBzwLWNKUHwNcl+Ra4NPAKVX1g7aClCRJ6qUkC5PckmQ4yVvHOP/rSb6R5IEkb2ojRknT3jHAqqp60KCAJB9JMtQcvifJ9c33t2cAb5zqICUNnr4fOVVVL9pK+WeAz0xxOJIkSVMuySzgg3S2dl8FXJXkoqq6qavaD4A3AL8/9RFKmo6q6uBRx/8OPGWMen/c9fyVPQ9M0rQzCCOnJEmSZrqjgeGquq2qNgIXACd0V6iqe6rqKuC/2whQkiRpZ5mckiRJ6n9zgTu7jlc1ZZIkSQPP5JQkSVL/yxhlO7W7ldu3S5KkfmNySpIkqf+tAg7sOj4AWLMzDVXV8qoaqqqh2bNnT0pwkiRJE2FySpIkqf9dBSxIckiS3YATgYtajkmSJGlSmJySJEkTtn79et7whjewfv36tkOZlqpqE3Aq8BXgZuDCqroxySlJTgFIsl+SVcCfA3+dZFWSR7QXtSRJ0viYnJIkSRN23nnncf3113P++ee3Hcq0VVUXV9XjqurXquqMpuycqjqneX5XVR1QVY+oqr2a5z9uN2pJkqTtMzklSZImZP369VxyySVUFZdccomjpyRJkrRDdm07AGm6W7ZsGcPDwz1rf6TtJUuW9Owe8+fPZ/HixT1rf9AlOR04AdgC3AO8qqp2aqFiaRCdd955bNmyBYDNmzdz/vnn88Y3vrHlqDSI/H+mJGkqTIf/38D0+n+OI6ekAbfHHnuwxx57tB3GTPfeqjqiqo4Evgi8o+V4pCl12WWXsWnTJgA2bdrEpZde2nJE0tj8f6YkaSr4/5sd58gpqcemSyZbWzdqTZeHAdVWLFIbjjvuOC6++GI2bdrErrvuyvHHH992SBpQ/j9TkjQV/P9N/3HklCRNgiRnJLkTeDmOnNIMc/LJJ7PLLp2PFLNmzeKkk05qOSJJkiQNEpNTkjQOSS5LcsMYjxMAquptVXUg8HE6272P1caiJCuSrFi3bt1Uhi/11D777MPChQtJwsKFC9lnn33aDkmSJEkDxGl9kjQOVXXcOKt+AvgS8M4x2lgOLAcYGhpy6p+mlZNPPpmVK1c6akqSJEk7zOSUJE1QkgVVdWtz+HzgO23GI7Vhn3324ayzzmo7DEmSJA0gk1OSNHFnJjkU2ALcAZzScjySJEmSNDBMTknSBFXVi9qOQZIkSZIGlQuiS5IkSZIkqTUmpyRJkiRJktSaVM2sDaOSrKOzJsxMsi9wb9tBqKdm4nt8UFXNbjuInWVfpGlqJr7H9kWDZyb+ns40M/E9ti8aPDPx93SmmYnv8U73RTMuOTUTJVlRVUNtx6He8T3WIPD3dPrzPdYg8Pd0+vM91iDw93T68z3eMU7rkyRJkiRJUmtMTkmSJEmSJKk1JqdmhuVtB6Ce8z3WIPD3dPrzPdYg8Pd0+vM91iDw93T68z3eAa45JUmSJEmSpNY4ckqSJEmSJEmtMTklSZIkSZKk1picmiaSLExyS5LhJG8d43ySnNWcvy7JE9uIUzsvyblJ7klyw1bO+x6rdfZF0599kQaF/dH0Zl+kQWFfNP3ZH00Ok1PTQJJZwAeB5wCHAS9Nctioas8BFjSPRcCHpjRITYaPAQu3cd73WK2yL5oxPoZ9kfqc/dGM8DHsi9Tn7ItmjI9hfzRhJqemh6OB4aq6rao2AhcAJ4yqcwJwfnVcCeyVZM5UB6qdV1VXAD/YRhXfY7XNvmgGsC/SgLA/mubsizQg7ItmAPujyWFyanqYC9zZdbyqKdvROhpsvsdqm32RwPdY/cH+SL6/6gf2RQLf43ExOTU9ZIyy2ok6Gmy+x2qbfZHA91j9wf5Ivr/qB/ZFAt/jcTE5NT2sAg7sOj4AWLMTdTTYfI/VNvsige+x+oP9kXx/1Q/siwS+x+Nicmp6uApYkOSQJLsBJwIXjapzEXBSs1PAU4AfVdXaqQ5UPeV7rLbZFwl8j9Uf7I/k+6t+YF8k8D0el13bDkATV1WbkpwKfAWYBZxbVTcmOaU5fw5wMfBcYBj4GfDqtuLVzknySeDpwL5JVgHvBB4CvsfqD/ZFM4N9kQaB/dH0Z1+kQWBfNDPYH02OVDnVUZIkSZIkSe1wWp8kSZIkSZJaY3JKkiRJkiRJrTE5JUmSJEmSpNaYnJIkSZIkSVJrTE5JkiRJkiSpNSanNJCSvCvJm9qOQ5LsjyT1A/siSf3Avkg7y+SUJEmSJEmSWmNySgMhyUlJrktybZJ/HnXutUmuas59Jsn/aMpfnOSGpvyKpuzwJN9Kck3T3oI2Xo+kwWV/JKkf2BdJ6gf2RZosqaq2Y5C2KcnhwGeB36mqe5M8CngD8JOq+vsk+1TV+qbu3wF3V9WyJNcDC6tqdZK9quqHSZYBV1bVx5PsBsyqqvvbem2SBov9kaR+YF8kqR/YF2kyOXJKg+CZwKer6l6AqvrBqPOPT/L/mk7u5cDhTfl/AB9L8lpgVlP2DeCvkrwFOMgOT9IOsj+S1A/siyT1A/siTRqTUxoEAbY1xO9jwKlV9ZvA3wAPBaiqU4C/Bg4Ermky958Ang/cD3wlyTN7Gbikacf+SFI/sC+S1A/sizRpTE5pEFwOvCTJPgDNcNFuewJrkzyETkaept6vVdU3q+odwL3AgUkeC9xWVWcBFwFHTMkrkDRd2B9J6gf2RZL6gX2RJs2ubQcgbU9V3ZjkDOBrSTYD/wWs7KryduCbwB3A9XQ6QYD3NgvphU7HeS3wVuAVSf4buAv42yl5EZKmBfsjSf3AvkhSP7Av0mRyQXRJkiRJkiS1xml9kiRJkiRJao3JKUmSJEmSJLXG5JQkSZIkSZJaY3JKkiRJkiTtkCRPS3LLFN/zMUmuSLIhyfum8t7qLXfrkyRJkiRJO6Sq/h9w6BTfdhFwL/CIcne3acWRU5IkSZIkadyStDXQ5SDgpp1JTLUYs8bB5JQkSZIkSS1KcmCSzyZZl2R9kg805bsk+eskdyS5J8n5SR7ZnDs4SSV5dZI7k9yX5JQkT0pyXZIfjrTT1H9Vkv9IsizJj5J8J8mxXedfneTmZsrcbUn+pOvc05OsSvKWJHcB/3ukrKvOW5Ksbq6/ZaTtJLsn+ccka5rHPybZfVS7f9G8vrVJXr2Vf6OPAScDb07ykyTHjbPtX8Q8Rpvzk3yt+fe4N8mnus4tbf5df5zk6iRP6zq3R5Lzmn/zm5O8ufvfQjvO5JQkSZIkSS1JMgv4InAHcDAwF7igOf2q5vEM4LHAw4EPjGriycAC4A+BfwTeBhwHHA68JMnvjqp7G7Av8E7gs0ke1Zy7B/g94BHAq4H3J3li17X7AY+iM3pp0ajXcChwKvCkqtoTeDawsjn9NuApwJHAbwFHA389qt1HNq/7NcAHk+w9+t+pql4FfBx4T1U9vKouG2fbY8bcOB34KrA3cACwrOvcVU27jwI+AfyfJA9tzr2Tznv1WOB44BVjtK0dYHJKkiRJkqT2HA3sD/xlVf20qn5eVV9vzr0c+Iequq2qfgKcBpw4aora6c01XwV+Cnyyqu6pqtXA/wOe0FX3HuAfq+q/q+pTwC3A/wSoqi9V1feq42t0kjZP67p2C/DOqnqgqu4f9Ro2A7sDhyV5SFWtrKrvdb2Gv21iWgf8DfDKrmv/uzn/31V1MfATxr+W1fba3lbMI/c+CNh/1L87VfUvVbW+qjZV1fua1zcS10uA/1VV91XVKuCsccarrTA5JUmSJElSew4E7qiqTWOc25/OiKoRd9DZ2OwxXWV3dz2/f4zjh3cdrx61XtMdzT1I8pwkVyb5QZIfAs+lM8JqxLqq+vlYL6CqhoE/A94F3JPkgiT7b+M17N91vH7Ua//ZqJi3ZXttbzXmxpuBAN9KcmOSPxo50Uw1vLmZ8vdDOqO7Rv499gfu7Gqn+7l2gskpSZIkSZLacycwbysLdq+hM7JnxDxgEw9OQO2IuUkyqr01zTpNnwH+HnhMVe0FXEwncTNim4uQV9UnquqpTbwFvHsbr2HNTsY/2vba3l7Md1XVa6tqf+BPgLObdaieBryFzgipvZt/jx/xy3+PtXSmAY44cEKvQianJEmSJElq0bfoJDvOTPKwJA9N8jvNuU8Cb0xySJKHA/8L+NRWRlmNx6OBNyR5SJIXA79BJwm1G51pa+uATUmeAzxrvI0mOTTJM5sk18/pjNja3PUa/jrJ7CT7Au8A/mUn4x9tQm0neXGSkSTTfXSSWZuBPekkAdcBuyZ5B521uEZcCJyWZO8kc+mst6UJMDklSZIkSVJLqmoz8DxgPvB9YBWdxc0BzgX+GbgCuJ1O4mfxBG73TTqLp98LnAH8QbOu0gbgDXSSLvcBLwMu2oF2dwfObNq9i04S7K+ac38HrACuA64Hvt2UTYaJtv0k4JtJfkLn9S6pqtuBrwBfBr5LZ6rgz3nw1L2/pfM+3Q5cBnwaeGBCr2SGy4Onm0qSJEmSpOkmyauAP26m3mkSJflT4MSq+t3tVtaYHDklSZIkSZI0TknmJPmdJLskORT4C+Bzbcc1yExOSZIkSZLGJcm5Se5JcsNWzifJWUmGk1yX5IlTHaM0BXYD/gnYAPxf4AvA2a1GNOCc1idJkiRJGpckxwA/Ac6vqsePcf65dNZEei7wZGBpVT15aqOUNGgcOSVJkiRJGpequgL4wTaqnEAncVVVdSWwV5I5UxOdpEFlckqSJEmSNFnm8uBdzVY1ZZK0Vbu2HcBU23fffevggw9uOwxJE3T11VffW1Wz245jZ9kXSdODfZGkftBnfVHGKPuVtWSSLAIWATzsYQ876td//dd7HZekHptIXzTjklMHH3wwK1asaDsMSROU5I62Y5gI+yJperAvktQP+qwvWgUc2HV8ALBmdKWqWg4sBxgaGir7ImnwTaQvclqfJEmSJGmyXASc1Oza9xTgR1W1tu2gJPW3GTdySpIkSZK0c5J8Eng6sG+SVcA7gYcAVNU5wMV0duobBn4GvLqdSCUNEpNTkiRJkqRxqaqXbud8Aa+fonAkTRNO65MkSZIkSVJrTE5JkiRJkiSpNSanJEmSJEmS1BqTU5IkSZIkSWqNySlJkiRJkiS1xuSUJEmSJEmSWmNySgNt5cqV/MZv/Aavfe1rOfzww3nWs57F/fffz1lnncVhhx3GEUccwYknnth2mJJmAPsjSf3AvkiSNIh2bTsAaaJuvfVWPvnJT/LhD3+Yl7zkJXzmM5/hzDPP5Pbbb2f33Xfnhz/8YdshSpoh7I8k9QP7IknSoHHklAbeIYccwpFHHgnAUUcdxcqVKzniiCN4+ctfzr/8y7+w667mYCVNDfsjSf3AvkiSNGhMTmng7b777r94PmvWLDZt2sSXvvQlXv/613P11Vdz1FFHsWnTphYjlDRT2B9J6gf2RZKkQWNyStPOli1buPPOO3nGM57Be97zHn74wx/yk5/8pO2wJM1A9keS+oF9kSSp3zmmV9PO5s2becUrXsGPfvQjqoo3vvGN7LXXXm2HJWkGsj+S1A/siyRJ/S5V1XYMU2poaKhWrFjRdhiSJijJ1VU11HYcO8u+SJoe7Isk9QP7Ikn9YCJ9Uc9GTiU5EDgf2A/YAiyvqqVJ3gW8FljXVP2rqrq4ueY04DXAZuANVfWVpvwo4GPAHsDFwJKqqiS7N/c4ClgP/GFVrezVaxpEn/3sZ7nkkkvaDkM9tnDhQl74whe2HYa0VfZFM4N9kfqdfdHMYF8kSYOnl2tObQL+oqp+A3gK8PokhzXn3l9VRzaPkcTUYcCJwOHAQuDsJLOa+h8CFgELmsfCpvw1wH1VNR94P/DuHr6egXTJJZfw3e9+t+0w1EPf/e53/aCtvmdfNP3ZF2kQ2BdNf/ZFkjSYejZyqqrWAmub5xuS3AzM3cYlJwAXVNUDwO1JhoGjk6wEHlFV3wBIcj7w+8CXm2ve1Vz/aeADSVIzba7idjzucY9j+fLlbYehHlm0aFHbIUjjYl80vdkXaVDYF01v9kWSNJimZLe+JAcDTwC+2RSdmuS6JOcm2bspmwvc2XXZqqZsbvN8dPmDrqmqTcCPgH168RokSZIkSZI0+XqenErycOAzwJ9V1Y/pTNH7NeBIOiOr3jdSdYzLaxvl27pmdAyLkqxIsmLdunVjXCJJkiRJkqQ29GxaH0CSh9BJTH28qj4LUFV3d53/MPDF5nAVcGDX5QcAa5ryA8Yo775mVZJdgUcCPxgdR1UtB5ZDZyeICb+wAXLooYe2HYJ6zPdYg8Df0+nP91iDwN/T6c/3WJIGU3q1PFOSAOcBP6iqP+sqn9OsR0WSNwJPrqoTkxwOfAI4GtgfuBxYUFWbk1wFLKYzLfBiYFlVXZzk9cBvVtUpSU4EXlhVL9lWXG5TKk0PbpksqR/YF0nqB/ZFkvrBRPqiXk7r+x3glcAzk1zTPJ4LvCfJ9UmuA54BvBGgqm4ELgRuAi4BXl9Vm5u2/hT4CDAMfI/OYugAHwX2aRZP/3PgrT18PZIkSZMiycIktyQZTvIrn1/ScVZz/rokT2zKH5rkW0muTXJjkr/puuZRSS5Ncmvzc+/R7UqSJPWjXu7W93XGXhPq4m1ccwZwxhjlK4DHj1H+c+DFEwhTksYtyWLgVGAT8KWqenOS3YB/AoaALcCSqvr39qKU1O+SzAI+CBxPZ4mCq5JcVFU3dVV7DrCgeTyZzpqdTwYeAJ5ZVT9plk/4epIvV9WVdP5Id3lVndkkvN4KvGXKXpgkSdJO6umaU5I0XSR5BnACcERVPZDk0c2p1wJU1W82ZV9O8qSq2tJWrJL63tHAcFXdBpDkAjr9S3dy6gTg/Oqsv3Blkr26lkb4SVPnIc2juq55evP8PODfMTklSZIGQM9365OkaeJPgTOr6gGAqrqnKT+Mzhp5I2U/pDOKSpK2Zi5wZ9fxqqZsXHWSzEpyDXAPcGlVfbOp85iRdT2bn49mDO5iLEmS+o3JKUkan8cBT0vyzSRfS/Kkpvxa4IQkuyY5BDiKB+88+gt+IZTUGGvZg9E71Gy1TlVtrqoj6exgfHSSX1n6YFuqanlVDVXV0OzZs3fkUkmSpJ5wWp8kNZJcBuw3xqm30ekv9waeAjwJuDDJY4Fzgd8AVgB3AP9JZ02qX1FVy4Hl0NmVZrLjlzQwVvHgJPYBwJodrVNVP0zy78BC4Abg7pGpf0nm0BlZJUmS1PccOSVJjao6rqoeP8bjC3S+KH62Or5FZ/HzfatqU1W9saqOrKoTgL2AW1t8GZL631XAgiSHNJsqnAhcNKrORcBJza59TwF+1CSdZifZCyDJHsBxwHe6rjm5eX4y8IUevw5JkqRJ4cgpSRqfzwPPBP49yeOA3YB7k/wPIFX10yTHA5tG7bglSQ9SVZuSnAp8BZgFnFtVNyY5pTl/Dp3djZ8LDAM/A17dXD4HOK/Z8W8X4MKq+mJz7kw6ozpfA3wfdzSWJEkDwuSUJI3PucC5SW4ANgInV1U1O/R9JckWYDXwyjaDlDQYqupiOgmo7rJzup4X8PoxrrsOeMJW2lwPHDu5kUqSJPWeySlJGoeq2gi8YozylcChUx6QJEmSJE0TrjklSZIkaVpYv349b3jDG1i/fn3boUiSdoAjp1q0bNkyhoeHe3qP1atXAzB37tye3WP+/PksXry4Z+1Lkiau1//P8f83kvrBeeedx/XXX8/555/PG9/4xrbDkSSNkyOnprn777+f+++/v+0wJEnTnP+/kdS29evXc8kll1BVXHLJJY6ekqQB4sipFk3FX3+XLFkCwNKlS3t+L0lS/+r1/3P8/42ktp133nls2bIFgM2bNzt6SpIGiCOnJEmSJA28yy67jE2bNgGwadMmLr300pYjkiSNl8kpSZIkSQPvuOOOY9ddOxNDdt11V44//viWI5IkjZfJKUmSJEkD7+STT2aXXTpfb2bNmsVJJ53UckSSpPEyOSVJkiRp4O2zzz4sXLiQJCxcuJB99tmn7ZAkSePkguiSJEmSpoWTTz6ZlStXOmpKkgaMySlJkiRJ08I+++zDWWed1XYYkqQd5LQ+SZIkSZIktcbklCRJkiRpXJIsTHJLkuEkbx3j/COT/GuSa5PcmOTVbcQpabCYnJIkSZIkbVeSWcAHgecAhwEvTXLYqGqvB26qqt8Cng68L8luUxqopIFjckqSJEmSNB5HA8NVdVtVbQQuAE4YVaeAPZMEeDjwA2DT1IYpadCYnJIkSZIkjcdc4M6u41VNWbcPAL8BrAGuB5ZU1ZbRDSVZlGRFkhXr1q3rVbySBoTJKUmSJEnSeGSMshp1/GzgGmB/4EjgA0ke8SsXVS2vqqGqGpo9e/ZkxylpwJickiRJkiSNxyrgwK7jA+iMkOr2auCz1TEM3A78+hTFJ2lAmZySJEmSJI3HVcCCJIc0i5yfCFw0qs73gWMBkjwGOBS4bUqjlDRwdm07AEmSJAlg2bJlDA8P96z91atXAzB37uglcibP/PnzWbx4cc/al9pUVZuSnAp8BZgFnFtVNyY5pTl/DnA68LEk19OZBviWqrq3taAlDQSTU5IkSZoR7r///rZDkAZeVV0MXDyq7Jyu52uAZ011XJIGm8kpSZIk9YVejzhasmQJAEuXLu3pfSRJ0o5xzSlJkiRJkiS1xuSUJEmSJEmSWmNySpIkSZIkSa0xOSVJkiRJkqTWmJySJEmSJElSa0xOSZIkSZIkqTUmpyRJkqZYkoVJbkkynOStY5xPkrOa89cleWJTfmCSf0tyc5IbkyzpuubIJFcmuSbJiiRHT+VrkiRJ2lkmpyRJkqZQklnAB4HnAIcBL01y2KhqzwEWNI9FwIea8k3AX1TVbwBPAV7fde17gL+pqiOBdzTHkiRJfc/klCRJ0tQ6GhiuqtuqaiNwAXDCqDonAOdXx5XAXknmVNXaqvo2QFVtAG4G5jbXFPCI5vkjgTW9fiGSJEmToWfJqa0NO0/yqCSXJrm1+bl31zWnNcPXb0ny7K7yo5Jc35w7K0ma8t2TfKop/2aSg3v1eiRJkibJXODOruNV/DLBNO46zeeeJwDfbIr+DHhvkjuBvwdOG+vmSRY10/5WrFu3bidfgiRJ0uTp5ciprQ07fytweVUtAC5vjmnOnQgcDiwEzm6GvUNnKPsifjm8fWFT/hrgvqqaD7wfeHcPX48kSdJkyBhltSN1kjwc+AzwZ1X146b4T4E3VtWBwBuBj45186paXlVDVTU0e/bsHQ5ekiRpsvUsObWNYecnAOc11c4Dfr95fgJwQVU9UFW3A8PA0UnmAI+oqm9UVQHnj7pmpK1PA8eOjKqSJEnqU6uAA7uOD+BXp+BttU6Sh9BJTH28qj7bVedkYOT4/9CZPihJktT3pmTNqVHDzh9TVWuhk8ACHt1U29rw9bnN89HlD7qmqjYBPwL26cmLkCRJmhxXAQuSHJJkNzojxy8aVeci4KRm176nAD+qqrXNH+E+CtxcVf8w6po1wO82z58J3Nq7lyBJkjR5du31DUYPO9/GwKatDV/f1rD28QyLJ8kiOtMCmTdv3vZClqRfkeRTwKHN4V7AD5sdsUhyGp1pxpuBN1TVV9qIcSKWLVvG8PBwz9pfvXo1AHPnjl5WZ/LMnz+fxYsX96x9abJU1aYkpwJfAWYB51bVjUlOac6fA1wMPJfOSPKfAa9uLv8d4JXA9Umuacr+qqouBl4LLE2yK/Bzms8+kiRJ/a6nyamtDDu/e2S3mWbK3j1N+daGr69qno8u775mVfNB7JHAD0bHUVXLgeUAQ0NDv5K8kqTtqao/HHme5H10RmqOXi9vf+CyJI+rqs2tBNqn7r///rZDkPpKk0y6eFTZOV3PC3j9GNd9nbH/ODdy7qjJjVSSJKn3epac2saw84vorIlwZvPzC13ln0jyD3S+4C0AvlVVm5NsaIa0fxM4CVg2qq1vAH8A/N/mw5wk9UTTt72EzpQZ6FovD7g9yTCddV6+0VKIO6XXI46WLFkCwNKlS3t6H0mSJEmDp5cjp8Ycdk4nKXVhktcA3wdeDNAMZ78QuInOTn+v7xp58KfAx4A9gC83D+gkv/65+TL4AzqjFySpl54G3F1VI2u5zAWu7Do/1pbwgFOMJUmSJGksPUtObWvYOXDsVq45AzhjjPIVwOPHKP85TXJLkiYqyWXAfmOceltVjYzyfCnwye7Lxqg/5ghOpxhLkiRJ0q/q+YLokjQoquq4bZ1v1rZ7IQ9e02U8W8JLkiRJkrZil7YDkKQBchzwnapa1VV2EXBikt2THEKzXl4r0UmSJEnSAHLklCSN34k8eErf9tbLkyRJkiRth8kpSRqnqnrVVsrHXC9PkiRJkrR9TuuTJEmSJElSa0xOSZIkSZIkqTVO65MkqQ8sW7aM4eHhtsPYaSOxL1mypOVIJmb+/PksXry47TAkSZJmFJNTkiT1geHhYW665Vb23v+gtkPZKVtm7QbA2g0bW45k59235o62Q5AkSZqRTE5JktQn9t7/II593dvbDmPGuvzs09sOQZIkaUZyzSlJkiRJkiS1xuSUJEmSJEmSWmNySpIkSZIkSa0xOSVJkiRJkqTWuCC6JEmStmvZsmUMDw+3HcaEjMS/ZMmSliOZmPnz57N48eK2w5AkadKYnJIkSdJ2DQ8Pc9Mtt7L3/ge1HcpO2zJrNwDWbtjYciQ77741d7QdgiRJk87klCRJksZl7/0P4tjXvb3tMGa0y88+ve0QJEmadK45JUmSJEmSpNaYnJIkSZIkSVJrTE5JkiRJkiSpNSanJEmSJEmS1BqTU5IkSZIkSWqNySlJkiRJ0rgkWZjkliTDSd66lTpPT3JNkhuTfG2qY5Q0eHZtOwBJkiRJUv9LMgv4IHA8sAq4KslFVXVTV529gLOBhVX1/SSPbiVYSQPFkVOSJEmSpPE4GhiuqtuqaiNwAXDCqDovAz5bVd8HqKp7pjhGSQPI5JQkSZIkaTzmAnd2Ha9qyro9Dtg7yb8nuTrJSVMWnaSBZXJKkiRpim1vzZZ0nNWcvy7JE5vyA5P8W5Kbm7Vcloy6bnHT7o1J3jNVr0fSjJExymrU8a7AUcD/BJ4NvD3J436loWRRkhVJVqxbt27yI5U0UFxzSpIkaQqNZ80W4DnAgubxZOBDzc9NwF9U1beT7AlcneTSqropyTPoTK85oqoecJ0XST2wCjiw6/gAYM0Yde6tqp8CP01yBfBbwHe7K1XVcmA5wNDQ0OgEl6QZxpFTkiRJU2s8a7acAJxfHVcCeyWZU1Vrq+rbAFW1AbiZX06p+VPgzKp6oDnvOi+SJttVwIIkhyTZDTgRuGhUnS8AT0uya5L/QSexfvMUxylpwJickiRJmlrjWbNlu3WSHAw8AfhmU/Q4Ol8Iv5nka0meNNbNnUojaWdV1SbgVOArdBJOF1bVjUlOSXJKU+dm4BLgOuBbwEeq6oa2YpY0GJzWJ0mSNLXGs2bLNuskeTjwGeDPqurHTfGuwN7AU4AnARcmeWxVPahtp9JImoiquhi4eFTZOaOO3wu8dyrjkjTYHDklSZI0tca7ZsuYdZI8hE5i6uNV9dlR13y2mQr4LWALsO8kxy5JkjTpHDklSX1u2bJlDA8Ptx3GhIzEv2TJku3U7F/z589n8eLFbYeh6eEXa7YAq+ms2fKyUXUuAk5NcgGd9Vp+VFVrkwT4KHBzVf3DqGs+DzwT+PdmZ6zdgHt79zIkSZImh8kpSepzw8PD3HTLrey9/0Fth7LTtszaDYC1Gza2HMnOuW/NHT2/xwuuuILZd93N3tde2/N7aWxPXbOSdfs9puf3qapNSUbWbJkFnDuyZktz/hw6U2aeCwwDPwNe3Vz+O8ArgeuTXNOU/VUzzeZc4NwkNwAbgZNHT+mbCH9H+8NU/Z5KkjSVTE5JmnaS7AI8par+s+1YJsve+x/Esa97e9thzFiXn3162yFomtnemi1NUun1Y1z3dcZej4pm579XTG6kkiRJvWdyStK0U1VbkrwP+O22Y5HG63PHHMPaDRtNQrbo8rNPZ86eu/H0tgPpU/6O9gd/TyVJ05ELokuarr6a5EXN+iySJEmSpD7lyClJ09WfAw8DNiX5OZ1pMFVVj2g3LEmSJElSN5NTkqalqtqz7RgkSZIkSdvXs2l9Sc5Nck+zY8xI2buSrE5yTfN4bte505IMJ7klybO7yo9Kcn1z7qyRKTpJdk/yqab8m0kO7tVrkTSYksxN8v9LcszIYwJtfaqr71o5sktWkn2S/FuSnyT5wKQFL0mSJEkzRC9HTn0M+ABw/qjy91fV33cXJDkMOBE4HNgfuCzJ46pqM/AhYBFwJZ1dbRYCXwZeA9xXVfOTnAi8G/jD3r0cSYMkyUifcBOwuSku4Iqdaa+qftG/NIut/6g5/DnwduDxzUOSJEmStAN6lpyqqit2YDTTCcAFVfUAcHuSYeDoJCuBR1TVNwCSnA/8Pp3k1AnAu5rrPw18IEmarZcl6feBQ5t+ZdI0ozdfAjwToKp+Cnw9yfzJvI8kSZIkzRRt7NZ3apLrmml/ezdlc4E7u+qsasrmNs9Hlz/omqraRGcUwz69DFzSQLkNeEgP2n0acHdV3bqjFyZZlGRFkhXr1q3rQWiSJEmSNHimekH0DwGn05laczrwPuCP6OyiNVpto5ztnHuQJIvoTA1k3rx5OxaxpIGSZBmdvuBnwDVJLgd+MXqqqt6wjWsvA/Yb49TbquoLzfOXAp/cmdiqajmwHGBoaMhRntI0kmQ34Nfp9D+3VNXGlkOSJEkaGFOanKqqu0eeJ/kw8MXmcBVwYFfVA4A1TfkBY5R3X7Mqya7AI4EfbOW+fiGUZo4Vzc+rgYtGndvmf/9Vddy2zjd9zQuBo3Y6OknTTpL/CZwDfI/OH88OSfInVfXldiOTJEkaDFOanEoyp6rWNocvAEZ28rsI+ESSf6CzIPoC4FtVtTnJhiRPAb4JnAQs67rmZOAbwB8A/9f1piRV1XkASZZU1dLuc0mWTLD544DvVNWq7dacRC+44gpm33U3e1977VTeVl2eumYl6/Z7TNthqH+9D3hGVQ0DJPk14Et01siUJEnSdvQsOZXkk8DTgX2TrALeCTw9yZF0Ri+sBP4EoKpuTHIhnV21NgGvb3bqA/hTOjv/7UHnQ97IB72PAv/cLJ7+Azq7/UnSiJOBpaPKXjVG2Y44kTGm9I1s3gDsluT3gWdV1U0TuI+kwXLPSGKqcRtwT1vBSJIkDZpe7tb30jGKP7qN+mcAZ4xRvoIxtmevqp8DL55IjJKmnyQvBV5GZ1pN97S+PYH1E2m7ql61lfKDJ9Lu9nzumGNYu2Ejx77u7b28jbbh8rNPZ86eu/H0Ht/nvjV3cPnZp/f4Lr2x4d67ANhz37GWbRsM9625gzmHLtiZS29McjFwIZ0/wL0YuCrJCwGq6rOTF6UkSdL0s83kVJJHVNWPkzxqrPNVNeYaT5LUov8E1gL70plqM2IDcF0rEUnjMH/+/LZDmJCf3t1Z/3vOnru1HMnOm3Pogp19Hx4K3A38bnO8DngU8Dw6ySqTU5IkSduwvZFTnwB+j87CwqN3zyvgsT2KS5J2SlXdAdwB/Pa26iX5RlVts440lRYvXtzT9pctW8bw8PD2K/ax+fPn9/zfaWdU1avbjkGSJGmQbTM5VVW/1/w8ZGrCkaQp89C2A5Cmkz322KPtEFqT5BBgMXAwXZ+tqur5bcUkSZI0SMa15lSS3wGuqaqfJnkF8ETgH6vq+z2NTpJ6x909NaP044ijaeTzdNbV/FdgS7uhSJIkDZ7xLoj+IeC3kvwW8GaanfL45doKkiRJM9XPq+qstoOQJEkaVONNTm2qqkpyArC0qj6a5OReBiZJPZbtV5GkcVma5J3AV4EHRgqr6tvthSRJkjQ4xpuc2pDkNOAVwDFJZgEP6V1YkjRxSfYDjqYzhe+qqrqr6/Qr24lK0jT0m3T6lGfyy2l91RxLkiRpO8abnPpD4GXAa6rqriTzgPf2LixJmpgkfwy8A/i/dEZJLUvyt1V1LkBV3dBmfJKmlRcAj62qjW0HIkmSNIjGlZxqRhv8Q9fx94HzexWUJE2CvwSeUFXrAZLsA/wncG6rUUmajq4F9gLuaTkOSZKkgbTN5FSSr1fVU5Ns4ME7WwWoqnpET6OTpJ23CtjQdbwBuLOlWCRNb48BvpPkKh685tTz2wtJkiRpcGwzOVVVT21+7jk14UjSxCT58+bpauCbSb5AJ7l+AvCt1gKTNJ29s+0AJEmSBtl415ySpEExkkz/XvMY8YUWYpE0A1TV19qOQZIkaZCZnJI0rVTV37Qdg6SZ4alPfSoALn8gSZI0MSanJE1LSf6NB39ZBKCq3Npd0qT4+te/ThKXP5AkSZogk1OSpqs3dT1/KPAiYFNLsUiSJEmStsLk1DYsW7aM4eHhtsOYkJH4lyxZ0nIkO2/+/PksXry47TA0YKrq6lFF/5HEdWEk9YUkC4GlwCzgI1V15qjzac4/F/gZ8Kqq+naSA4Hzgf2ALcDyqlo66to3Ae8FZlfVvT1/MZIkSRNkcmobhoeHuemWW9l7/4PaDmWnbZm1GwBrN2xsOZKdc9+aO9oOQQMqyaO6DncBhuh8mZOkViWZBXwQOB5YBVyV5KKquqmr2nOABc3jycCHmp+bgL9oElV7AlcnuXTk2iZ5dTzw/Sl7QZIkSRNkcmo79t7/II593dvbDmPGuvzs09sOQYPrajprTgX4b2Al8Jo2A5KkxtHAcFXdBpDkAuAEoDs5dQJwflUVcGWSvZLMqaq1wFqAqtqQ5GZgbte17wfejDuUSpKkAbJL2wFIUo+8BTiyqg4B/hn4KZ2pMZLUtrnAnV3Hq5qyHaqT5GDgCcA3m+PnA6ur6tpt3TzJoiQrkqxYt27dTr0ASZKkyWRyStJ09ddV9eMkT6UzxeVjdKbFSFLbMkbZ6N1Ft1knycOBzwB/1vR1/wN4G/CO7d28qpZX1VBVDc2ePXsHwpYkSeoNk1OSpqvNzc//CZxTVV8AdmsxHkkasQo4sOv4AGDNeOskeQidxNTHq+qzzflfAw4Brk2ysqn/7SSutSdJkvqeySlJ09XqJP8EvAS4OMnu2OdJ6g9XAQuSHJJkN+BE4KJRdS4CTkrHU4AfVdXaZhe/jwI3V9U/jFSuquur6tFVdXBVHUwnufXEqrprSl6RJEnSBPhFTdJ09RLgK8DCqvoh8CjgL1uNSJKAqtoEnEqnj7oZuLCqbkxySpJTmmoXA7cBw8CHgdc15b8DvBJ4ZpJrmsdzp/YVSJIkTS5365M0LVXVz4DPdh3/YocrSWpbVV1MJwHVXXZO1/MCXj/GdV9n7PWoRtc7eOJR/qr71tzR0510N9x7F5s2PtCz9qfCrrvtzp779m425X1r7mDOoQt61r4kSW0wOSVJkqTtmj9/fs/vseXHs7h/83Zzb31tj91mMWfP3i1xOOfQBVPyXkhbk2QhsBSYBXykqs7cSr0nAVcCf1hVn57CECUNIJNTkiRJ2q7Fixe3HYKkliWZBXyQzk7Iq4CrklxUVTeNUe/ddKYvS9J2mZySpAHgVJrt6+VUGqfRSJIEwNHAcFXdBpDkAuAE4KZR9RbT2VX0SVMbnqRBZXJKkvqcU2nGp5dTaZxGI0kSAHOBO7uOVwFP7q6QZC7wAuCZbCM5lWQRsAhg3rx5kx6opMFickqS+pxTaSRJUp8Y6y9ZNer4H4G3VNXmZOt/+Kqq5cBygKGhodFtSJphTE5JkiRJksZjFXBg1/EBwJpRdYaAC5rE1L7Ac5NsqqrPT0mEkgaSySmpx5YtW8bw8HDP2l+9ejUAc+fO7dk95s+f7+gdSZIkXQUsSHIIsBo4EXhZd4WqOmTkeZKPAV80MSVpe0xOSQPu/vvvbzsESZIkzQBVtSnJqXR24ZsFnFtVNyY5pTl/TqsBShpYJqekHuv1iKMlS5YAsHTp0p7eR5IkSaqqi4GLR5WNmZSqqldNRUySBt8ubQcgSZIkSZKkmcvklCSNQ5JPJbmmeaxMck1TfnySq5Nc3/x8ZsuhSpIkSdJAcVqfJI1DVf3hyPMk7wN+1BzeCzyvqtYkeTydNRh6tzq9JEmSJE0zJqckaQeksy/yS4BnAlTVf3WdvhF4aJLdq+qBNuKTJEmSpEHjtD5J2jFPA+6uqlvHOPci4L9MTEmSJEnS+PUsOZXk3CT3JLmhq+xRSS5Ncmvzc++uc6clGU5yS5Jnd5Uf1azlMpzkrGbUAkl2b9aAGU7yzSQH9+q1SJoZklyW5IYxHid0VXsp8Mkxrj0ceDfwJ9tof1GSFUlWrFu3bvJfgCRJkiQNoF6OnPoYsHBU2VuBy6tqAXB5c0ySw4ATgcOba85OMqu55kPAImBB8xhp8zXAfVU1H3g/nS+FkrTTquq4qnr8GI8vACTZFXgh8Knu65IcAHwOOKmqvreN9pdX1VBVDc2ePbuXL0WSJEmSBkbPklNVdQXwg1HFJwDnNc/PA36/q/yCqnqgqm4HhoGjk8wBHlFV36iqAs4fdc1IW58Gjh0ZVSVJPXIc8J2qWjVSkGQv4EvAaVX1H20FJkmSJEmDaqrXnHpMVa0FaH4+uimfC9zZVW9VUza3eT66/EHXVNUmOjtn7dOzyCWpM8Jz9JS+U4H5wNuTXNM8Hv2rl0qSJEmSxtIvu/WNNeKptlG+rWt+tfFkEZ2pgcybN29n4pMkqupVY5T9HfB3Ux+NJEmSJE0PUz1y6u5mqh7Nz3ua8lXAgV31DgDWNOUHjFH+oGuadWAeya9OIwRc50WSJEmSJKlfTXVy6iLg5Ob5ycAXuspPbHbgO4TOwuffaqb+bUjylGY9qZNGXTPS1h8A/7dZl0qSJEmSJEkDomfT+pJ8Eng6sG+SVcA7gTOBC5O8Bvg+8GKAqroxyYXATcAm4PVVtblp6k/p7Py3B/Dl5gHwUeCfkwzTGTF1Yq9eiyRJkiRJknqjZ8mpqnrpVk4du5X6ZwBnjFG+Anj8GOU/p0luSZIkSZIkaTBN9bQ+SZIkSZIk6RdMTkmSJEmSJKk1JqckSZIkSZLUmp6tOSUNimXLljE8PNx2GDttJPYlS5a0HMnEzJ8/n8WLF7cdhiRJkiRpipmc0ow3PDzMTbfcyt77H9R2KDtly6zdAFi7YWPLkey8+9bc0XYIkiRJkqSWmJySgL33P4hjX/f2tsOYsS4/+/S2Q5AkSZIktcQ1pyRJkqZYkoVJbkkynOStY5xPkrOa89cleWJTfmCSf0tyc5Ibkyzpuua9Sb7T1P9ckr2m8CVJkiTtNJNTkiRJUyjJLOCDwHOAw4CXJjlsVLXnAAuaxyLgQ035JuAvquo3gKcAr++69lLg8VV1BPBd4LSevhBJkqRJYnJKkiRpah0NDFfVbVW1EbgAOGFUnROA86vjSmCvJHOqam1VfRugqjYANwNzm+OvVtWm5vorgQOm4sVIkiRNlMkpSZKkqTUXuLPreFVTtkN1khwMPAH45hj3+CPgy2PdPMmiJCuSrFi3bt2ORS5JktQDJqckSZKmVsYoqx2pk+ThwGeAP6uqHz/owuRtdKb/fXysm1fV8qoaqqqh2bNn71DgkiRJveBufZIkSVNrFXBg1/EBwJrx1knyEDqJqY9X1We7L0pyMvB7wLFVNTrhJUmS1JccOSVJkjS1rgIWJDkkyW7AicBFo+pcBJzU7Nr3FOBHVbU2SYCPAjdX1T90X5BkIfAW4PlV9bPevwxJkqTJ4cgpSZKkKVRVm5KcCnwFmAWcW1U3JjmlOX8OcDHwXGAY+Bnw6uby3wFeCVyf5Jqm7K+q6mLgA8DuwKWdHBZXVtUpU/OqJEmSdp7JKUmSpCnWJJMuHlV2TtfzAl4/xnVfZ+z1qKiq+ZMcpiRJ0pRwWp8kSZIkSZJa48ipbXjBFVcw+6672fvaa9sOZcZ66pqVrNvvMW2HIUmSJEmSesSRU5IkSZIkSWqNI6e24XPHHMPaDRs59nVvbzuUGevys09nzp678fS2A5EkSZIkST3hyClJkiRJkiS1xuSUJEmSJEmSWmNySpIkSZIkSa0xOSVJkiRJkqTWmJySJEmSJElSa0xOSZIkSZIkqTUmpyRJkiRJktSaXdsOQJIkSVJ/WLZsGcPDwz1rf/Xq1dx///09a3+q7LHHHsydO7dn7c+fP5/Fixf3rP2JSLIQWArMAj5SVWeOOv9y4C3N4U+AP62qa6c2SkmDxuSUJEmSJACGh4e56ZZb2Xv/g3rS/k83bmbT5upJ21Npy8bNrN2wsSdt37fmjp60OxmSzAI+CBwPrAKuSnJRVd3UVe124Her6r4kzwGWA0+e+mglDRKTU5IkSZJ+Ye/9D+LY17297TBmrMvPPr3tELblaGC4qm4DSHIBcALwi+RUVf1nV/0rgQOmNEJJA8k1pyRJkiRJ4zEXuLPreFVTtjWvAb481okki5KsSLJi3bp1kxiipEHkyClJkiRJALzgiiuYfdfd7H2tSwS15alrVrJuv8e0HcbWZIyyMedpJnkGneTUU8c6X1XL6Uz5Y2hoaPDnekqaEEdOSdI4JPlUkmuax8ok1zTlR3eVX5vkBS2HKkmS1CurgAO7jg8A1oyulOQI4CPACVW1fopikzTAHDklSeNQVX848jzJ+4AfNYc3AENVtSnJHODaJP9aVZvaiFOSpIn43DHHsHbDRtecatHlZ5/OnD134+ltBzK2q4AFSQ4BVgMnAi/rrpBkHvBZ4JVV9d2pD1HSIDI5JUk7IEmAlwDPBKiqn3WdfihbGdouSZI06Jo/xp0KfAWYBZxbVTcmOaU5fw7wDmAf4OzOxyY2VdVQWzFLGgwmpyRpxzwNuLuqbh0pSPJk4FzgIDp/JRxz1FSSRcAigHnz5k1BqJIkSZOrqi4GLh5Vdk7X8z8G/niq45I02FxzSpIaSS5LcsMYjxO6qr0U+GT3dVX1zao6HHgScFqSh47VflUtr6qhqhqaPXt2716IJEmSJA0QR05JUqOqjtvW+SS7Ai8EjtrK9Tcn+SnweGDF5EcoSZIkSdOPI6ckafyOA75TVatGCpIc0iStSHIQcCiwsp3wJEmSJGnwtJKcarZhv77Zen1FU/aoJJcmubX5uXdX/dOSDCe5Jcmzu8qPatoZTnJWs1CxJPXKiYya0gc8lc4OfdcAnwNeV1X3TnVgkiRJkjSo2hw59YyqOrJr54a3ApdX1QLg8uaYJIfR+UJ4OLCQzq4Ps5prPkRnceEFzWPhFMYvaYapqld1L/jZlP1zVR3e9GdPrKrPtxSeJEmSJA2kflpz6gTg6c3z84B/B97SlF9QVQ8AtycZBo5OshJ4RFV9AyDJ+cDvA1+ezKDuW3MHl599+mQ2OaU23HsXAHvuu1/Lkeyc+9bcwZxDF7QdhiRJkiRJ6pG2klMFfDVJAf9UVcuBx1TVWoCqWpvk0U3ducCVXdeuasr+u3k+unzSzJ8/fzKba8VP794IwJw9d2s5kp0z59AF0+J9kCRJkiRJY2srOfU7VbWmSUBdmuQ726g71jpStY3yX20gWURn+h/z5s0bd5CLFy8ed91+tWTJEgCWLl3aciT96wVXXMHsu+5m72uvbTuUGeupa1aybr/HtB2GJEmSJKkFraw5VVVrmp/30FlA+Gjg7iRzAJqf9zTVVwEHdl1+ALCmKT9gjPKx7re8qoaqamj27NmT+VIkSZJ2WJKFzUYvw0neOsb5NJu9DCe5LskTm/IDk/xbkpuT3JhkSdc1W91cRpIkqZ9N+cipJA8DdqmqDc3zZwF/C1wEnAyc2fz8QnPJRcAnkvwDsD+dhc+/VVWbk2xI8hTgm8BJwLKpfTWaDj53zDGs3bCRY1/39rZDmbEuP/t05uy52y8WnZOk6azZ2OWDwPF0/th2VZKLquqmrmrP4ZcbvjyZziYwTwY2AX9RVd9OsidwdZJLm2tHNpc5s0l4vZXO+p2SJEl9rY1pfY8BPpdk5P6fqKpLklwFXJjkNcD3gRcDVNWNSS4EbqLzgez1VbW5aetPgY8Be9BZCH1SF0OXJEnqgaOB4aq6DSDJBXQ2gOlOTp0AnF9VBVyZZK8kc5r1OUfW6NyQ5GY6a27exNY3l5EkSeprU56caj6I/dYY5euBY7dyzRnAGWOUrwAeP9kxSpIk9dBc4M6u41V0RkVtr85cmsQUQJKDgSfQGUEOW99c5kF2di1OSZKkXmllzSlJkqQZbDybumyzTpKHA58B/qyqfrwjN3ctTkmS1G9MTkmSJE2trW32Mq46SR5CJzH18ar6bFedrW0uI0mS1NdMTkmS9P9v7/5j7a7vOo4/X+sdsVNi9UK0AbbhWlEQSLa6LZnEiSXe8seqZpuwuV6JGSGuQEiWQBadTDTx15y0G2vIRtoaHSYb0Zp0l8ASx3TrBsTSH+L0pitbB2P0DlFgird9+8c51bu723vPvfSc7/nxfCQ3uff7/Z6T17ef8333nPf5fL9fqbceBtYnuTDJWcA1tG4AM9ceYEv7rn1vBp5rn6oX4JPA41X1Zws8ZrL9+9yby0iSJPW1Ji6ILkmSNLKqajbJVuB+YBVwT/sGMDe01+8A9gJXA9PAi8B17Ye/BXgPcDDJ/vayD1TVXlp3PP6+m8tIkiT1O5tTkiRJPdZuJu2dt2zHnN8LeN8Cj/sHFr4e1aI3l5EkSepnntYnSZIkSZKkxtickiRJkiRJUmNsTkmSJEmSJKkxNqckSZIkSZLUGC+ILkmSJOn/PPvkE3zurjuajrEi/3n8WwCcfc6PN5xk5Z598gnWXrS+6RiS1FM2pyRJkiQBsG7duqYjvCwvPP0SAGvPPqvhJCu39qL1Az8OkrRcNqck/IawaX5DKElSf7jxxhubjvCy3HzzzQDceeedDSeRJC2HzSmNvEH/ZspvCCVJkiRJg8zmlEae3xBKkiRJktQc79YnSZIkSZKkxtickiRJkiRJUmNsTkmSJEmSJKkxNqckSZI0EmZmZrjpppuYmZlpOookSZrD5pQkqev8QCipH+zatYuDBw+ye/fupqNIkqQ5vFuf1GXbt29nenq6a89/6rlP3bWvG9atWzfwdzVUs+Z+ILzllluajiNpBM3MzDA1NUVVMTU1xZYtWxgfH286liRJwplT0sBbvXo1q1evbjqGdFrzPxA6e0pSE3bt2sXJkycBOHHihLOnJEnqI86calC3Z9SAs2r6gf82GnULfSB09pSkXnvwwQeZnZ0FYHZ2lgceeMBa1IBhmFEOvv+VpDPNmVNDzlk1kpq20AdCSeq1jRs3MjbW+l52bGyMq666quFE6gbf+0rSYHLmVIP8tkXSKNi4cSN79+5ldnbWD4SSGjM5OcnU1BQAq1atYsuWLQ0nGk2+/5UkLcSZU5KkrpqcnOQVr2j9d+MHQklNGR8fZ2JigiRMTEx4MXRJkvqIzSlJUlf5gVBSv5icnOTSSy+1SS5JUp/xtD5JUtdNTk5y9OhRPxBKatT4+Djbtm1rOoYkSZrHmVOS1IEkf51kf/vnaJL989a/OsnzSd7fUMS+duoDobOmJEmSJM3nzClJ6kBV/dqp35N8GHhu3iYfAT7b01CSJEmSNAScOSVJy5AkwDuBT81Z9svAEeBwQ7EkSZJ6IslEkq8mmU5y2wLrk2Rbe/2BJK9vIqekwWJzSpKW5wrg6ar6N4AkPwjcCnxoqQcmuT7JI0keeeaZZ7ocU5Ik6cxKsgr4GLAJuBi4NsnF8zbbBKxv/1wPfLynISUNJJtTktSW5MEkhxb42Txns2uZM2uKVlPqI1X1/FLPX1V3V9WGqtpw7rnnnun4kiRJ3fZGYLqqjlTVS8C9wOZ522wGdlfLPmBNkrW9DippsIzcNaceffTR40meaDpHj50DHG86hLpqFMf4NWf6Catq42Lrk4wBvwq8Yc7iNwFvT/LHwBrgZJL/qqqPLvZc1iINqVEc4zNei3rJWqQhNYpj3KtadB7wjTl/H6P1Xmipbc4Dnpq7UZLrac2sAvjvJIfObNSeG4bX3aDvw6Dnh8Hfh4tW+sCRa05V1chNV0jySFVtaDqHuscx7pmNwL9U1bFTC6rqilO/J7kdeH6pxlT7cdYiDR3HePBYizSMHOOuygLLagXbUFV3A3fDcIyZ+9C8Qc8Pg78PSR5Z6WM9rU+SOncN33tKnyRJ0ig5Blww5+/zgSdXsI0kfY+RmzklSStVVb+xxPrbe5NEkiSpEQ8D65NcCHyT1hd375q3zR5ga5J7aZ3y91xVPYUkLcLm1Gi4u+kA6jrHWIPA1+nwc4w1CHydDj/HuEuqajbJVuB+YBVwT1UdTnJDe/0OYC9wNTANvAhc18FTD8OYuQ/NG/T8MPj7sOL8qfq+038lSZIkSZKknvCaU5IkSZIkSWqMzSlJkiRJkiQ1xubUkEgykeSrSaaT3LbA+iTZ1l5/IMnrm8iplUtyT5JvJzl0mvWOsRpnLRp+1iINCuvRcLMWDaZhOC472Id3t7MfSPLFJJc3kfN0lso/Z7ufTXIiydt7ma8TnexDkrcm2Z/kcJLP9zrjYjp4Df1wkr9L8lg7fyfXbeuZbtVfm1NDIMkq4GPAJuBi4NokF8/bbBOwvv1zPfDxnobUmbATmFhkvWOsRlmLRsZOrEXqc9ajkbATa9FAGYbjssN9+Brw81V1GXAHfXSB6w7zn9ruj2hd+L6vdLIPSdYAdwFvq6pLgHf0OufpdDgG7wP+uaouB94KfDjJWT0NuriddKH+2pwaDm8EpqvqSFW9BNwLbJ63zWZgd7XsA9YkWdvroFq5qnoI+M4imzjGapq1aARYizQgrEdDzlo0kIbhuFxyH6rqi1X1bPvPfcD5Pc64mE7GAOBG4DPAt3sZrkOd7MO7gPuq6usAVdVP+9FJ/gLOThLgh2jVutnexjy9btVfm1PD4TzgG3P+PtZettxtNNgcYzXNWiRwjNUfrEdyfPvPMByXy833m8Bnu5poeZbMn+Q84FeAHT3MtRydjMFPAj+S5O+TPJpkS8/SLa2T/B8Ffhp4EjgI3FxVJ3sT74xY0XE81rU46qUssKxWsI0Gm2OsplmLBI6x+oP1SI5v/xmG47LjfEl+gVZz6ue6mmh5Osn/58CtVXWiNXGn73SyD2PAG4BfBFYDX0qyr6r+tdvhOtBJ/l8C9gNXAq8DHkjyhar6jy5nO1NWdBzbnBoOx4AL5vx9Pq0u63K30WBzjNU0a5HAMVZ/sB7J8e0/w3BcdpQvyWXAJ4BNVTXTo2yd6CT/BuDedmPqHODqJLNV9Tc9Sbi0Tl9Hx6vqBeCFJA8BlwP90JzqJP91wB9WVQHTSb4G/BTwld5EfNlWdBx7Wt9weBhYn+TC9oXSrgH2zNtmD7ClfeX8NwPPVdVTvQ6qrnKM1TRrkcAxVn+wHsnx7T/DcFwuuQ9JXg3cB7ynT2bqzLVk/qq6sKpeW1WvBT4N/FYfNaags9fR3wJXJBlL8irgTcDjPc55Op3k/zqtWV8k+THgIuBIT1O+PCs6jp05NQSqajbJVlp3U1gF3FNVh5Pc0F6/A9gLXA1MAy/S6sZqgCT5FK27NZyT5Bjwu8ArwTFWf7AWjQZrkQaB9Wj4WYsGzzAclx3uwweBceCu9uyj2ara0FTmuTrM39c62YeqejzJFHAAOAl8oqoONZf6/3U4BncAO5McpHWK3K1Vdbyx0PN0q/6mNVNMkiRJkiRJ6j1P65MkSZIkSVJjbE5JkiRJkiSpMTanJEmSJEmS1BibU5IkSZIkSWqMzSlJkiRJkiQ1xuaUBlKS25O8v+kckmQ9ktQPrEWSpEFmc0qSJEmSJEmNsTmlgZBkS5IDSR5L8hfz1r03ycPtdZ9J8qr28nckOdRe/lB72SVJvpJkf/v51jexP5IGl/VIUj+wFkmShkmqqukM0qKSXALcB7ylqo4n+VHgJuD5qvrTJONVNdPe9veBp6tqe5KDwERVfTPJmqr69yTbgX1V9ZdJzgJWVdV3m9o3SYPFeiSpH1iLJEnDxplTGgRXAp+uquMAVfWdeet/JskX2m+43g1c0l7+j8DOJO8FVrWXfQn4QJJbgdf45kvSMlmPJPUDa5EkaajYnNIgCLDYFL+dwNaquhT4EPADAFV1A/DbwAXA/va3iH8FvA34LnB/kiu7GVzS0LEeSeoH1iJJ0lCxOaVB8DngnUnGAdpT1+c6G3gqyStpfTtIe7vXVdWXq+qDwHHggiQ/ARypqm3AHuCynuyBpGFhPZLUD6xFkqShMtZ0AGkpVXU4yR8An09yAvgn4OicTX4H+DLwBHCQ1hsygD9pX9QztN7EPQbcBvx6kv8BvgX8Xk92QtJQsB5J6gfWIknSsPGC6JIkSZIkSWqMp/VJkiRJkiSpMTanJEmSJEmS1BibU5IkSZIkSWqMzSlJkiRJkiQ1xuaUJEmSJEmSGmNzSpIkSZIkSY2xOSVJkiRJkqTG/C9ZxIuFkVjotQAAAABJRU5ErkJggg==", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - } - ], - "source": [ - "\n", - "for cond_i in conds_inh:\n", - " fig,ax = plt.subplots(2,4,figsize=[20,10])\n", - " for i,val in enumerate(['Vm_avg', 'resistance','mi', 'thr', 'isi', 'sub_thr','imp']):\n", - " if i<4:\n", - " plot_significance_new(data = df_inh_for_sig[df_inh_for_sig.cond==cond_i],var = val,hue = 'class',ax = ax[0,i],test='t-test_ind')\n", - " else:\n", - " plot_significance_new(data = df_inh_for_sig[df_inh_for_sig.cond==cond_i],var = val,hue = 'class',ax = ax[1,i-4],test='t-test_ind')\n", - " plt.title('comparison for '+cond_i)\n", - " plt.show()\n" - ] - }, - { - "cell_type": "code", - "execution_count": 192, - "metadata": { - "colab": { - "base_uri": "https://localhost:8080/" - }, - "id": "r2STn_0ro2O2", - "outputId": "4da68c91-e3aa-4bce-a635-67a701997478" - }, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.718e-02 U_stat=1.000e+00\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.403e-01 U_stat=1.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.030e-01 U_stat=1.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2704,12 +3590,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.234e-12 U_stat=1.196e+03\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.950e-23 U_stat=5.538e+03\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.347e-21 U_stat=2.830e+02\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.426e-17 U_stat=3.764e+03\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.699e-07 U_stat=7.188e+03\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.472e-03 U_stat=2.670e+03\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.053e-06 U_stat=0.000e+00\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.594e-02 U_stat=4.000e+01\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.207e-02 U_stat=0.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2717,12 +3600,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.120e-02 U_stat=3.958e+03\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.802e-06 U_stat=1.540e+03\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.469e-03 U_stat=3.883e+03\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.568e-02 U_stat=1.566e+03\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.314e-02 U_stat=4.152e+03\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.161e-01 U_stat=3.622e+03\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.661e-01 U_stat=1.310e+02\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.669e-02 U_stat=4.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.315e-01 U_stat=4.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2730,12 +3610,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.351e-01 U_stat=3.528e+03\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.221e-11 U_stat=1.058e+03\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.393e-10 U_stat=4.814e+03\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.452e-09 U_stat=8.145e+02\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.140e-01 U_stat=5.612e+03\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.207e-01 U_stat=3.984e+03\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.363e-02 U_stat=2.110e+02\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.231e-01 U_stat=3.400e+01\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.207e-02 U_stat=2.800e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2743,12 +3620,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.250e-03 U_stat=2.440e+03\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.557e-06 U_stat=4.152e+03\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.993e-02 U_stat=2.477e+03\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.905e-02 U_stat=2.452e+03\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.592e-03 U_stat=6.408e+03\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.802e-01 U_stat=3.596e+03\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.498e-06 U_stat=2.000e+00\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.917e-01 U_stat=1.200e+01\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.207e-02 U_stat=0.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2756,12 +3630,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.733e-02 U_stat=2.702e+03\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.901e-17 U_stat=5.138e+03\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.141e-18 U_stat=5.110e+02\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.637e-15 U_stat=3.616e+03\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.572e-02 U_stat=4.224e+03\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.567e-03 U_stat=2.648e+03\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.471e-03 U_stat=5.300e+01\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.231e-01 U_stat=6.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.207e-02 U_stat=0.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2769,12 +3640,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.237e-07 U_stat=1.754e+03\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.781e-17 U_stat=5.138e+03\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.464e-06 U_stat=1.687e+03\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.667e-06 U_stat=2.920e+03\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.883e-11 U_stat=7.840e+03\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.455e-01 U_stat=3.784e+03\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.201e-01 U_stat=1.470e+02\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.579e-01 U_stat=1.300e+01\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.118e-01 U_stat=1.200e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2782,30 +3650,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.454e-12 U_stat=1.202e+03\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.655e-01 U_stat=2.642e+03\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.024e-01 U_stat=2.548e+03\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.676e-07 U_stat=9.375e+02\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.405e-03 U_stat=3.984e+03\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.995e-13 U_stat=1.234e+03\n" - ] - }, - { - "data": { - "image/png": "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", - "text/plain": [ - "
" - ] - }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.346e-03 U_stat=5.800e+01\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.317e-01 U_stat=2.900e+01\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.914e-01 U_stat=1.100e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2813,12 +3660,7 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.904e-01 U_stat=0.000e+00\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.904e-01 U_stat=7.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.416e-01 U_stat=0.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.000e+00 U_stat=1.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.168e-01 U_stat=2.300e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.582e-01 U_stat=1.000e+00\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.619e-02 U_stat=2.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2826,12 +3668,7 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.904e-01 U_stat=0.000e+00\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.904e-01 U_stat=0.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.416e-01 U_stat=5.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.000e+00 U_stat=0.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.439e-01 U_stat=2.700e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.000e+00 U_stat=2.000e+00\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.025e-01 U_stat=1.000e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2839,12 +3676,7 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.625e-01 U_stat=2.000e+00\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.904e-01 U_stat=0.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.416e-01 U_stat=5.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.000e+00 U_stat=0.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.261e-01 U_stat=2.100e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.000e+00 U_stat=2.000e+00\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.025e-01 U_stat=1.100e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2852,12 +3684,7 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.904e-01 U_stat=0.000e+00\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.904e-01 U_stat=7.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.000e+00 U_stat=2.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.000e+00 U_stat=1.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.261e-01 U_stat=2.100e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.000e+00 U_stat=2.000e+00\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.734e-02 U_stat=1.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2865,12 +3692,7 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.625e-01 U_stat=5.000e+00\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.904e-01 U_stat=7.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.416e-01 U_stat=0.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.000e+00 U_stat=1.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.261e-01 U_stat=1.400e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.000e+00 U_stat=3.000e+00\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.133e-01 U_stat=8.000e+00\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2878,12 +3700,7 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.827e-01 U_stat=6.000e+00\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.904e-01 U_stat=7.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.416e-01 U_stat=0.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.000e+00 U_stat=1.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.000e+00 U_stat=1.700e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.582e-01 U_stat=4.000e+00\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.133e-01 U_stat=1.200e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -2891,1248 +3708,645 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.904e-01 U_stat=0.000e+00\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.904e-01 U_stat=0.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.000e+00 U_stat=3.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.000e+00 U_stat=0.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.261e-01 U_stat=1.400e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.416e-01 U_stat=0.000e+00\n" + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.403e-01 U_stat=7.000e+00\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAoMAAAJhCAYAAAA64roHAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAAA+pUlEQVR4nO3dfVzV9f3/8ecBBbSDF1xEHtSwC+zCRca+Y2lrpruFYSHiCgp104U6RJpWW3WbtLHKZoaJ6LzZtkzBRuZF2RyxyrZqF4K6SjNbBiFtIEgoKJdxfn/44yzyoKLCh8P7cf9L3+/3i/P65CdvT9+fi2NzOp1OAQAAwEheVjcAAAAA6xAGAQAADEYYBAAAMBhhEAAAwGCEQQAAAIP1sboBT9XQ0KC9e/cqODhY3t7eVrcDAADQoS+//FKVlZUaNWqU/Pz82s0RBs/R3r17lZSUZHUbAAAAZy03N1ff/OY3240RBs9RcHCwpJP/US+55BKLuwEAAOhYeXm5kpKSXPnlqwiD56jt0vAll1yioUOHWtwNAADAmbm7tY0HSAAAAAxGGAQAADAYYRAAAMBghEEAAACDEQYBAAAMRhgEAAAwGGEQAADAYIRBAAAAgxEGAQAADEYYBAAAMBhhEAAAwGCEQQAAAIMRBgEAAAxGGAQAADAYYRAAAMBghEEAAACDEQYBAAAMRhgEgG7U0NCgI0eOaO7cuWpsbFRDQ4OeffZZFRQUqKGhQS0tLWppadFdd90lp9OppqYmNTQ06P3339djjz2mhoYGqw8BQC/Tx+oGAMAk+fn5evXVV/Xpp58qJSVFzc3Nqq2tVb9+/bRr1y5NmTJFS5YsUVVVlZKTkzVz5kx9+OGHevvtt3XkyBGlpaUpOztbPj4+Vh8KgF6CnUEA6Ea33367fHx8NGrUKE2ePFnp6ekKDAyUt7e3Zs2apauuukrf/va3NWrUKDkcDo0dO1b33HOPvLy8NHz4cM2cOZMgCOCCYmcQALqRt7e35syZo5EjR6q4uFiDBw/Wo48+qvr6el100UWSpKioKCUnJ2vPnj2SpL59++qBBx7QkCFDdPz4cSvbB9ALEQYBoBvZbDZFRERIkq6++mpJUmBgYLs1bfM33HCDJLl2Et2tBYDzxWViAAAAgxEGAQAADEYYBAAAMBhhEAAAwGA8QAKPsXnzZuXn51vdBgDgApg4caLi4+OtbgNiZxAeJD8/Xx9//LHVbQAAztPHH3/MP+57EHYG4VHCw8O1Zs0aq9sAAJyH2bNnW90CvoKdQQAAAIMRBgEAAAxGGAQAADAYYRAAAMBghEEAAACDEQYBAAAMxqtl4DFiY2OtbgEAcAHw93nPQhiEx7j99tutbgEAcAHw93nPwmViAAAAgxEGAQAADEYYBAAAMBhhEAAAwGCEQQAAAIMRBgEAAAxGGAQAADAYYRAAAMBgPeKl0y0tLcrJydGLL76osrIyBQcHKz4+XrNnz1bfvn3PWF9TU6OsrCy99dZbOnLkiC6//HLde++9iomJOWXtl19+qQ0bNujFF1/UZ599poCAAN144436yU9+opCQkK44PABAD/HPf/5Ty5Yt07Bhw/Tvf/9bTU1NSk9PV58+ffTkk0+qtbVVkjRnzhxFR0db3C3QPXpEGMzIyFBeXp4iIyM1fvx47d69W1lZWTpw4ICysrJOW3vixAnNmjVL+/fv18SJEzVkyBAVFBRowYIFqq6u1rRp09qt/9nPfqZt27bp2muvVVJSkoqLi7V582bt3LlTW7Zs0YABA7ryUAEAFnv//ff16KOP6uqrr9bvf/97ZWdny9vbWzNnztSkSZP00UcfKS8vjzAIY1geBnfv3u36n2758uWy2WxyOp166KGHtHXrVu3YsUO33HJLh/Xr1q3Tvn37lJ6erqSkJElSSkqKEhMTtXTpUt12220KDAyUJOXn52vbtm2aNGmSli5dKi+vk1fJf/e732nJkiVat26dUlNTu/6gAQCWcTgcuvrqqyVJ11xzjbZs2aKkpCRlZGTozTff1JgxY7Rw4UKLuwS6j+X3DObm5kqSUlNTZbPZJEk2m00LFy6UzWbTxo0bT1u/YcMGBQUFKTEx0TVmt9s1d+5c1dfXa9u2ba7xnJwcXXTRRUpPT3cFQUm6++67NXnyZFdoBAD0Xn5+fq5ft21AJCYm6pVXXtHYsWP1zjvvKDY2VrW1tRZ2CXQfy8NgUVGRBg8erPDw8HbjISEhCgsLU2FhYYe1paWlqqioUGRkpLy9vdvNRUVFSZKr/vjx49q1a5eioqI0aNCgdmv79++vJUuW6O67774ARwQA8DSJiYnav3+/4uPj9atf/UrHjh3T0aNHrW4L6BaWhsGmpiaVl5dr+PDhbudDQ0N17NgxVVdXu50vLS2VJLf1wcHB8vX1VUlJiSTp4MGDam1t1ZVXXql//etfmjlzpkaPHq2oqCg98sgjHX4GAKD3e+CBB5SVlaW4uDjNmDFDqampGjp0qNVtAd3C0nsGa2pqJEn+/v5u59vGa2trFRAQ0GF9Rw992O121zb/4cOHJUn79u3T7373O11//fW66667tHfvXm3atEm7du3SSy+91GEvAADPFxUVpVdffdXt7zdv3mxVW4ClLA2DLS0tkiQfHx+3823jjY2N51xfX18v6eRTx5L0zjvvaO7cuVqwYIFr3ZNPPqnnnntOK1as0COPPHIORwIAF8bmzZuVn59vdRtAl5s4caLi4+OtbgOy+DJx2028zc3NbuebmpokSf369XM77+vr226du/r+/ftLkuuBkcDAwFOeGE5LS1P//v31pz/9qZNHAAAXVn5+vj7++GOr2wC61Mcff8w/enoQS3cG7Xa7vLy8VFdX53a+7RJvR5duBw4cKEkd1tfV1bmeEG77GeHh4ae8yLp///669NJLtX//fjU2NrpCJgBYITw8XGvWrLG6DaDLzJ492+oW8BWW7gz6+PjI4XCorKzM7XxZWZkCAgJOefq3TVhYmGvd1x0+fFiNjY0aMWKEJOnSSy+V1PEuZEtLi/r06XNW33gCAADQW1j+apnIyEhVVlaquLi43XhFRYVKSkoUERHRYa3D4ZDD4dCuXbtcXyHUZufOnZKk0aNHSzr5xHFwcLD27t17yk7isWPHVFpaqiuuuKLd+wcBAAB6O8uTT1xcnCRp2bJlrkDndDqVmZkpSUpISDhtfWxsrMrLy5WTk+Maq6ur0+rVq+Xn56fJkydLOnnP4J133qmGhgb9+te/bvczMjMz1djYqKlTp16owwIAAPAIln8d3ZgxYxQTE6Pt27crISFBUVFR2rNnj4qKihQdHa1x48a51q5YsUKSNH/+fNdYcnKy8vPz9fjjj6uwsFDDhg1TQUGBDh06pEWLFrV7Jc2cOXP0t7/9TS+++KIOHDigyMhIvffee9q1a5ciIyN1zz33dNtxAwAA9ASW7wxK0pIlS5SWlqYvvvhCzz//vKqqqpSWlqalS5e6vqJOkrKzs5Wdnd2u1m63Kzc3V1OnTlVRUZE2bNigAQMGKDMzU9OmTWu31s/PT2vXrlVKSoq++OILrV+/XuXl5ZozZ45+//vfq08fy7MxAABAt+oR6adv376aN2+e5s2bd9p1Bw4ccDseFBSkJ5544qw+q1+/frrvvvt03333dbpPAACA3qZH7AwCAADAGj1iZxAAcFJsbKzVLQBdjvO8ZyEMAkAPcvvtt1vdAtDlOM97Fi4TAwAAGIwwCAAAYDDCIAAAgMEIgwAAAAYjDAIAABiMMAgAAGAwwiBgsIaGBh05ckRz585VY2OjGhoa9Oyzz6qgoEANDQ1qaWlRS0uL7rrrLjmdTjU1NamhoUHvv/++HnvsMTU0NFh9CACA88R7BgGD5efn69VXX9Wnn36qlJQUNTc3q7a2Vv369dOuXbs0ZcoULVmyRFVVVUpOTtbMmTP14Ycf6u2339aRI0eUlpam7Oxs+fj4WH0oAIBzxM4gYLDbb79dPj4+GjVqlCZPnqz09HQFBgbK29tbs2bN0lVXXaVvf/vbGjVqlBwOh8aOHat77rlHXl5eGj58uGbOnEkQBAAPx84gYDBvb2/NmTNHI0eOVHFxsQYPHqxHH31U9fX1uuiiiyRJUVFRSk5O1p49eyRJffv21QMPPKAhQ4bo+PHjVrYPALgACIOAwWw2myIiIiRJV199tSQpMDCw3Zq2+RtuuEGSXDuJ7tYCADwPl4kBAAAMRhgEAAAwGGEQAADAYIRBAAAAg/EACTzG5s2blZ+fb3UbQJebOHGi4uPjrW4DgCHYGYTHyM/P18cff2x1G0CX+vjjj/lHD4Buxc4gPEp4eLjWrFljdRtAl5k9e7bVLQAwDDuDAAAABiMMAgAAGIwwCAAAYDDCIAAAgMEIgwAAAAYjDAIAABiMMAgAAGAw3jMIjxEbG2t1C0CX4zwH0N0Ig/AYt99+u9UtAF2O8xxAd+MyMQAAgMEIgwAAAAYjDAIAABiMMAgAAGAwwiAAAIDBCIMAAAAGIwwCAAAYjDAIAABgMMIgAACAwQiDAAAABiMMAgAAGIwwCAAAYDDCIAAAgMEIgwAAAAYjDAIAABiMMAgAAGAwwiAAAIDBCIMAAAAGIwwCAAAYjDAIAABgMMIgAACAwQiDAAAABiMMAgAAGIwwCAA9yOHDhzVt2jRVVlZa3QoAQxAGAaAHWbVqlYqKirRq1SqrWwFgCMIgAPQQhw8f1ubNm+V0OrVp0yZ2BwF0C8IgAPQQq1atUmtrqySptbWV3UEA3YIwCAA9xLZt29Tc3CxJam5u1iuvvGJxRwBMQBgEgB7ijjvuUN++fSVJffv2VWxsrMUdATABYRAAeoiUlBR5eZ38a9nLy0spKSkWdwTABIRBAOghLr74YsXHx8tms2nq1KkKDg62uiUABuhjdQMAgP9JSUnRJ598wq4ggG5DGASAHuTiiy9WTk6O1W0AMAiXiQEAAAxGGAQAADAYYRAAAMBghEEAAACDEQYBAAAMRhgEAAAwGGEQAADAYIRBAAAAgxEGAQAADEYYBAAAMBhhEAAAwGCEQQAAAIMRBgEAAAxGGAQAADAYYRAAAMBghEEAAACDEQYBAAAM1sfqBiSppaVFOTk5evHFF1VWVqbg4GDFx8dr9uzZ6tu37xnra2pqlJWVpbfeektHjhzR5ZdfrnvvvVcxMTGnrH3wwQf1yiuvuP05ycnJeuCBB877eAAAADxFjwiDGRkZysvLU2RkpMaPH6/du3crKytLBw4cUFZW1mlrT5w4oVmzZmn//v2aOHGihgwZooKCAi1YsEDV1dWaNm1au/UfffSRgoKClJiYeMrPioyMvKDHBQAA0NNZHgZ3796tvLw8RUdHa/ny5bLZbHI6nXrooYe0detW7dixQ7fcckuH9evWrdO+ffuUnp6upKQkSVJKSooSExO1dOlS3XbbbQoMDJQkNTc3q7i4WOPGjdP8+fO75fgAAAB6MsvvGczNzZUkpaamymazSZJsNpsWLlwom82mjRs3nrZ+w4YNp+z02e12zZ07V/X19dq2bZtr/ODBg2pubtbIkSO74EgAAAA8j+VhsKioSIMHD1Z4eHi78ZCQEIWFhamwsLDD2tLSUlVUVCgyMlLe3t7t5qKioiSpXf2BAwckiTAIAADw/1kaBpuamlReXq7hw4e7nQ8NDdWxY8dUXV3tdr60tFSS3NYHBwfL19dXJSUlrrG2MFhcXKzExESNHj1aN954ox5++GFVVFSc59EAAAB4HkvDYE1NjSTJ39/f7XzbeG1t7WnrBwwY4Hbebre3q20Lg6tWrdLQoUOVkJCgsLAwbd68WXfeeafKy8vP5TAAAAA8lqUPkLS0tEiSfHx83M63jTc2Np5zfX19vev3fn5+CgsLU3Z2tq688krX+G9+8xs988wzeuyxx5Sdnd35AwEAAPBQloZBPz8/SSef8nWnqalJktSvXz+3876+vu3Wuavv37+/6/crV650u27OnDl66aWXtGPHDh0/flwXXXTR2R0AAACAh7P0MrHdbpeXl5fq6urczrdd4u3oMvLAgQMlqcP6uro62e32M/bh5eWlq666Si0tLVwqBgAARrF0Z9DHx0cOh0NlZWVu58vKyhQQEKBBgwa5nQ8LC3Ot+7rDhw+rsbFRI0aMkCTV19frwIED8vPz01VXXXXK+oaGBkn/220EAAAwgeWvlomMjFRlZaWKi4vbjVdUVKikpEQREREd1jocDjkcDu3atUutra3t5nbu3ClJGj16tCSpqqpKCQkJevDBB0/5OfX19frwww8VEBCg0NDQ8z0kAAAAj2F5GIyLi5MkLVu2zBXonE6nMjMzJUkJCQmnrY+NjVV5eblycnJcY3V1dVq9erX8/Pw0efJkSdKwYcN07bXX6uOPP2733cROp1NPP/20qqurdffdd7tefA0AAGACy7+ObsyYMYqJidH27duVkJCgqKgo7dmzR0VFRYqOjta4ceNca1esWCFJ7b5KLjk5Wfn5+Xr88cdVWFioYcOGqaCgQIcOHdKiRYsUEBDgWpuRkaHp06frpz/9qQoKChQaGqqioiLt3btX//d//6e5c+d223EDAAD0BDan0+m0uonm5matWbNGW7ZsUUVFhRwOh2JjY5WcnNzutTFt3xzS9r7ANlVVVcrMzNSOHTtUX1+vyy67TD/60Y80adKkUz7r4MGDysrK0j/+8Q8dP35coaGhbj/rTMrKyjRhwgS98cYbGjp06DkeOQAAQNc7XW7pEWHQExEGAQCApzhdbrH8nkEAAABYhzAIAABgMMIgAACAwQiDAAAABiMMAgAAGIwwCAAAYDDCIAAAgMEIgwAAAAYjDAIAABiMMAgAAGAwwiAAAIDBCIMAAAAGIwwCAAAYjDAIAABgMMIgAACAwQiDAAAABiMMAgAAGIwwCAAAYDDCIAAAgMEIgwAAAAYjDAIAABiMMAgAAGAwwiAAAIDBCIMAAAAGIwwCAAAYjDAIAABgMMIgAACAwQiDAAAABiMMAgAAGIwwCAAAYDDCIAAAgMEIgwAAAAYjDAIAABiMMAgAAGAwwiAAAIDBCIMAAAAGIwwCAAAYjDAIAABgMMIgAACAwQiDAAAABiMMAgAAGIwwCAAAYDDCIAAAgMEIgwAAAAYjDAIAABiMMAgAAGAwwiAAAIDBCIMAAAAGIwwCAAAYjDAIAABgMMIgAACAwQiDAAAABiMMAgAAGIwwCAAAYDDCIAAAgMEIgwAAAAYjDAIAABiMMAgAAGAwwiAAAIDBCIMAAAAGIwwCAAAYjDAIAABgMMIgAACAwQiDAAAABiMMAgAAGIwwCAAAYDDCIAAAgMEIgwAAAAYjDAIAABiMMAgAAGAwwiAAAIDBCIMAAAAGIwwCAAAYjDAIAABgMMIgAACAwQiDAAAABiMMAgAAGIwwCAAAYDDCIAAAgMEIgwAAAAbrEWGwpaVFa9euVUxMjK677jpNmDBBK1euVHNz81nV19TUKCMjQ+PHj1dERITi4+O1ffv2s6pNS0vTyJEjVVZWdj6HAAAA4JF6RBjMyMjQ4sWLNWjQIM2YMUMhISHKysrS/ffff8baEydOaNasWXrhhRcUERGhpKQkHTt2TAsWLFBOTs5pa1977TW99tprF+owAAAAPE4fqxvYvXu38vLyFB0dreXLl8tms8npdOqhhx7S1q1btWPHDt1yyy0d1q9bt0779u1Tenq6kpKSJEkpKSlKTEzU0qVLddtttykwMPCUurbdRAAAAJNZvjOYm5srSUpNTZXNZpMk2Ww2LVy4UDabTRs3bjxt/YYNGxQUFKTExETXmN1u19y5c1VfX69t27a5rVu8eLGam5t1/fXXX5gDAQAA8ECWh8GioiINHjxY4eHh7cZDQkIUFhamwsLCDmtLS0tVUVGhyMhIeXt7t5uLioqSJLf1f/3rX7V161Y99NBDbncNAQAATGFpGGxqalJ5ebmGDx/udj40NFTHjh1TdXW12/nS0lJJclsfHBwsX19flZSUtBuvq6tTenq6xowZo/j4+PM7AAAAAA9naRisqamRJPn7+7udbxuvra09bf2AAQPcztvt9lNqn3rqKe4XBAAA+P8sDYMtLS2SJB8fH7fzbeONjY3nXP/V2p07dyovL09paWkaNmzYOfcNAADQW1gaBv38/CSpw/cJNjU1SZL69evndt7X17fdOnf1/fv3lyQ1NDTo5z//ua699lr94Ac/OK++AQAAegtLXy1jt9vl5eWluro6t/Ntl3g7uow8cOBASeqwvq6uzvWAyPLly/X5559r06ZNpzxsAgAd2bp1qzZt2tRtn1dVVSVJCgoK6rbPnDp1quLi4rrt8wD0LJaGQR8fHzkcjg6//aOsrEwBAQEaNGiQ2/mwsDDXuq87fPiwGhsbNWLECEknXzDd0tKiyZMnu/1ZEyZMkCQdOHCgk0cBABdOZWWlpO4NgwDMZvlLpyMjI/Xyyy+ruLjYFdwkqaKiQiUlJad94bTD4ZDD4dCuXbvU2toqL6//XfXeuXOnJGn06NGSpBkzZrh9EOWPf/yjiouLNWPGjA4fRAFgrri4uG7dNZs+fbokaf369d32mQDMZnkYjIuL08svv6xly5bpmWeekZeXl5xOpzIzMyVJCQkJp62PjY3V6tWrlZOToxkzZkg6eXl49erV8vPzc+0E/vCHP3Rbv3//fhUXF+sHP/iBhg4deuEODAAAwANYHgbHjBmjmJgYbd++XQkJCYqKitKePXtUVFSk6OhojRs3zrV2xYoVkqT58+e7xpKTk5Wfn6/HH39chYWFGjZsmAoKCnTo0CEtWrRIAQEB3X1IAAAAHsPybyCRpCVLligtLU1ffPGFnn/+eVVVVSktLU1Lly51fUWdJGVnZys7O7tdrd1uV25urqZOnaqioiJt2LBBAwYMUGZmpqZNm9bdhwIAAOBRLN8ZlKS+fftq3rx5mjdv3mnXdfRwR1BQkJ544olz+uxVq1adUx0AAEBv0CN2BgEAAGANwiAAAIDBCIMAAAAGO+d7Buvq6vTWW2/po48+Um1trQYPHqyIiAiNHTu2w+8KBgAAQM9yTmFwy5YtWrx4sWpra+V0Ol3jNptNl1xyiX71q1/ppptuumBNAgAAoGt0Ogy+9dZbeuSRR+Tv76+5c+fquuuuU1BQkGpra7V7927l5OQoJSVFOTk5uu6667qiZwAAAFwgnQ6Dq1ev1sCBA7Vx40YNGzas3dyYMWM0adIk3XXXXcrOztaaNWsuWKMAAAC48Dr9AMmBAwcUHR19ShBsM2LECN16663as2fPeTcHAACArtXpMOjv76/W1tbTrrHZbPL19T3npgAAANA9Oh0G77rrLm3btk3vv/++2/lPP/1U+fn5mjJlynk3BwAAgK7V6XsGr7/+el122WW65557NGnSJH3zm99USEiIGhsb9cEHHygvL09eXl4aNGiQ1q1b1652xowZF6xxAAAAnL9Oh8F7773X9euXX35ZL7/8smw2myS1e83M0qVLT3ntDGEQAACgZ+l0GFy8eHFX9AEAAAALdDoMci8gAABA78F3EwMAABis0zuDra2tys3N1auvvqrPP/9cTU1NbtfZbDb985//PO8GAQAA0HU6HQZXrVqllStXyul0KigoSHa7vSv6AgAAQDfodBjcsmWLhgwZovXr1ys0NLQregIAAEA36fQ9g9XV1brtttsIggAAAL1Ap8PgNddco9LS0q7oBQAAAN2s02Fw4cKF+stf/qIXXnih3UulAQAA4Hk6fc9gZGSkEhISlJGRoaeeekpDhgyRj4/PKetsNps2b958QZoEAABA1+h0GFy7dq1ycnLkdDp14sQJHTx40O26tq+oAwAAQM/V6TC4bt06DRo0SEuXLtUNN9ygfv36dUVfAAAA6AadvmfwyJEjio2N1dixYwmCAAAAHq7TYfDyyy/XF1980RW9AAAAoJt1Ogz++Mc/Vn5+vt58882u6AcAAADdqNP3DB48eFCXX3655s2bp9DQUF166aVuLxfbbDatWLHigjQJAACArtHpMPjMM8+4fl1WVqaysjK363iaGAAAoOfrdBh84403uqIPAAAAWOCMYfCjjz5ScHCwAgMDJUm1tbVd3hQAAAC6xxnDYFxcnFJTU5Wamur6/dleAt6/f//5dQcAAIAudcYwOGXKFF199dWu33cmDAIAAKBnO2MYXLx4cbvfP/nkk13WDAAAALpXp98zCAAAgN6DMAgAAGAwwiAAAIDBCIMAAAAGIwwCAAAYjDAIAABgMMIgAACAwQiDAAAABiMMAgAAGIwwCAAAYDDCIAAAgMEIgwAAAAYjDAIAABiMMAgAAGAwwiAAAIDBCIMAAAAGIwwCAAAYjDAIAABgMMIgAACAwQiDAAAABiMMAgAAGIwwCAAAYDDCIAAAgMEIgwAAAAYjDAIAABiMMAgAAGAwwiA8xuHDhzVt2jRVVlZa3QoAAL0GYRAeY9WqVSoqKtKqVausbgUAgF6DMAiPcPjwYW3evFlOp1ObNm1idxAAgAuEMAiPsGrVKrW2tkqSWltb2R0EAOACIQzCI2zbtk3Nzc2SpObmZr3yyisWdwQAQO9AGIRHuOOOO9S3b19JUt++fRUbG2txRwAA9A6EQXiElJQUeXmdPF29vLyUkpJicUcAAPQOhEF4hIsvvljx8fGy2WyaOnWqgoODrW4JAIBeoY/VDQBnKyUlRZ988gm7ggAAXECEQXiMiy++WDk5OVa3AQBAr8JlYgAAAIMRBgEAAAxGGAQAADAYYRAAAMBghEEAAACDEQYBAAAMRhgEAAAwGGEQAADAYIRBAAAAgxEGAQAADNYjwmBLS4vWrl2rmJgYXXfddZowYYJWrlyp5ubms6qvqalRRkaGxo8fr4iICMXHx2v79u1u1/7nP//Rz372M40bN04RERGaMmWKNm7cKKfTeSEPCQAAwCP0iDCYkZGhxYsXa9CgQZoxY4ZCQkKUlZWl+++//4y1J06c0KxZs/TCCy8oIiJCSUlJOnbsmBYsWHDK99iWl5frzjvv1KuvvqobbrhB99xzj1paWvTzn/9cGRkZXXV4AAAAPVYfqxvYvXu38vLyFB0dreXLl8tms8npdOqhhx7S1q1btWPHDt1yyy0d1q9bt0779u1Tenq6kpKSJEkpKSlKTEzU0qVLddtttykwMFCS9NRTT6mqqkqrVq3ShAkTJEn333+/ZsyYoQ0bNujuu+9WeHh41x80AABAD2H5zmBubq4kKTU1VTabTZJks9m0cOFC2Ww2bdy48bT1GzZsUFBQkBITE11jdrtdc+fOVX19vbZt2yZJcjqdqqio0KhRo1xBUJL69OmjiRMnSpLee++9C3psAAAAPZ3lYbCoqEiDBw8+ZUcuJCREYWFhKiws7LC2tLRUFRUVioyMlLe3d7u5qKgoSXLV22w25eTkaNOmTaf8nE8//VSSXDuIAAAAprA0DDY1Nam8vFzDhw93Ox8aGqpjx46purra7Xxpaakkua0PDg6Wr6+vSkpK3Na2traqvLxcK1euVF5enq655hrdfPPN53YgAAAAHsrSewZramokSf7+/m7n28Zra2sVEBDQYf2AAQPc1tvtdtXW1rqd+9nPfqZXXnlFkjRixAg9++yz6tPH8lsoAQAAupWlO4MtLS2SJB8fH7fzbeONjY3nXN9R7TXXXKN7771XN910k4qLi3X33XerrKysU/0DAAB4Oku3wvz8/CSpw/cJNjU1SZL69evndt7X17fdOnf1/fv3dzs3c+ZM169zc3OVkZGhjIwMrVmz5uyaBwAA6AUs3Rm02+3y8vJSXV2d2/m2S7wdXUYeOHCgJHVYX1dXJ7vdfsY+kpKSdOmll+rtt9/uMFgCAAD0RpbuDPr4+MjhcHR4ebasrEwBAQEaNGiQ2/mwsDDXuq87fPiwGhsbNWLECEknX05dWFgof39/3XDDDaesdzgc+uyzz3T06FEFBwef2wEZZuvWrW6fzu4qVVVVkqSgoKBu+8ypU6cqLi6u2z4PZ9b2CqreaujQoZJ6/3FK4pufehD+Pjeb5a+WiYyMVGVlpYqLi9uNV1RUqKSkRBERER3WOhwOORwO7dq1S62tre3mdu7cKUkaPXq0pJO7jLNnz9Zjjz12ys9paWnRJ598IrvdrsGDB5/vIaGLVFZWqrKy0uo2gC519OhRHT161Oo2gC7F3+c9i+WPz8bFxenll1/WsmXL9Mwzz8jLy0tOp1OZmZmSpISEhNPWx8bGavXq1crJydGMGTMknbw8vHr1avn5+Wny5MmSTr63cPTo0dqzZ4/++Mc/atKkSZJO/sv0mWeeUWVlpaZNm8YTxZ0QFxfXrf/Kmj59uiRp/fr13faZQHfr6A0IQFfi73OzWZ58xowZo5iYGG3fvl0JCQmKiorSnj17VFRUpOjoaI0bN861dsWKFZKk+fPnu8aSk5OVn5+vxx9/XIWFhRo2bJgKCgp06NAhLVq0qN0raX75y18qKSlJDzzwgPLz8xUaGqrdu3frvffe06hRo7Rw4cJuO24A5++ToJusbgGddEXVO1a3AOBrLA+DkrRkyRJdccUV2rJli55//nk5HA6lpaUpOTm53X0z2dnZktqHQbvdrtzcXGVmZmrHjh16++23ddlllykzM9O1+9dm5MiReumll5SVlaV3331Xx48fV2hoqObNm6fk5OQOn1oGAADorXpEGOzbt6/mzZunefPmnXbdgQMH3I4HBQXpiSeeOKvPCgsLc12CBgAAMJ3lD5AAAADAOoRBAAAAgxEGAQAADEYYBAAAMBhhEAAAwGCEQQAAAIP1iFfLAACA/+nt303Nd3D3LIRBAADQrfj+7Z6FMAgAALoV38HdsxAGAQDoyX7xC6s7QGd52J8ZD5AAAAAYjDAIAABgMMIgAACAwQiDAAAABiMMAgAAGIwwCAAAYDDCIAAAgMEIgwAAAAYjDAIAABiMMAgAAGAwwiAAAIDB+G5iAAB6GH9/fw0cOPDkb15/3dpm0HlDh+ro0aOqra21upOzws4gAACAwdgZBACgh6mtrf3frtK991rbDDrvF7+wuoNOYWcQAADAYIRBAAAAgxEGAQAADEYYBAAAMBhhEAAAwGA8TQzAo3z1/Ws/6/uFxd2gs4b6edb71wATsDMIAABgMHYGAXiUr75/7a2gMGubQaddUbXP6hYAfA07gwAAAAYjDAIAABiMMAgAAGAwwiAAAIDBCIMAAAAGIwwCAAAYjDAIAABgMMIgAACAwQiDAAAABiMMAgAAGIwwCAAAYDDCIAAAgMEIgwAAAAYjDAIAABiMMAgAAGAwwiAAAIDBCIMAAAAGIwwCAAAYjDAIAABgsD5WNwAAAE7jF7+wuoMLzt/fX5JUW1trcSeQCIMAAKCbDRw4UBJhsKfgMjEAAIDB2BkEAKCHcTqdVrfQpaZPny5JWr9+vcWdQGJnEAAAwGiEQQAAAINxmbgXsdlsVrfQpYYOHSqp9x+n1PsvEQEAeg7CIDzG0aNHrW4BAIBehzAIj8ErCAAAuPAIg70Wlxk9T++//A0A6Hl4gAQAAMBghEEAAACDEQYBAAAMRhgEAAAwGGEQAADAYIRBAAAAgxEGAQAADEYYBAAAMBhhEAAAwGB8AwkAj3VF1TtWt3DB+fv7S+LrFwF0H8IgAPQgAwcOlEQYBNB9uEwMAABgMHYGAXgUp9NpdQtdavr06ZKk9evXW9wJTLJ161Zt2rSp2z5v//79kv53vneHqVOnKi4urts+z5MQBgEAQLcKDg62ugV8BWEQAADDxcXFsWtmMO4ZBAAAMBhhEAAAwGCEQQAAAIP1iHsGW1palJOToxdffFFlZWUKDg5WfHy8Zs+erb59+56xvqamRllZWXrrrbd05MgRXX755br33nsVExNzytqSkhJlZ2fr73//u44eParAwECNGzdO9913nwICArri8AAAAHqsHhEGMzIylJeXp8jISI0fP167d+9WVlaWDhw4oKysrNPWnjhxQrNmzdL+/fs1ceJEDRkyRAUFBVqwYIGqq6s1bdo019pPPvlEiYmJOn78uMaPH6/hw4dr3759+sMf/qB33nlHGzduJBACAACjWB4Gd+/erby8PEVHR2v58uWy2WxyOp166KGHtHXrVu3YsUO33HJLh/Xr1q3Tvn37lJ6erqSkJElSSkqKEhMTtXTpUt12220KDAyUJC1evFi1tbVasWKFbr31VtfPWLVqlZYvX65Vq1bp5z//edceMAAAQA9i+T2Dubm5kqTU1FTZbDZJks1m08KFC2Wz2bRx48bT1m/YsEFBQUFKTEx0jdntds2dO1f19fXatm2bJKmurk5///vfde2117YLgpI0e/Zs+fr66q9//euFPDQAAIAez/IwWFRUpMGDBys8PLzdeEhIiMLCwlRYWNhhbWlpqSoqKhQZGSlvb+92c1FRUZLkqnc6nXrwwQc1c+bMU36Ot7e3vL29deLEifM9HAAAAI9i6WXipqYmlZeXKyIiwu18aGioiouLVV1d7fZevtLSUknS8OHDT5kLDg6Wr6+vSkpKJEn+/v5ug6Akvfvuuzpx4kSHfQAAAPRWlu4M1tTUSDoZ1NxpG6+trT1t/YABA9zO2+32Dmvb1NfX68knn5Qk3XXXXWdqGQAAoFexNAy2tLRIknx8fNzOt403Njaec31HtdLJncn77rtP//73vzVhwgS3r6IBAADozSwNg35+fpKk5uZmt/NNTU2SpH79+rmd9/X1bbfOXX3//v3dzp04cUI//vGP9Ze//EXf+MY3tGTJkk71DgAA0BtYes+g3W6Xl5eX6urq3M63XeLt6DLywIEDJanD+rq6OtdrZb6qurpas2fP1gcffKDrr79ezz77rOx2+7kcQo/i7+/v+m8iTbe0F5yLoTp69OgZb20AAOBCsnRn0MfHRw6HQ2VlZW7ny8rKFBAQoEGDBrmdDwsLc637usOHD6uxsVEjRoxoN/7555/r7rvv1gcffKCbbrpJzz33XIf3HAIAAPR2lr90OjIyUi+//LKKi4vbBbeKigqVlJSc9oXTDodDDodDu3btUmtrq7y8/pdtd+7cKUkaPXq0a6y6ulqzZs1SSUmJYmJitGTJkrP6ujtPUVtb+5VdpfWW9oJzYbO6AQCAgSx/z2BcXJwkadmyZWptbZV08p2AmZmZkqSEhITT1sfGxqq8vFw5OTmusbq6Oq1evVp+fn6aPHmyazw9PV0lJSW69dZb9fTTT/eqIAgAAHAuLN8ZHDNmjGJiYrR9+3YlJCQoKipKe/bsUVFRkaKjozVu3DjX2hUrVkiS5s+f7xpLTk5Wfn6+Hn/8cRUWFmrYsGEqKCjQoUOHtGjRItf7Cfft26c///nPstlscjgcWrly5Sm9+Pr6avbs2V17wAAAAD2I5WFQkpYsWaIrrrhCW7Zs0fPPPy+Hw6G0tDQlJye7vqJOkrKzsyW1D4N2u125ubnKzMzUjh079Pbbb+uyyy5TZmamJk2a5Fr31W8iWbt2rds+/P39CYMAAMAoNqfT6bS6CU9UVlamCRMm6I033tDQoUOtbkeS2gVniT9Wz/O/Pz/+tzTX9Okn3wSwfj33/QK4cE6XWyy/ZxAAAADWIQwCAAAYjDAIAABgMMIgAACAwQiDAAAABiMMAgAAGIwwCAAAYDDCIAAAgMEIgwAAAAYjDAIAABisR3w3MbqC7cxLPIy/v78kqba21uJOAADoPQiD8BgDBw6URBgEAOBC4jIxAACAwdgZ7EWcTqfVLXSp6dOnS5LWr19vcScAAPQe7AwCAAAYjDAIAABgMMIgAACAwQiDAAAABiMMAgAAGIwwCAAAYDDCIAAAgMEIgwAAAAYjDAIAABiMMAgAAGAwwiAAAIDBCIMAAAAGIwwCAAAYjDAIAABgMMIgAACAwQiDAAAABiMMAgAAGIwwCAAAYDDCIAAAgMEIgwAAAAYjDAIAABiMMAgAAGAwwiAAAIDBCIMAAAAGIwwCAAAYjDAIAABgMMIgAACAwQiDAAAABiMMAgAAGIwwCAAAYDDCIAAAgMEIgwAAAAYjDAIAABiMMAgAAGCwPlY3AM+1detWbdq0qds+b//+/ZKk6dOnd9tnTp06VXFxcd32eQAAdDfCIDxGcHCw1S0AANDrEAZxzuLi4tg1AwDAw3HPIAAAgMEIgwAAAAYjDAIAABiMMAgAAGAwwiAAAIDBCIMAAAAGIwwCAAAYjDAIAABgMMIgAACAwQiDAAAABiMMAgAAGIwwCAAAYDDCIAAAgMEIgwAAAAYjDAIAABiMMAgAAGAwwiAAAIDBCIMAAAAGIwwCAAAYjDAIAABgMMIgAACAwQiDAAAABiMMAgAAGIwwCAAAYLA+VjcAAD3Z1q1btWnTpm77vP3790uSpk+f3m2fOXXqVMXFxXXb5wHoWQiDANCDBAcHW90CAMMQBgHgNOLi4tg1A9Crcc8gAACAwQiDAAAABusRYbClpUVr165VTEyMrrvuOk2YMEErV65Uc3PzWdXX1NQoIyND48ePV0REhOLj47V9+/Yz1u3fv1/XXnutXn/99fM9BAAAAI/UI8JgRkaGFi9erEGDBmnGjBkKCQlRVlaW7r///jPWnjhxQrNmzdILL7ygiIgIJSUl6dixY1qwYIFycnI6rKusrFRaWppaWlou5KEAAAB4FMsfINm9e7fy8vIUHR2t5cuXy2azyel06qGHHtLWrVu1Y8cO3XLLLR3Wr1u3Tvv27VN6erqSkpIkSSkpKUpMTNTSpUt12223KTAwsF3NRx99pNTUVB06dKhLjw0AAKCns3xnMDc3V5KUmpoqm80mSbLZbFq4cKFsNps2btx42voNGzYoKChIiYmJrjG73a65c+eqvr5e27Zta7d+yZIl+v73v6/KykpFRkZe4KMBAADwLJaHwaKiIg0ePFjh4eHtxkNCQhQWFqbCwsIOa0tLS1VRUaHIyEh5e3u3m4uKipKkU+p/97vf6Rvf+IY2b96sG2+88QIdBQAAgGey9DJxU1OTysvLFRER4XY+NDRUxcXFqq6uVkBAwCnzpaWlkqThw4efMhccHCxfX1+VlJS0G1+zZo2++93vnn/zAAAAvYClO4M1NTWSJH9/f7fzbeO1tbWnrR8wYIDbebvdfkotQRAAAOB/LA2DbU/y+vj4uJ1vG29sbDzn+o5qAQAAYHEY9PPzk6QO3yfY1NQkSerXr5/beV9f33br3NX379//fNsEAADotSwNg3a7XV5eXqqrq3M733aJt6PLyAMHDpSkDuvr6upkt9svQKcAAAC9k6Vh0MfHRw6HQ2VlZW7ny8rKFBAQoEGDBrmdDwsLc637usOHD6uxsVEjRoy4UO0CAAD0Opa/WiYyMlKVlZUqLi5uN15RUaGSkpIOnzSWJIfDIYfDoV27dqm1tbXd3M6dOyVJo0ePvvBNAwAA9BKWh8G4uDhJ0rJly1yBzul0KjMzU5KUkJBw2vrY2FiVl5e3++q5uro6rV69Wn5+fpo8eXLXNA4AANALWP51dGPGjFFMTIy2b9+uhIQERUVFac+ePSoqKlJ0dLTGjRvnWrtixQpJ0vz5811jycnJys/P1+OPP67CwkINGzZMBQUFOnTokBYtWuT2/YQAAAA4yfKdQenkV8SlpaXpiy++0PPPP6+qqiqlpaVp6dKlrq+ok6Ts7GxlZ2e3q7Xb7crNzdXUqVNVVFSkDRs2aMCAAcrMzNS0adO6+1AAAAA8is3pdDqtbsITffbZZ7r11luVm5urSy65xOp2AAAAOlReXq6kpCQVFBTo0ksvbTdn+WViT1VZWSlJSkpKsrgTAACAs1NZWXlKGGRn8Bw1NDRo7969Cg4Olre3t9XtAAAAdOjLL79UZWWlRo0a5frSjzaEQQAAAIP1iAdIAAAAYA3CIAAAgMEIgwAAAAYjDAIAABiMMAgAAGAwwiAAAIDBCIMAAAAGIwzCci0tLVq7dq1iYmJ03XXXacKECVq5cqWam5vPqr6mpkYZGRkaP368IiIiFB8fr+3bt3dx18C5qaioUGRkpNauXXvWNZzj8ASVlZVKT0/Xd7/7XY0aNUpjx47VAw88oEOHDp1VPee5dfg6OlguIyNDeXl5ioyM1Pjx47V7925lZWXpwIEDysrKOm3tiRMnNGvWLO3fv18TJ07UkCFDVFBQoAULFqi6ulrTpk3rpqMAzuz48eOaP3++6urqzrqGcxyeoLKyUnfeeaf++9//auzYsYqJiVFxcbFeffVVvf3228rLy1NYWFiH9ZznFnMCFtq1a5czPDzcOX/+fGdra6vT6XQ6W1tbnT/96U+d4eHhzjfffPO09b/5zW+c4eHhzpycHNdYbW2tc9KkSc6IiAhnVVVVl/YPnK2ysjLnlClTnOHh4c7w8HDnc889d1Z1nOPwBIsWLXKGh4c7f//737cb37p1qzM8PNw5Z86c09ZznluLy8SwVG5uriQpNTVVNptNkmSz2bRw4ULZbDZt3LjxtPUbNmxQUFCQEhMTXWN2u11z585VfX29tm3b1nXNA2dp7dq1uuOOO/TRRx/p29/+dqdqOcfhCV5//XUFBAToBz/4QbvxyZMna/jw4XrnnXfU2traYT3nubUIg7BUUVGRBg8erPDw8HbjISEhCgsLU2FhYYe1paWlrvuvvL29281FRUVJ0mnrge6ybt06hYaGKicnR5MnTz7rOs5xeIIvv/xSc+bMUWpqqry8To0VPj4+am5uVktLi9t6znPrcc8gLNPU1KTy8nJFRES4nQ8NDVVxcbGqq6sVEBBwynxpaakkafjw4afMBQcHy9fXVyUlJRe0Z+Bc/PKXv9SYMWPk7e3dqXOScxyewNvb+5QdwTYHDx7Up59+quHDh8vHx8ftGs5z67EzCMvU1NRIkvz9/d3Ot43X1taetn7AgAFu5+12e4e1QHf6zne+c8qOx9ngHIcna21t1a9+9Su1trbqrrvu6nAd57n1CIOwTNslg47+tdg23tjYeM71HdUCnoBzHJ7K6XQqPT1df//73zVq1KgOdw4lzvOegDAIy/j5+UlSh+8TbGpqkiT169fP7byvr2+7de7q+/fvf75tApbhHIcnamlp0SOPPKKNGzdq2LBhWrVqVYdBT+I87wkIg7CM3W6Xl5dXh+9ca7ss0NFl5IEDB0pSh/V1dXWy2+0XoFPAGpzj8DT19fVKSUnR5s2bFRYWpnXr1ikkJOS0NZzn1uMBEljGx8dHDodDZWVlbufLysoUEBCgQYMGuZ1ve4Gpu/rDhw+rsbFRI0aMuFDtAt2Ocxye5OjRo0pOTtZ7772na665Rr/97W8VGBh4xjrOc+uxMwhLRUZGqrKyUsXFxe3GKyoqVFJS0uGTxpLkcDjkcDi0a9euU95ftXPnTknS6NGjL3zTQDfhHIenaGxs1Jw5c/Tee+/pW9/6ltavX39WQVDiPO8JCIOwVFxcnCRp2bJlrr8EnE6nMjMzJUkJCQmnrY+NjVV5eblycnJcY3V1dVq9erX8/Pw69U43oCfiHIcnyMzM1J49ezR69Gg9++yznb6sy3luLS4Tw1JjxoxRTEyMtm/froSEBEVFRWnPnj0qKipSdHS0xo0b51q7YsUKSdL8+fNdY8nJycrPz9fjjz+uwsJCDRs2TAUFBTp06JAWLVrk9v2EQE/FOQ5PVFlZ6fo2qcsuu0zPPvus23WzZ8+Wr68v53kPZHM6nU6rm4DZmpubtWbNGm3ZskUVFRVyOByKjY1VcnJyuyfQRo4cKUk6cOBAu/qqqiplZmZqx44dqq+v12WXXaYf/ehHmjRpUrceB3A2Nm/erIcfflgPP/ywfvjDH7ab4xyHJ3r99dc1b968M64rLCzUgAEDOM97IMIgAACAwbhnEAAAwGCEQQAAAIMRBgEAAAxGGAQAADAYYRAAAMBghEEAAACDEQYBAAAMRhgEgC42ffp0jRw5UseOHbO6FQA4BWEQAADAYIRBAAAAgxEGAQAADNbH6gYAwNNVV1dr9erVev3111VVVaUhQ4Zo4sSJmj17ti666CK3Nc3NzdqwYYP++Mc/6uDBg2psbFRwcLC+853v6Cc/+YkCAgJcaz/77DNlZmbq/fffV2VlpS6++GLdfPPNmjdvnoKDgzu9DgC+yuZ0Op1WNwEAnqqyslIJCQn6/PPPFRUVpWuvvVYffvih/vGPf+jGG2/Ub3/7W82cOVM7d+5UYWGhBgwYIElKS0vTa6+9psjISEVERKipqUnvvPOOSkpKNGrUKG3atEnSyaA5depUffHFF4qOjtbFF1+sAwcO6C9/+Ysuu+wyvfLKK+rbt+9ZrwOAr2NnEADOw1NPPaXPP/9cDz/8sH74wx+6xtPT05WXl6c333zzlJp//etfeu2113THHXdo6dKlrvGWlhZNmTJFe/fuVXFxsUaMGKHt27frP//5j5544glNnTrVtTYjI0O5ubl69913NW7cuLNeBwBfxz2DAHCOmpqa9Oc//1lhYWHtgqAkzZkzR3PnznV7efaSSy7Rk08+qfvuu6/deJ8+fRQZGSlJOnLkiCSptbVVkrRv3z59+eWXrrULFizQO++84wp4Z7sOAL6Oy8QAcI4++eQTTZo0SXFxcfr1r3/d4brp06efcplYOrkTeODAARUXF6u0tFT79+/X3/72N9XV1Wn9+vX61re+pYqKCsXGxqqmpkaDBw/WTTfdpJtvvlnf/e53NXDgQNfPOtt1APB17AwCwDk6evSoJMlut3e69g9/+INuueUWxcfH6/7779dzzz2n+vp6XX755ZKktn+nh4SE6KWXXtLUqVPldDq1bds2Pfjggxo7dqzS09PV1NTUqXUA8HXcMwgA56jtSeHjx4+7nT9x4oT69+9/yvif/vQnPfrooxo5cqQeffRRXXvttRoyZIgk6dFHH9V7773Xbv2wYcP0xBNP6Msvv9TevXv19ttva/PmzcrLy5O/v78efPDBTq0DgK9iZxAAztGIESPUt29fvf/++6fMVVRUaPTo0Vq0aNEpc6+++qok6emnn9b3vvc9VxCUpE8//VTS/3YG33jjDf3iF79QXV2dvL29FRERodTUVOXm5kqSdu3a1al1APB1hEEAOEe+vr6Kjo7WwYMH9eKLL7abW716tSTpxhtvdFsnSVVVVe3Gt27dqp07d0o6eT+hdDIcvvDCC3rhhRfarf38888lSQ6Ho1PrAODreIAEAM5DRUWFEhIS9N///lff+c53dOWVV+qDDz5QYWGhvve972nlypWnPEDy1ltvac6cObLb7Zo0aZLsdrs++OAD7dy5U4GBgTpy5Iiefvpp3X777Tp69Ki+//3vq7S0VDfffLNGjhypI0eOKD8/X5L0wgsv6KqrrjrrdQDwdYRBADhPlZWVWrFihXbs2KHq6mqFhIQoNjZWKSkp8vHxcfs08fbt2/Xss8+qpKREfn5+GjZsmCZPnqzRo0drypQpio2N1VNPPSXpZOD8zW9+o3fffVfl5eWy2+361re+pdTUVF155ZWuPs52HQB8FWEQAADAYNwzCAAAYDDCIAAAgMEIgwAAAAYjDAIAABiMMAgAAGAwwiAAAIDBCIMAAAAGIwwCAAAYjDAIAABgMMIgAACAwf4fRVqCgIPZ+SUAAAAASUVORK5CYII=", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAngAAAJhCAYAAADSTs1pAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAAA3o0lEQVR4nO3de1xVdb7/8fcGQSgwoJACdbAMszySwxxptItkZyhMQqygC5aNl46gFdpkvyktPKnVhInadKxTmlKho9JlHLuYZU5TgpqmR7FUMioII26jsbns3x8eGMmNQu3N2nx9Pf+ZXN+1tp99Hvv0eLXWXmvbHA6HQwAAADCGl9UDAAAAwLUIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABimm9UDeJIff/xRu3btUmhoqLy9va0eBwAAoE2NjY0qLy/XwIED5efn12qNwDvOrl27dNttt1k9BgAAQLvl5ubqN7/5TattBN5xQkNDJR37P9S5555r8TQAAABtKy0t1W233dbSL8fziMBraGjQihUrtHLlSpWUlCg0NFTJycmaOHGifHx8Tnn83r17tWDBAhUWFurHH39UZGSkbr/9dqWkpHRojubLsueee6569er1s94LAABAZ3L2tTKPuMkiKytLc+fOVVBQkMaOHauwsDDl5ORo2rRppzx27969uuWWW/TBBx/oyiuv1C233KIjR45o5syZevLJJzthegAAAM9i+Rm8bdu2KS8vT/Hx8VqwYIFsNpscDodmzJih/Px8bdy4UXFxcW0e//TTT+vIkSNavHixrrnmGknSPffco+TkZL3wwgtKTU1V7969O+vtAAAAWM7yM3i5ubmSpIyMDNlsNkmSzWZTZmambDabVq1addLjP/vsM5111lktcSdJZ555pq6//no1NTXps88+c9/wAAAAHsjywCssLFRwcLCioqJabQ8LC1NkZKQKCgpOenxQUJBqa2tVVVXVantZWZkkKTg42LUDAwAAeDhLA89ut6u0tFR9+vRxuh4REaHq6mpVVFS0+RqpqalqbGzUtGnT9OWXX6q2tlZ/+ctftHbtWl1yySUaMmSIu8YHAADwSJZ+B6+yslKSFBgY6HS9eXtNTY1CQkKc7pOWliZvb2/NmTNHv/vd71q2Dxs2TNnZ2TywGAAAnHYsPYPX0NAgSfL19XW63ry9rq6uzdf49NNPtWTJEvn4+CgpKUlpaWm64IIL9NFHHyknJ0cOh8P1gwMAAHgwS8/gNf+sRn19vdN1u90uSfL393e6Xltbq0mTJqmpqUlr1qxR3759W46bPn26cnNzdcEFF/DrFAAA4LRi6Rm8gIAAeXl5qba21ul6TU2NpLYv4W7YsEGVlZVKS0triTvp2Jm/mTNnSpLWrl3r4qkBAAA8m6WB5+vrq/DwcJWUlDhdLykpUUhIiIKCgpyul5aWSpIuuOCCE9bOOeccBQcH69tvv3XZvAAAAF2B5Y9JiYmJUXl5uQ4ePNhqe1lZmYqLixUdHd3msWeffbYknXCsJFVVVamyslLnnHOOawcGAADwcJYHXlJSkiRp/vz5ampqkiQ5HA5lZ2dL0kl/TzYuLk7+/v5asWKFvvrqq5btjY2NmjdvnhwOh0aOHOm+4QEAADyQ5T9VNnToUCUkJGjdunVKSUlRbGystm/frsLCQsXHx2v48OEt+y5cuFCSNGXKFEnHzuA9/PDDeuihh3TDDTcoPj5ePXr00Mcff6y9e/dqyJAhuvPOOy14VwAAANaxOTzgOSL19fVasmSJ1q5dq7KyMoWHhysxMVETJkxo9QiV/v37S5KKiopaHf/xxx/rueee044dO/Tjjz+qd+/eGjVqlMaPH9/mI1icKSkp0YgRI7Rhwwb16tXLNW8OAADADU7WLZafwZMkHx8fpaenKz09/aT7/TTsml122WW67LLL3DEaAABAl2P5d/AAAADgWgQeAACAYQg8AAAAw3jEd/AAwBSffPKJ5s+fr969e+vzzz+X3W7XzJkz1a1bN82bN6/lcVCTJk1SfHy8xdMCMBWBBwAutnPnTs2aNUsDBgzQCy+8oEWLFsnb21vjxo3TyJEjtXfvXuXl5RF4ANyGS7QA4GLh4eEaMGCAJOniiy9WVVWVrrvuOmVlZWnatGnavXu3MjMzLZ4SgMkIPABwMT8/v5Z/ttlscjgcSk1N1euvv65hw4Zp8+bNSkxMVE1NjYVTAjAZgQcAnSA1NVV79uxRcnKyZs+ererqalVVVVk9FgBD8R08AOgE06dP15w5c/T000/Ly8tLGRkZ/GIOALch8ADAhWJjY/Xmm286/fOaNWusGgvAaYZLtAAAAIbhDB4stWbNGq1fv97qMQAALnDttdcqOTnZ6jEgzuDBYuvXr9e+ffusHgMA8Avt27eP/2D3IJzBg+WioqK0ZMkSq8cAAPwCEydOtHoEHIczeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwPCYFlkpMTLR6BACAC/Dvc89C4MFS119/vdUjAABcgH+fexYu0QIAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfAAAAAMw4OOAQBd2ieffKL58+erd+/e+vzzz2W32zVz5kx169ZN8+bNU1NTkyRp0qRJio+Pt3haoHMQeACALm/nzp2aNWuWBgwYoBdeeEGLFi2St7e3xo0bp5EjR2rv3r3Ky8sj8HDa4BItAKDLCw8P14ABAyRJF198saqqqnTdddcpKytL06ZN0+7du5WZmWnxlEDnIfAAAF2en59fyz/bbDY5HA6lpqbq9ddf17Bhw7R582YlJiaqpqbGwimBzkPgAQCMlJqaqj179ig5OVmzZ89WdXW1qqqqrB4L6BR8Bw8AYKTp06drzpw5evrpp+Xl5aWMjAz16tXL6rGATkHgAQC6tNjYWL355ptO/7xmzRqrxgIsReABgJutWbNG69evt3oMwO2uvfZaJScnWz0GxHfwAMDt1q9fr3379lk9BuBW+/bt4z9kPAhn8ACgE0RFRWnJkiVWjwG4zcSJE60eAcfhDB4AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAYhsADAAAwDIEHAABgGJ6DBwBulpiYaPUIgNvxOfcsBB4AuNn1119v9QiA2/E59yxcogUAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAYhgcdAwb75JNPNH/+fPXu3Vuff/657Ha7Zs6cqW7dumnevHlqamqSJE2aNEnx8fEWTwsAcBUCDzDczp07NWvWLA0YMEAvvPCCFi1aJG9vb40bN04jR47U3r17lZeXR+ABgEG4RAsYLjw8XAMGDJAkXXzxxaqqqtJ1112nrKwsTZs2Tbt371ZmZqbFUwIAXInAAwzn5+fX8s82m00Oh0Opqal6/fXXNWzYMG3evFmJiYmqqamxcEoAgCsReMBpKDU1VXv27FFycrJmz56t6upqVVVVWT0WAMBF+A4ecBqaPn265syZo6efflpeXl7KyMhQr169rB4LAOAiBB5gsNjYWL355ptO/7xmzRqrxgIAuBmBB0utWbNG69evt3oMwO2uvfZaJScnWz0GgNME38GDpdavX699+/ZZPQbgVvv27eM/ZAB0Ks7gwXJRUVFasmSJ1WMAbjNx4kSrRwBwmvGIwGtoaNCKFSu0cuVKlZSUKDQ0VMnJyZo4caJ8fHzaPO6TTz7R2LFjT/n6RUVFrhwXAADAo3lE4GVlZSkvL08xMTG6+uqrtW3bNuXk5KioqEg5OTltHhcREaGMjAynazt37tSmTZv07//+7+4aGwAAwCNZHnjbtm1r+ZmkBQsWtDyIdcaMGcrPz9fGjRsVFxfn9NhevXppypQpJ2yvqanRqFGjFBwcrPnz57v7LQAAAHgUy2+yyM3NlSRlZGTIZrNJOva0/czMTNlsNq1atarDr/n444/r22+/1R//+EeFhoa6dF4AAABPZ3ngFRYWKjg4WFFRUa22h4WFKTIyUgUFBR16vX379mn16tWKiYnRqFGjXDkqAABAl2Bp4NntdpWWlqpPnz5O1yMiIlRdXa2Kiop2v2Z2draampo0ffp0V40JAADQpVgaeJWVlZKkwMBAp+vN29v7I+jFxcV6//33FRMTo1//+tcumREAAKCrsfQmi4aGBkmSr6+v0/Xm7XV1de16vRUrVsjhcGj8+PGuGRBul5iYaPUIgNvxOQfQ2SwNPD8/P0lSfX2903W73S5J8vf3P+VrNTY26s0331TPnj3bvOsWnuf666+3egTA7ficA+hsll6iDQgIkJeXl2pra52uN1+abesS7vG2b9+uH374QfHx8S134wIAAJyOLA08X19fhYeHq6SkxOl6SUmJQkJCFBQUdMrX+uCDDyRJ8fHxrhwRAACgy7H8MSkxMTEqLy/XwYMHW20vKytTcXGxoqOj2/U6n376qXx8fNq9PwAAgKksD7ykpCRJ0vz589XU1CRJcjgcys7OliSlpKS063X27t2rCy64oM0bNgAAAE4Xlv9U2dChQ5WQkKB169YpJSVFsbGx2r59uwoLCxUfH6/hw4e37Ltw4UJJOuHnyX744QdVV1fr0ksv7cTJAQAAPJPlgSdJTzzxhPr166e1a9dq2bJlCg8P19SpUzVhwoRWN0wsWrRI0omBd6rn6QEAAJxOPCLwfHx8lJ6ervT09JPuV1RU5HR7375921wDAAA43Vj+HTwAAAC4FoEHAABgGAIPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABiGwAMAADBMN6sHAIDOlp+fr9WrV3fa33f48GFJ0jnnnNMpf9+YMWOUlJTUKX8XAM9E4AGAm5WXl0vqvMADAAIPrXBmA6eDpKSkTv0cpKWlSZKWL1/eaX8ngNMbgQdLcWYDAADXI/DQCmc2AADo+riLFgAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAzDXbQALLc/9AqrR3Cro4E/SDL/fV5Q/qHVIwD4PwQeALjZ7+r8rB4BwGmGwAMANxth97d6BACnGQIPgEfhMl/XYvplZ6Cr4iYLAAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABimm9UDAMAG36N6u/uPkiT/tDSLp0FHHA38Qb+r89MIu7/VowA4DmfwAAAADMMZPACWG2H3bzkDdMHy5RZPg47YH3qF1SMAcIIzeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBheA4eAAAGys/P1+rVqzvt7zt8+LAk6ZxzzumUv2/MmDFKSkrqlL+rKyLwAADAL1ZeXi6p8wIPJ0fgAQBgoKSkpE49w5X2f78jvZxfo/EIfAcPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMN4ROA1NDRo6dKlSkhI0KBBgzRixAgtXrxY9fX17Tq+rq5OixYtUnx8vP7t3/5N11xzjebMmaPq6mo3Tw4AAOB5PCLwsrKyNHfuXAUFBWns2LEKCwtTTk6Opk2bdspj6+vrNX78eC1cuFA9e/ZUWlqazjvvPC1btkzjx4+X3W7vhHcAAADgObpZPcC2bduUl5en+Ph4LViwQDabTQ6HQzNmzFB+fr42btyouLi4No9/6aWXtGXLFv3+97/XH/7wh5btWVlZys3N1bp165SUlNQJ7wQAAMAzWH4GLzc3V5KUkZEhm80mSbLZbMrMzJTNZtOqVatOeXxERITuu+++VtvvuusujR49Wt27d3fP4AAAAB7K8jN4hYWFCg4OVlRUVKvtYWFhioyMVEFBQZvHfvHFF/r666+VlpYmHx+fVmu9evXSvHnz3DIzAACAJ7P0DJ7dbldpaan69OnjdD0iIkLV1dWqqKhwur5v3z5J0oUXXqgPPvhAqampio6O1uWXX6558+bpyJEjbpsdAADAU1kaeJWVlZKkwMBAp+vN22tqapyuf/fdd5KkjRs3auLEierRo4dSU1MVGhqqF198UePHj2/3nbgAAACmsPQSbUNDgyTJ19fX6Xrz9rq6OqfrR48elXQs8GbPnq2bb75ZktTY2KjMzEytX79eL7/8su644w5Xjw4AAOCxLD2D5+fnJ0ltnmVrfsSJv7+/03Uvr2PjX3zxxS1xJ0ne3t4td9T+7W9/c9m8AAAAXYGlgRcQECAvLy/V1tY6XW++NNvWJdyAgABJxwLvpyIiItSjRw999dVXLpoWAACga7A08Hx9fRUeHq6SkhKn6yUlJQoJCVFQUJDT9cjISEltnwFsaGhoOUsIAABwurD8OXgxMTEqLy/XwYMHW20vKytTcXGxoqOj2zx20KBB8vHxUUFBgRobG1ut7d+/X0eOHFH//v3dMjcAAICnsjzwmn9lYv78+WpqapIkORwOZWdnS5JSUlLaPDYwMFAJCQn65ptvtGTJkpbt9fX1evLJJyVJY8aMcdPkAAAAnsnyBx0PHTpUCQkJWrdunVJSUhQbG6vt27ersLBQ8fHxGj58eMu+CxculCRNmTKlZdsDDzygTz/9VE8//bS2bNmiiy66SP/4xz+0Z88eJSQkaMSIEZ39lgAAACxleeBJ0hNPPKF+/fpp7dq1WrZsmcLDwzV16lRNmDCh5efLJGnRokWSWgfe2Wefrby8PC1evFjvvPOOCgsLFRERofvvv1/jxo3r9PcCAABgNY8IPB8fH6Wnpys9Pf2k+xUVFTndHhwcrIceekgPPfSQO8YDAADoUiz/Dh4AAABci8ADAAAwDIEHAABgGAIPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAYhsADAAAwDIEHAABgGAIPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYJhuVg8AAMDpwPboo1aP4Fa9ioslmf8+HbNmWT1CuxB4AADgF6s6/3yrR8BxCDwAAPCL1RB4HoXAAwCgk3WVy3w4piteduYmCwAAAMMQeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADD8EsWHs5ms3oC9+rV69j/mv4+HQ6rJwAAnE4IPFiqqmqM1SMAAGAcAg+WqqlJsnoEAACMQ+B1IVzm61pMv+wMAPBc3GQBAABgGAIPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAwPOgbgUfaHXuH2v2OD71G93f1Ht/89VvldnZ9G2P2tHgOAhTiDBwAAYBjO4AE47Yyw+3OGC4DRCDwAlrug/EOrRwAAo3CJFgAAwDAEHgAAgGEIPAAAAMMQeAAAAIbxiJssGhoatGLFCq1cuVIlJSUKDQ1VcnKyJk6cKB8fn1Mef+utt2rr1q1O1x555BHdcsstrh4ZAADAY3lE4GVlZSkvL08xMTG6+uqrtW3bNuXk5KioqEg5OTmnPL6oqEh9+/bVyJEjT1gbOHCgO0YGAADwWJYH3rZt25SXl6f4+HgtWLBANptNDodDM2bMUH5+vjZu3Ki4uLg2jy8pKVFtba1uvPFGTZkypRMnBwAA8EyWfwcvNzdXkpSRkSGbzSZJstlsyszMlM1m06pVq056fFFRkSSpf//+7h0UAACgi7A88AoLCxUcHKyoqKhW28PCwhQZGamCgoKTHk/gAQAAtGZp4NntdpWWlqpPnz5O1yMiIlRdXa2Kioo2X6OoqEg2m01bt27V6NGjdemll+rKK6/UY489ppqaGneNDgAA4LEsDbzKykpJUmBgoNP15u0nC7WioiI5HA7l5OTo4osv1k033aSQkBC99NJLuvXWW1VbW+vyuQEAADyZpTdZNDQ0SJJ8fX2drjdvr6urc7re1NSkHj16aMCAAfrv//5vhYWFtWx/5JFHlJeXp4ULF+rBBx90w/QAAACeydIzeH5+fpKk+vp6p+t2u12S5O/v73Tdy8tLK1euVH5+fkvcNW9/4IEH5O/vr7/+9a8unhoAAMCzWRp4AQEB8vLyavMyavOl2bYu4Z7MmWeeqcjISJWXl7d5BhAAAMBElgaer6+vwsPDVVJS4nS9pKREISEhCgoKcrpeXV2tbdu26eDBg07Xf/zxR3l5ealbN8sf9wcAANBpLH9MSkxMjMrLy0+ItLKyMhUXFys6OrrNY3fv3q1bbrlFjz/++Alr3333nUpKSjRgwAB5e3u7fG4AAABPZXngJSUlSZLmz5+vpqYmSZLD4VB2drYkKSUlpc1jY2JiFBoaqk2bNrV6Xp7dbtfs2bNVX1+v2267zX3DAwAAeCDLr10OHTpUCQkJWrdunVJSUhQbG6vt27ersLBQ8fHxGj58eMu+CxculKSWnyTz9fXV7NmzlZGRoXHjxunaa69VUFCQPvroI+3fv18jR45UcnKyFW8LAADAMqcMvCFDhmjixIkaP358y5/bw2az6ZNPPmnXvk888YT69euntWvXatmyZQoPD9fUqVM1YcKElp8vk6RFixZJUqvfnI2Li1Nubq6eeeYZvf/++6qrq1Pfvn318MMP69Zbb211PAAAwOnglIEXEBDQ6jl1AQEBLh/Cx8dH6enpSk9PP+l+zT9L9lOXXnqplixZ4vK5AAAAuqJTBt5777130j8DAADAs/ys7+DV1dVpy5Yt+vrrr1seRvxTNptNaWlpv2g4AAAAdFyHA2/v3r26++67VVZWJofD0eZ+BB4AAP8SeOCAzjpwQJKU9sUXFk+DjuhVXKyq889XzfnnWz1Ku3U48ObMmaPS0lKNHj1a0dHR6t69uzvmAgAAwM/U4cDbvXu3rrvuOs2dO9cd8wAAYKSa484ALZ81y+Jp0BG2Rx+1eoQO6/CDjs844wyFhoa6YxYAAAC4QIcDLzExURs2bNDRo0fdMQ8AAAB+oQ5for3nnnu0f/9+JSYmKiUlRREREa2ek3e8ESNG/OIBAQAA0DEdDryysjIdOnRIX331lZ566imn+zgcDtlsNu3Zs+cXDwgAAICO6XDgPfLIIzpw4IAGDx6swYMH64wzznDHXAAAAPiZOhx427dv1+WXX67nn3/eHfMAAADgF+rwTRbdu3dX//793TELAAAAXKDDgTdixAht2rRJ9fX17pgHAAAAv1CHL9FOnz5dY8eOVVpamlJTU/WrX/1K/v7+Tve96KKLfvGAAAAA6JgOB96wYcMkSY2NjdqxY8dJ9+UuWgAAgM7X4cAbNWqUbDabO2YBAACAC3Q48ObNm+eOOQAAAOAiHb7JAgAAAJ6NwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAYhsADAAAwDIEHAABgGAIPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAYhsADAAAwDIEHAABgGAIPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGKab1QPg5AID83XWWaslSWlpFg+DDunVS6qqGqOamiSrRwEAnGY4gwcAAGAYzuB5uJqapJYzQMuXWzsLOsZms3oCAMDpijN4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAeEXgNDQ1aunSpEhISNGjQII0YMUKLFy9WfX19h1+rsbFRN998s/r37++GSQEAADyfRwReVlaW5s6dq6CgII0dO1ZhYWHKycnRtGnTOvxay5Yt044dO9wwJQAAQNdg+YOOt23bpry8PMXHx2vBggWy2WxyOByaMWOG8vPztXHjRsXFxbXrtb788kstWLDAzRMDAAB4NsvP4OXm5kqSMjIyZPu/R//bbDZlZmbKZrNp1apV7Xodh8Ohhx56SD179lRkZKS7xgUAAPB4lgdeYWGhgoODFRUV1Wp7WFiYIiMjVVBQ0K7XefXVV7VlyxbNnj1bfn5+7hgVAACgS7A08Ox2u0pLS9WnTx+n6xEREaqurlZFRcVJX+fbb7/Vk08+qRtvvFGXXXaZO0YFAADoMiwNvMrKSklSYGCg0/Xm7TU1NSd9nZkzZ+qMM87QAw884NL5AAAAuiJLb7JoaGiQJPn6+jpdb95eV1fX5mvk5+dr06ZNysnJUY8ePVw/JAAAQBdj6Rm85u/KtfW8O7vdLkny9/d3un748GHNnTtX//Ef/6H4+Hj3DAkAANDFWBp4AQEB8vLyUm1trdP15kuzbV3CzcrKUmNjo2bOnOm2GQEAALoaSy/R+vr6Kjw8XCUlJU7XS0pKFBISoqCgIKfrb731liTpiiuucLrev39/RURE6L333nPJvAAAAF2B5Q86jomJ0WuvvaaDBw+qb9++LdvLyspUXFx80occZ2RkON3+6quv6vDhw8rIyGjz7B8AAICpLA+8pKQkvfbaa5o/f76efvppeXl5yeFwKDs7W5KUkpLS5rFTpkxxuv3dd9/V4cOH21wHAAAwmeWBN3ToUCUkJGjdunVKSUlRbGystm/frsLCQsXHx2v48OEt+y5cuFBS22EHAAAADwg8SXriiSfUr18/rV27VsuWLVN4eLimTp2qCRMmtPx8mSQtWrRIEoEHAABwMh4ReD4+PkpPT1d6evpJ9ysqKmrX67322muuGAsAAKBLsvy3aAEAAOBaBB4AAIBhPOISLQAApxPbo49aPYLLBR44IEmqOf98iyeBROABAAAXOIvA8yhcogUAADAMZ/AAAOgEjlmzrB7BrdK++EKStNzw99lVcAYPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAYhsADAAAwDIEHAABgGAIPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAYhsADAAAwDIEHAABgGAIPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAzjEYHX0NCgpUuXKiEhQYMGDdKIESO0ePFi1dfXt+v4zz//XBkZGbr88ss1ePBg3XLLLXr77bfdPDUAAIBn8ojAy8rK0ty5cxUUFKSxY8cqLCxMOTk5mjZt2imP3bt3r2666SZ9+OGHuuKKK3TTTTeprKxMU6ZM0fPPP98J0wMAAHiWblYPsG3bNuXl5Sk+Pl4LFiyQzWaTw+HQjBkzlJ+fr40bNyouLq7N4x955BE1NDTo1Vdf1cCBAyVJ99xzj0aPHq2cnByNGTNGwcHBnfV2AAAALGf5Gbzc3FxJUkZGhmw2myTJZrMpMzNTNptNq1atavPY2tpaHTlyRMOHD2+JO0k688wzFRcXp7q6Ou3Zs8e9bwAAAMDDWH4Gr7CwUMHBwYqKimq1PSwsTJGRkSooKGjz2ICAAL3++utO1w4cOCBJOvvss103LAAAQBdg6Rk8u92u0tJS9enTx+l6RESEqqurVVFR0a7Xa2xs1Jdffqn/+q//0qZNmxQXF6f+/fu7cmQAAACPZ+kZvMrKSklSYGCg0/Xm7TU1NQoJCTnl66WlpWnr1q2SpF//+tfKzs52zaAAAABdiKVn8BoaGiRJvr6+Ttebt9fV1bXr9YYMGaK77rpLgwcP1rZt23THHXe0RCQAAMDpwtIzeH5+fpLU5vPu7Ha7JMnf379dr3fvvfe2/PMTTzyh//mf/9GCBQs0a9asXzYoAABAF2LpGbyAgAB5eXmptrbW6XpNTY2kti/hnsy9994rf39/bdiw4RfNCAAA0NVYGni+vr4KDw9XSUmJ0/WSkhKFhIQoKCjI6XplZaXee+897d271+lrh4aG6ocffnDlyAAAAB7P8ufgxcTEqLy8XAcPHmy1vaysTMXFxYqOjm7z2P379+s///M/tXjx4hPWampq9M0337R5hy4AAICpLA+8pKQkSdL8+fPV1NQkSXI4HC13wKakpLR57KWXXqrw8HBt2LBBhYWFLdsbGhr06KOPqqGhQWPGjHHf8AAAAB7I8gcdDx06VAkJCVq3bp1SUlIUGxur7du3q7CwUPHx8Ro+fHjLvgsXLpQkTZkyRZLk7e2txx57TBMnTtSdd96p6667TsHBwfroo4/0+eefa/jw4Ro7dqwVbwsAAMAylgeedOyO1379+mnt2rVatmyZwsPDNXXqVE2YMKHl58skadGiRZL+FXjSsUB89dVXtWjRIm3cuFF1dXWKjIzUgw8+qLS0NHl7e3f6+wEAALCSRwSej4+P0tPTlZ6eftL9ioqKnG4fOHCgnn32WXeMBgAA0OVY/h08AAAAuBaBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAYhsADAAAwDIEHAABgGAIPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACG6Wb1AGg/m83qCVwvMDBfklRTk2TpHAAAmITAg6XOOmu1JAIPAABX4hItAACAYTiD5+EcDqsncK+0tGP/u3y5tXMAAGASzuABAAAYhsADAAAwDIEHAABgGAIPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAYxiMCr6GhQUuXLlVCQoIGDRqkESNGaPHixaqvr2/X8bt27dLkyZMVGxurgQMH6pprrtGf/vQnHTlyxM2TAwAAeB6PCLysrCzNnTtXQUFBGjt2rMLCwpSTk6Np06ad8tiPP/5Yqamp2rRpky6//HKlpaUpKChIzz33nMaOHau6urpOeAcAAACeo5vVA2zbtk15eXmKj4/XggULZLPZ5HA4NGPGDOXn52vjxo2Ki4tr8/hHH31UDodDr7zyigYNGiRJcjgcmjlzplauXKmXX35Z48aN66y3AwAAYDnLz+Dl5uZKkjIyMmSz2SRJNptNmZmZstlsWrVqVZvHfvHFFzpw4IBGjBjREnfNx6enp0uSNm3a5MbpAQAAPI/lgVdYWKjg4GBFRUW12h4WFqbIyEgVFBS0eWxAQICmT5+uMWPGnLDm6+srSXwPD4DlvvvuO91+++0qLy+3ehQApwlLA89ut6u0tFR9+vRxuh4REaHq6mpVVFQ4XT/33HM1YcIEXXXVVSesvfPOO5Kkfv36uW5gAPgZnnnmGRUWFuqZZ56xehQApwlLA6+yslKSFBgY6HS9eXtNTU2HXvfw4cPKycmRJKWkpPz8AQHgF/ruu++0Zs0aORwOrV69mrN4ADqFpYHX0NAg6V+XU3+qeXtH7oStqanRxIkTdfjwYaWlpbX6bh4AdLZnnnlGTU1NkqSmpibO4gHoFJYGnp+fnyS1+bw7u90uSfL392/X61VUVOiOO+7Q7t27FRcXpxkzZrhmUAD4md54442Wf8fV19fr9ddft3giAKcDSwMvICBAXl5eqq2tdbrefGm2rUu4xzt06JBSUlK0e/duXX311crJyVG3bpY/BQbAaW7UqFHy8fGRJPn4+CgxMdHiiQCcDiwNPF9fX4WHh6ukpMTpeklJiUJCQhQUFHTS19mzZ49SU1N16NAhjR49WgsXLmzzsi8AdKbJkyfLy+vYv2q9vLw0efJkiycCcDqw/DEpMTExKi8v18GDB1ttLysrU3FxsaKjo096/Jdffqm77rpL33//vcaNG6e5c+dy5g6Ax+jZs6eSk5Nls9k0ZswYhYaGWj0SgNOA5YGXlJQkSZo/f37LF5EdDoeys7Mlnfwu2KamJmVmZqqiokJjx47VjBkzWh6WDACeYvLkyfrNb37D2TsAncbyU11Dhw5VQkKC1q1bp5SUFMXGxmr79u0qLCxUfHy8hg8f3rLvwoULJUlTpkyRJL377rvatWuXfH19dcYZZ7SsH++cc87RLbfc0invBQCc6dmzp1asWGH1GABOI5YHniQ98cQT6tevn9auXatly5YpPDxcU6dO1YQJE1qdkVu0aJGkfwVe869c2O12Pfvss05f+6KLLiLwAACnnfz8fK1evbrT/r49e/ZIktLS0jrl7xszZkzLVUCcyCMCz8fHR+np6S2/H9uWoqKiVn/+4x//qD/+8Y/uHA0AALQD3y/1LB4ReAAAwLWSkpI4w3Uas/wmCwAAALgWgQcAAGAYAg8AAMAwBB4AAIBhuMkCrXBbPQAAXR+BB0txWz0AAK5H4KEVbqsHAKDr4zt4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACG6Wb1AJ6ksbFRklRaWmrxJAAAACfX3CvN/XI8Au845eXlkqTbbrvN4kkAAADap7y8XL/61a9abbM5HA6HRfN4nB9//FG7du1SaGiovL29rR4HAACgTY2NjSovL9fAgQPl5+fXao3AAwAAMAw3WQAAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfDgFg0NDVq6dKkSEhI0aNAgjRgxQosXL1Z9fX27jq+srFRWVpauvvpqRUdHKzk5WevWrXPz1MDPU1ZWppiYGC1durTdx/AZR1dQXl6umTNn6qqrrtLAgQM1bNgwTZ8+XV999VW7judzbh1+qgxukZWVpby8PMXExOjqq6/Wtm3blJOTo6KiIuXk5Jz02CNHjuiuu+7Snj17dO211+q8887T22+/rfvuu08VFRW6/fbbO+ldAKf2z3/+U1OmTFFtbW27j+Ezjq6gvLxcN910k7799lsNGzZMCQkJOnjwoN588019+OGHysvLU2RkZJvH8zm3mANwsa1btzqioqIcU6ZMcTQ1NTkcDoejqanJ8Yc//MERFRXleO+99056/J///GdHVFSUY8WKFS3bampqHCNHjnRER0c7Dh8+7Nb5gfYqKSlxjB492hEVFeWIiopyvPjii+06js84uoKHH37YERUV5XjhhRdabc/Pz3dERUU5Jk2adNLj+Zxbi0u0cLnc3FxJUkZGhmw2myTJZrMpMzNTNptNq1atOunxL7/8ss455xylpqa2bAsICNDdd9+to0eP6o033nDf8EA7LV26VKNGjdLevXt12WWXdehYPuPoCt59912FhITojjvuaLX9hhtuUJ8+fbR582Y1NTW1eTyfc2sReHC5wsJCBQcHKyoqqtX2sLAwRUZGqqCgoM1jDx061PJ9Jm9v71ZrsbGxknTS44HO8tJLLykiIkIrVqzQDTfc0O7j+IyjK2hsbNSkSZOUkZEhL68TU8HX11f19fVqaGhwejyfc+vxHTy4lN1uV2lpqaKjo52uR0RE6ODBg6qoqFBISMgJ64cOHZIk9enT54S10NBQde/eXcXFxS6dGfg5Hn30UQ0dOlTe3t4d+kzyGUdX4O3tfcKZu2b79+/XgQMH1KdPH/n6+jrdh8+59TiDB5eqrKyUJAUGBjpdb95eU1Nz0uN79OjhdD0gIKDNY4HOdMUVV5xwZqI9+IyjK2tqatLs2bPV1NSkm2++uc39+Jxbj8CDSzWfrm/rv+qat9fV1f3s49s6FugK+Iyjq3I4HJo5c6b+8Y9/aODAgW2e4ZP4nHsCAg8u5efnJ0ltPu/ObrdLkvz9/Z2ud+/evdV+zo4/44wzfumYgGX4jKMramho0P/7f/9Pq1atUu/evfXMM8+0GW8Sn3NPQODBpQICAuTl5dXmM8GaT8m3dQn3rLPOkqQ2j6+trVVAQIALJgWswWccXc3Ro0c1efJkrVmzRpGRkXrppZcUFhZ20mP4nFuPmyzgUr6+vgoPD1dJSYnT9ZKSEoWEhCgoKMjpevNDM50d/91336murk59+/Z11bhAp+Mzjq6kqqpKEyZM0I4dO3TxxRfr+eef19lnn33K4/icW48zeHC5mJgYlZeX6+DBg622l5WVqbi4uM07bCUpPDxc4eHh2rp16wnPV9qyZYskafDgwa4fGugkfMbRVdTV1WnSpEnasWOHhgwZouXLl7cr7iQ+556AwIPLJSUlSZLmz5/f8v/YDodD2dnZkqSUlJSTHp+YmKjS0lKtWLGiZVttba2effZZ+fn5deiZY4An4jOOriA7O1vbt2/X4MGD9dxzz3X4kiqfc2txiRYuN3ToUCUkJGjdunVKSUlRbGystm/frsLCQsXHx2v48OEt+y5cuFCSNGXKlJZtEyZM0Pr16/XYY4+poKBAvXv31ttvv62vvvpKDz/8sNPn5wGeis84uqLy8vKWXyU6//zz9dxzzzndb+LEierevTufcw9kczgcDquHgHnq6+u1ZMkSrV27VmVlZQoPD1diYqImTJjQ6s6r/v37S5KKiopaHX/48GFlZ2dr48aNOnr0qM4//3z9/ve/18iRIzv1fQDtsWbNGj344IN68MEHdeedd7Za4zOOrujdd99Venr6KfcrKChQjx49+Jx7IAIPAADAMHwHDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAP0NaWpr69++v6upqq0cBgBMQeAAAAIYh8AAAAAxD4AEAABimm9UDAIAnqqio0LPPPqt3331Xhw8f1nnnnadrr71WEydO1Jlnnun0mPr6er388sv661//qv3796uurk6hoaG64oordO+99yokJKRl3y+//FLZ2dnauXOnysvL1bNnT1155ZVKT09XaGhoh/cDgOPZHA6Hw+ohAMCTlJeXKyUlRV9//bViY2N1ySWX6H//93/18ccf67e//a2ef/55jRs3Tlu2bFFBQYF69OghSZo6dareeustxcTEKDo6Wna7XZs3b1ZxcbEGDhyo1atXSzoWj2PGjNEPP/yg+Ph49ezZU0VFRfrggw90/vnn6/XXX5ePj0+79wOAn+IMHgD8xJNPPqmvv/5aDz74oO68886W7TNnzlReXp7ee++9E4759NNP9dZbb2nUqFH605/+1LK9oaFBo0eP1q5du3Tw4EH17dtX69at0zfffKM5c+ZozJgxLftmZWUpNzdXf//73zV8+PB27wcAP8V38ADgOHa7Xe+8844iIyNbxZ0kTZo0SXfffbfTS6Pnnnuu5s2bp3vuuafV9m7duikmJkaS9P3330uSmpqaJEm7d+9WY2Njy7733XefNm/e3BJt7d0PAH6KS7QAcJwvvvhCI0eOVFJSkh5//PE290tLSzvhEq107IxdUVGRDh48qEOHDmnPnj366KOPVFtbq+XLl2vIkCEqKytTYmKiKisrFRwcrMsvv1xXXnmlrrrqKp111lktr9Xe/QDgpziDBwDHqaqqkiQFBAR0+NhXX31VcXFxSk5O1rRp0/Tiiy/q6NGjuuCCCyRJzf89HRYWpr/85S8aM2aMHA6H3njjDd1///0aNmyYZs6cKbvd3qH9AOCn+A4eAByn+Q7Zf/7zn07Xjxw5ojPOOOOE7X/72980a9Ys9e/fX7NmzdIll1yi8847T5I0a9Ys7dixo9X+vXv31pw5c9TY2Khdu3bpww8/1Jo1a5SXl6fAwEDdf//9HdoPAI7HGTwAOE7fvn3l4+OjnTt3nrBWVlamwYMH6+GHHz5h7c0335QkPfXUU7rmmmta4k6SDhw4IOlfZ/A2bNigRx55RLW1tfL29lZ0dLQyMjKUm5srSdq6dWuH9gOAnyLwAOA43bt3V3x8vPbv36+VK1e2Wnv22WclSb/97W+dHidJhw8fbrU9Pz9fW7ZskXTs+3nSseB75ZVX9Morr7Ta9+uvv5YkhYeHd2g/APgpbrIAgJ8oKytTSkqKvv32W11xxRW68MIL9dlnn6mgoEDXXHONFi9efMJNFu+//74mTZqkgIAAjRw5UgEBAfrss8+0ZcsWnX322fr+++/11FNP6frrr1dVVZVuvPFGHTp0SFdeeaX69++v77//XuvXr5ckvfLKK7rooovavR8A/BSBBwBOlJeXa+HChdq4caMqKioUFhamxMRETZ48Wb6+vk7vol23bp2ee+45FRcXy8/PT71799YNN9ygwYMHa/To0UpMTNSTTz4p6VhE/vnPf9bf//53lZaWKiAgQEOGDFFGRoYuvPDCljnaux8AHI/AAwAAMAzfwQMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAYhsADAAAwDIEHAABgmP8PZfM6AEAcK5wAAAAASUVORK5CYII=", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAn4AAAJhCAYAAADfUL0uAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAAA3xElEQVR4nO3de1xVdb7/8TcXgXQLpqBJYgITHh1Hc5xypn7piB41KUS0E4rm5Yh4FKdjNZk0oslDTz0qarSMrNOUio12NPMWmaVlekaRLqaH8EoKhZKEgnJT9u8Pj5wIMEj3Xnv7fT3/ydb6rr0/ex5rerxca1887Ha7XQAAALjueVo9AAAAAJyD8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhvK0ewB1UVFRo//79CgoKkpeXl9XjAAAANOrixYsqKipSjx495OfnV2cf4dcE+/fvV3x8vNVjAAAANFlGRoZ+97vf1dnmVuG3a9cuvfrqq/rqq69UUVGhzp07KyYmRpMmTZK3d92XUlJSokWLFmn79u06ffq0wsPDNXnyZA0bNqzZzxsUFCTp0v+AN9100zV5LQAAAI5QWFio+Pj42n75MbcJv3fffVezZs1Sq1atNHjwYNlsNu3cuVPPPfecPv/8cy1ZskQeHh6SpPPnz2vSpEnKycnR0KFD1bFjR23ZskUzZ85UcXGxxo4d26znvnx796abblKnTp2u+WsDAAC41hp6e5pbhF9FRYUWLlwom82md955RyEhIZKk6upqTZs2TR999JE++OADDR48WJK0bNkyHThwQCkpKbW3aKdNm6a4uDg9++yzuueee9SuXTvLXg8AAIAV3OJTvbt371ZJSYnuv//+2uiTpBYtWigxMVGS9Mknn9RuX7lypQIDAxUXF1e7zWazaerUqSovL9eGDRucNzwAAICLcIvw69Spkx5++GH98z//c719Pj4+ki7d3pWk48eP6+TJk+rTp0+9S5x9+/aVJGVlZTl4YgAAANfjFrd6w8PDFR4e3uC+rVu3SpJ+9atfSboUfpLUuXPnemuDgoLk6+urvLw8xwwKAADgwtziil9jjhw5omXLlsnHx0cjRoyQdOnTvJLk7+/f4DE2m02lpaXOGhEAAMBlWHrFLzIyUgUFBVdcEx8fr5SUlHrbCwsLlZCQoPLycs2ePVsdO3aUJF24cEHS/90C/ikfHx+Vl5df5eQAAADux9LwGzRokIqLi6+4pmfPnvW2ffPNN5o4caIKCgoUFxenCRMm1O7z9fWVJFVVVTX4eFVVVWrZsuUvHxoAAMBNWRp+ycnJzT5m3759SkxMVHFxseLi4jRv3rw6+wMCAiRJZWVlDR5fVlbGV7kAAAAjucWHOy7buXOnkpKSdP78eU2dOlUzZ86st6ZLly6SpPz8/Hr7Tp06pcrKSoWGhjp6VAAAAJfjNuH3xRdfaPr06aqoqFBycrLGjx/f4Lrg4GAFBwcrOztbNTU18vT8v8+v7NmzR5LUu3dvp8wMAADgStziU73nzp3TzJkzVV5erscff7zR6LssOjpahYWFWrFiRe22srIypaeny8/PT8OHD3f0yAAAAC7HLa74rV69Wt9++63atGmj0tJSLV68uN6asLAwRUVFSZISEhKUmZmpBQsWKCsrSyEhIdqyZYtOnDihOXPmqG3bts5+CQAAAJZzi/C7/EsbJSUlevHFFxtcM3DgwNrws9lsysjIUFpamrZt26YdO3YoLCxMaWlptWsAAABM4xbht2TJkmYfExgYqIULFzpgGgAAAPfkFu/xAwAAwNUj/AAAAAxB+AEAABiC8AMAADCEW3y4AwDc3e7du/X8888rJCREhw4dUlVVlVJSUuTt7a2nnnpKNTU1kqTExEQNGTLE4mkBXK8IPwBwkn379mnu3Lnq1q2bXn/9db344ovy8vLSxIkTFRUVpa+//lqrVq0i/AA4DLd6AcBJgoOD1a1bN0lS9+7ddebMGd1zzz2aP3++HnnkER04cEAPP/ywxVMCuJ4RfgDgJH5+frV/9vDwkN1uV1xcnNavX6+77rpLn376qaKjo1VaWmrhlACuZ4QfAFgoLi5OOTk5io2NVWpqqs6ePaszZ85YPRaA6xTv8QMACz366KNauHChXnjhBXl6eiopKUmdOnWyeiwA1ynCDwCcoG/fvtq4cWOD/7527VqrxgJgGG71AgAAGIIrfnBJa9euVWZmptVjAACugaFDhyo2NtbqMSCu+MFFZWZm6uDBg1aPAQC4SgcPHuQv8i6EK35wWREREVq6dKnVYwAArsKUKVOsHgE/whU/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAi+zgUuKTo62uoRAADXAP89dy2EH1zSvffea/UIAIBrgP+euxZu9QIAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMwRc4AwCuS7t379bzzz+vkJAQHTp0SFVVVUpJSZG3t7eeeuop1dTUSJISExM1ZMgQi6cFnIPwAwBct/bt26e5c+eqW7duev311/Xiiy/Ky8tLEydOVFRUlL7++mutWrWK8IMxuNULALhuBQcHq1u3bpKk7t2768yZM7rnnns0f/58PfLIIzpw4IAefvhhi6cEnIfwAwBct/z8/Gr/7OHhIbvdrri4OK1fv1533XWXPv30U0VHR6u0tNTCKQHnIfwAAEaJi4tTTk6OYmNjlZqaqrNnz+rMmTNWjwU4Be/xAwAY5dFHH9XChQv1wgsvyNPTU0lJSerUqZPVYwFOQfgBAK5Lffv21caNGxv897Vr11o1FmApwg8ALLJ27VplZmZaPQbgcEOHDlVsbKzVY0C8xw8ALJOZmamDBw9aPQbgUAcPHuQvOC6EK34AYKGIiAgtXbrU6jEAh5kyZYrVI+BHuOIHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILv8QMAi0RHR1s9AuBwnOeuhfADAIvce++9Vo8AOBznuWvhVi8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHQBUVFTp9+rSmTp2qyspKq8cBADgI4QdAmZmZmjVrlg4ePKhp06apqKjI6pEAAA5A+AHQvffeKx8fH/Xo0UPDhw9XUFCQ1SMBAByAX+4AIC8vLyUmJqpr1646duyY1eMAAByE8AMgDw8P9erVS5LUrVs3i6cBADgKt3oBAAAMQfgBAAAYgvADAAAwBOEHAABgCD7cAZe0du1aZWZmWj0G4HBDhw5VbGys1WMAMARX/OCSMjMzdfDgQavHABzq4MGD/AUHgFNxxQ8uKyIiQkuXLrV6DMBhpkyZYvUIAAzjVuG3a9cuvfrqq/rqq69UUVGhzp07KyYmRpMmTZK3d92XMmbMGGVnZzf4OPPmzdPo0aOdMTIAAIDLcJvwe/fddzVr1iy1atVKgwcPls1m086dO/Xcc8/p888/15IlS+Th4VG7Pjc3V6GhoYqKiqr3WD169HDm6AAAAC7BLcKvoqJCCxculM1m0zvvvKOQkBBJUnV1taZNm6aPPvpIH3zwgQYPHixJys/PV1lZmUaNGqUZM2ZYOToAAIDLcIsPd+zevVslJSW6//77a6NPklq0aKHExERJ0ieffFK7PTc3V5LUtWtX5w4KAADgwtziil+nTp308MMP6/bbb6+3z8fHR5J0/vz52m2EHwAAQH1uEX7h4eEKDw9vcN/WrVslSb/61a9qt+Xm5srDw0PZ2dn6y1/+omPHjsnf319DhgzRn/70J7Vu3dopcwMAALgSt7jV25gjR45o2bJl8vHx0YgRI2q35+bmym63a9GiRerevbvuv/9+tW3bVsuWLdOYMWNUVlZm4dQAAADWsPSKX2RkpAoKCq64Jj4+XikpKfW2FxYWKiEhQeXl5Zo9e7Y6duwoSaqpqZG/v7+6deumV155RR06dKjdPm/ePK1atUqLFy/W7Nmzr/0LwjUTHR1t9QiAw3GeA3A2S8Nv0KBBKi4uvuKanj171tv2zTffaOLEiSooKFBcXJwmTJhQu8/T01OrV6+ud4ynp6dmzZql9evXa9OmTYSfi7v33nutHgFwOM5zAM5mafglJyc3+5h9+/YpMTFRxcXFiouL07x585p8bKtWrdSlSxfl5OSosrJSvr6+zX5+AAAAd+UWH+64bOfOnUpKStL58+c1depUzZw5s96as2fP6vDhw7rxxhsVGhpab39FRYU8PT3r/dIHAADA9c5tPtzxxRdfaPr06SovL1dycnKD0SdJBw4c0OjRo/X000/X23fq1Cnl5+erW7du8vLycvTIAAAALsUtwu/cuXOaOXOmysvL9fjjj2v8+PGNru3Tp4+CgoL0ySefKCsrq3Z7VVWVUlNTVV1drfj4eGeMDQAA4FLc4n7n6tWr9e2336pNmzYqLS3V4sWL660JCwtTVFSUfHx8lJqaqqSkJE2cOFFDhw5VmzZttGvXLh05ckRRUVGKjY214FUAAABYyy3C7/KVu5KSEr344osNrhk4cKCioqIkSQMGDFBGRoaWLFmi7du3q7KyUqGhoZozZ47GjBkjDw8Pp80OAADgKtwi/JYsWdLsY2677TYtXbrUAdMAAAC4J7d4jx8AAACuHuEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADCEt9UDAICrWLdundasWeO05/v+++8lSYGBgU55vpEjRyomJsYpzwXANRF+AGCRoqIiSc4LPwAg/ADgf8XExDj1iti4ceMkScuXL3facwIwG+/xAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADOFW4ffZZ59p0qRJ6tu3r/r06aNJkyZp9+7dDa4tKSnR/PnzFRkZqV69eik2NlabN2928sQAAACuw23Cb8eOHYqPj9f+/fs1dOhQDR8+XDk5ORo/fny9oDt//rwmTZqkt956S7169VJ8fLzOnj2rmTNnasWKFRa9AgAAAGt5Wz1AU9TU1OiJJ56QzWbTunXrFBwcLElKTExUdHS0Fi5cqMGDB8vb+9LLWbZsmQ4cOKCUlBTFx8dLkqZNm6a4uDg9++yzuueee9SuXTvLXg8AAIAV3OKK34kTJ9SqVSvFxMTURp8kdejQQbfffruKiopUUFBQu33lypUKDAxUXFxc7TabzaapU6eqvLxcGzZscOr8AAAArsAtrvjdcssteu+99+ptr6mpUV5enry8vNSmTRtJ0vHjx3Xy5EkNGTJEXl5eddb37dtXkpSVlaUJEyY4emwAAACX4hbh91PV1dU6duyYXn75ZR06dEhjx45VQECApEvhJ0mdO3eud1xQUJB8fX2Vl5fnzHEBAABcgluG36BBg1RYWChJGjJkiJKTk2v3lZSUSJL8/f0bPNZms6m0tNThMwIAALgaS8MvMjKyznvzGhIfH6+UlJQ62wYOHKgWLVpo165dev/99zV9+nT99a9/la+vry5cuCBJ8vHxafDxfHx8VF5efm1eAAAAgBuxNPwGDRqk4uLiK67p2bNnvW2XQ/DChQuaNWuWNm7cqOXLl2vy5Mny9fWVJFVVVTX4eFVVVWrZsuVVTg4AAOB+LA2/H9+i/SW8vb312GOPaePGjfrwww81efLk2vf6lZWVNXhMWVkZX+UCAACM5BZf53Ly5El98MEHOnHiRL197du3V4sWLfTDDz9Ikrp06SJJys/Pr7f21KlTqqysVGhoqEPnBQAAcEVuEX67d+9WUlKSMjIy6u07fPiwqquraz/FGxwcrODgYGVnZ6umpqbO2j179kiSevfu7fihAQAAXIxbhF///v3VsmVLrV69us5XsZw/f16pqamSpJEjR9Zuj46OVmFhYZ2fZysrK1N6err8/Pw0fPhwp80OAADgKtzi61wCAgKUkpKi2bNnKzY2VsOGDZOPj48+/vhj5efnKy4uTkOGDKldn5CQoMzMTC1YsEBZWVkKCQnRli1bdOLECc2ZM0dt27a18NUAAABYwy3CT5JGjBihDh06KD09XZs2bdLFixcVERGhpKQkjRgxos5am82mjIwMpaWladu2bdqxY4fCwsKUlpamqKgoi14BAACAtdwm/CTpzjvv1J133tmktYGBgVq4cKGDJwIAAHAfbvEePwAAAFw9wg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAAIc5deqUxo4dq6KiIqtHgQg/AADgQEuWLNHevXu1ZMkSq0eBCD8AAOAgp06d0tq1a2W327VmzRqu+rkAwg8AADjEkiVLVFNTI0mqqanhqp8LIPwAAIBDbNiwQdXV1ZKk6upqrV+/3uKJQPgBAACHuO+++9SiRQtJUosWLRQdHW3xRCD8AACAQ0ybNk2enpdSw9PTU9OmTbN4IhB+AADAIdq3b6/Y2Fh5eHho5MiRCgoKsnok43lbPQAAALh+TZs2TYcPH+Zqn4sg/AAAgMO0b99eK1assHoM/C9u9QIAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMIS31QMAQGOOBN1t9QgOVd76B0nX/+sML9ph9QgA/hfhBwAWGVzpZ/UIAAxD+AGARQZW3WD1CAAMQ/gBcAvcLnQv1/vta8Bd8eEOAAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIb6sHaI7PPvtML774og4cOKALFy6oV69eSkxMVN++feutHTNmjLKzsxt8nHnz5mn06NGOHhcAAMCluE347dixQ1OmTFHr1q11zz33yMvLS++9957Gjx+vtLQ0DRs2rM763NxchYaGKioqqt5j9ejRw1ljAwAAuAy3CL+amho98cQTstlsWrdunYKDgyVJiYmJio6O1sKFCzV48GB5e196Ofn5+SorK9OoUaM0Y8YMK0cHAABwGW7xHr8TJ06oVatWiomJqY0+SerQoYNuv/12FRUVqaCgoHZ7bm6uJKlr165OnxUAAMBVucUVv1tuuUXvvfdeve01NTXKy8uTl5eX2rRpU7ud8AMAAKjPLa74/VR1dbUOHjyoRx55RIcOHdLo0aMVEBBQuz83N1ceHh7Kzs7WiBEjdNttt6lfv35asGCBSktLLZwcAADAOm5xxe+nBg0apMLCQknSkCFDlJycXGd/bm6u7Ha7Fi1apCFDhuh3v/udsrKytGzZMv3jH//QW2+9JZvNZsXoAAAAlrE0/CIjI+u8N68h8fHxSklJqbNt4MCBatGihXbt2qX3339f06dP11//+lf5+vqqpqZG/v7+6tatm1555RV16NBB0qXbwvPmzdOqVau0ePFizZ4922GvCwAAwBVZGn6DBg1ScXHxFdf07Nmz3rbLIXjhwgXNmjVLGzdu1PLlyzV58mR5enpq9erV9Y7x9PTUrFmztH79em3atInwAwAAxrE0/H56i7a5vL299dhjj2njxo368MMPNXny5Cuub9Wqlbp06aKcnBxVVlbK19f3qp4fAADAnTTrwx1vvvmmvvzyS0fN0qiTJ0/qgw8+0IkTJ+rta9++vVq0aKEffvhBknT27Fl99tlnOnbsWIOPVVFRIU9Pz9rv/AMAADBFs8LvxRdf1JtvvumoWRq1e/duJSUlKSMjo96+w4cPq7q6Wp07d5YkHThwQKNHj9bTTz9db+2pU6eUn5+vbt26ycvLy+FzAwAAuJJmf51LYGCgI+a4ov79+6tly5ZavXq18vLyarefP39eqampkqSRI0dKkvr06aOgoCB98sknysrKql1bVVWl1NRUVVdXKz4+3qnzAwAAuIJm3e+cPHmyXnvtNd11113q16+fPDw8HDVXHQEBAUpJSdHs2bMVGxurYcOGycfHRx9//LHy8/MVFxenIUOGSJJ8fHyUmpqqpKQkTZw4UUOHDlWbNm20a9cuHTlyRFFRUYqNjXXK3AAAAK6kWeGXl5cnX19fTZ06VX5+frrpppvk5+dXb52Hh4fWrl17zYaUpBEjRqhDhw5KT0/Xpk2bdPHiRUVERCgpKUkjRoyos3bAgAHKyMjQkiVLtH37dlVWVio0NFRz5szRmDFjnBasAAAArqRZ4ffOO+/U/rm8vLzRD1A4KqzuvPNO3XnnnU1ae9ttt2np0qUOmQMAAMAdNSv8vv76a0fNAQAAAAdzy9/qBQAAQPP9oi+z+/rrr3XixAmdO3eu0TUxMTG/dCYAAAA4QLPC74cfflBCQoIOHDjQ6Bq73S4PDw/CDwAAwMU0K/yeeeYZ7d+/X927d1f//v3Vpk0bB40FAACAa61Z4bd9+3b95je/0dtvv+2oeQAAAOAgzfpwx7lz59S3b19HzQIAAAAHalb4de3atc5PpgEAAMB9NCv8pk+fru3bt2vz5s2OmgcAAAAOcsX3+CUlJdXb1rp1az3yyCN64YUXdMstt8jX17feGg8PDy1evPjaTQkAAICrdsXw27p1a6P7jh8/ruPHjze4j9/CBQAAcD1XDL8PP/yw3rbS0lK1bt260WNOnTqlI0eOXP1kAAAAuKauGH4333xznX/v1q2bkpKSNH369EaP+fvf/66MjAyNGjXq2kwIAACAa+KK4bdz5846V+/sdru++OILLVu2rMH11dXV2rx5s7y8vK7tlAAAALhqVww/f39/PfXUU7Lb7bU/xfbpp59qx44dV3zQsWPHXtMhAQAAcPWuGH6/+c1v9PLLL6u4uFh2u13JyckaNGiQBg4cWG+th4eHvL291aFDB91+++0OGxgAAAC/zM/+ZFv//v1r/5yVldVo+AEAAMC1Neu3ev/jP/7DUXMAAADAwZr1yx0AAABwX4QfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEM067d6AcCZPvQp1xbfCknSDePGWTwNmqO89Q8aXOmngVU3WD0KgB/hih8AAIAhuOIHwGUNrLqh9opR+PLlFk+D5jgSdLfVIwBoAFf8AAAADEH4AQAAGILwAwAAMATv8QMAwEIeTz7p1OdrffSoAo4edepzOtOZsDCVhoU5/Xntc+c6/Tl/Ca74AQAAGIIrfgAAGKTUoiticA2EHwAALsJdbhfiEmffpr8WuNULAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQ3lYPAABNcSTobqtHuOY+9CmXJA2susHiSQCYgvADAIts8a2QRPgBcB5u9QIAABiCK34AXFZ40Q6rR3CoG8aNkySFL19u8SQATMEVPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQbht+586dU2RkpCIjIxvcX1JSovnz5ysyMlK9evVSbGysNm/e7OQpAQAAXIfbhl9aWpoKCgoa3Hf+/HlNmjRJb731lnr16qX4+HidPXtWM2fO1IoVK5w8KQAAgGtwy/Dbu3evMjIyGt2/bNkyHThwQH/5y1/0/PPP67HHHtO6det066236tlnn9Xp06edOC0AAIBrcLufbKusrNQTTzyh3/72t8rNzW1wzcqVKxUYGKi4uLjabTabTVOnTtUjjzyiDRs2aMKECU6aGIC7WLdundasWeO058vJyZEkjfvfn25ztJEjRyomJsYpzwXANbndFb/Fixfr22+/VWpqqjw8POrtP378uE6ePKk+ffrIy8urzr6+fftKkrKyspwyKwBcSVBQkIKCgqweA4BB3OqK3/79+/X6668rKSlJ4eHhDa45fvy4JKlz58719gUFBcnX11d5eXmOHBOAm4qJieGKGIDrmtuEX3V1tZKTkxUeHq6EhIRG15WUlEiS/P39G9xvs9lUWlrqiBEBAGi21kePKuDoUUnSuMOHLZ4GzdEpL09nwsJUGhZm9ShNZmn4RUZGNvrJ3Mvi4+OVkpKiV155RYcOHdKqVavUokWLRtdfuHBBkuTj49Pgfh8fH5WXl//yoQEAANyUpeE3aNAgFRcXX3FNz549dejQIaWnp+vBBx9Uz549r7je19dXklRVVdXg/qqqKrVs2fKXDQwAwDVW+qMrRsvnzrV4GjSHx5NPWj1Cs1kafsnJyT+75uLFi4qLi1P79u310EMP/ez6gIAASVJZWVmD+8vKytSuXbvmDQoAAHAdcPn3+H333Xfat2+fJKl379719peWlqpr16664447tHz5cnXp0kWSlJ+fX2/tqVOnVFlZqdDQUIfODAAA4IpcPvz8/f2VlJTU4L6lS5fK19dX48eP18033yxJCg4OVnBwsLKzs1VTUyNPz//7xpo9e/ZIajggAQAArnduEX4zZsxocN+bb77Z4P7o6Gilp6drxYoVevDBByVdusWbnp4uPz8/DR8+3OFzX2+c/cW233//vSQpMDDQKc/HF9sCAEzg8uH3SyQkJCgzM1MLFixQVlaWQkJCtGXLFp04cUJz5sxR27ZtrR4RP6OoqEiS88IPAAATXJfhZ7PZlJGRobS0NG3btk07duxQWFiY0tLSFBUVZfV4bsnZX2x7+Sesli9f7rTnBADgeufW4bd3795G9wUGBmrhwoVOnAYAAMC1ud1v9QIAAOCXIfwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAhvqwfAL+PhYfUEjtWp06V/Xu+v0263egIAgEkIP7ikM2dGWj0CADidx5NPWj3CNdf66FFJUmlYmMWTQCL84KJKS2OsHgEAcA0EEH4uhfC7DnC70L1c77evAQCui/ADAMBC9rlzrR7BocYdPixJWn6dv053wad6AQAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCH4Ohc31br1OgUErJEkjRtn8TBolk6dLv0yCV9SDQBwNq74AQAAGIIrfm6qtDSm9orR8uXWzoLm4Zc7AABW4YofAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIbwtnoAAADgPOvWrdOaNWuc9nw5OTmSpHHjxjnl+UaOHKmYmBinPJc7IvwAAIDDBAUFWT0CfoTwAwDAIDExMVwRMxjv8QMAADAE4QcAAGAIwg8AAMAQbvsev3Pnzum+++6TJH300Uf19o8ZM0bZ2dkNHjtv3jyNHj3aofMBAAC4GrcNv7S0NBUUFOjmm29ucH9ubq5CQ0MVFRVVb1+PHj0cPR4AAIDLccvw27t3rzIyMhrdn5+fr7KyMo0aNUozZsxw4mQAAACuy+3e41dZWaknnnhCv/3tb2Wz2Rpck5ubK0nq2rWrM0cDAABwaW4XfosXL9a3336r1NRUeXh4NLiG8AMAAKjPrcJv//79ev311/Vv//ZvCg8Pb3Rdbm6uPDw8lJ2drREjRui2225Tv379tGDBApWWljpxYgAAANfhNuFXXV2t5ORkhYeHKyEh4Yprc3NzZbfbtWjRInXv3l3333+/2rZtq2XLlmnMmDEqKytz0tQAAACuw9IPd0RGRqqgoOCKa+Lj45WSkqJXXnlFhw4d0qpVq9SiRYtG19fU1Mjf31/dunXTK6+8og4dOtRunzdvnlatWqXFixdr9uzZ1/S1AAAAuDpLw2/QoEEqLi6+4pqePXvq0KFDSk9P14MPPqiePXtecb2np6dWr17d4PZZs2Zp/fr12rRpE+EHAACMY2n4JScn/+yaixcvKi4uTu3bt9dDDz10Vc/XqlUrdenSRTk5OaqsrJSvr+9VPR4AAIA7cfnv8fvuu++0b98+SVLv3r3r7S8tLVXXrl11xx13aPny5Tp79qwOHz6sG2+8UaGhofXWV1RUyNPTU97eLv/SAQAArimXrx9/f38lJSU1uG/p0qXy9fXV+PHja3/B48CBA5owYYIGDBig9PT0OutPnTql/Px8devWTV5eXg6fHQAAwJW4Rfg19usbb775Zr39ffr0UVBQkD755BNlZWXp9ttvlyRVVVUpNTVV1dXVio+Pd8rsAAAArsTlw6+5fHx8lJqaqqSkJE2cOFFDhw5VmzZttGvXLh05ckRRUVGKjY21ekwAAACnu+7CT5IGDBigjIwMLVmyRNu3b1dlZaVCQ0M1Z84cjRkzptFf/AAAALieuXX47d27t9F9t912m5YuXerEaQAAAFyb2/xyBwAAAK4O4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAM4W31ALh6Hh5WT3DttW69TpJUWhpj6RwAAFxPCD+4pICANZIIPwAAriVu9QIAABiCK35uym63egLHGjfu0j+XL7d2DgAAridc8QMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwhLfVAzRH//79VVhY2OC+V199Vf369av995KSEi1atEjbt2/X6dOnFR4ersmTJ2vYsGHOGhcAAMCluE34lZSUqLCwUL169dLdd99db/8tt9xS++fz589r0qRJysnJ0dChQ9WxY0dt2bJFM2fOVHFxscaOHevM0QEAAFyC24Rfbm6uJOnee+/Vgw8+eMW1y5Yt04EDB5SSkqL4+HhJ0rRp0xQXF6dnn31W99xzj9q1a+fwmQEAAFyJ27zH73L4de3a9WfXrly5UoGBgYqLi6vdZrPZNHXqVJWXl2vDhg0OmxMAAMBVXXfhd/z4cZ08eVJ9+vSRl5dXnX19+/aVJGVlZTlmSAAAABfmVuHXpk0bvf3224qKilLPnj01cOBALVq0SFVVVbXrjh8/Lknq3LlzvccICgqSr6+v8vLynDU2AACAy3CL8KupqdHhw4dVUlKiN998U3fccYdGjRolb29vvfTSS5oyZYouXLgg6dKHQCTJ39+/wcey2WwqLS111ugAAAAuw9IPd0RGRqqgoOCKa+Lj4zVt2jTdcsst8vf310svvVQbdZWVlXrooYe0bds2rVy5Ug8++GBtAPr4+DT4eD4+PiovL7+2LwQAAMANWBp+gwYNUnFx8RXX9OzZU4GBgXr33Xfr7fP19dUTTzyhbdu2adOmTXrwwQfl6+srSXVu//5YVVWVWrZsefXDAwAAuBlLwy85OfmqHyMkJEQBAQHKz8+XJAUEBEiSysrKGlxfVlbGV7kAAAAjucV7/E6fPq29e/fqu+++q7fPbrersrKy9kpfly5dJKk2BH/s1KlTqqysVGhoqEPnBQAAcEVuEX7btm1TfHy8/vM//7Pevv3796uiokI9evSQJAUHBys4OFjZ2dmqqamps3bPnj2SpN69ezt+aAAAABfjFuE3YMAA+fn5ac2aNTp69Gjt9rKyMi1YsECSNGbMmNrt0dHRKiws1IoVK+qsTU9Pl5+fn4YPH+684QEAAFyEW/xkW7t27fT4449r3rx5GjlypIYNGyYfHx9t375d3377rRISEvT73/++dn1CQoIyMzO1YMECZWVlKSQkRFu2bNGJEyc0Z84ctW3b1sJXAwAAYA23CD9JGj16tIKDg/Xaa69p8+bNstvtioiI0MMPP6z77ruvzlqbzaaMjAylpaVp27Zt2rFjh8LCwpSWlqaoqCiLXgEAAIC13Cb8JKl///7q379/k9YGBgZq4cKFDp4IAADAfbjFe/wAAABw9Qg/AAAAQ7jVrV5YZ926dVqzZo3Tni8nJ0eSNG7cOKc838iRIxUTE+OU5wIAwCqEH1xSUFCQ1SMAAHDdIfzQJDExMVwRAwDAzfEePwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQ3hbPYA7uHjxoiSpsLDQ4kkAAACu7HKvXO6XHyP8mqCoqEiSFB8fb/EkAAAATVNUVKRbbrmlzjYPu91ut2get1FRUaH9+/crKChIXl5eVo8DAADQqIsXL6qoqEg9evSQn59fnX2EHwAAgCH4cAcAAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPTnXhwgW98cYbGjZsmHr27KmBAwfqpZdeUnV1dZOOLykp0fz58xUZGalevXopNjZWmzdvdvDUwC9z8uRJ9enTR2+88UaTj+EchzsoKipSSkqK+vfvrx49euiuu+7So48+qhMnTjTpeM5z6/CTbXCq+fPna9WqVerTp48iIyP12WefadGiRcrNzdWiRYuueOz58+c1adIk5eTkaOjQoerYsaO2bNmimTNnqri4WGPHjnXSqwB+3rlz5zRjxgyVlZU1+RjOcbiDoqIi3X///fruu+901113adiwYTp27Jg2btyoHTt2aNWqVerSpUujx3OeW8wOOEl2drY9IiLCPmPGDHtNTY3dbrfba2pq7I899pg9IiLC/tFHH13x+JdfftkeERFhX7FiRe220tJSe1RUlL1Xr17277//3qHzA02Vn59vHzFihD0iIsIeERFh/9vf/tak4zjH4Q7mzJljj4iIsL/++ut1tq9bt84eERFhT0xMvOLxnOfW4lYvnCYjI0OSlJSUJA8PD0mSh4eHHn74YXl4eOjtt9++4vErV65UYGCg4uLiarfZbDZNnTpV5eXl2rBhg+OGB5rojTfe0H333aevv/5av//975t1LOc43MHWrVvVtm1bjR8/vs724cOHq3Pnzvr0009VU1PT6PGc59Yi/OA0e/fu1Y033qiIiIg62zt06KAuXbooKyur0WOPHz9e+34pLy+vOvv69u0rSVc8HnCWZcuW6eabb9aKFSs0fPjwJh/HOQ53cPHiRSUmJiopKUmenvUTwsfHR9XV1bpw4UKDx3OeW4/3+MEpqqqqVFhYqF69ejW4/+abb9axY8dUXFystm3b1tt//PhxSVLnzp3r7QsKCpKvr6/y8vKu6czAL/Hkk0/qzjvvlJeXV7POSc5xuAMvL696V/ouO3LkiI4eParOnTvLx8enwTWc59bjih+coqSkRJLUunXrBvdf3l5aWnrF4/39/Rvcb7PZGj0WcKa777673pWMpuAchzurqalRamqqampq9C//8i+NruM8tx7hB6e4fNm/sb8FXt5eWVn5i49v7FjAHXCOw13Z7XalpKTov//7v9WjR49GrwhKnOeugPCDU/j5+UlSo9/XV1VVJUm64YYbGtzv6+tbZ11Dx7ds2fJqxwQswzkOd3ThwgUlJyfr7bffVkhIiJYsWdJo1Emc566A8INT2Gw2eXp6NvqdZpcv7Td2KzggIECSGj2+rKxMNpvtGkwKWINzHO6mvLxc06ZN09q1a9WlSxctW7ZMHTp0uOIxnOfW48MdcAofHx8FBwcrPz+/wf35+flq27at2rRp0+D+y18G2tDxp06dUmVlpUJDQ6/VuIDTcY7DnZw5c0YJCQn68ssv1b17d7322mtq167dzx7HeW49rvjBafr06aOioiIdO3aszvaTJ08qLy+v0U/8SlJwcLCCg4OVnZ1d7/uh9uzZI0nq3bv3tR8acBLOcbiLyspKJSYm6ssvv9Qdd9yh5cuXNyn6JM5zV0D4wWliYmIkSc8//3zt/+HtdrvS0tIkSQ888MAVj4+OjlZhYaFWrFhRu62srEzp6eny8/Nr1nemAa6IcxzuIC0tTZ9//rl69+6tV199tdm3ZjnPrcWtXjjNnXfeqWHDhmnz5s164IEH1LdvX33++efau3evhgwZoj/+8Y+1axcvXixJmjFjRu22hIQEZWZmasGCBcrKylJISIi2bNmiEydOaM6cOQ1+/x/gqjjH4Y6Kiopqf4UpLCxMr776aoPrpkyZIl9fX85zF+Rht9vtVg8Bc1RXV2vp0qV65513dPLkSQUHBys6OloJCQl1PgnWtWtXSVJubm6d47///nulpaVp27ZtKi8vV1hYmP71X/9VUVFRTn0dQFOsXbtWs2fP1uzZszVhwoQ6+zjH4Y62bt2q6dOn/+y6rKws+fv7c567IMIPAADAELzHDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcA19C4cePUtWtXnT171upRAKAewg8AAMAQhB8AAIAhCD8AAABDeFs9AAC4k+LiYqWnp2vr1q36/vvv1bFjRw0dOlRTpkxRq1atGjymurpaK1eu1KZNm3TkyBFVVlYqKChId999t/793/9dbdu2rV37zTffKC0tTfv27VNRUZHat2+vfv36afr06QoKCmr2OgD4MQ+73W63eggAcAdFRUV64IEHVFBQoL59++rXv/61/ud//kf/+Mc/9Ic//EGvvfaaJk6cqD179igrK0v+/v6SpD/96U96//331adPH/Xq1UtVVVX69NNPlZeXpx49emjNmjWSLkXlyJEj9cMPP2jIkCFq3769cnNz9fHHHyssLEzr169XixYtmrwOAH6KK34A0ETPPPOMCgoKNHv2bE2YMKF2e0pKilatWqWPPvqo3jFffPGF3n//fd1333169tlna7dfuHBBI0aM0P79+3Xs2DGFhoZq8+bN+vbbb7Vw4UKNHDmydu38+fOVkZGhnTt36o9//GOT1wHAT/EePwBogqqqKn3wwQfq0qVLneiTpMTERE2dOrXBW6w33XSTnnrqKT300EN1tnt7e6tPnz6SpNOnT0uSampqJEkHDhzQxYsXa9fOnDlTn376aW3MNXUdAPwUt3oBoAkOHz6sqKgoxcTE6Omnn2503bhx4+rd6pUuXeHLzc3VsWPHdPz4ceXk5GjXrl0qKyvT8uXLdccdd+jkyZOKjo5WSUmJbrzxRv2///f/1K9fP/Xv318BAQG1j9XUdQDwU1zxA4AmOHPmjCTJZrM1+9i///3vGjBggGJjY/XII4/ob3/7m8rLyxUeHi5Juvz37w4dOui//uu/NHLkSNntdm3YsEF//vOfdddddyklJUVVVVXNWgcAP8V7/ACgCS5/YvfcuXMN7j9//rxatmxZb/t7772nuXPnqmvXrpo7d65+/etfq2PHjpKkuXPn6ssvv6yzPiQkRAsXLtTFixe1f/9+7dixQ2vXrtWqVavUunVr/fnPf27WOgD4Ma74AUAThIaGqkWLFtq3b1+9fSdPnlTv3r01Z86cevs2btwoSXruuec0aNCg2uiTpKNHj0r6vyt+H374oebNm6eysjJ5eXmpV69eSkpKUkZGhiQpOzu7WesA4KcIPwBoAl9fXw0ZMkRHjhzR6tWr6+xLT0+XJP3hD39o8DhJ+v777+tsX7dunfbs2SPp0vv/pEsh+NZbb+mtt96qs7agoECSFBwc3Kx1APBTfLgDAJro5MmTeuCBB/Tdd9/p7rvv1q233qqvvvpKWVlZGjRokF566aV6H+7Yvn27EhMTZbPZFBUVJZvNpq+++kp79uxRu3btdPr0aT333HO69957debMGY0aNUrHjx9Xv3791LVrV50+fVqZmZmSpLfeekv/9E//1OR1APBThB8ANENRUZEWL16sbdu2qbi4WB06dFB0dLSmTZsmHx+fBj/Vu3nzZr366qvKy8uTn5+fQkJCNHz4cPXu3VsjRoxQdHS0nnnmGUmX4vLll1/Wzp07VVhYKJvNpjvuuENJSUm69dZba+do6joA+DHCDwAAwBC8xw8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIf4/j8E99vLsTAgAAAAASUVORK5CYII=", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAn4AAAJhCAYAAADfUL0uAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAAA6EklEQVR4nO3de1yUdd7/8fcIIhpqeUAFcUmLwLwtNw9tagdlA0ERdV1pXe40T63hZnZaXEXTzdvd1MrMLesuC7V1N8kOa2ae0GRLaj2kAZbmiTyABIEoIMzvD2/nF3FYUGauGb+v5+PRo7iu7zXzYfeqx8trrpmx2e12uwAAAHDVa2T1AAAAAHANwg8AAMAQhB8AAIAhCD8AAABDEH4AAACG8LZ6AE9w/vx57du3T23btpWXl5fV4wAAANSovLxcOTk56tatm3x9fSvtI/zqYN++fRo9erTVYwAAANTZypUr1bNnz0rbCL86aNu2raSL/wO2b9/e4mkAAABqdvLkSY0ePdrRLz9G+NXBpZd327dvr44dO1o8DQAAwH9W3e1pvLkDAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhvK0eAABM8Nlnn+nZZ59VUFCQvv76a5WWliopKUne3t6aP3++KioqJEmTJk1SRESExdMCuFoRfgDgInv37tWsWbMUFham1157TUuWLJGXl5fGjh2r6OhoZWZmavXq1YQfAKfhpV4AcJGAgACFhYVJkrp27aqCggINGjRIc+bM0aOPPqr9+/dr2rRpFk8J4GpG+AGAi/j6+jr+2WazyW63Ky4uTu+995769u2rTz75RDExMSosLLRwSgBXM8IPACwUFxenjIwMDR8+XHPnztUPP/yggoICq8cCcJXiHj8AsNBjjz2mefPm6bnnnlOjRo2UkJCgjh07Wj0WgKsU4QcALtCnTx998MEH1f6ckpJi1VgADMNLvQAAAIbgih/cUkpKitavX2/1GACABhAZGanhw4dbPQbEFT+4qfXr1+vAgQNWjwEAuEIHDhzgD/JuhCt+cFshISFatmyZ1WMAAK7AxIkTrR4BP8IVPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIPs4FbikmJsbqEQAADYD/nrsXwg9uafDgwVaPAABoAPz33L14RPilpKQoMTGx1jWBgYHavHmz4+f8/HwtXrxYW7du1ZkzZ9SlSxeNHz9eUVFRzh4XAADALXlE+IWFhSkhIaHafTt27NCuXbvUq1cvx7bi4mI98MADysjIUGRkpDp06KANGzbokUceUV5enn7729+6anQAAAC34THhFxYWVmV7dna2li9fruDgYM2ePdux/c0339T+/fuVlJSk0aNHS5ImT56suLg4LViwQIMGDVLr1q1dNT4AAIBb8Oh39c6YMUNnz57V008/raZNmzq2r1q1Sm3atFFcXJxjm5+fnx588EGdO3dO77//vhXjAgAAWMpjwy81NVVpaWkaMmSIevbs6dh+9OhRnTp1Srfddpu8vLwqHdOnTx9JUnp6uktnBQAAcAceG34LFy6Ut7e3pk6dWmn70aNHJUmdOnWqckzbtm3VpEkTHT582AUTAgAAuBePDL+0tDRlZWUpKipKgYGBlfbl5+dLklq0aFHtsX5+fiosLHT2iAAAAG7H0jd3DBgwQNnZ2bWuGT16tJKSkiptS05OliSNGzeuyvoLFy5Iknx8fKp9PB8fH507d+5yxgUAAPBoloZfeHi48vLyal3TvXv3Sj/n5+crNTVVt956q0JDQ6usb9KkiSSptLS02scrLS1Vs2bNLnNiAICn+Oyzz/Tss88qKChIX3/9tUpLS5WUlCRvb2/Nnz9fFRUVkqRJkyYpIiLC4mkB17A0/KZPn17vY7Zu3ary8nJFRkZWu79ly5aSpKKiomr3FxUV8VEuAGCIvXv3atasWQoLC9Nrr72mJUuWyMvLS2PHjlV0dLQyMzO1evVqwg/G8Lh7/LZt2yZJNf5LGhwcLEk6fvx4lX2nT59WSUmJrr/+eqfNBwBwHwEBAY7Pge3atasKCgo0aNAgzZkzR48++qj279+vadOmWTwl4DoeF367d+9Whw4dFBAQUO3+gIAABQQE6IsvvnBcxr9k586dkqQePXo4fU4AgPV8fX0d/2yz2WS32xUXF6f33ntPffv21SeffKKYmBje9AdjeFT4FRQUKDs7W127dq11XUxMjE6ePKkVK1Y4thUVFemll16Sr6+vhg4d6uxRAQBuKi4uThkZGRo+fLjmzp2rH374QQUFBVaPBbiER3xl2yXHjh2TJPn7+9e6bsKECVq/fr2efvpppaenKygoSBs2bNCxY8c0c+ZMtWrVyhXjAgDc0GOPPaZ58+bpueeeU6NGjZSQkKCOHTtaPRbgEh4Vfpc+o6958+a1rvPz89PKlSu1aNEibdmyRdu3b1fnzp21aNEiRUdHu2BSAIDV+vTpow8++KDan1NSUqwaC7CUR4Vfv379lJWVVae1bdq00bx585w8EQBcvpSUFK1fv97qMQCni4yM1PDhw60eA/Kwe/wA4Gqyfv16HThwwOoxAKc6cOAAf8BxIx51xQ8ArjYhISFatmyZ1WMATjNx4kSrR8CPcMUPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMASf4wcAFomJibF6BMDpOM/dC+EHABYZPHiw1SMATsd57l54qRcAAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCD7AGTDQZ599pmeffVZBQUH6+uuvVVpaqqSkJHl7e2v+/PmqqKiQJE2aNEkREREWTwsAaCiEH2CovXv3atasWQoLC9Nrr72mJUuWyMvLS2PHjlV0dLQyMzO1evVqwg8AriK81AsYKiAgQGFhYZKkrl27qqCgQIMGDdKcOXP06KOPav/+/Zo2bZrFUwIAGhLhBxjK19fX8c82m012u11xcXF677331LdvX33yySeKiYlRYWGhhVMCABoS4QfAIS4uThkZGRo+fLjmzp2rH374QQUFBVaPBQBoINzjB8Dhscce07x58/Tcc8+pUaNGSkhIUMeOHa0eCwDQQAg/wEB9+vTRBx98UO3PKSkpVo0FAHAywg9uKSUlRevXr7d6DMDpIiMjNXz4cKvHAGAI7vGDW1q/fr0OHDhg9RiAUx04cIA/4ABwKa74wW2FhIRo2bJlVo8BOM3EiROtHgGAYbjiBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiCz/GDW4qJibF6BMDpOM8BuBrhB7c0ePBgq0cAnI7zHICr8VIvAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEN5WDwDPsHbtWq1Zs8Zlz5ebmytJatOmjUueb8SIEYqNjXXJcwEAYBXCD24pJydHkuvCDwAAExB+qJPY2FiXXhGLj4+XJCUnJ7vsOQEAuNpxjx8AAIAhPOKKX0pKihITE2tdExgYqM2bNzt+3rdvn5YuXaovvvhCZ8+eVfv27RUZGanJkyerWbNmzh4ZAADA7XhE+IWFhSkhIaHafTt27NCuXbvUq1cvx7ZPP/1U48ePlyRFRETI399f6enpeuWVV/Tpp59q5cqVatKkiUtmBwAAcBceE35hYWFVtmdnZ2v58uUKDg7W7NmzHdufeuop2e12vfXWW+revbskyW63KykpSX//+9+1atUqjR071lXjAwAAuAWPvsdvxowZOnv2rJ5++mk1bdpUkvTNN9/o0KFDGjhwoCP6JMlms+mhhx6SJG3bts2SeQEAAKzkEVf8qpOamqq0tDTFxMSoZ8+eju1+fn567LHHFBISUuUYHx8fSVJxcbHL5gQAAHAXHht+CxculLe3t6ZOnVppe/v27TVhwoRqj/n4448lSTfccIOzxwMAAHA7HvlSb1pamrKyshQVFaXAwMA6HZObm6vFixdLkkaNGuXM8QAAANySpVf8BgwYoOzs7FrXjB49WklJSZW2XfpQ33HjxtXpeQoLCzVx4kTl5uYqPj6+0r1/AAAAprA0/MLDw5WXl1frmp9GWn5+vlJTU3XrrbcqNDT0Pz5HXl6exo8fr/379+uee+7RH/7whyuaGQAAT8Z3r5vN0vCbPn16vY/ZunWrysvLFRkZ+R/XHj16VOPGjdPRo0c1YMAAPf/88/L29tjbGgEA8Dh897p78bgKuvRRLBEREbWuy8jI0Lhx43TmzBkNGzZMf/rTn4g+AIDx+O51s3lcCe3evVsdOnRQQEBAjWuOHDmiBx54QHl5eRo7dqyefPJJ2Ww2F04JAADgfjzqXb0FBQXKzs5W165da1xTUVGhadOmKS8vT//93/+tP/zhD0QfAACAPOyK37FjxyRJ/v7+Na7ZuHGj9u3bJx8fHzVr1kwvvPBClTVt2rTRfffd57Q5AQAA3JFHhV9+fr4kqXnz5jWuSU9PlySVlpbqpZdeqnZNaGgo4QcAAIzjUeHXr18/ZWVl1brmj3/8o/74xz+6aCIAAADP4VH3+AEAAODyEX4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGMLb6gFweWw2qydwro4dL/79av897XarJwAAmITwg1sqKBhh9QgAAFx1CD+4pcLCWKtHAADgqkP4XQV4udCzXO0vXwMA3Bdv7gAAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCG8rR4AANzF2rVrtWbNGpc9X25uriSpTZs2Lnm+ESNGKDY21iXPBcA9EX4AYJGcnBxJrgs/ACD8AOD/xMbGuvSKWHx8vCQpOTnZZc8JwGzc4wcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBB/gDACAhWxPPWX1CE7V8fBhSVf/72mfNcvqEeqE8AMAAE5T0Lmz1SPgRwg/AADgNIWEn1sh/AAAcBOe8nIhLvLEl695cwcAAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAhvqweoi5SUFCUmJta6JjAwUJs3b652X3l5ue677z7t2bNHWVlZzhgRAIDL0vzQIbU8dEiSFP/NNxZPg/roePiwCjp3VmHnzlaPUmceEX5hYWFKSEiodt+OHTu0a9cu9erVq8bj33jjDe3Zs8dZ4wEAAHgEjwm/sLCwKtuzs7O1fPlyBQcHa/bs2dUee+TIET3//PNOnhAAgMtT+KMrRsmzZlk8DerD9tRTVo9Qbx4RfjWZMWOGzp49q5dffllNmzatst9ut2vGjBny9/dXo0aNdPjwYdcPCQAA4CY89s0dqampSktL05AhQ9SzZ89q1/ztb3/Tzp07NXfuXPn6+rp4QgAAAPfiseG3cOFCeXt7a+rUqdXuP3HihJ555hn96le/0u233+7a4QAAANyQR4ZfWlqasrKyFBUVpcDAwGrXJCUlqVmzZnryySddPB0AAIB7svQevwEDBig7O7vWNaNHj1ZSUlKlbcnJyZKkcePGVXvM2rVrtW3bNi1evFgtWrRomGEBAAA8nKXhFx4erry8vFrXdO/evdLP+fn5Sk1N1a233qrQ0NAq63Nzc/U///M/+uUvf6mIiIgGnRcAAMCTWRp+06dPr/cxW7duVXl5uSIjI6vdP2fOHJWXl1e5SggAAGA6j/s4l23btklSjVfzPvroI0lS//79q91/00031fotHwAAAFcrjwu/3bt3q0OHDgoICKh2f03f8PG3v/1Nubm5SkhIUPPmzZ05IgAAgFvyqPArKChQdna2Bg4cWOOaKVOmVLt948aNys3NrXE/AADA1c6jPs7l2LFjkiR/f3+LJwEAAPA8HhV++fn5ksRLtQAAAJfBo17q7devn7Kysi7r2HfffbeBpwEAAPAsHnXFDwAAAJeP8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDeNTHuQAAcDWzPfWU05+j+aFDannokNOfxyoFnTursHNnq8dwW1zxAwAAMARX/AAAMEghV8SMRvgBAGAh+6xZVo8Ag/BSLwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABD1Cv87rvvPj3//PPOmgUAAABOVK/w279/v4qLi501CwAAAJyoXuHXsWNHHTt2zFmzAAAAwIm867P4z3/+s373u9/p4Ycf1r333quOHTuqSZMm1a4NDQ1tkAEBAADQMOoVfiNHjpTNZtNHH32kDRs21Lo2IyPjigYDAABAw6pX+MXGxspmszlrFgAAADhRvcJv/vz5zpoDAAAATlav8IP7aN58rVq2XCNJio+3eBjUS8eOUkHBCBUWxlo9CgDAMPUOv4yMDL3zzjs6duyYiouLZbfbq6yx2Wx64403GmRAAAAANIx6hd+nn36q8ePH68KFC7Wu4z5A5yssjHVcMUpOtnYW1A//egAArFKv8Fu8eLHsdrsSExM1YMAAXXvttU4aCwAAAA2tXuGXkZGhqKgo3X///c6aBwAAAE5Sr2/uaNKkifz9/Z01CwAAAJyoXuF35513KjU1VeXl5c6aBwAAAE5Sa/gVFRVV+mvixIn6/vvv9dBDD+mLL77QmTNnqqy59BcAAADcS633+PXs2bPKO3TtdrtSU1OVmppa43E2m01fffVVw0wIAACABlFr+PXq1ctVcwAAAMDJag2/ZD4gDgAA4KpRrzd3LFmyROnp6bWu2bJli2bOnHlFQwEAAKDh1Tv8du7cWeua1NRUvfvuu1c0FAAAABperS/1rly5Um+//XalbW+99ZY2btxY7fqysjIdOnRIHTt2bLgJAQAA0CBqDb+hQ4fqxRdfVF5enqSL79bNzc1Vbm5u9Q/m7a0OHTroj3/8Y8NPCgAAgCtSa/j5+fkpLS3N8XNoaKgSEhKUkJDg9MEAAADQsOp1j9+bb76pYcOG1esJNm7cqMTExHodAwAAgIZXr/Dr3bu3AgMD6/UEmZmZWrt2bb2OAQAAQMOrV/gBAADAcxF+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEM4PfzsdrvsdruznwYAAAD/gfflHvjdd98pMzNTxcXFatmypbp27arWrVtXWTdlyhRNmTLlioYEAADAlat3+H311Vf605/+pF27dlXabrPZdNddd2nmzJkKCAhosAEBAADQMOoVfpmZmRo9erTOnz+vvn37qnv37rrmmmt0+vRp7dq1S1u2bNH+/fv197//Xe3bt3fWzAAAALgM9Qq/5557TmVlZVq2bJn69+9fZf97772nJ598UgsXLtQzzzzTYEMCAADgytXrzR3p6emKiIioNvokKSYmRgMHDtS2bdsaZDgAAAA0nHqFX6NGjeTv71/rmk6dOunChQtXNBQAAAAaXr3CLzw8XB9++KEKCwur3V9SUqLNmzfXeEUQAAAA1qn1Hr/MzMxKPw8dOlTbt2/Xr371K02ePFk///nP1bp1axUWFurLL7/Uyy+/LLvdrocfftipQwMAAKD+ag2/2NhY2Wy2Stvsdrtyc3P1hz/8ocr6Sx/UHB0dra+++qoBxwQAAMCVqnf4AQAAwDPVGn7z58931RwAAOAqdPr0aU2bNk3PPvus2rZta/U4xrvsr2xzpZSUFCUmJta6JjAwUJs3b3b8XFJSoldeeUXvv/++vvvuO7Vr104DBgxQQkKCWrRo4eyRAQCApKVLl+rzzz/X0qVLNWvWLKvHMV69wi8hIaFO62w2m1544YXLGqg6YWFhNT73jh07tGvXLvXq1cuxraysTOPHj9fOnTvVu3dvDRw4UF9++aXeeOMN7d69WytWrJCPj0+DzQcAAKo6ffq0UlJSZLfbtWbNGk2ePJmrfharV/ht3Lix1v02m02+vr5q3LjxFQ31U2FhYQoLC6uyPTs7W8uXL1dwcLBmz57t2P7mm29q586dGjdunJ544gnH9jlz5mjlypVat26dYmNjG3RGAABQ2dKlS1VRUSFJqqio4KqfG6hX+G3atKna7efPn9eRI0f0v//7vzp//rzeeOONBhnuP5kxY4bOnj2rl19+WU2bNnVsX7lypQIDA/XII49UWv/AAw+ouLhYTZo0ccl8AACY7P3331dZWZmki6/Gvffee4SfxeoVfoGBgTXu69Kli/r27ashQ4Zo4cKFTv8/NjU1VWlpaYqJiVHPnj0d27/55htlZ2crPj6+ypXHjh078oYVAABcZMiQIXr77bdVVlamxo0bKyYmxuqRjFevb+74T5o0aaKBAwfq448/bsiHrdbChQvl7e2tqVOnVtp+4MABSdKNN96o1NRUxcXF6ZZbblG/fv00f/58FRcXO302AAAgTZ48WY0aXUyNRo0aafLkyRZPhAYNP0n6/vvvVVRU1NAPW0laWpqysrIUFRVV5Srk6dOnJUlbtmzRxIkT1aJFC8XFxalt27Z6/fXXNX78eMdlZwAA4Dz+/v4aPny4bDabRowYwRs73EC9XuqtKegqKip07tw5bdmyRR988IH+67/+q06PN2DAAGVnZ9e6ZvTo0UpKSqq0LTk5WZI0bty4KuvPnTsn6WL4zZ07V7/+9a8lSeXl5Zo2bZrWr1+vVatW6f7776/TjAAA4PJNnjxZ33zzDVf73ES9wq9nz57/8Zs8GjVqpClTptTp8cLDw5WXl1frmu7du1f6OT8/X6mpqbr11lsVGhpa7fNLUteuXR3RJ0leXl564okntH79en344YeEHwAALuDv768VK1ZYPQb+T73C78eflfdjNptNjRs3VufOnTVixIhqg6w606dPr8/TS5K2bt2q8vJyRUZGVrvfz89P0sXw+6nAwEC1aNFCx44dq/fzAgAAeLp6hd+ll1h/qry8XMePH1ebNm10zTXXNMhgNdm2bZskKSIiotr9wcHBklTjfXwXLlzgmzsAAICR6v3mjvT0dD3yyCMqLy+XJGVmZmrgwIGKjIzUHXfcoSVLljT4kD+2e/dudejQQQEBAdXu7969uxo3bqz09HTHjJccPHhQxcXFuummm5w6IwAAgDuqV/j961//0pgxY7R+/XqdOHFC0sUPUT558qT69OmjwMBAvfjii3r33XedMmxBQYGys7OrfRn3kubNmysqKkrfffedli1b5theVlamZ555RpI0YsQIp8wHAADgzur1Uu+rr76qa665Rq+99po6duyogwcPat++ferXr59effVVlZaWatiwYVq1apWGDh3a4MNeujfP39+/1nVPPvmkdu/ereeee047d+5UaGio/vWvfykjI0NRUVEaOHBgg88GAADg7up1xW/fvn2KiopSt27dJF38yBSbzaZBgwZJknx8fNS/f399/fXXDT+pLr6jV7p4Va82rVu31urVqxUfH69Dhw5pxYoVOn/+vB5//HEtWLDAKbMBAAC4u3pd8SstLa0UXZfeaNG3b1/HtoqKCnl71+th66xfv37Kysqq09rrrrtOM2bM0IwZM5wyCwAAgKep1xW/oKAg7dmzR5KUm5urf//737rhhhvUvn17SRfDMDU1VUFBQQ0/KQAAAK5IvcLv3nvv1c6dOxUfH6/77rtP5eXljjdKbN26VXFxcTp69GilD04GAACAe6jXa7K/+93vlJOTo3/84x+y2+2KiopSfHy8JGnXrl3KzMzUmDFjCD8AAAA3VK/w8/Ly0lNPPaXHH39cdru90v1+I0eOVHx8vNq0adPgQwIAAODKXda7MC59LdqPdezY8YqHAQAAgPPU+5s7AAAA4JkIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIbytHgAAanKwbX+rR3Cqc82/l3T1/55dcrZbPQKA/0P4AYBF7i3xtXoEAIYh/ADAIgNLm1o9AgDDEH4APAIvF3qWq/3la8BT8eYOAAAAQ3DF7ypgs1k9QcNr3nytJKmwMNbSOQAAuJoQfnBLLVuukUT4AQDQkHipFwAAwBBc8fNQdrvVEzhXfPzFvycnWzsHAABXE674AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIb6sHqIuUlBQlJibWuiYwMFCbN292/JyZmannn39en3/+uc6fP6/g4GD99re/1ahRo5w9LgAAgFvyiPALCwtTQkJCtft27NihXbt2qVevXo5tmZmZuu+++1RSUqJBgwapdevW2rRpk5KSknT06FE9/vjjrhodAADAbXhM+IWFhVXZnp2dreXLlys4OFizZ892bH/uuedUXFysF198UeHh4ZKkhx9+WMOHD9drr72muLg4BQUFuWp8AAAAt+DR9/jNmDFDZ8+e1dNPP62mTZs6tn/55Zdq2bKlI/ok6ZprrtHgwYNVUVGhL7/80opxAQAALOWx4Zeamqq0tDQNGTJEPXv2rLTv2muvVVFRkQoKCiptP3XqlCTpuuuuc9mcAAAA7sJjw2/hwoXy9vbW1KlTq+yLi4tTeXm5Hn30UR05ckRFRUV6++239c477+jmm29W7969XT8wAACAxTziHr+fSktLU1ZWlmJiYhQYGFhlf3x8vLy8vDRv3jzde++9ju19+/bVokWL5OXl5cpxAQAA3IKl4TdgwABlZ2fXumb06NFKSkqqtC05OVmSNG7cuGqP2b17t5YtW6bGjRsrOjpazZs3V1pamtLS0rR48WLNnDlTNputYX4JAAAAD2Fp+IWHhysvL6/WNd27d6/0c35+vlJTU3XrrbcqNDS0yvqioiJNmjRJFRUVSklJ0fXXXy9JKi0t1WOPPaaVK1eqS5cuGj16dMP9IgAAAB7A0vCbPn16vY/ZunWrysvLFRkZWe3+TZs2KT8/Xw899JAj+iTJx8dHSUlJ+uijj/TOO+8QfgAAwDge9+aObdu2SZIiIiKq3X/y5ElJUpcuXarsa9Omja677jqdOHHCeQMCAAC4KY8Lv927d6tDhw4KCAiodn/r1q0lSd9++22VfQUFBcrPz1ebNm2cOiMAAIA78qjwKygoUHZ2trp27VrjmnvuuUdNmzbVihUrdOzYMcf28vJyzZ8/X3a7XdHR0a4YFwAAwK141Me5XAo5f3//Gte0bt1aM2fO1IwZMzR06FBFRESoRYsW+vTTT5WZmanevXtrzJgxLpoYAADAfXhU+OXn50uSmjdvXuu6ESNGKDAwUK+88oo+/vhjnT9/XkFBQXr44Yc1fvx4+fj4uGBaAAAA9+JR4devXz9lZWXVae3tt9+u22+/3ckTAQAAeA6PuscPAAAAl4/wAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYwtvqAQCgJpt8zmlDk/OSpKbx8RZPg/o41/x73Vviq4GlTa0eBcCPcMUPAADAEFzxA+C2BpY2dVwx6pKcbPE0qI+DbftbPQKAanDFDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQ3hbPQAA1MXBtv2tHqHBbfI5J0kaWNrU4kkAmILwAwCLbGhyXhLhB8B1eKkXAADAEB51xS89PV0vvvii9uzZo/LycoWGhmr8+PG69957q6w9ceKEFi1apE8//VRFRUUKCwtTQkKC7rjjDgsmB3A5uuRst3oEp2oaHy9J6pKcbPEkAEzhMVf8tm/frvvvv1+7du3SoEGDNHLkSB0/flxTpkzRypUrK63Nzc3Vb37zG3344Yfq16+fRo4cqSNHjuiBBx7Qpk2bLPoNAAAArOUxV/z+8pe/yGazKTk5Wd27d5ckTZw4UUOHDtWCBQs0bNgwNWvWTJL0/PPP67vvvtNLL72ke+65R5I0btw4jRgxQk899ZT69+8vHx8fy34XAAAAK3jEFb+SkhIdOHBAISEhjuiTpHbt2unuu+9WcXGxvv76a0nS2bNntXbtWt18882O6Lu0Nj4+XqdOndK2bdtc/jsAAABYzSPCz8fHR82aNdPp06dVVlZWad+pU6ckSa1atZIk7d27V6WlperTp0+Vx7m0befOnU6eGAAAwP14RPjZbDaNGjVKubm5mjFjhk6ePKkffvhBL7/8stLS0jRw4EAFBQVJko4ePSpJ6tSpU5XHCQwMlCQdPnzYZbMDAAC4C4+5x++JJ55Qs2bNtHTpUq1du9axPSYmRnPnznX8nJ+fL0lq0aJFlcdo3ry5JKmwsNCpswIAALgjS8NvwIABys7OrnXN6NGjlZSUpM2bN2vVqlW69tprFR4eLm9vb6WmpmrdunW64YYbNGnSJElyvBRc3Zs3Lm0rKSlp4N8EAADA/VkafuHh4crLy6t1Tffu3XXs2DFNnTpV7dq10+rVq9WmTRtJUlFRkSZMmKBFixYpNDRUd911l3x9fSWpyr2AklRaWipJjnf/AgAAmMTS8Js+fXqd1i1dulRlZWWaPHmyI/okyc/PT4mJiRo5cqRSUlJ01113qWXLlpKqfzn30jY/P78GmB4AAMCzeMSbO06ePClJ6tKlS5V9N9xwg6SL39QhScHBwZKk48ePV1l7adv111/vjDEBAADcmkeEX+vWrSVV/27cI0eOSJLjSuDNN98sX19fpaenV1l76WNcevTo4aRJAQAA3JdHhF9ERIRsNpv++te/VronsKSkRM8884wkafDgwZIu3r/3y1/+Urt27ar09WynTp1ScnKy/P39dffdd7t0fgAAAHfgER/nEhoaqoSEBL3wwguKjo5WRESEvL29tW3bNh05ckSDBw/WoEGDHOunTZumHTt26Pe//72io6N13XXX6Z///KfOnDmjJUuW8HVtAADASB4RfpKUkJCgG2+8UW+88YbeffddlZeXq3PnzkpKStJvfvMb2Ww2x9qAgACtXr1aCxYs0JYtW1ReXq7Q0FD9+c9/Vt++fS38LQAAAKzjMeEnXXzJNyIiok5rO3XqpMWLFzt5IgAAAM/hEff4AQAA4MoRfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwhLfVAwCAu1i7dq3WrFnjsufLyMiQJMXHx7vk+UaMGKHY2FiXPBcA90T4AYBF2rZta/UIAAxD+AHA/4mNjeWKGICrGvf4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAM4W31APAMa9eu1Zo1a1z2fBkZGZKk+Ph4lzzfiBEjFBsb65LnAgDAKoQf3FLbtm2tHgEAgKsO4Yc6iY2N5YoYAAAejnv8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEN4VPilp6drzJgx6tGjh7p3765f//rX2rBhQ7Vr09LSNHbsWPXs2VPdunVTVFSUli1bpgsXLrh4agAAAPfgMeG3fft23X///dq1a5cGDRqkkSNH6vjx45oyZYpWrlxZae27776rBx54QHv37tUvf/lL3XfffZKkhQsXasqUKbLb7Vb8CgAAAJbymG/u+Mtf/iKbzabk5GR1795dkjRx4kQNHTpUCxYs0LBhw9SsWTOdP39e8+bNk5+fn9555x0FBQVJksrKyjR58mRt3rxZH3/8se69914rfx0AAACX84grfiUlJTpw4IBCQkIc0SdJ7dq10913363i4mJ9/fXXkqTPPvtM+fn5GjlypCP6JKlx48aaNGmSJGnbtm2u/QUAAADcgEdc8fPx8VGzZs10+vRplZWVqXHjxo59p06dkiS1atVKktSxY0dNmzZNvXr1qvZxJKm4uNgFUwMAALgXj7jiZ7PZNGrUKOXm5mrGjBk6efKkfvjhB7388stKS0vTwIEDHVf3unTpokmTJunnP/95lcfZuHGjJOmGG25w6fwAAADuwCOu+EnSE088oWbNmmnp0qVau3atY3tMTIzmzp37H48/ePCg3nzzTfn4+GjYsGFOnBQAAMA9WRp+AwYMUHZ2dq1rRo8eraSkJG3evFmrVq3Stddeq/DwcHl7eys1NVXr1q3TDTfc4Lh/rzonT57UhAkTdO7cOSUmJqpDhw4N/asAAAC4PUvDLzw8XHl5ebWu6d69u44dO6apU6eqXbt2Wr16tdq0aSNJKioq0oQJE7Ro0SKFhobqrrvuqnL8kSNHNHbsWGVnZysuLk5jxoxxxq8CAADg9iwNv+nTp9dp3dKlSx0fx3Ip+iTJz89PiYmJGjlypFJSUqqE3969ezVp0iTl5eUpLi5Os2fPbsjxAQAAPIpH3ON38uRJSRffuPFTl96oceLEiUrbd+zYoYSEBBUXF+vBBx/UI488ctnPX15eXmkOAAAAd3WpVy71y495RPi1bt1aknT48GHdeuutlfYdOXJEkipdCdy9e7ceeughnT9/XtOnT9f9999/Rc+fk5Mj6eL9hgAAAJ4gJydHP/vZzypts9k94PvLMjMzFRsbq5/97Gd66623HJ/ZV1JSot/97nfasWOHnn32WUVFRens2bMaPHiwvvvuOyUmJjbIPX3nz5/Xvn371LZtW3l5eV3x4wEAADhLeXm5cnJy1K1bN/n6+lba5xHhJ0lLlizRCy+8oFatWikiIkLe3t7atm2bjhw5osGDB2vBggWy2Wx6/fXXNX/+fF177bX67W9/W+1jde7cWdHR0S7+DQAAAKzlMeEnSR999JHeeOMNZWRkqLy8XJ07d9bIkSP1m9/8RjabTZI0efJkbdq0qdbHGThwoJYuXeqKkQEAANyGR4UfAAAALp9HfGUbAAAArhzhBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfnCpCxcuaPny5YqKilL37t01cOBAvfjiiyorK6vT8fn5+ZozZ44GDBigW265RcOHD9e6deucPDVweU6dOqXbbrtNy5cvr/MxnOPwBDk5OUpKStJdd92lbt26qW/fvnrsscd07NixOh3PeW4dj/jKNlw95syZo9WrV+u2227TgAED9O9//1uLFy9WVlaWFi9eXOuxxcXFeuCBB5SRkaHIyEh16NBBGzZs0COPPKK8vLwaP7AbsMLZs2c1ZcoUFRUV1fkYznF4gpycHI0cOVInTpxQ3759FRUVpW+//VYffPCBtm/frtWrVys4OLjG4znPLWYHXOSLL76wh4SE2KdMmWKvqKiw2+12e0VFhf2JJ56wh4SE2Ddv3lzr8X/961/tISEh9hUrVji2FRYW2qOjo+233HKLPTc316nzA3V1/Phx+7Bhw+whISH2kJAQ++uvv16n4zjH4QlmzpxpDwkJsb/22muVtq9du9YeEhJinzRpUq3Hc55bi5d64TIrV66UJCUkJDi+acVms2natGmy2Wz6xz/+Uevxq1atUps2bRQXF+fY5ufnpwcffFDnzp3T+++/77zhgTpavny5hgwZoszMTN1+++31OpZzHJ5g48aNatWqle6///5K24cOHapOnTrpk08+UUVFRY3Hc55bi/CDy3z++ee67rrrFBISUml7u3btFBwcrPT09BqPPXr0qON+KS8vr0r7+vTpI0m1Hg+4yptvvqnAwECtWLFCQ4cOrfNxnOPwBOXl5Zo0aZISEhLUqFHVhPDx8VFZWZkuXLhQ7fGc59bjHj+4RGlpqU6ePKlbbrml2v2BgYH69ttvlZeXp1atWlXZf/ToUUlSp06dquxr27atmjRposOHDzfozMDleOqpp3THHXfIy8urXuck5zg8gZeXV5UrfZccPHhQhw4dUqdOneTj41PtGs5z63HFDy6Rn58vSWrevHm1+y9tLywsrPX4Fi1aVLvfz8+vxmMBV+rfv3+VKxl1wTkOT1ZRUaG5c+eqoqJCv/71r2tcx3luPcIPLnHpsn9Nfwq8tL2kpOSyj6/pWMATcI7DU9ntdiUlJelf//qXunXrVuMVQYnz3B0QfnAJX19fSarx8/pKS0slSU2bNq12f5MmTSqtq+74Zs2aXemYgGU4x+GJLly4oOnTp+sf//iHgoKCtHTp0hqjTuI8dweEH1zCz89PjRo1qvEzzS5d2q/ppeCWLVtKUo3HFxUVyc/PrwEmBazBOQ5Pc+7cOU2ePFkpKSkKDg7Wm2++qXbt2tV6DOe59XhzB1zCx8dHAQEBOn78eLX7jx8/rlatWunaa6+tdv+lDwOt7vjTp0+rpKRE119/fUONC7gc5zg8SUFBgSZMmKA9e/aoa9euevXVV9W6dev/eBznufW44geXue2225STk6Nvv/220vZTp07p8OHDNb7jV5ICAgIUEBCgL774osrnQ+3cuVOS1KNHj4YfGnARznF4ipKSEk2aNEl79uxR7969lZycXKfokzjP3QHhB5eJjY2VJD377LOOf+HtdrsWLVokSRo1alStx8fExOjkyZNasWKFY1tRUZFeeukl+fr61usz0wB3xDkOT7Bo0SLt2rVLPXr00CuvvFLvl2Y5z63FS71wmTvuuENRUVFat26dRo0apT59+mjXrl36/PPPFRERobvvvtux9oUXXpAkTZkyxbFtwoQJWr9+vZ5++mmlp6crKChIGzZs0LFjxzRz5sxqP/8PcFec4/BEOTk5jm9h6ty5s1555ZVq102cOFFNmjThPHdDNrvdbrd6CJijrKxMy5Yt0zvvvKNTp04pICBAMTExmjBhQqV3gt10002SpKysrErH5+bmatGiRdqyZYvOnTunzp07a9y4cYqOjnbp7wHURUpKihITE5WYmKgxY8ZU2sc5Dk+0ceNGPfTQQ/9xXXp6ulq0aMF57oYIPwAAAENwjx8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPABpQfHy8brrpJv3www9WjwIAVRB+AAAAhiD8AAAADEH4AQAAGMLb6gEAwJPk5eXppZde0saNG5Wbm6sOHTooMjJSEydO1DXXXFPtMWVlZVq1apX++c9/6uDBgyopKVHbtm3Vv39/TZ06Va1atXKsPXLkiBYtWqS9e/cqJydH/v7+uvPOO/XQQw+pbdu29V4HAD9ms9vtdquHAABPkJOTo1GjRik7O1t9+vTRzTffrK+++kqffvqpfvGLX+jVV1/V2LFjtXPnTqWnp6tFixaSpN///vf66KOPdNttt+mWW25RaWmpPvnkEx0+fFjdunXTmjVrJF2MyhEjRuj7779XRESE/P39lZWVpdTUVHXu3FnvvfeeGjduXOd1APBTXPEDgDp65plnlJ2drcTERI0ZM8axPSkpSatXr9bmzZurHLN792599NFHGjJkiBYsWODYfuHCBQ0bNkz79u3Tt99+q+uvv17r1q3Td999p3nz5mnEiBGOtXPmzNHKlSu1Y8cO3X333XVeBwA/xT1+AFAHpaWl+vjjjxUcHFwp+iRp0qRJevDBB6t9ibV9+/aaP3++Hn744Urbvb29ddttt0mSzpw5I0mqqKiQJO3fv1/l5eWOtY888og++eQTR8zVdR0A/BQv9QJAHXzzzTeKjo5WbGys/vznP9e4Lj4+vspLvdLFK3xZWVn69ttvdfToUWVkZCgtLU1FRUVKTk5W7969derUKcXExCg/P1/XXXed+vXrpzvvvFN33XWXWrZs6Xisuq4DgJ/iih8A1EFBQYEkyc/Pr97H/u1vf9M999yj4cOH69FHH9Xrr7+uc+fOqUuXLpKkS3/+bteund5++22NGDFCdrtd77//vh5//HH17dtXSUlJKi0trdc6APgp7vEDgDq49I7ds2fPVru/uLhYzZo1q7L9ww8/1KxZs3TTTTdp1qxZuvnmm9WhQwdJ0qxZs7Rnz55K64OCgjRv3jyVl5dr37592r59u1JSUrR69Wo1b95cjz/+eL3WAcCPccUPAOrg+uuvV+PGjbV3794q+06dOqUePXpo5syZVfZ98MEHkqSFCxcqPDzcEX2SdOjQIUn//4rfpk2bNHv2bBUVFcnLy0u33HKLEhIStHLlSknSF198Ua91APBThB8A1EGTJk0UERGhgwcP6u9//3ulfS+99JIk6Re/+EW1x0lSbm5upe1r167Vzp07JV28/0+6GIJvvfWW3nrrrUprs7OzJUkBAQH1WgcAP8WbOwCgjk6dOqVRo0bpxIkT6t+/v2688UZ9+eWXSk9PV3h4uF588cUqb+7YunWrJk2aJD8/P0VHR8vPz09ffvmldu7cqdatW+vMmTNauHChBg8erIKCAv3qV7/S0aNHdeedd+qmm27SmTNntH79eknSW2+9pdDQ0DqvA4CfIvwAoB5ycnL0wgsvaMuWLcrLy1O7du0UExOjyZMny8fHp9p39a5bt06vvPKKDh8+LF9fXwUFBWno0KHq0aOHhg0bppiYGD3zzDOSLsblX//6V+3YsUMnT56Un5+fevfurYSEBN14442OOeq6DgB+jPADAAAwBPf4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADDE/wNHIB48fOHwzAAAAABJRU5ErkJggg==", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAngAAAJhCAYAAADSTs1pAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAAA3NUlEQVR4nO3df1xUdb7H8feAIBYYkESBupiGWa7k0kqr/ZDs7hQmIVTQdrGs1DbACm2ze0sLN3VtA0Xd7Vq3NKUiV8W2de2nZW1bglqWV7EUKioIIxBWY/gx9w8vXMlBIQfO8PX1/Kc833NmPrOP2R4vz5k5Y3M6nU4BAADAGF5WDwAAAAD3IvAAAAAMQ+ABAAAYhsADAAAwDIEHAABgmF5WD+BJfvjhB33yyScKCQmRt7e31eMAAAC0q6mpSZWVlRo+fLj8/PzarBF4R/nkk0908803Wz0GAABAh+Xl5eniiy9us43AO0pISIikI/9DnX322RZPAwAA0L7y8nLdfPPNrf1yNALvKC2XZc8++2z179/f4mkAAABOzNXHyviSBQAAgGEIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAYhsADAAAwDIEHAABgGAIPAADAMAQeAACAYQg8AAAAw/SyegAAMMkHH3ygnJwcDRgwQJ9++qkcDodmz56tXr16acGCBWpubpYkTZs2TXa73eJpAZiKwAMAN9u5c6fmzJmjYcOG6emnn9bSpUvl7e2tyZMna/z48dqzZ4/y8/MJPABdxiMu0TY2NmrFihWKi4vTiBEjNG7cOC1btkwNDQ0dOn7Pnj367W9/q1/+8pf6+c9/rgkTJig/P7+LpwYA18LCwjRs2DBJ0gUXXKCamhpdc801ysrK0owZM7Rr1y5lZmZaPCUAk3lE4GVlZWn+/PkKDAzUpEmTFBoaqtzcXM2YMeOEx+7Zs0c33XST3n77bV1++eW66aabdOjQIc2ePVuPPfZYN0wPAG35+fm1/rvNZpPT6VRKSopeeukljRkzRu+++67i4+NVW1tr4ZQATGb5Jdrt27e3XqpYvHhx638MZ82apYKCAm3evFmxsbHtHr9o0SIdOnRIy5Yt01VXXSVJuvvuu5WYmKinn35aKSkpGjBgQHe9HABwKSUlRXfeeacSExP161//WldccYVqamoUEBBg9WgADGT5Gby8vDxJUnp6umw2m6Qjf+PNzMyUzWbTmjVrjnv8xx9/rDPOOKM17iTp9NNP17XXXqvm5mZ9/PHHXTc8AHTQzJkzlZubq4SEBE2aNEnp6enq37+/1WMBMJTlZ/CKiooUFBSkyMjINttDQ0MVERGhwsLC4x4fGBiokpIS1dTU6IwzzmjdXlFRIUkKCgpy/9AA0I6YmBi9/PLLLv+8bt06q8YCcIqx9Ayew+FQeXm5Bg4c6HI9PDxcBw8eVFVVVbuPkZKSoqamJs2YMUOff/656urq9Je//EXr16/XhRdeqFGjRnXV+AAAAB7J0jN41dXVktTuZ1BattfW1io4ONjlPqmpqfL29ta8efP061//unX7mDFjlJ2dLW9vb/cODbdat26dNm3aZPUYAAA3uPrqq5WYmGj1GJDFZ/AaGxslSb6+vi7XW7bX19e3+xgffvihli9fLh8fHyUkJCg1NVWDBw/We++9p9zcXDmdTvcPDrfZtGmT9u7da/UYAICTtHfvXv7C7kEsPYPXciuB9u5353A4JEl9+vRxuV5XV6dp06apublZ69at06BBg1qPmzlzpvLy8jR48GDdfPPNXTA93CUyMlLLly+3egwAwEmYOnWq1SPgKJaewfP395eXl5fq6upcrrfcI6q9S7hvvPGGqqurlZqa2hp30pEzf7Nnz5YkrV+/3s1TAwAAeDZLA8/X11dhYWEqKytzuV5WVqbg4GAFBga6XC8vL5ckDR48+Ji1fv36KSgoSN98843b5gUAAOgJLL8PXnR0tCorK1VSUtJme0VFhUpLSxUVFdXusWeeeaYkHXOsJNXU1Ki6ulr9+vVz78AAAAAezvLAS0hIkCTl5OSoublZkuR0OpWdnS1JSk5ObvfY2NhY9enTR6tXr9aXX37Zur2pqUkLFiyQ0+nU+PHju254AAAAD2T5jY5Hjx6tuLg4bdy4UcnJyYqJidGOHTtUVFQku92usWPHtu67ZMkSSVJGRoakI2fwHnroIT344IO67rrrZLfb1bdvX73//vvas2ePRo0apVtvvdWCVwUAAGAdywNPkhYuXKghQ4Zo/fr1WrlypcLCwjR9+nRNmTKl9efLJGnp0qWS/j/wJCkpKUnh4eF68skn9dprr+mHH37QgAEDdPfdd+uOO+5o9xYsAAAApvKIwPPx8VFaWprS0tKOu19xcbHL7ZdccokuueSSrhgNXSw+Pt7qEQAAbsB/zz2LRwQeTl3XXnut1SMAANyA/557Fsu/ZAEAAAD3IvAAAAAMQ+ABAAAYhsADAAAwDIEHAABgGAIPAADAMAQeAACAYQg8AAAAw3CjYwBAj/bBBx8oJydHAwYM0KeffiqHw6HZs2erV69eWrBggZqbmyVJ06ZNk91ut3haoHsQeACAHm/nzp2aM2eOhg0bpqefflpLly6Vt7e3Jk+erPHjx2vPnj3Kz88n8HDK4BItAKDHCwsL07BhwyRJF1xwgWpqanTNNdcoKytLM2bM0K5du5SZmWnxlED3IfAAAD2en59f67/bbDY5nU6lpKTopZde0pgxY/Tuu+8qPj5etbW1Fk4JdB8CDwBgpJSUFO3evVuJiYmaO3euDh48qJqaGqvHAroFn8EDABhp5syZmjdvnhYtWiQvLy+lp6erf//+Vo8FdAsCDwDQo8XExOjll192+ed169ZZNRZgKQIPALrYunXrtGnTJqvHALrc1VdfrcTERKvHgPgMHgB0uU2bNmnv3r1WjwF0qb179/IXGQ/CGTwA6AaRkZFavny51WMAXWbq1KlWj4CjcAYPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAz3wQOALhYfH2/1CECX433uWQg8AOhi1157rdUjAF2O97ln4RItAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDDc6Bgw2AcffKCcnBwNGDBAn376qRwOh2bPnq1evXppwYIFam5uliRNmzZNdrvd4mkBAO5C4AGG27lzp+bMmaNhw4bp6aef1tKlS+Xt7a3Jkydr/Pjx2rNnj/Lz8wk8ADAIl2gBw4WFhWnYsGGSpAsuuEA1NTW65pprlJWVpRkzZmjXrl3KzMy0eEoAgDsReIDh/Pz8Wv/dZrPJ6XQqJSVFL730ksaMGaN3331X8fHxqq2ttXBKAIA7EXjAKSglJUW7d+9WYmKi5s6dq4MHD6qmpsbqsQAAbsJn8IBT0MyZMzVv3jwtWrRIXl5eSk9PV//+/a0eCwDgJgQeYLCYmBi9/PLLLv+8bt06q8YCAHQxAg+WWrdunTZt2mT1GECXu/rqq5WYmGj1GABOEXwGD5batGmT9u7da/UYQJfau3cvf5EB0K04gwfLRUZGavny5VaPAXSZqVOnWj0CgFMMZ/AAAAAMQ+ABAAAYhsADAAAwDIEHAABgGAIPAADAMAQeAACAYQg8AAAAw3AfPFgqPj7e6hGALsf7HEB3I/BgqWuvvdbqEYAux/scQHfjEi0AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAYhsADAAAwDIEHAABgGAIPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMEwvqweQpMbGRq1evVovvviiysrKFBISosTERE2dOlU+Pj7tHvfBBx9o0qRJJ3z84uJid44LAADg0Twi8LKyspSfn6/o6GhdeeWV2r59u3Jzc1VcXKzc3Nx2jwsPD1d6errLtZ07d2rLli365S9/2VVjAwAAeCTLA2/79u3Kz8+X3W7X4sWLZbPZ5HQ6NWvWLBUUFGjz5s2KjY11eWz//v2VkZFxzPba2lpNmDBBQUFBysnJ6eqXAAAA4FEs/wxeXl6eJCk9PV02m02SZLPZlJmZKZvNpjVr1nT6Mf/whz/om2++0X/+538qJCTErfMCAAB4OssDr6ioSEFBQYqMjGyzPTQ0VBERESosLOzU4+3du1dr165VdHS0JkyY4M5RAQAAegRLA8/hcKi8vFwDBw50uR4eHq6DBw+qqqqqw4+ZnZ2t5uZmzZw5011jAgAA9CiWBl51dbUkKSAgwOV6y/ba2toOPV5paaneeustRUdH6xe/+IVbZgQAAOhpLA28xsZGSZKvr6/L9Zbt9fX1HXq81atXy+l06o477nDPgAAAAD2QpYHn5+cnSWpoaHC57nA4JEl9+vQ54WM1NTXp5Zdf1llnndXut24BAABOBZYGnr+/v7y8vFRXV+dyveXSbHuXcI+2Y8cOff/997Lb7a3fxgUAADgVWXofPF9fX4WFhamsrMzlellZmYKDgxUYGHjCx3r77bclSXa73Z0jAjBQQUGB1q5d223Pd+DAAUlSv379uuX5kpKSlJCQ0C3PBcAzWX6blOjoaFVWVqqkpKTN9oqKCpWWlioqKqpDj/Phhx/Kx8enw/sDQHeprKxUZWWl1WMAOIVY/ksWCQkJ2rBhg3JycrRo0SJ5eXnJ6XQqOztbkpScnNyhx9mzZ48GDx7c7hc2AKBFQkJCt57hSk1NlSStWrWq254TwKnN8sAbPXq04uLitHHjRiUnJysmJkY7duxQUVGR7Ha7xo4d27rvkiVLJOmYnyf7/vvvdfDgQV100UXdODkAAIBnsjzwJGnhwoUaMmSI1q9fr5UrVyosLEzTp0/XlClT2nxhYunSpZKODbwT3U8PAADgVOIRgefj46O0tDSlpaUdd7/i4mKX2wcNGtTuGjqHD58DANDzeUTg4dTV8sHz7go8AABOBQQe2uDD5wAA9HyW3yYFAAAA7kXgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAYppfVAwDAvpDLrB6hSx0O+F6S+a9zcOU7Vo8A4P8QeADQxX5d72f1CABOMQQeAHSxcY4+Vo8A4BRD4AHwKFzm61lMv+wM9FR8yQIAAMAwBB4AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAYhsADAAAwDIEHAABgGAIPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAYhsADAAAwDIEHAABgGAIPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAzjEYHX2NioFStWKC4uTiNGjNC4ceO0bNkyNTQ0dOj4+vp6LV26VHa7XT//+c911VVXad68eTp48GAXTw4AAOB5PCLwsrKyNH/+fAUGBmrSpEkKDQ1Vbm6uZsyYccJjGxoadMcdd2jJkiU666yzlJqaqnPOOUcrV67UHXfcIYfD0Q2vAAAAwHP0snqA7du3Kz8/X3a7XYsXL5bNZpPT6dSsWbNUUFCgzZs3KzY2tt3jn332WW3dulW33367fve737Vuz8rKUl5enjZu3KiEhIRueCUAAACewfIzeHl5eZKk9PR02Ww2SZLNZlNmZqZsNpvWrFlzwuPDw8N17733ttl+2223aeLEierdu3fXDA4AAOChLD+DV1RUpKCgIEVGRrbZHhoaqoiICBUWFrZ77GeffaavvvpKqamp8vHxabPWv39/LViwoEtmBgAA8GSWnsFzOBwqLy/XwIEDXa6Hh4fr4MGDqqqqcrm+d+9eSdJ5552nt99+WykpKYqKitKll16qBQsW6NChQ102OwAAgKeyNPCqq6slSQEBAS7XW7bX1ta6XP/2228lSZs3b9bUqVPVt29fpaSkKCQkRM8884zuuOOODn8TFwAAwBSWXqJtbGyUJPn6+rpcb9leX1/vcv3w4cOSjgTe3LlzdeONN0qSmpqalJmZqU2bNum5557TLbfc4u7RAQAAPJalZ/D8/Pwkqd2zbC23OOnTp4/LdS+vI+NfcMEFrXEnSd7e3q3fqP373//utnkBAAB6AksDz9/fX15eXqqrq3O53nJptr1LuP7+/pKOBN6PhYeHq2/fvvryyy/dNC0AAEDPYGng+fr6KiwsTGVlZS7Xy8rKFBwcrMDAQJfrERERkto/A9jY2Nh6lhAAAOBUYfl98KKjo1VZWamSkpI22ysqKlRaWqqoqKh2jx0xYoR8fHxUWFiopqamNmv79u3ToUOHNHTo0C6ZGwAAwFNZHngtvzKRk5Oj5uZmSZLT6VR2drYkKTk5ud1jAwICFBcXp6+//lrLly9v3d7Q0KDHHntMkpSUlNRFkwMAAHgmy290PHr0aMXFxWnjxo1KTk5WTEyMduzYoaKiItntdo0dO7Z13yVLlkiSMjIyWrfdf//9+vDDD7Vo0SJt3bpV559/vv75z39q9+7diouL07hx47r7JQEAAFjK8sCTpIULF2rIkCFav369Vq5cqbCwME2fPl1Tpkxp/fkySVq6dKmktoF35plnKj8/X8uWLdNrr72moqIihYeH67777tPkyZO7/bUAAABYzSMCz8fHR2lpaUpLSzvufsXFxS63BwUF6cEHH9SDDz7YFeMBAAD0KJZ/Bg8AAADuReABAAAYhsADAAAwDIEHAABgGI/4kgXad9SXiI3Uv/+Rf5r+Op1OqycAAJxKCDxYqqaGG1EDAOBuBB4sVVubYPUIAAAYh8DrQbjM17OYftkZAOC5+JIFAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADD9LJ6AAAA4H4FBQVau3Zttz3fgQMHJEn9+vXrludLSkpSQkJCtzxXT0TgAQCAk1ZZWSmp+wIPx0fgAQBgoISEhG49w5WamipJWrVqVbc9J9rHZ/AAAAAMQ+ABAAAYhsADAAAwDIEHAABgGAIPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMP0snoAAABOBbZHHrF6hC7Vv7RUkvmv0zlnjtUjdAiBBwAATlrNuedaPQKOQuABAICTVkvgeRQCDwCAbtZTLvPhiJ542ZkvWQAAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAY5oS/ZDFq1ChNnTpVd9xxR+ufO8Jms+mDDz44uekAAADQaScMPH9/f/n6+rb5MwAAADzXCQPvzTffPO6fAQAA4FlOGHiu1NfXa+vWrfrqq6/kcDhc7mOz2ZSamnpSwwEAAKDzOh14e/bs0Z133qmKigo5nc529yPwAAAArNHpwJs3b57Ky8s1ceJERUVFqXfv3l0xFwAARgnYv19n7N8vSUr97DOLp0Fn9C8tVc2556r23HOtHqXDOh14u3bt0jXXXKP58+d3xTwAAAA4SZ0OvNNOO00hISFdMQsAAMaqPeoM0Ko5cyyeBp1he+QRq0fotE7f6Dg+Pl5vvPGGDh8+3BXzAAAA4CR1+gze3XffrX379ik+Pl7JyckKDw9vc5+8o40bN+6kBwQAAEDndDrwKioq9MUXX+jLL7/U448/7nIfp9Mpm82m3bt3n/SAAAAA6JxOB97DDz+s/fv3a+TIkRo5cqROO+20rpgLAAAAP1GnA2/Hjh269NJL9dRTT7ltiMbGRq1evVovvviiysrKFBISosTERE2dOlU+Pj4nPP43v/mNtm3b5nLt4Ycf1k033eS2WQEAOFk98UP7JxLwf7eA6Um3EjFZpwOvd+/eGjp0qFuHyMrKUn5+vqKjo3XllVdq+/btys3NVXFxsXJzc094fHFxsQYNGqTx48cfszZ8+HC3zgoAAI51BoHnUTodeOPGjdOWLVt0zz33dOjs2ols375d+fn5stvtWrx4sWw2m5xOp2bNmqWCggJt3rxZsbGx7R5fVlamuro6XX/99crIyDjpeQAAAHq6TgfezJkzNWnSJKWmpiolJUU/+9nP1KdPH5f7nn/++Sd8vLy8PElSenq6bDabpCM/c5aZmakNGzZozZo1xw284uJiSXL7WUUAANzJafi971p+nYN7/HmGTgfemDFjJElNTU366KOPjrtvR75FW1RUpKCgIEVGRrbZHhoaqoiICBUWFh73eAIPAACgrU4H3oQJE1rPtJ0sh8Oh8vJyRUVFuVwPDw9XSUmJqqqqFBwc7HKf4uJi2Ww2bdu2TQ8++KBKSkrUt29f2e12TZ8+XQEBAW6ZFQAAoKfodOAtWLDAbU9eXV0tSe1GWMv22tra4wae0+lUbm6u7Ha7Lr74YhUWFurZZ5/V+++/r+eff17+/v5umxkAAMDTdTrw3KmxsVGS2v0ljJbt9fX1Ltebm5vVt29fDRs2TP/1X/+l0NDQ1u0PP/yw8vPztWTJEj3wwANdMD0AAIBnsjTw/Pz8JEkNDQ0u1x0OhyS1+yUOLy8vvfjiiy6333///XrppZf0t7/9jcADAJxyCgoKtHbt2m57vpbP3aempnbL8yUlJSkhIaFbnqsn8rLyyf39/eXl5aW6ujqX67W1tZLav4R7PKeffroiIiJUWVnZ7hlAAADgHiEhIQoJCbF6DPwfS8/g+fr6KiwsTGVlZS7Xy8rKFBwcrMDAQJfrBw8e1GeffaagoCANGjTomPUffvhBXl5e6tXL0pcJAEC3S0hI4AzXKczy8omOjtaGDRtUUlLSJtIqKipUWlp63Hvg7dq1S7feeqtiY2P1xBNPtFn79ttvVVZWpmHDhsnb27vL5gdw8t7wPaxXe/8gSerTTZd34B6HA77Xr+v9NM7h+qM0AKxh6SVaSa1/u8jJyVFzc7Mkyel0Kjs7W5KUnJzc7rHR0dEKCQnRli1b2twvz+FwaO7cuWpoaNDNN9/cdcMDAAB4IMvP4I0ePVpxcXHauHGjkpOTFRMTox07dqioqEh2u11jx45t3XfJkiWS1PqTZL6+vpo7d67S09M1efJkXX311QoMDNR7772nffv2afz48UpMTLTiZQHohHGOPq1ngAavWmXxNOiMfSGXWT0CABcsDzxJWrhwoYYMGaL169dr5cqVCgsL0/Tp0zVlypQ2N1VeunSpJLX5zdnY2Fjl5eXpT3/6k9566y3V19dr0KBBeuihh/Sb3/zGbTdlBgAA6Ck8IvB8fHyUlpamtLS04+7X8rNkP3bRRRdp+fLlXTEaAABAj2P5Z/AAAADgXgQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAYhsADAAAwDIEHAABgGAIPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABiml9UD4PgCAgp0xhlrJUmpqRYPg07p31+qqUlSbW2C1aMAAE4xnMEDAAAwDGfwPFxtbULrGaBVq6ydBZ1js1k9AQDgVMUZPAAAAMMQeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAYhsADAAAwDIEHAABgGAIPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAzjEYHX2NioFStWKC4uTiNGjNC4ceO0bNkyNTQ0dPqxmpqadOONN2ro0KFdMCkAAIDn84jAy8rK0vz58xUYGKhJkyYpNDRUubm5mjFjRqcfa+XKlfroo4+6YEoAAICeoZfVA2zfvl35+fmy2+1avHixbDabnE6nZs2apYKCAm3evFmxsbEdeqzPP/9cixcv7uKJAQAAPJvlZ/Dy8vIkSenp6bLZbJIkm82mzMxM2Ww2rVmzpkOP43Q69eCDD+qss85SREREV40LAADg8SwPvKKiIgUFBSkyMrLN9tDQUEVERKiwsLBDj/PCCy9o69atmjt3rvz8/LpiVAAAgB7B0sBzOBwqLy/XwIEDXa6Hh4fr4MGDqqqqOu7jfPPNN3rsscd0/fXX65JLLumKUQEAAHoMSwOvurpakhQQEOByvWV7bW3tcR9n9uzZOu2003T//fe7dT4AAICeyNIvWTQ2NkqSfH19Xa63bK+vr2/3MQoKCrRlyxbl5uaqb9++7h8SAACgh7H0DF7LZ+Xau9+dw+GQJPXp08fl+oEDBzR//nz927/9m+x2e9cMCQAA0MNYGnj+/v7y8vJSXV2dy/WWS7PtXcLNyspSU1OTZs+e3WUzAgAA9DSWXqL19fVVWFiYysrKXK6XlZUpODhYgYGBLtdfeeUVSdJll13mcn3o0KEKDw/Xm2++6ZZ5AQAAegLLb3QcHR2tDRs2qKSkRIMGDWrdXlFRodLS0uPe5Dg9Pd3l9hdeeEEHDhxQenp6u2f/AAAATGV54CUkJGjDhg3KycnRokWL5OXlJafTqezsbElScnJyu8dmZGS43P7666/rwIED7a4DAACYzPLAGz16tOLi4rRx40YlJycrJiZGO3bsUFFRkex2u8aOHdu675IlSyS1H3YAAADwgMCTpIULF2rIkCFav369Vq5cqbCwME2fPl1Tpkxp/fkySVq6dKkkAg8AAOB4PCLwfHx8lJaWprS0tOPuV1xc3KHH27BhgzvGAgAA6JEs/y1aAAAAuBeBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAYhsADAAAwDIEHAABgmF5WD4COs9msnsD9AgIKJEm1tQmWzgEAgEkIPFjqjDPWSiLwAABwJy7RAgAAGIYzeB7O6bR6gq6Vmnrkn6tWWTsHAAAm4QweAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAYhsADAAAwDIEHAABgGAIPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAYhsADAAAwDIEHAABgGI8IvMbGRq1YsUJxcXEaMWKExo0bp2XLlqmhoaFDx3/66adKT0/XpZdeqpEjR+qmm27Sq6++2sVTAwAAeCaPCLysrCzNnz9fgYGBmjRpkkJDQ5Wbm6sZM2ac8Ng9e/bohhtu0DvvvKPLLrtMN9xwgyoqKpSRkaGnnnqqG6YHAADwLL2sHmD79u3Kz8+X3W7X4sWLZbPZ5HQ6NWvWLBUUFGjz5s2KjY1t9/iHH35YjY2NeuGFFzR8+HBJ0t13362JEycqNzdXSUlJCgoK6q6XAwAAYDnLz+Dl5eVJktLT02Wz2SRJNptNmZmZstlsWrNmTbvH1tXV6dChQxo7dmxr3EnS6aefrtjYWNXX12v37t1d+wIAAAA8jOVn8IqKihQUFKTIyMg220NDQxUREaHCwsJ2j/X399dLL73kcm3//v2SpDPPPNN9wwIAAPQAlp7BczgcKi8v18CBA12uh4eH6+DBg6qqqurQ4zU1Nenzzz/X73//e23ZskWxsbEaOnSoO0cGAADweJaewauurpYkBQQEuFxv2V5bW6vg4OATPl5qaqq2bdsmSfrFL36h7Oxs9wwKAADQg1h6Bq+xsVGS5Ovr63K9ZXt9fX2HHm/UqFG67bbbNHLkSG3fvl233HJLa0QCAACcKiw9g+fn5ydJ7d7vzuFwSJL69OnToce75557Wv994cKF+u///m8tXrxYc+bMOblBAQAAehBLA8/f319eXl6qq6tzuV5bWyup/Uu4x3PPPffoueee0xtvvEHgAT3IvpDLrB7B7d7wPSxJGufo2F9WAeBkWXqJ1tfXV2FhYSorK3O5XlZWpuDgYAUGBrpcr66u1ptvvqk9e/a4fOyQkBB9//337hwZADrt1d4/6NXeP1g9BoBTiOX3wYuOjlZlZaVKSkrabK+oqFBpaamioqLaPXbfvn367W9/q2XLlh2zVltbq6+//rrdb+gCAACYyvL74CUkJGjDhg3KycnRokWL5OXlJafT2foN2OTk5HaPveiiixQWFqY33nhDRUVFuvjiiyUd+fLGI488osbGRiUlJXXL6wDw0w2ufMfqEbpUn9RUSdLgVassngTAqcLywBs9erTi4uK0ceNGJScnKyYmRjt27FBRUZHsdrvGjh3buu+SJUskSRkZGZIkb29vPfroo5o6dapuvfVWXXPNNQoKCtJ7772nTz/9VGPHjtWkSZOseFkAAACWsTzwpCPfeB0yZIjWr1+vlStXKiwsTNOnT9eUKVNaf75MkpYuXSrp/wNPOhKIL7zwgpYuXarNmzervr5eEREReuCBB5Samipvb+9ufz0AAABW8ojA8/HxUVpamtLS0o67X3Fxscvtw4cP1xNPPNEVowEAAPQ4ln/JAgAAAO5F4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAYhsADAAAwDIEHAABgGAIPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwvaweAJ6loKBAa9eu7bbn2717tyQpNTW1W54vKSlJCQkJ3fJcAABYhcCDpUJCQqweAQAA4xB4aCMhIYEzXAAA9HB8Bg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfAAAAAMQ+ABAAAYhsADAAAwDIEHAABgGAIPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBgCDwAAwDAEHgAAgGEIPAAAAMMQeAAAAIYh8AAAAAxD4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADD9LJ6AADobgUFBVq7dm23Pd/u3bslSampqd3yfElJSUpISOiW5wLgmQg8AOhiISEhVo8A4BRD4AE45SQkJHCGC4DR+AweAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBBwAAYBiPCLzGxkatWLFCcXFxGjFihMaNG6dly5apoaGhQ8d/8sknuuuuuxQTE6Phw4frqquu0h//+EcdOnSoiycHAADwPB4ReFlZWZo/f74CAwM1adIkhYaGKjc3VzNmzDjhse+//75SUlK0ZcsWXXrppUpNTVVgYKCefPJJTZo0SfX19d3wCgAAADyH5b9ksX37duXn58tut2vx4sWy2WxyOp2aNWuWCgoKtHnzZsXGxrZ7/COPPCKn06nnn39eI0aMkCQ5nU7Nnj1bL774op577jlNnjy5u14OAACA5Sw/g5eXlydJSk9Pl81mkyTZbDZlZmbKZrNpzZo17R772Wefaf/+/Ro3blxr3LUcn5aWJknasmVLF04PAADgeSw/g1dUVKSgoCBFRka22R4aGqqIiAgVFha2e6y/v79mzpx5zLGS5OvrK0l8Dg8AAJxyLA08h8Oh8vJyRUVFuVwPDw9XSUmJqqqqFBwcfMz62WefrSlTprg89rXXXpMkDRkyxH0DAwAA9ACWXqKtrq6WJAUEBLhcb9leW1vbqcc9cOCAcnNzJUnJyck/fUAAAIAeyNLAa2xslPT/l1N/rGV7Z74JW1tbq6lTp+rAgQNKTU1t89k8AACAU4Glgefn5ydJ7d7vzuFwSJL69OnTocerqqrSLbfcol27dik2NlazZs1yz6AAAAA9iKWB5+/vLy8vL9XV1blcb7k0294l3KN98cUXSk5O1q5du3TllVcqNzdXvXpZ/h0SAACAbmdpAfn6+iosLExlZWUu18vKyhQcHKzAwMDjPs7u3bt1++2367vvvtPEiRP1+9///ifFXVNTkySpvLy808cCAAB0p5ZeaemXo1l+iis6OlobNmxQSUmJBg0a1Lq9oqJCpaWlx73JsSR9/vnnuu2221RVVaXJkyfr/vvvb72fXmdVVlZKkm6++eafdDwAAEB3q6ys1M9+9rM22ywPvISEBG3YsEE5OTlatGiRvLy85HQ6lZ2dLen434Jtbm5WZmamqqqqNGnSpJP+zN3w4cOVl5enkJAQeXt7n9RjAQAAdKWmpiZVVlZq+PDhx6zZnE6n04KZ2rj33nu1ceNGjRgxQjExMdqxY4eKiora/HyZJC1ZskSSlJGRIUl69dVXlZGRIV9fX912220uL8v269dPN910U/e9GAAAAIt5ROA1NDRo+fLlWr9+vSoqKhQWFqb4+HhNmTKlzS1Uhg4dKkkqLi6WJD366KN69tlnj/vY559/vjZs2NB1wwMAAHgYjwg8AAAAuI+lt0kBAACA+xF4AAAAhiHwAAAADEPgAQAAGIbAAwAAMAyBhy7R2NioFStWKC4uTiNGjNC4ceO0bNkyNTQ0dOj46upqZWVl6corr1RUVJQSExO1cePGLp4a+GkqKioUHR2tFStWdPgY3uPoCSorKzV79mxdccUVGj58uMaMGaOZM2fqyy+/7NDxvM+tY/kvWcBMWVlZys/PV3R0tK688kpt375dubm5Ki4uVm5u7nGPPXTokG677Tbt3r1bV199tc455xy9+uqruvfee1VVVaV///d/76ZXAZzYv/71L2VkZKiurq7Dx/AeR09QWVmpG264Qd98843GjBmjuLg4lZSU6OWXX9Y777yj/Px8RUREtHs873OLOQE327ZtmzMyMtKZkZHhbG5udjqdTmdzc7Pzd7/7nTMyMtL55ptvHvf4P//5z87IyEjn6tWrW7fV1tY6x48f74yKinIeOHCgS+cHOqqsrMw5ceJEZ2RkpDMyMtL5zDPPdOg43uPoCR566CFnZGSk8+mnn26zvaCgwBkZGemcNm3acY/nfW4tLtHC7fLy8iRJ6enprT8zZ7PZlJmZKZvNpjVr1hz3+Oeee079+vVTSkpK6zZ/f3/deeedOnz4sP7617923fBAB61YsUITJkzQnj17dMkll3TqWN7j6Alef/11BQcH65Zbbmmz/brrrtPAgQP17rvvqrm5ud3jeZ9bi8CD2xUVFSkoKEiRkZFttoeGhioiIkKFhYXtHvvFF1+0fp7J29u7zVpMTIwkHfd4oLs8++yzCg8P1+rVq3Xdddd1+Dje4+gJmpqaNG3aNKWnp8vL69hU8PX1VUNDgxobG10ez/vcenwGD27lcDhUXl6uqKgol+vh4eEqKSlRVVWVgoODj1n/4osvJEkDBw48Zi0kJES9e/dWaWmpW2cGfopHHnlEo0ePlre3d6fek7zH0RN4e3sfc+auxb59+7R//34NHDiwze/FH433ufU4gwe3qq6uliQFBAS4XG/ZXltbe9zj+/bt63Ld39+/3WOB7nTZZZcdc2aiI3iPoydrbm7W3Llz1dzcrBtvvLHd/XifW4/Ag1u1nK5v7291Ldvr6+t/8vHtHQv0BLzH0VM5nU7Nnj1b//znPzV8+PB2z/BJvM89AYEHt/Lz85Okdu9353A4JEl9+vRxud67d+82+7k6/rTTTjvZMQHL8B5HT9TY2Kj/+I//0Jo1azRgwAD96U9/ajfeJN7nnoDAg1v5+/vLy8ur3XuCtZySb+8S7hlnnCFJ7R5fV1cnf39/N0wKWIP3OHqaw4cP66677tK6desUERGhZ599VqGhocc9hve59fiSBdzK19dXYWFhKisrc7leVlam4OBgBQYGulxvuWmmq+O//fZb1dfXa9CgQe4aF+h2vMfRk9TU1GjKlCn66KOPdMEFF+ipp57SmWeeecLjeJ9bjzN4cLvo6GhVVlaqpKSkzfaKigqVlpa2+w1bSQoLC1NYWJi2bdt2zP2Vtm7dKkkaOXKk+4cGugnvcfQU9fX1mjZtmj766CONGjVKq1at6lDcSbzPPQGBB7dLSEiQJOXk5LT+H9vpdCo7O1uSlJycfNzj4+PjVV5ertWrV7duq6ur0xNPPCE/P79O3XMM8ES8x9ETZGdna8eOHRo5cqSefPLJTl9S5X1uLS7Rwu1Gjx6tuLg4bdy4UcnJyYqJidGOHTtUVFQku92usWPHtu67ZMkSSVJGRkbrtilTpmjTpk169NFHVVhYqAEDBujVV1/Vl19+qYceesjl/fMAT8V7HD1RZWVl668SnXvuuXryySdd7jd16lT17t2b97kHsjmdTqfVQ8A8DQ0NWr58udavX6+KigqFhYUpPj5eU6ZMafPNq6FDh0qSiouL2xx/4MABZWdna/PmzTp8+LDOPfdc3X777Ro/fny3vg6gI9atW6cHHnhADzzwgG699dY2a7zH0RO9/vrrSktLO+F+hYWF6tu3L+9zD0TgAQAAGIbP4AEAABiGwAMAADAMgQcAAGAYAg8AAMAwBB4AAIBhCDwAAADDEHgAAACGIfAA4CdITU3V0KFDdfDgQatHAYBjEHgAAACGIfAAAAAMQ+ABAAAYppfVAwCAJ6qqqtITTzyh119/XQcOHNA555yjq6++WlOnTtXpp5/u8piGhgY999xz+tvf/qZ9+/apvr5eISEhuuyyy3TPPfcoODi4dd/PP/9c2dnZ2rlzpyorK3XWWWfp8ssvV1pamkJCQjq9HwAczeZ0Op1WDwEAnqSyslLJycn66quvFBMTowsvvFD/8z//o/fff1+/+tWv9NRTT2ny5MnaunWrCgsL1bdvX0nS9OnT9corryg6OlpRUVFyOBx69913VVpaquHDh2vt2rWSjsRjUlKSvv/+e9ntdp111lkqLi7W22+/rXPPPVcvvfSSfHx8OrwfAPwYZ/AA4Ecee+wxffXVV3rggQd06623tm6fPXu28vPz9eabbx5zzIcffqhXXnlFEyZM0B//+MfW7Y2NjZo4caI++eQTlZSUaNCgQdq4caO+/vprzZs3T0lJSa37ZmVlKS8vT//4xz80duzYDu8HAD/GZ/AA4CgOh0OvvfaaIiIi2sSdJE2bNk133nmny0ujZ599thYsWKC77767zfZevXopOjpakvTdd99JkpqbmyVJu3btUlNTU+u+9957r959993WaOvofgDwY1yiBYCjfPbZZxo/frwSEhL0hz/8od39UlNTj7lEKx05Y1dcXKySkhJ98cUX2r17t9577z3V1dVp1apVGjVqlCoqKhQfH6/q6moFBQXp0ksv1eWXX64rrrhCZ5xxRutjdXQ/APgxzuABwFFqamokSf7+/p0+9oUXXlBsbKwSExM1Y8YMPfPMMzp8+LAGDx4sSWr5+3RoaKj+8pe/KCkpSU6nU3/961913333acyYMZo9e7YcDken9gOAH+MzeABwlJZvyP7rX/9yuX7o0CGddtppx2z/+9//rjlz5mjo0KGaM2eOLrzwQp1zzjmSpDlz5uijjz5qs/+AAQM0b948NTU16ZNPPtE777yjdevWKT8/XwEBAbrvvvs6tR8AHI0zeABwlEGDBsnHx0c7d+48Zq2iokIjR47UQw89dMzayy+/LEl6/PHHddVVV7XGnSTt379f0v+fwXvjjTf08MMPq66uTt7e3oqKilJ6erry8vIkSdu2bevUfgDwYwQeAByld+/estvt2rdvn1588cU2a0888YQk6Ve/+pXL4yTpwIEDbbYXFBRo69atko58Pk86EnzPP/+8nn/++Tb7fvXVV5KksLCwTu0HAD/GlywA4EcqKiqUnJysb775RpdddpnOO+88ffzxxyosLNRVV12lZcuWHfMli7feekvTpk2Tv7+/xo8fL39/f3388cfaunWrzjzzTH333Xd6/PHHde2116qmpkbXX3+9vvjiC11++eUaOnSovvvuO23atEmS9Pzzz+v888/v8H4A8GMEHgC4UFlZqSVLlmjz5s2qqqpSaGio4uPjddddd8nX19flt2g3btyoJ598UqWlpfLz89OAAQN03XXXaeTIkZo4caLi4+P12GOPSToSkX/+85/1j3/8Q+Xl5fL399eoUaOUnp6u8847r3WOju4HAEcj8AAAAAzDZ/AAAAAMQ+ABAAAYhsADAAAwDIEHAABgGAIPAADAMAQeAACAYQg8AAAAwxB4AAAAhiHwAAAADEPgAQAAGOZ/AY2A9NnBBa4mAAAAAElFTkSuQmCC", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAngAAAJhCAYAAADSTs1pAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAAAwt0lEQVR4nO3df5yXdZ3v/+fwSzBQwJAawAUtSHMlD2el1WxFOjsKSiO0webS5q5CZ0Hb0DY7Z8UWb6tunUABd1vrZppSkSui67LUZvbDbVMQ0vQAlkE6rhBE/FqV4cfn+4eH+YrOIOTMfIY39/s/yvW+rs+8Jq+8Pbyuz+f61FQqlUoAAChGp2oPAABA6xJ4AACFEXgAAIUReAAAhRF4AACF6VLtATqSl19+OU8++WT69euXzp07V3scAIAW7dmzJxs3bsypp56a7t2777cm8F7lySefzMUXX1ztMQAADtqCBQvy3//7f99vm8B7lX79+iV55X+ot73tbVWeBgCgZevXr8/FF1/c1C+vJvBeZd9t2be97W0ZOHBglacBAHhjzb2tzIcsAAAKI/AAAAoj8AAACiPwAAAKI/AAAAoj8AAACiPwAAAKI/AAAAoj8AAACiPwAAAKI/AAAAoj8AAACiPwAAAKI/AAAAoj8AAACiPwAAAKI/AAAAoj8AAACtOl2gMAlOSRRx7JnDlzMmjQoPzsZz9LY2NjZs6cmS5duuTGG2/M3r17kyRTp05NXV1dlacFSiXwAFrZE088kWuvvTYnn3xybrvttsyfPz+dO3fOJZdckrFjx2b16tVZuHChwAPajFu0AK2strY2J598cpLklFNOydatW3P++edn1qxZufLKK/PUU09lxowZVZ4SKJnAA2hl3bt3b/r7mpqaVCqVTJo0Kffff3/OOuusPPzwwxk3bly2b99exSmBkgk8gHYwadKkrFq1KuPHj891112Xbdu2ZevWrdUeCyiU9+ABtIOrrroq119/fW666aZ06tQp06dPz8CBA6s9FlAogQfQikaOHJkHHnig2T8vWrSoWmMBR5gOcYt29+7duf322zNmzJicdtppGT16dG655Zbs2rXroI5fvXp1/uf//J/5vd/7vfzu7/5uLrzwwixcuLCNpwYA6Jg6xBW8WbNmZeHChRkxYkTOPffcrFixInPnzs2aNWsyd+7cAx67evXq/PEf/3F27tyZ888/P8cdd1wefPDBzJw5M88++2w+9alPtdNvwW9j0aJFWbp0abXHAKAVnHfeeRk/fny1xyAdIPBWrFjR9Dyom2++uekTZ1dffXUWL16chx56KKNGjWrx+JtuuikvvvhibrnllnzgAx9IknziE5/I+PHjc9ttt2XSpEkZNGhQe/06HKKlS5fm6aefztChQ6s9CgBvwtNPP50kAq+DqHrgLViwIEkyffr01NTUJHnlsQIzZszIfffdl7vvvvuAgffTn/40xx57bFPcJclb3vKWXHDBBZk/f35++tOfCrwObujQobn11lurPQYAb8KUKVOqPQKvUvX34C1fvjx9+vR53RWc/v37Z/DgwVm2bNkBj+/du3d27NjxuscNbNiwIUnSp0+f1h0YAKCDq2rgNTY2Zv369TnhhBOaXR8wYEC2bduWzZs3t/gakyZNyp49e3LllVfml7/8ZXbs2JF/+qd/yr333pt3v/vdOeOMM9pqfACADqmqt2i3bNmSJOnVq1ez6/u2b9++PX379m12n8mTJ6dz5865/vrr84d/+IdN288666zMnj07nTt3bt2hAQA6uKpewdu9e3eSpFu3bs2u79u+c+fOFl/jJz/5SW699dZ07do19fX1mTx5ck466aT86Ec/yty5c1OpVFp/cACADqyqV/D2fV9jS8+7a2xsTJL06NGj2fUdO3Zk6tSp2bt3bxYtWpQhQ4Y0HXfVVVdlwYIFOemkk3LxxRe3wfQAAB1TVa/g9ezZM506dcqOHTuaXd/3Rdwt3cJ98MEHs2XLlkyePLkp7pJXrvzNnDkzSXLvvfe28tQAAB1bVa/gdevWLbW1tWloaGh2vaGhIX379k3v3r2bXV+/fn2S5KSTTnrd2lvf+tb06dMnL7zwQqvNS+sbN25ctUcAoBX493nHUvXn4I0YMSL33Xdf1q5du99VuA0bNmTdunUHfAbecccdlyRZu3bt69a2bt2aLVu2ZNiwYa0/NK3mggsuqPYIALQC/z7vWKr+HLz6+vokyZw5c7J3794kSaVSyezZs5MkEydObPHYUaNGpUePHrnrrrvy3HPPNW3fs2dPbrzxxlQqlYwdO7bthgcA6ICqfgXvzDPPzJgxY7JkyZJMnDgxI0eOzMqVK7N8+fLU1dXlnHPOadp33rx5SZLLL788yStX8K655pr89V//dT74wQ+mrq4uxxxzTH784x9n9erVOeOMM/Kxj32sCr8VAED11FQ6wHNEdu3alVtvvTX33ntvNmzYkNra2owbNy6XXXbZfo9Q2Xe7dc2aNfsd/+Mf/zhf+tKX8vjjj+fll1/OoEGDcuGFF+bSSy9t8REszWloaMjo0aPz4IMPZuDAga3zywEAtIEDdUvVr+AlSdeuXTNt2rRMmzbtgPu9Nuz2ee9735v3vve9bTEaAMBhp+rvwQMAoHUJPACAwgg8AIDCCDwAgMJ0iA9ZAMBv65FHHsmcOXMyaNCg/OxnP0tjY2NmzpyZLl265MYbb2x6xurUqVNTV1dX5WmhfQg8AA57TzzxRK699tqcfPLJue222zJ//vx07tw5l1xyScaOHZvVq1dn4cKFAo8jhlu0ABz2amtrc/LJJydJTjnllGzdujXnn39+Zs2alSuvvDJPPfVUZsyYUeUpof0IPAAOe927d2/6+5qamlQqlUyaNCn3339/zjrrrDz88MMZN25ctm/fXsUpof0IPACKNGnSpKxatSrjx4/Pddddl23btmXr1q3VHgvahffgAVCkq666Ktdff31uuummdOrUKdOnT/c1lBwxBB4Ah7WRI0fmgQceaPbPixYtqtZYUFUCD6CNLVq0KEuXLq32GNDmzjvvvIwfP77aYxDvwQNoc0uXLs3TTz9d7TGgTT399NP+Q6YDcQUPoB0MHTo0t956a7XHgDYzZcqUao/Aq7iCBwBQGIEHAFAYgQcAUBiBBwBQGIEHAFAYgQcAUBiBBwBQGM/BA2hj48aNq/YI0Oac5x2LwANoYxdccEG1R4A25zzvWNyiBQAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMBx1DwR555JHMmTMngwYNys9+9rM0NjZm5syZ6dKlS2688cbs3bs3STJ16tTU1dVVeVoAWovAg8I98cQTufbaa3PyySfntttuy/z589O5c+dccsklGTt2bFavXp2FCxcKPICCuEULhautrc3JJ5+cJDnllFOydevWnH/++Zk1a1auvPLKPPXUU5kxY0aVpwSgNQk8KFz37t2b/r6mpiaVSiWTJk3K/fffn7POOisPP/xwxo0bl+3bt1dxSgBak8CDI9CkSZOyatWqjB8/Ptddd122bduWrVu3VnssAFqJ9+DBEeiqq67K9ddfn5tuuimdOnXK9OnTM3DgwGqPBUArEXhQsJEjR+aBBx5o9s+LFi2q1lgAtDGBR1UtWrQoS5curfYY0ObOO++8jB8/vtpjAEcI78GjqpYuXZqnn3662mNAm3r66af9hwzQrlzBo+qGDh2aW2+9tdpjQJuZMmVKtUcAjjCu4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFMZz8KiqcePGVXsEaHPOc6C9CTyq6oILLqj2CNDmnOdAe3OLFgCgMAIPAKAwAg8AoDACDwCgMAIPAKAwAg8AoDACDwCgMAIPAKAwAg8AoDACDwCgMAIPAKAwAg8AoDACDwCgMAIPAKAwAg8AoDACDwCgMAIPAKAwAg8AoDACDwCgMAIPAKAwAg8AoDACDwCgMAIPAKAwAg8AoDBdqj0AHcvixYtzzz33tNvP27RpU5LkrW99a7v8vAkTJqS+vr5dfhYAVIvAo6o2btyYpP0CDwCOBAKP/dTX17frFa7JkycnSe688852+5kAUDrvwQMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAoTJdqD5Aku3fvzl133ZVvfvObaWhoSL9+/TJ+/PhMmTIlXbt2bfG4Rx55JB/96Eff8PXXrFnTmuMCAHRoHSLwZs2alYULF2bEiBE599xzs2LFisydOzdr1qzJ3LlzWzxuwIABmT59erNrTzzxRH7wgx/k937v99pqbACADqnqgbdixYosXLgwdXV1ufnmm1NTU5NKpZKrr746ixcvzkMPPZRRo0Y1e+zAgQNz+eWXv2779u3bc+GFF6ZPnz6ZM2dOW/8KAAAdStXfg7dgwYIkyfTp01NTU5MkqampyYwZM1JTU5O77777kF/z7/7u7/LCCy/kf//v/51+/fq16rwAAB1d1QNv+fLl6dOnT4YOHbrf9v79+2fw4MFZtmzZIb3e008/nXvuuScjRozIhRde2JqjAgAcFqoaeI2NjVm/fn1OOOGEZtcHDBiQbdu2ZfPmzQf9mrNnz87evXtz1VVXtdaYAACHlaoG3pYtW5IkvXr1anZ93/bt27cf1OutW7cu3/ve9zJixIj8t//231plRgCAw01VA2/37t1Jkm7dujW7vm/7zp07D+r17rrrrlQqlVx66aWtMyAAwGGoqoHXvXv3JMmuXbuaXW9sbEyS9OjR4w1fa8+ePXnggQdy/PHHt/ipWwCAI0FVA69nz57p1KlTduzY0ez6vluzLd3CfbWVK1fmN7/5Terq6po+jQsAcCSqauB169YttbW1aWhoaHa9oaEhffv2Te/evd/wtb7//e8nSerq6lpzRACAw07VH5MyYsSIbNy4MWvXrt1v+4YNG7Ju3boMHz78oF7nJz/5Sbp27XrQ+wMAlKrqgVdfX58kmTNnTvbu3ZskqVQqmT17dpJk4sSJB/U6q1evzkknndTiBzYAAI4UVf+qsjPPPDNjxozJkiVLMnHixIwcOTIrV67M8uXLU1dXl3POOadp33nz5iXJ676e7De/+U22bduW97znPe04OQBAx1T1wEuSz33uc3nHO96Re++9N3fccUdqa2tzxRVX5LLLLtvvAxPz589P8vrAe6Pn6QEAHEk6ROB17do106ZNy7Rp0w6435o1a5rdPmTIkBbXAACONFV/Dx4AAK1L4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAUpkN8kwVAe1q8eHHuueeedvt5mzZtSpK89a1vbZefN2HChNTX17fLzwI6JoEH0MY2btyYpP0CD0DgAUec+vr6dr3CNXny5CTJnXfe2W4/EziyeQ8eAEBhBB4AQGEEHgBAYQQeAEBhBB4AQGEEHgBAYQQeAEBhBB4AQGE86BgACuQr+Y5sAg8AeNN8JV/HIvAAoEC+ku/I5j14AACFEXgAAIUReAAAhRF4AACF8SELAGgHNX/zN9UeoU0NXLcuSfm/Z+Xaa6s9wkEReADAm7b1xBOrPQKvIvAAgDdtu8DrUAQeALSzw+U2H684HG87+5AFAEBhBB4AQGHcogWAdtDrF7/Isb/4RZJk8s9/XuVpOBQD163L1hNPPKzeZ+gKHgBAYVzBA4B2sP1VV4Du9CGLw4oPWQAAUHUCDwCgMAIPAKAwAg8AoDA+ZAEA7exwfNP+G+n1/x4Bczg9SqRkAg8AeNOOFXgdilu0AACFcQUPANpBpfBn3+37dg7P+OsYXMEDACiMwAMAKIzAAwAojPfgdXA1NdWeoG0NHPjKX0v/PSuVak8AHGkWL16ce+65p91+3qpVq5IkkydPbpefN2HChNTX17fLzzocCTyqauvWCdUeAYBW0K9fv2qPwKsIPKpq+/b6ao8AUKT6+npXuI5gAu8w4jbf4aX0284AdFw+ZAEAUBiBBwBQGIEHAFAYgQcAUBiBBwBQGIEHAFAYgQcAUBiBBwBQGIEHAFAYgQcAUBiBBwBQGIEHAFAYgQcAUBiBBwBQGIEHAFAYgQcAUBiBBwBQGIEHAFAYgQcAUBiBBwBQGIEHAFAYgQcAUJgu1R4A4Jl+Z1d7hDb1Uq/fJCn/9zxp4w+rPQLw/wg8gDb2hzu7V3sE4Agj8ADa2OjGHtUeATjCCDygQ3Gb7/BS+m1nOFz5kAUAQGEEHgBAYQQeAEBhBB4AQGEEHgBAYQQeAEBhBB4AQGEEHgBAYQQeAEBhBB4AQGEEHgBAYQQeAEBhBB4AQGEEHgBAYQQeAEBhBB4AQGEEHgBAYQQeAEBhBB4AQGE6RODt3r07t99+e8aMGZPTTjsto0ePzi233JJdu3Yd1PE7d+7M/PnzU1dXl9/93d/NBz7wgVx//fXZtm1bG08OANDxdIjAmzVrVm644Yb07t07H/3oR9O/f//MnTs3V1555Rseu2vXrlx66aWZN29ejj/++EyePDlvf/vbc8cdd+TSSy9NY2NjO/wGAAAdR5dqD7BixYosXLgwdXV1ufnmm1NTU5NKpZKrr746ixcvzkMPPZRRo0a1ePxXv/rVPProo/nzP//z/NVf/VXT9lmzZmXBggVZsmRJ6uvr2+E3AQDoGKp+BW/BggVJkunTp6empiZJUlNTkxkzZqSmpiZ33333Gx4/YMCAfPKTn9xv+5/92Z/loosuylFHHdU2gwMAdFBVv4K3fPny9OnTJ0OHDt1ve//+/TN48OAsW7asxWN//vOf5/nnn8/kyZPTtWvX/dYGDhyYG2+8sU1mBgDoyKp6Ba+xsTHr16/PCSec0Oz6gAEDsm3btmzevLnZ9aeffjpJ8s53vjPf//73M2nSpAwfPjzve9/7cuONN+bFF19ss9kBADqqqgbeli1bkiS9evVqdn3f9u3btze7/qtf/SpJ8tBDD2XKlCk55phjMmnSpPTr1y9f+cpXcumllx70J3EBAEpR1Vu0u3fvTpJ069at2fV923fu3Nns+ksvvZTklcC77rrr8uEPfzhJsmfPnsyYMSNLly7N1772tfzpn/5pa48OANBhVfUKXvfu3ZOkxats+x5x0qNHj2bXO3V6ZfxTTjmlKe6SpHPnzk2fqP3Xf/3XVpsXAOBwUNXA69mzZzp16pQdO3Y0u77v1mxLt3B79uyZ5JXAe60BAwbkmGOOyXPPPddK0wIAHB6qGnjdunVLbW1tGhoaml1vaGhI375907t372bXBw8enKTlK4C7d+9uukoIAHCkqPpz8EaMGJGNGzdm7dq1+23fsGFD1q1bl+HDh7d47GmnnZauXbtm2bJl2bNnz35rzzzzTF588cUMGzasTeYGAOioqh54+75lYs6cOdm7d2+SpFKpZPbs2UmSiRMntnhsr169MmbMmPznf/5nbr311qbtu3btyuc///kkyYQJE9pocgCAjukNP0V7xhlnZMqUKbn00kub/nwwampq8sgjj7zhfmeeeWbGjBmTJUuWZOLEiRk5cmRWrlyZ5cuXp66uLuecc07TvvPmzUuSXH755U3bPv3pT+cnP/lJbrrppjz66KN517velf/4j//IqlWrMmbMmIwePfqg5gUAKMUbBl7Pnj33e4zJvg82tKbPfe5zecc73pF77703d9xxR2pra3PFFVfksssua/r6siSZP39+kv0D77jjjsvChQtzyy235N/+7d+yfPnyDBgwIJ/61KdyySWXtPqsAAAdXU2lUqlUe4iOoqGhIaNHj86DDz6YgQMHVnucJMmr+jb+SR1e/LM7eM/0O7vp70/a+MMqTsKh8s8OqudA3fJbPeh4586defTRR/P88883PavutWpqajJ58uTf5uUBAHgTDjnwVq9enY9//OPZsGFDDnTxT+ABAFTHIQfe9ddfn/Xr1+eiiy7K8OHDc9RRR7XFXAAA/JYOOfCeeuqpnH/++bnhhhvaYh4AAN6kQ34O3tFHH51+/fq1xSwAALSCQw68cePG5cEHH8xLL73UFvMAAPAmHfIt2k984hN55plnMm7cuEycODEDBgzY7zl5r+YhwwAA7e+QA2/Dhg159tln89xzz+ULX/hCs/tUKpXU1NRk1apVb3pAAAAOzSEH3mc/+9n84he/yOmnn57TTz89Rx99dFvMBQDAb+mQA2/lypV53/vely9/+cttMQ9wBHqw20v59lEvJ0l6eH7mYeWlXr/JH+7sntGNPao9CvAqh/whi6OOOirDhg1ri1kAAGgFh3wFb/To0fnBD36Qv/zLv0zXrl3bYibgCDO6sUfTFaCT7ryzytNwKF79XbRAx3HIgXfVVVflox/9aCZPnpxJkybld37nd9KjR/OX5t/1rne96QEBADg0hxx4Z511VpJkz549efzxxw+4r0/RAgC0v0MOvAsvvDA1NTVtMQsAAK3gkAPvxhtvbIs5AABoJYf8KVoAADo2gQcAUBiBBwBQGIEHAFAYgQcAUBiBBwBQGIEHAFAYgQcAUBiBBwBQGIEHAFAYgQcAUBiBBwBQGIEHAFAYgQcAUBiBBwBQGIEHAFAYgQcAUBiBBwBQGIEHAFAYgQcAUBiBBwBQGIEHAFAYgQcAUBiBBwBQGIEHAFAYgQcAUBiBBwBQGIEHAFAYgQcAUBiBBwBQGIEHAFAYgQcAUBiBBwBQGIEHAFAYgQcAUBiBBwBQGIEHAFAYgQcAUJgu1R6AA+vVa3GOPfaeJMnkyVUehkMycGCydeuEbN9eX+1RADjCuIIHAFAYV/A6uO3b65uuAN15Z3Vn4dDU1FR7AgCOVK7gAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAUpku1B0iS3bt356677so3v/nNNDQ0pF+/fhk/fnymTJmSrl27vuHxH/nIR/LYY481u/bZz342f/zHf9zaIwMAdFgdIvBmzZqVhQsXZsSIETn33HOzYsWKzJ07N2vWrMncuXPf8Pg1a9ZkyJAhGTt27OvWTj311LYYGQCgw6p64K1YsSILFy5MXV1dbr755tTU1KRSqeTqq6/O4sWL89BDD2XUqFEtHt/Q0JAdO3bkQx/6UC6//PJ2nBwAoGOq+nvwFixYkCSZPn16ampqkiQ1NTWZMWNGampqcvfddx/w+DVr1iRJhg0b1raDAgAcJqoeeMuXL0+fPn0ydOjQ/bb3798/gwcPzrJlyw54vMADANhfVQOvsbEx69evzwknnNDs+oABA7Jt27Zs3ry5xddYs2ZNampq8thjj+Wiiy7Ke97znrz//e/P3/7t32b79u1tNToAQIdV1cDbsmVLkqRXr17Nru/bfqBQW7NmTSqVSubOnZtTTjklf/RHf5S+ffvmq1/9aj7ykY9kx44drT43AEBHVtUPWezevTtJ0q1bt2bX923fuXNns+t79+7NMccck5NPPjn/+I//mP79+zdt/+xnP5uFCxdm3rx5+cxnPtMG0wMAdExVDbzu3bsnSXbt2tXsemNjY5KkR48eza536tQp3/zmN5vd/ulPfzr3339//uVf/kXgwWHkmX5nV3uEVvdgt5eSJKMbm/93GUBrq+ot2p49e6ZTp04t3kbdd2u2pVu4B/KWt7wlgwcPzsaNG1u8AgjQHr591Mv59lEvV3sM4AhS1cDr1q1bamtr09DQ0Ox6Q0ND+vbtm969eze7vm3btqxYsSJr165tdv3ll19Op06d0qVL1R/3BwDQbqpePiNGjMh9992XtWvXZsiQIU3bN2zYkHXr1h3wIcdPPfVUPvaxj2XUqFH54he/uN/ar371qzQ0NOTkk09O586d22x+4M07aeMPqz1Cm+oxeXKS5KQ776zyJMCRourPwauvr0+SzJkzJ3v37k2SVCqVzJ49O0kyceLEFo8dMWJE+vXrlx/84Af7PS+vsbEx1113XXbt2pWLL7647YYHAOiAqn4F78wzz8yYMWOyZMmSTJw4MSNHjszKlSuzfPny1NXV5Zxzzmnad968eUnS9JVk3bp1y3XXXZfp06fnkksuyXnnnZfevXvnRz/6UZ555pmMHTs248ePr8avBQBQNVUPvCT53Oc+l3e84x259957c8cdd6S2tjZXXHFFLrvssqavL0uS+fPnJ8l+3zk7atSoLFiwIH//93+f733ve9m5c2eGDBmSa665Jh/5yEf2Ox4A4EjQIQKva9eumTZtWqZNm3bA/fZ9Ldlrvec978mtt97aFqMBABx2qv4ePAAAWpfAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKEyXag/AwaupqfYEra9Xr8VJku3b66s6BwCUROBRVccee08SgQcArcktWgCAwriC18FVKtWeoG1NnvzKX++8s7pzAEBJXMEDACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKIzAAwAojMADACiMwAMAKEyHCLzdu3fn9ttvz5gxY3Laaadl9OjRueWWW7Jr165Dfq09e/bkwx/+cIYNG9YGkwIAdHwdIvBmzZqVG264Ib17985HP/rR9O/fP3Pnzs2VV155yK91xx135PHHH2+DKQEADg9dqj3AihUrsnDhwtTV1eXmm29OTU1NKpVKrr766ixevDgPPfRQRo0adVCv9ctf/jI333xzG08MANCxVf0K3oIFC5Ik06dPT01NTZKkpqYmM2bMSE1NTe6+++6Dep1KpZK//uu/zvHHH5/Bgwe31bgAAB1e1a/gLV++PH369MnQoUP3296/f/8MHjw4y5YtO6jX+cY3vpFHH300d9xxR2644Ya2GBUoxOLFi3PPPfe0289btWpVkmTy5Mnt8vMmTJiQ+vr6dvlZQMdU1St4jY2NWb9+fU444YRm1wcMGJBt27Zl8+bNB3ydF154IZ///OfzoQ99KO9973vbYlSA31q/fv3Sr1+/ao8BHEGqegVvy5YtSZJevXo1u75v+/bt29O3b98WX2fmzJk5+uij8+lPf7rVZwTKU19f7woXULSqBt7u3buTJN26dWt2fd/2nTt3tvgaixcvzg9+8IPMnTs3xxxzTOsPCQBwmKnqLdru3bsnSYvPu2tsbEyS9OjRo9n1TZs25YYbbsj/+B//I3V1dW0zJADAYaaqgdezZ8906tQpO3bsaHZ9+/btSVq+hTtr1qzs2bMnM2fObLMZAQAON1W9RdutW7fU1tamoaGh2fWGhob07ds3vXv3bnb9W9/6VpLk7LPPbnZ92LBhGTBgQL773e+2yrwAAIeDqj8mZcSIEbnvvvuydu3aDBkypGn7hg0bsm7dugM+5Hj69OnNbv/GN76RTZs2Zfr06S1e/QMAKFXVA6++vj733Xdf5syZk5tuuimdOnVKpVLJ7NmzkyQTJ05s8djLL7+82e3f+c53smnTphbXAQBKVvXAO/PMMzNmzJgsWbIkEydOzMiRI7Ny5cosX748dXV1Oeecc5r2nTdvXpKWww4AgA4QeEnyuc99Lu94xzty77335o477khtbW2uuOKKXHbZZU1fX5Yk8+fPTyLwAAAOpEMEXteuXTNt2rRMmzbtgPutWbPmoF7vvvvua42xAAAOS1V9TAoAAK1P4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAUpku1B6BjWbx4ce655552+3mrVq1KkkyePLldft6ECRNSX1/fLj8LAKpF4FFV/fr1q/YIAFAcgcd+6uvrXeECgMOc9+ABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AEAFKZLtQfoSPbs2ZMkWb9+fZUnAQA4sH29sq9fXk3gvcrGjRuTJBdffHGVJwEAODgbN27M7/zO7+y3raZSqVSqNE+H8/LLL+fJJ59Mv3790rlz52qPAwDQoj179mTjxo059dRT07179/3WBB4AQGF8yAIAoDACDwCgMAIPAKAwAg8AoDACDwCgMAIPAKAwAg8AoDACjzaxe/fu3H777RkzZkxOO+20jB49Orfcckt27dp1UMdv2bIls2bNyrnnnpvhw4dn/PjxWbJkSRtPDb+dDRs2ZMSIEbn99tsP+hjnOIeDjRs3ZubMmfmDP/iDnHrqqTnrrLNy1VVX5bnnnjuo453n1eOrymgTs2bNysKFCzNixIice+65WbFiRebOnZs1a9Zk7ty5Bzz2xRdfzJ/92Z9l1apVOe+88/L2t7893/72t/PJT34ymzdvzp/8yZ+0028Bb+y//uu/cvnll2fHjh0HfYxznMPBxo0b80d/9Ed54YUXctZZZ2XMmDFZu3ZtHnjggfzwhz/MwoULM3jw4BaPd55XWQVa2WOPPVYZOnRo5fLLL6/s3bu3UqlUKnv37q381V/9VWXo0KGV7373uwc8/h/+4R8qQ4cOrdx1111N27Zv314ZO3ZsZfjw4ZVNmza16fxwsBoaGioXXXRRZejQoZWhQ4dWvvKVrxzUcc5xDgfXXHNNZejQoZXbbrttv+2LFy+uDB06tDJ16tQDHu88ry63aGl1CxYsSJJMnz49NTU1SZKamprMmDEjNTU1ufvuuw94/Ne+9rW89a1vzaRJk5q29ezZMx//+Mfz0ksv5Z//+Z/bbng4SLfffnsuvPDCrF69Ou9973sP6VjnOIeD73znO+nbt2/+9E//dL/tH/zgB3PCCSfk4Ycfzt69e1s83nleXQKPVrd8+fL06dMnQ4cO3W97//79M3jw4CxbtqzFY5999tmm9zN17tx5v7WRI0cmyQGPh/by1a9+NQMGDMhdd92VD37wgwd9nHOcw8GePXsyderUTJ8+PZ06vT4VunXrll27dmX37t3NHu88rz7vwaNVNTY2Zv369Rk+fHiz6wMGDMjatWuzefPm9O3b93Xrzz77bJLkhBNOeN1av379ctRRR2XdunWtOjP8Nv7mb/4mZ555Zjp37nxI56RznMNB586dX3flbp9nnnkmv/jFL3LCCSekW7duze7jPK8+V/BoVVu2bEmS9OrVq9n1fdu3b99+wOOPOeaYZtd79uzZ4rHQns4+++zXXZk4GM5xDmd79+7Nddddl7179+bDH/5wi/s5z6tP4NGq9l2ub+m/6vZt37lz5299fEvHwuHAOc7hqlKpZObMmfmP//iPnHrqqS1e4Uuc5x2BwKNVde/ePUlafN5dY2NjkqRHjx7Nrh911FH77dfc8UcfffSbHROqxjnO4Wj37t35X//rf+Xuu+/OoEGD8vd///ctxlviPO8IBB6tqmfPnunUqVOLzwTbd0m+pVu4xx57bJK0ePyOHTvSs2fPVpgUqsM5zuHmpZdeyl/8xV9k0aJFGTx4cL761a+mf//+BzzGeV59PmRBq+rWrVtqa2vT0NDQ7HpDQ0P69u2b3r17N7u+76GZzR3/q1/9Kjt37syQIUNaa1xod85xDidbt27NZZddlscffzynnHJKvvzlL+e44457w+Oc59XnCh6tbsSIEdm4cWPWrl273/YNGzZk3bp1LX7CNklqa2tTW1ubxx577HXPV3r00UeTJKeffnrrDw3txDnO4WLnzp2ZOnVqHn/88Zxxxhm58847DyruEud5RyDwaHX19fVJkjlz5jT9H7tSqWT27NlJkokTJx7w+HHjxmX9+vW56667mrbt2LEjX/ziF9O9e/dDeuYYdETOcQ4Hs2fPzsqVK3P66afnS1/60iHfUnWeV5dbtLS6M888M2PGjMmSJUsyceLEjBw5MitXrszy5ctTV1eXc845p2nfefPmJUkuv/zypm2XXXZZli5dmr/927/NsmXLMmjQoHz729/Oc889l2uuuabZ5+dBR+Uc53C0cePGpm8lOvHEE/OlL32p2f2mTJmSo446ynneAdVUKpVKtYegPLt27cqtt96ae++9Nxs2bEhtbW3GjRuXyy67bL9PXg0bNixJsmbNmv2O37RpU2bPnp2HHnooL730Uk488cT8+Z//ecaOHduuvwccjEWLFuUzn/lMPvOZz+RjH/vYfmvOcQ5H3/nOdzJt2rQ33G/ZsmU55phjnOcdkMADACiM9+ABABRG4AEAFEbgAQAURuABABRG4AEAFEbgAQAURuABABRG4AH8FiZPnpxhw4Zl27Zt1R4F4HUEHgBAYQQeAEBhBB4AQGG6VHsAgI5o8+bN+eIXv5jvfOc72bRpU97+9rfnvPPOy5QpU/KWt7yl2WN27dqVr33ta/mXf/mXPPPMM9m5c2f69euXs88+O3/5l3+Zvn37Nu37y1/+MrNnz84TTzyRjRs35vjjj8/73//+TJs2Lf369Tvk/QBeraZSqVSqPQRAR7Jx48ZMnDgxzz//fEaOHJl3v/vd+b//9//mxz/+cX7/938/X/7yl3PJJZfk0UcfzbJly3LMMcckSa644op861vfyogRIzJ8+PA0Njbm4Ycfzrp163LqqafmnnvuSfJKPE6YMCG/+c1vUldXl+OPPz5r1qzJ97///Zx44om5//7707Vr14PeD+C1XMEDeI3Pf/7zef755/OZz3wmH/vYx5q2z5w5MwsXLsx3v/vd1x3zk5/8JN/61rdy4YUX5v/8n//TtH337t256KKL8uSTT2bt2rUZMmRIlixZkv/8z//M9ddfnwkTJjTtO2vWrCxYsCD//u//nnPOOeeg9wN4Le/BA3iVxsbG/Nu//VsGDx68X9wlydSpU/Pxj3+82Vujb3vb23LjjTfmE5/4xH7bu3TpkhEjRiRJfv3rXydJ9u7dmyR56qmnsmfPnqZ9P/nJT+bhhx9uiraD3Q/gtdyiBXiVn//85xk7dmzq6+vzd3/3dy3uN3ny5Nfdok1euWK3Zs2arF27Ns8++2xWrVqVH/3oR9mxY0fuvPPOnHHGGdmwYUPGjRuXLVu2pE+fPnnf+96X97///fmDP/iDHHvssU2vdbD7AbyWK3gAr7J169YkSc+ePQ/52G984xsZNWpUxo8fnyuvvDJf+cpX8tJLL+Wkk05Kkuz77+n+/fvnn/7pnzJhwoRUKpX88z//cz71qU/lrLPOysyZM9PY2HhI+wG8lvfgAbzKvk/I/td//Vez6y+++GKOPvro123/13/911x77bUZNmxYrr322rz73e/O29/+9iTJtddem8cff3y//QcNGpTrr78+e/bsyZNPPpkf/vCHWbRoURYuXJhevXrlU5/61CHtB/BqruABvMqQIUPStWvXPPHEE69b27BhQ04//fRcc801r1t74IEHkiRf+MIX8oEPfKAp7pLkF7/4RZL//wregw8+mM9+9rPZsWNHOnfunOHDh2f69OlZsGBBkuSxxx47pP0AXkvgAbzKUUcdlbq6ujzzzDP55je/ud/aF7/4xSTJ7//+7zd7XJJs2rRpv+2LFy/Oo48+muSV9+clrwTf17/+9Xz961/fb9/nn38+SVJbW3tI+wG8lg9ZALzGhg0bMnHixLzwwgs5++yz8853vjM//elPs2zZsnzgAx/ILbfc8roPWXzve9/L1KlT07Nnz4wdOzY9e/bMT3/60zz66KM57rjj8utf/zpf+MIXcsEFF2Tr1q350Ic+lGeffTbvf//7M2zYsPz617/O0qVLkyRf//rX8653veug9wN4LYEH0IyNGzdm3rx5eeihh7J58+b0798/48aNy1/8xV+kW7duzX6KdsmSJfnSl76UdevWpXv37hk0aFA++MEP5vTTT89FF12UcePG5fOf/3ySVyLyH/7hH/Lv//7vWb9+fXr27Jkzzjgj06dPzzvf+c6mOQ52P4BXE3gAAIXxHjwAgMIIPACAwgg8AIDCCDwAgMIIPACAwgg8AIDCCDwAgMIIPACAwgg8AIDCCDwAgML8f1yU27rqqOliAAAAAElFTkSuQmCC", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", - "output_type": "stream", - "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.904e-01 U_stat=0.000e+00\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.904e-01 U_stat=7.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.752e-01 U_stat=0.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.000e+00 U_stat=1.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.334e-02 U_stat=4.300e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.465e-01 U_stat=3.000e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.000e+00 U_stat=3.000e+00\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.904e-01 U_stat=0.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.329e-01 U_stat=7.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.000e+00 U_stat=0.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.253e-01 U_stat=3.700e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.613e-01 U_stat=6.000e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.904e-01 U_stat=0.000e+00\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.904e-01 U_stat=0.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.752e-01 U_stat=8.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.000e+00 U_stat=0.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.244e-01 U_stat=3.400e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.613e-01 U_stat=2.000e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.904e-01 U_stat=0.000e+00\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.904e-01 U_stat=7.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.329e-01 U_stat=1.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.000e+00 U_stat=1.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.253e-01 U_stat=3.700e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.613e-01 U_stat=2.000e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.827e-01 U_stat=6.000e+00\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.904e-01 U_stat=7.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.752e-01 U_stat=0.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.000e+00 U_stat=1.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.854e-01 U_stat=2.000e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.465e-01 U_stat=4.000e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.625e-01 U_stat=5.000e+00\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.904e-01 U_stat=7.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.752e-01 U_stat=0.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.000e+00 U_stat=1.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.723e-01 U_stat=3.100e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.465e-01 U_stat=5.000e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.904e-01 U_stat=0.000e+00\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.827e-01 U_stat=1.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.613e-01 U_stat=6.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.000e+00 U_stat=0.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.539e-01 U_stat=2.700e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.752e-01 U_stat=0.000e+00\n" - ] + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", - "output_type": "stream", - "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.615e-01 U_stat=1.335e+02\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.089e-03 U_stat=6.600e+01\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.067e-04 U_stat=0.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.452e-04 U_stat=1.800e+02\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.580e-01 U_stat=6.300e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.273e-02 U_stat=1.420e+02\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.170e-01 U_stat=1.305e+02\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.511e-01 U_stat=2.800e+01\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.836e-03 U_stat=9.600e+01\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.315e-02 U_stat=3.600e+01\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.019e-02 U_stat=1.300e+02\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.134e-01 U_stat=3.270e+02\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.760e-01 U_stat=1.455e+02\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.546e-03 U_stat=1.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.322e-03 U_stat=9.500e+01\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.347e-04 U_stat=5.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.580e-01 U_stat=6.300e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.506e-02 U_stat=1.640e+02\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.761e-01 U_stat=1.275e+02\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.002e-02 U_stat=5.300e+01\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.322e-03 U_stat=7.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.559e-02 U_stat=1.310e+02\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.802e-01 U_stat=1.090e+02\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.350e-01 U_stat=1.870e+02\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.029e-01 U_stat=1.295e+02\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.546e-03 U_stat=6.500e+01\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.067e-04 U_stat=0.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.352e-03 U_stat=1.660e+02\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.638e-02 U_stat=1.450e+02\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.449e-01 U_stat=2.900e+02\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.760e-01 U_stat=1.845e+02\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.089e-03 U_stat=6.600e+01\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.683e-03 U_stat=1.100e+01\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.452e-04 U_stat=1.800e+02\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.514e-01 U_stat=1.100e+02\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.833e-02 U_stat=3.350e+02\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.226e-02 U_stat=9.550e+01\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.250e-01 U_stat=2.900e+01\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.490e-01 U_stat=4.400e+01\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.840e-02 U_stat=4.300e+01\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.722e-01 U_stat=8.100e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.196e-02 U_stat=1.410e+02\n" - ] + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", - "output_type": "stream", - "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.186e-03 U_stat=1.000e+00\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.737e-03 U_stat=5.400e+01\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.998e-02 U_stat=7.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.689e-02 U_stat=1.500e+01\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.114e-03 U_stat=2.920e+02\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.088e-01 U_stat=3.100e+01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.353e-02 U_stat=6.800e+01\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.136e-01 U_stat=2.000e+01\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.636e-01 U_stat=3.000e+01\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.510e-01 U_stat=5.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.143e-03 U_stat=8.900e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.346e-01 U_stat=3.800e+01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.480e-01 U_stat=6.100e+01\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.380e-02 U_stat=2.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.193e-02 U_stat=5.400e+01\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.689e-02 U_stat=0.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.588e-01 U_stat=1.690e+02\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.104e-01 U_stat=5.800e+01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.238e-03 U_stat=5.000e+00\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.136e-01 U_stat=3.400e+01\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.156e-01 U_stat=3.600e+01\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.711e-01 U_stat=4.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.859e-02 U_stat=2.610e+02\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.104e-01 U_stat=4.200e+01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.039e-02 U_stat=1.700e+01\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.380e-02 U_stat=5.200e+01\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.506e-02 U_stat=1.000e+01\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.364e-02 U_stat=1.400e+01\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.250e-01 U_stat=1.380e+02\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.441e-01 U_stat=2.800e+01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.461e-01 U_stat=2.500e+01\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.737e-03 U_stat=5.400e+01\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.129e-02 U_stat=9.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.364e-02 U_stat=1.400e+01\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.834e-01 U_stat=2.260e+02\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.104e-01 U_stat=4.200e+01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.536e-03 U_stat=2.000e+00\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.193e-01 U_stat=1.100e+01\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.636e-01 U_stat=2.900e+01\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.689e-02 U_stat=0.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.261e-03 U_stat=8.600e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.583e-03 U_stat=3.000e+00\n" - ] + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAn4AAAJhCAYAAADfUL0uAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAAAu8UlEQVR4nO3da5yW9X3n8e9wmCE6clCQBKOCbKCmFjRE2birVpwFIwkKmleQSTxVolVs1maLC1bCykvbnOhWEoMmbRMUrWab2HiIISZqjG4VSbMG1hBPlIMBUaQyAsNp9oEvZ4MzIMjhnpv/+/1Evf7/6+Z3++D243Xdh5qWlpaWAABwwOtU6QEAANg/hB8AQCGEHwBAIYQfAEAhhB8AQCG6VHqAarBx48YsXLgwffr0SefOnSs9DgDADm3dujWrV6/Occcdl27dum23Jvx2wcKFC9PY2FjpMQAAdtncuXPz0Y9+dLtjwm8X9OnTJ8lb/wLf//73V3gaAIAdW7lyZRobG1v75fcJv13w9u3d97///fngBz9Y4WkAAN5de29P8+EOAIBCCD8AgEIIPwCAQgg/AIBCCD8AgEIIPwCAQgg/AIBCCD8AgEIIPwCAQgg/AIBCCD8AgEIIPwCAQgg/AIBCCD8AgEIIPwCAQgg/AIBCCD8AgEIIPwCAQgg/gP1k48aNee2113L55Zenubk5GzduzLe+9a3MmzcvGzdurPR4QAG6VHoAgFI8+OCDue+++/Liiy/miiuuyObNm7Nu3bq8733vy4IFCzJlypRKjwgc4FzxA9hPPvGJT6S2tjbHHXdczj777EybNi2HHXZYOnfunEsuuaTS4wEFcMUPYD/p3LlzLrvssgwePDgvvfRSevXqlS9+8YvZsGFDDj744EqPBxRA+AHsJzU1NRk6dGiS5Nhjj02SHHbYYZUcCSiMW70AAIUQfgAAhRB+AACFEH4AAIXw4Q7Yi77//e/nwQcfrPQYwAHozDPPzLhx4yo9BlXOFT/Yix588MH89re/rfQYwAHmt7/9rf+pZK9wxQ/2skGDBuXWW2+t9BjAAeRzn/tcpUfgAOGKHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhfIEz7EVjxoyp9AjAAchrC3uL8IO96BOf+ESlRwAOQF5b2Fvc6gUAKITwAwAohPADACiE8AMAKITwAwAohPADACiE8AMAKITwAwAohPADACiE8AMAKITwAwAohPADAChEl0oPsDueeOKJfOtb38qvf/3rbNy4MUcddVTOOeecXHLJJenSZfunMmHChCxYsKDdx5k+fXrOP//8/TEyAECHUTXh98///M+55pprcvDBB2fkyJGpr6/P448/nq997Wv513/919x8882pqalp3b948eIMGDAgo0ePbvNYxx133P4cHQCgQ6iK8Nu4cWNuvPHG1NfX5wc/+EGOPPLIJMnmzZtzxRVX5Gc/+1l+8pOfZOTIkUmS5cuXp6mpKeedd16uuuqqSo4OANBhVMV7/J588smsXbs2n/rUp1qjL0m6du2ayy67LEny85//vPX44sWLkySDBw/ev4MCAHRgVXHF74Mf/GD+/M//PCeeeGKbtdra2iTJ+vXrW48JPwCAtqoi/AYOHJiBAwe2u/bQQw8lSf7Df/gPrccWL16cmpqaLFiwIH/5l3+Zl156Kd27d8+oUaPyZ3/2ZznkkEP2y9wAAB1JVdzq3ZEXXnghc+bMSW1tbcaOHdt6fPHixWlpaclNN92UD3/4w/nUpz6VQw89NHPmzMmECRPS1NRUwakBACqjolf8RowYkRUrVux0T2NjY6ZNm9bm+MqVKzNx4sRs2LAhU6ZMyQc+8IEkybZt29K9e/cce+yxueWWW9K3b9/W49OnT89dd92VWbNmZcqUKXv/CQEAdGAVDb+GhoasWbNmp3uGDBnS5ti//du/5eKLL86KFSsyfvz4XHTRRa1rnTp1yt13393mnE6dOuWaa67JD3/4w9x///3CDwAoTkXDb+rUqbt9zjPPPJPLLrssa9asyfjx4zN9+vRdPvfggw9O//798+yzz6a5uTl1dXW7/ecDAFSrqvhwx9sef/zxTJo0KevXr8/ll1+eq6++us2eN954I88//3x69eqVAQMGtFnfuHFjOnXq1OaXPgAADnRV8+GOX/3qV7nyyiuzYcOGTJ06td3oS5JFixbl/PPPz5e+9KU2a6+88kqWL1+eY489Np07d97XIwMAdChVEX5vvvlmrr766mzYsCH//b//91x44YU73Dts2LD06dMnP//5zzN//vzW45s2bcqMGTOyefPmNDY27o+xAQA6lKq433n33Xfn5ZdfTs+ePbNu3brMmjWrzZ5jjjkmo0ePTm1tbWbMmJFJkybl4osvzplnnpmePXvmiSeeyAsvvJDRo0dn3LhxFXgWAACVVRXh9/aVu7Vr1+brX/96u3vOOOOMjB49Okly+umnZ+7cubn55pvzyCOPpLm5OQMGDMh1112XCRMmpKamZr/NDkDH9dBDD2XevHmVHuNdvf7660mSXr16VXiSdzdy5Mg0NDRUegx2oCrC7+abb97tc44//vjceuut+2AaANi/qin86NiqIvwAYF9oaGioiqtTkydPTpJ8+ctfrvAkVLuq+HAHAAB7TvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABSiqsLvl7/8ZS655JIMHz48w4YNyyWXXJInn3yy3b1r167N9ddfnxEjRmTo0KEZN25cHnjggf08MQBAx1E14ffYY4+lsbExCxcuzJlnnpmzzz47zz77bC688MI2Qbd+/fpccsklufPOOzN06NA0NjbmjTfeyNVXX53bb7+9Qs8AAKCyulR6gF2xbdu2XHvttamvr88999yTfv36JUkuu+yyjBkzJjfeeGNGjhyZLl3eejpz5szJokWLMm3atDQ2NiZJrrjiiowfPz5f/epX8/GPfzyHHXZYxZ4PAEAlVMUVv2XLluXggw/OOeec0xp9SdK3b9+ceOKJWb16dVasWNF6/I477kjv3r0zfvz41mP19fW5/PLLs2HDhtx77737dX4AgI6gKq74HX300fnRj37U5vi2bduyZMmSdO7cOT179kySLF26NKtWrcqoUaPSuXPn7fYPHz48STJ//vxcdNFF+3psAIAOpSrC7502b96cl156Kd/85jfz3HPP5TOf+Ux69OiR5K3wS5KjjjqqzXl9+vRJXV1dlixZsj/HBQDoEKoy/BoaGrJy5cokyahRozJ16tTWtbVr1yZJunfv3u659fX1Wbdu3T6fEQCgo6lo+I0YMWK79+a1p7GxMdOmTdvu2BlnnJGuXbvmiSeeyI9//ONceeWV+du//dvU1dVly5YtSZLa2tp2H6+2tjYbNmzYO08AAKCKVDT8GhoasmbNmp3uGTJkSJtjb4fgli1bcs011+S+++7LbbfdlksvvTR1dXVJkk2bNrX7eJs2bcpBBx20h5MDAFSfiobf79+ifS+6dOmSyZMn57777stPf/rTXHrppa3v9Wtqamr3nKamJl/lAgAUqSq+zmXVqlX5yU9+kmXLlrVZO/zww9O1a9e8/vrrSZL+/fsnSZYvX95m7yuvvJLm5uYMGDBgn84LANARVUX4Pfnkk5k0aVLmzp3bZu3555/P5s2bWz/F269fv/Tr1y8LFizItm3bttv71FNPJUlOOOGEfT80AEAHUxXhd9ppp+Wggw7K3Xffvd1Xsaxfvz4zZsxIkpx77rmtx8eMGZOVK1du9/NsTU1NmT17drp165azzz57v80OANBRVMXXufTo0SPTpk3LlClTMm7cuJx11lmpra3No48+muXLl2f8+PEZNWpU6/6JEyfmwQcfzA033JD58+fnyCOPzLx587Js2bJcd911OfTQQyv4bAAOfC/0OaXSIxxQNgx+XxL/XveFgasfq/QI+1VVhF+SjB07Nn379s3s2bNz//33Z+vWrRk0aFAmTZqUsWPHbre3vr4+c+fOzcyZM/Pwww/nscceyzHHHJOZM2dm9OjRFXoGAPDefOzVzZUegQNE1YRfkpx88sk5+eSTd2lv7969c+ONN+7jiQBg3xv+2pZKj8ABoqrCD4DqU9qtNDq+km+ZV8WHOwAA2HPCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBBdKj3A7vjlL3+Zr3/961m0aFG2bNmSoUOH5rLLLsvw4cPb7J0wYUIWLFjQ7uNMnz49559//r4eFwCgQ6ma8Hvsscfyuc99Loccckg+/vGPp3PnzvnRj36UCy+8MDNnzsxZZ5213f7FixdnwIABGT16dJvHOu644/bX2AAAHUZVhN+2bdty7bXXpr6+Pvfcc0/69euXJLnssssyZsyY3HjjjRk5cmS6dHnr6SxfvjxNTU0577zzctVVV1VydACADqMq3uO3bNmyHHzwwTnnnHNaoy9J+vbtmxNPPDGrV6/OihUrWo8vXrw4STJ48OD9PisAQEdVFVf8jj766PzoRz9qc3zbtm1ZsmRJOnfunJ49e7YeF34AAG1VxRW/d9q8eXN++9vf5gtf+EKee+65nH/++enRo0fr+uLFi1NTU5MFCxZk7NixOf7443PqqafmhhtuyLp16yo4OQBA5VTFFb93amhoyMqVK5Mko0aNytSpU7dbX7x4cVpaWnLTTTdl1KhR+ehHP5r58+dnzpw5+Zd/+Zfceeedqa+vr8ToAAAVU9HwGzFixHbvzWtPY2Njpk2btt2xM844I127ds0TTzyRH//4x7nyyivzt3/7t6mrq8u2bdvSvXv3HHvssbnlllvSt2/fJG/dFp4+fXruuuuuzJo1K1OmTNlnzwsAoCOqaPg1NDRkzZo1O90zZMiQNsfeDsEtW7bkmmuuyX333Zfbbrstl156aTp16pS77767zTmdOnXKNddckx/+8Ie5//77hR8AUJyKht87b9Huri5dumTy5Mm577778tOf/jSXXnrpTvcffPDB6d+/f5599tk0Nzenrq5uj/58AIBqUhUf7li1alV+8pOfZNmyZW3WDj/88HTt2jWvv/56kuSNN97IL3/5y7z00kvtPtbGjRvTqVOn1u/8AwAoRVWE35NPPplJkyZl7ty5bdaef/75bN68OUcddVSSZNGiRTn//PPzpS99qc3eV155JcuXL8+xxx6bzp077/O5AQA6kqoIv9NOOy0HHXRQ7r777ixZsqT1+Pr16zNjxowkybnnnpskGTZsWPr06ZOf//znmT9/fuveTZs2ZcaMGdm8eXMaGxv36/wAAB1BVdzv7NGjR6ZNm5YpU6Zk3LhxOeuss1JbW5tHH300y5cvz/jx4zNq1KgkSW1tbWbMmJFJkybl4osvzplnnpmePXvmiSeeyAsvvJDRo0dn3LhxFX5GAAD7326F33e/+90cf/zxGTp06L6aZ4fGjh2bvn37Zvbs2bn//vuzdevWDBo0KJMmTcrYsWO323v66adn7ty5ufnmm/PII4+kubk5AwYMyHXXXZcJEyakpqZmv88PAFBpuxV+X//613PKKadk5syZ+2qenTr55JNz8skn79Le448/Prfeeus+nggAoHrs9nv8evfuvS/mAABgH9ut8Lv00kvzgx/8II8++mhaWlr21UwAAOwDu3Wrd8mSJamrq8vll1+ebt265f3vf3+6devWZl9NTU2+//3v77UhAQDYc7sVfj/4wQ9a/37Dhg07/JJkH54AAOh4div8fvOb3+yrOQAA2Meq4gucAQDYc+/pC5x/85vfZNmyZXnzzTd3uOecc855rzMBALAP7Fb4vf7665k4cWIWLVq0wz0tLS2pqakRfgAAHcxuhd9XvvKVLFy4MB/+8Idz2mmnpWfPnvtoLAAA9rbdCr9HHnkkf/RHf5Tvfe97+2oeAAD2kd36cMebb76Z4cOH76tZAADYh3Yr/AYPHpwlS5bso1EAANiXdiv8rrzyyjzyyCN54IEH9tU8AADsIzt9j9+kSZPaHDvkkEPyhS98If/zf/7PHH300amrq2uzp6amJrNmzdp7UwIAsMd2Gn4PPfTQDteWLl2apUuXtrvmJ9sAADqenYbfT3/60zbH1q1bl0MOOWSH57zyyit54YUX9nwyAAD2qp2G3xFHHLHdPx977LGZNGlSrrzyyh2e84//+I+ZO3duzjvvvL0zIQAAe8VOw+/xxx/f7updS0tLfvWrX2XOnDnt7t+8eXMeeOCBdO7cee9OCQDAHttp+HXv3j1//dd/nZaWltafYvvFL36Rxx57bKcP+pnPfGavDgkAwJ7bafj90R/9Ub75zW9mzZo1aWlpydSpU9PQ0JAzzjijzd6ampp06dIlffv2zYknnrjPBgYA4L15159sO+2001r/fv78+TsMPwAAOrbd+q3ev/qrv9pXcwAAsI/t1i93AABQvYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCG6VHoAAA48Tx7WJf+7d9ckyfsmT67wNLC9DYPfl4+9ujnDX9tS6VH2O1f8AAAK4YofAHvd8Ne2tF5NGfiLL1d4GtjeC31OqfQIFeOKHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCG6VHoAAA5sL/Q5pdIjVL0nD3vrP9fDX9tS4UmodsIPADq4/927axLhx55zqxcAoBCu+AGw1w1c/VilRzigvG/y5CTJwF98ucKTUO1c8QMAKITwAwAohPADACiE8AMAKITwAwAohPADACiE8AMAKITwAwAohPADACiE8AMAKITwAwAohPADAChE1Ybfm2++mREjRmTEiBHtrq9duzbXX399RowYkaFDh2bcuHF54IEH9vOUAAAdR9WG38yZM7NixYp219avX59LLrkkd955Z4YOHZrGxsa88cYbufrqq3P77bfv50kBADqGqgy/p59+OnPnzt3h+pw5c7Jo0aL85V/+Zf7mb/4mkydPzj333JMPfehD+epXv5rXXnttP04LANAxVF34NTc359prr81HPvKR1NfXt7vnjjvuSO/evTN+/PjWY/X19bn88suzYcOG3HvvvftrXACADqPqwm/WrFl5+eWXM2PGjNTU1LRZX7p0aVatWpVhw4alc+fO260NHz48STJ//vz9MisAQEdSVeG3cOHC/P3f/33+9E//NAMHDmx3z9KlS5MkRx11VJu1Pn36pK6uLkuWLNmXYwIAdEhVE36bN2/O1KlTM3DgwEycOHGH+9auXZsk6d69e7vr9fX1Wbdu3b4YEQCgQ+tSyT98xIgRO/xk7tsaGxszbdq03HLLLXnuuedy1113pWvXrjvcv2XLliRJbW1tu+u1tbXZsGHDex8aAKBKVTT8GhoasmbNmp3uGTJkSJ577rnMnj07F1xwQYYMGbLT/XV1dUmSTZs2tbu+adOmHHTQQe9tYACAKlbR8Js6deq77tm6dWvGjx+fww8/PJ///OffdX+PHj2SJE1NTe2uNzU15bDDDtu9QQEADgAVDb9d8bvf/S7PPPNMkuSEE05os75u3boMHjw4J510Um677bb0798/SbJ8+fI2e1955ZU0NzdnwIAB+3Rm9r6HHnoo8+bNq/QY7+r1119PkvTq1avCk7y7kSNHpqGhodJjALAfdfjw6969eyZNmtTu2q233pq6urpceOGFOeKII5Ik/fr1S79+/bJgwYJs27YtnTr9/8+vPPXUU0naD0jYG6op/AAoT1WE31VXXdXu2ne/+91218eMGZPZs2fn9ttvzwUXXJDkrVu8s2fPTrdu3XL22Wfv87nZuxoaGqri6tTkyZOTJF/+8pcrPAkAtNXhw++9mDhxYh588MHccMMNmT9/fo488sjMmzcvy5Yty3XXXZdDDz200iMCAOx3VfM9frujvr4+c+fOzbnnnpunn346d9xxR7p3756ZM2fmM5/5TKXHAwCoiKq+4vf000/vcK1379658cYb9+M0AAAd2wF5xQ8AgLaEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCG6VHoAKqumptITHFhOOumtv/r3uve1tFR6AoDqJ/xgL1q+fGSlRwCAHRJ+sBe9/HJDpUcAgB0SfrRyK42Oxi1zgL3LhzsAAAoh/AAACiH8AAAKIfwAAAoh/AAACiH8AAAKIfwAAAoh/AAACiH8AAAKIfwAAAoh/AAACiH8AAAKIfwAAAoh/AAACiH8AAAKIfwAAAoh/AAACiH8AAAKIfwAAAoh/AAACiH8AAAKIfwAAAoh/AAACiH8AAAKIfwAAAoh/AAACiH8AAAK0aXSA7xXb775Zj75yU8mSX72s5+1WZ8wYUIWLFjQ7rnTp0/P+eefv0/nAwDoaKo2/GbOnJkVK1bkiCOOaHd98eLFGTBgQEaPHt1m7bjjjtvX4wFQBR566KHMmzev0mO8qxdffDFJMnny5ApP8u5GjhyZhoaGSo/BDlRl+D399NOZO3fuDteXL1+epqamnHfeebnqqqv242QAsPf16tWr0iNwgKi68Gtubs61116bj3zkI1m8eHG7e94+Pnjw4P05GgBVpqGhwdUpilJ1H+6YNWtWXn755cyYMSM1NTXt7hF+AABtVVX4LVy4MH//93+fP/3TP83AgQN3uG/x4sWpqanJggULMnbs2Bx//PE59dRTc8MNN2TdunX7cWIAgI6jasJv8+bNmTp1agYOHJiJEyfudO/ixYvT0tKSm266KR/+8IfzqU99KoceemjmzJmTCRMmpKmpaT9NDQDQcVT0PX4jRozIihUrdrqnsbEx06ZNyy233JLnnnsud911V7p27brD/du2bUv37t1z7LHH5pZbbknfvn1bj0+fPj133XVXZs2alSlTpuzV5wIA0NFVNPwaGhqyZs2ane4ZMmRInnvuucyePTsXXHBBhgwZstP9nTp1yt13393u8WuuuSY//OEPc//99ws/AKA4FQ2/qVOnvuuerVu3Zvz48Tn88MPz+c9/fo/+vIMPPjj9+/fPs88+m+bm5tTV1e3R4wEAVJMO/3Uuv/vd7/LMM88kSU444YQ26+vWrcvgwYNz0kkn5bbbbssbb7yR559/Pr169cqAAQPa7N+4cWM6deqULl06/FMHANirOnz9dO/ePZMmTWp37dZbb01dXV0uvPDC1l/wWLRoUS666KKcfvrpmT179nb7X3nllSxfvjzHHntsOnfuvM9nrwb9+j2UD37wrW+tr4IvhKcwJ52ULF8+Mi+/7HvWAPaGqgi/Hf36xne/+90268OGDUufPn3y85//PPPnz8+JJ56YJNm0aVNmzJiRzZs3p7Gxcb/MDgDQkXT48NtdtbW1mTFjRiZNmpSLL744Z555Znr27JknnngiL7zwQkaPHp1x48ZVeswO4+WXG1qvpjz5ZIWHgXfYwXe0A/AeHXDhlySnn3565s6dm5tvvjmPPPJImpubM2DAgFx33XWZMGHCDn/xAwDgQFbV4ff000/vcO3444/Prbfeuh+nAQDo2KrmlzsAANgzwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQXSo9AB1HTU2lJ6h+/fo9lCR5+eWGCk8CAG0JP9iLPvjBeUmEHwAdk1u9AACFcMWvcC0tlZ7gwDJ58lt/ffLJys4BAO1xxQ8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEF0qPQDsioceeijz5s2r9Bjv6sUXX0ySTJ48ucKTvLuRI0emoaGh0mMAsB8JP9iLevXqVekRAGCHhB9VoaGhwdUpANhD3uMHAFAI4QcAUAjhBwBQCOEHAFAI4QcAUAjhBwBQCOEHAFAI4QcAUAjhBwBQCOEHAFAI4QcAUAjhBwBQCOEHAFCILpUeYHecdtppWblyZbtr3/rWt3Lqqae2/vPatWtz00035ZFHHslrr72WgQMH5tJLL81ZZ521v8YFAOhQqib81q5dm5UrV2bo0KE55ZRT2qwfffTRrX+/fv36XHLJJXn22Wdz5pln5gMf+EDmzZuXq6++OmvWrMlnPvOZ/Tk6AECHUDXht3jx4iTJJz7xiVxwwQU73TtnzpwsWrQo06ZNS2NjY5LkiiuuyPjx4/PVr341H//4x3PYYYft85kBADqSqnmP39vhN3jw4Hfde8cdd6R3794ZP35867H6+vpcfvnl2bBhQ+699959NicAQEd1wIXf0qVLs2rVqgwbNiydO3febm348OFJkvnz5++bIQEAOrCqCr+ePXvme9/7XkaPHp0hQ4bkjDPOyE033ZRNmza17lu6dGmS5KijjmrzGH369EldXV2WLFmyv8YGAOgwquI9ftu2bcvzzz+fDRs25Lvf/W7+y3/5Lxk+fHgef/zxfOMb38gvf/nLfPvb306XLl2ydu3aJEn37t3bfaz6+vqsW7dut/78rVu3JskOP1EMANBRvN0rb/fL76to+I0YMSIrVqzY6Z7GxsZcccUVOfroo9O9e/d84xvfaI265ubmfP7zn8/DDz+cO+64IxdccEG2bNmSJKmtrW338Wpra7Nhw4bdmnP16tWtswAAVIPVq1dv960nSYXDr6GhIWvWrNnpniFDhqR3797553/+5zZrdXV1ufbaa/Pwww/n/vvvzwUXXJC6urok2e727+/btGlTDjrooN2a87jjjsvcuXPTp0+fNu8bBADoSLZu3ZrVq1fnuOOOa7NW0fCbOnXqHj/GkUcemR49emT58uVJkh49eiRJmpqa2t3f1NS021/l0q1bt3z0ox/ds0EBAPaTd17pe1tVfLjjtddey9NPP53f/e53bdZaWlrS3NzceqWvf//+SdIagr/vlVdeSXNzcwYMGLBP5wUA6IiqIvwefvjhNDY25u/+7u/arC1cuDAbN25svZzZr1+/9OvXLwsWLMi2bdu22/vUU08lSU444YR9PzQAQAdTFeF3+umnp1u3bvmnf/qnvPjii63Hm5qacsMNNyRJJkyY0Hp8zJgxWblyZW6//fbt9s6ePTvdunXL2Wefvf+GBwDoIGpaWlpaKj3Errjzzjszffr0HHTQQTnrrLNSW1ubRx55JC+//HImTpyY//bf/lvr3qamppx77rlZsmRJRo4cmSOPPDLz5s3LsmXLct111/mtXgCgSFUTfkny6KOP5tvf/nYWLlyYlpaWDBo0KJ/97GfzyU9+ss3eV199NTNnzszDDz+cDRs25Jhjjsmf/MmfZPTo0RWYHACg8qoq/AAAeO+q4j1+AADsOeEHu2DLli35zne+k7POOqv1d6K/8Y1vZPPmzbt0/tq1a3P99ddnxIgRGTp0aMaNG5cHHnhgH08NVItVq1Zl2LBh+c53vrPL53hd4b0QfrALrr/++vzVX/1VevbsmQsuuCB9+/bNTTfdlC984Qvveu769etzySWX5M4778zQoUPT2NiYN954I1dfffV2nzwHyvTmm2/mqquu2uEPD7TH6wrvWQuwUwsWLGgZNGhQy1VXXdWybdu2lpaWlpZt27a1TJ48uWXQoEEtP/vZz3Z6/je/+c2WQYMGtdx+++2tx9atW9cyevTolqFDh7a8+uqr+3R+oONavnx5y9ixY1sGDRrUMmjQoJZ/+Id/2KXzvK7wXrniB+9i7ty5SZJJkyalpqYmSVJTU5M///M/T01NTb73ve/t9Pw77rgjvXv3zvjx41uP1dfX5/LLL8+GDRty77337rvhgQ7rO9/5Tj75yU/mN7/5Tf7jf/yPu3Wu1xXeK+EH7+Lpp59Or169MmjQoO2O9+3bN/3798/8+fN3eO7SpUtb37vTuXPn7daGDx+eJDs9HzhwzZkzJ0cccURuv/323fphAa8r7AnhBzuxadOmrFy5MkcddVS760cccUTeeOONrFmzpt31pUuXJkm75/fp0yd1dXVZsmTJXpsXqB7/43/8j9xzzz35yEc+slvneV1hTwg/2Im1a9cmSQ455JB2198+vm7dup2e371793bX6+vrd3gucGA75ZRT2lyx2xVeV9gTwg92YsuWLUmS2tradtffPt7c3Pyez9/RuQDt8brCnhB+sBPdunVLkh1+X9+mTZuSJO973/vaXa+rq9tuX3vnH3TQQXs6JlAQryvsCeEHO1FfX59OnTrt8Pu13r6dsqNbwT169EiSHZ7f1NSU+vr6vTApUAqvK+wJ4Qc7UVtbm379+mX58uXtri9fvjyHHnpoevbs2e56//79W/e90yuvvJLm5uYMGDBgb40LFMDrCntC+MG7GDZsWFavXp2XXnppu+OrVq3KkiVLMnTo0B2e269fv/Tr1y8LFizItm3btlt76qmnkiQnnHDC3h8aOGB5XWFPCD94F+ecc06S5G/+5m9aX2RbWloyc+bMJMmnP/3pnZ4/ZsyYrFy5crufUWpqasrs2bPTrVu33fr+LoDE6wrvXZdKDwAd3cknn5yzzjorDzzwQD796U9n+PDh+dd//dc8/fTTGTVqVP74j/+4de+sWbOSJFdddVXrsYkTJ+bBBx/MDTfckPnz5+fII4/MvHnzsmzZslx33XU59NBD9/dTAqqI1xX2ppqWlpaWSg8BHd3mzZtz66235gc/+EFWrVqVfv36ZcyYMZk4ceJ2X6kwePDgJMnixYu3O//VV1/NzJkz8/DDD2fDhg055phj8id/8icZPXr0fn0eQMf0/e9/P1OmTMmUKVNy0UUXbbfmdYW9SfgBABTCe/wAAAoh/AAACiH8AAAKIfwAAAoh/AAACiH8AAAKIfwAAAoh/AD2os9+9rMZPHhw3njjjUqPAtCG8AMAKITwAwAohPADAChEl0oPAFBN1qxZk9mzZ+ehhx7Kq6++mg984AM588wz87nPfS4HH3xwu+ds3rw5d9xxR+6///688MILaW5uTp8+fXLKKafkv/7X/5pDDz20de+//du/ZebMmXnmmWeyevXqHH744Tn11FNz5ZVXpk+fPru9D+D31bS0tLRUegiAarB69ep8+tOfzooVKzJ8+PD84R/+Yf7v//2/+Zd/+Zd87GMfy7e//e1cfPHFeeqppzJ//vx07949SfJnf/Zn+fGPf5xhw4Zl6NCh2bRpU37xi19kyZIlOe644/JP//RPSd6KynPPPTevv/56Ro0alcMPPzyLFy/Oo48+mmOOOSY//OEP07Vr113eB/BOrvgB7KKvfOUrWbFiRaZMmZKLLrqo9fi0adNy11135Wc/+1mbc371q1/lxz/+cT75yU/mq1/9auvxLVu2ZOzYsVm4cGFeeumlDBgwIA888EBefvnl3HjjjTn33HNb915//fWZO3duHn/88fzxH//xLu8DeCfv8QPYBZs2bcpPfvKT9O/ff7voS5LLLrssl19+ebu3WN///vfnr//6r/P5z39+u+NdunTJsGHDkiSvvfZakmTbtm1JkkWLFmXr1q2te6+++ur84he/aI25Xd0H8E5u9QLsgueffz6jR4/OOeecky996Us73PfZz362za3e5K0rfIsXL85LL72UpUuX5tlnn80TTzyRpqam3HbbbTnppJOyatWqjBkzJmvXrk2vXr3yn//zf86pp56a0047LT169Gh9rF3dB/BOrvgB7IJ///d/T5LU19fv9rn/+I//mNNPPz3jxo3LF77whfzDP/xDNmzYkIEDByZJ3v7/7759++Z//a//lXPPPTctLS2599578xd/8Rf5T//pP2XatGnZtGnTbu0DeCfv8QPYBW9/YvfNN99sd339+vU56KCD2hz/0Y9+lC9+8YsZPHhwvvjFL+YP//AP84EPfCBJ8sUvfjH/5//8n+32H3nkkbnxxhuzdevWLFy4MI899li+//3v56677sohhxySv/iLv9itfQC/zxU/gF0wYMCAdO3aNc8880ybtVWrVuWEE07Idddd12btvvvuS5J87WtfS0NDQ2v0JcmLL76Y5P9f8fvpT3+a6dOnp6mpKZ07d87QoUMzadKkzJ07N0myYMGC3doH8E7CD2AX1NXVZdSoUXnhhRdy9913b7c2e/bsJMnHPvaxds9LkldffXW74/fcc0+eeuqpJG+9/y95KwTvvPPO3HnnndvtXbFiRZKkX79+u7UP4J18uANgF61atSqf/vSn87vf/S6nnHJKPvShD+XXv/515s+fn4aGhnzjG99o8+GORx55JJdddlnq6+szevTo1NfX59e//nWeeuqpHHbYYXnttdfyta99LZ/4xCfy7//+7znvvPOydOnSnHrqqRk8eHBee+21PPjgg0mSO++8M3/wB3+wy/sA3kn4AeyG1atXZ9asWXn44YezZs2a9O3bN2PGjMkVV1yR2tradj/V+8ADD+Rb3/pWlixZkm7duuXII4/M2WefnRNOOCFjx47NmDFj8pWvfCXJW3H5zW9+M48//nhWrlyZ+vr6nHTSSZk0aVI+9KEPtc6xq/sAfp/wAwAohPf4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAU4v8BVGv2v7e74H4AAAAASUVORK5CYII=", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", - "output_type": "stream", - "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.941e-01 U_stat=7.000e+00\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.865e-01 U_stat=5.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.047e-01 U_stat=3.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.704e-02 U_stat=1.400e+01\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.186e-01 U_stat=1.200e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.320e-01 U_stat=1.500e+01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.485e-01 U_stat=1.300e+01\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.728e-01 U_stat=3.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.047e-01 U_stat=3.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.836e-01 U_stat=7.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.662e-01 U_stat=5.000e+00\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.171e-01 U_stat=1.700e+01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.485e-01 U_stat=1.300e+01\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.489e-01 U_stat=0.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.047e-01 U_stat=9.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.704e-02 U_stat=0.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.186e-01 U_stat=6.000e+00\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.210e-01 U_stat=1.800e+01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.485e-01 U_stat=8.000e+00\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.489e-01 U_stat=0.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.676e-01 U_stat=5.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.055e-01 U_stat=3.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.186e-01 U_stat=6.000e+00\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.246e-01 U_stat=1.200e+01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.197e-01 U_stat=9.000e+00\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.489e-01 U_stat=6.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.047e-01 U_stat=3.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.704e-02 U_stat=1.400e+01\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.973e-01 U_stat=9.000e+00\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.431e-01 U_stat=2.200e+01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.825e-02 U_stat=2.000e+00\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.489e-01 U_stat=6.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.433e-01 U_stat=2.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.877e-01 U_stat=1.200e+01\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.186e-01 U_stat=1.200e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.210e-01 U_stat=1.800e+01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.825e-02 U_stat=2.000e+00\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.728e-01 U_stat=3.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.171e-01 U_stat=4.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.704e-02 U_stat=0.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.985e-01 U_stat=7.000e+00\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.221e-03 U_stat=2.000e+00\n" - ] + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", - "output_type": "stream", - "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.104e-01 U_stat=4.200e+01\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.082e-03 U_stat=6.000e+01\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.890e-02 U_stat=0.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.689e-02 U_stat=1.500e+01\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.214e-01 U_stat=1.000e+02\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.101e-01 U_stat=1.500e+01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.181e-02 U_stat=2.300e+01\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.101e-01 U_stat=1.200e+01\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.273e-02 U_stat=2.100e+01\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.689e-02 U_stat=0.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.797e-01 U_stat=7.900e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.643e-01 U_stat=1.000e+01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.637e-01 U_stat=2.900e+01\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.082e-03 U_stat=0.000e+00\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.890e-02 U_stat=2.400e+01\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.689e-02 U_stat=0.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.214e-01 U_stat=1.000e+02\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.262e-01 U_stat=2.200e+01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.923e-01 U_stat=3.400e+01\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.636e-01 U_stat=3.100e+01\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.750e-01 U_stat=1.600e+01\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.510e-01 U_stat=5.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.468e-01 U_stat=9.900e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:5.101e-01 U_stat=1.500e+01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.623e-01 U_stat=3.300e+01\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.082e-03 U_stat=6.000e+01\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.890e-02 U_stat=0.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.689e-02 U_stat=1.500e+01\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.988e-01 U_stat=7.700e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.134e-01 U_stat=1.100e+01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.729e-01 U_stat=5.000e+01\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.571e-01 U_stat=4.600e+01\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.583e-01 U_stat=7.000e+00\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.656e-01 U_stat=9.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.988e-01 U_stat=7.700e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.417e-01 U_stat=2.100e+01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.345e-01 U_stat=3.200e+01\n", - "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.195e-01 U_stat=2.700e+01\n", - "2.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.187e-01 U_stat=1.200e+01\n", - "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.689e-02 U_stat=0.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.014e-01 U_stat=6.300e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.134e-01 U_stat=1.100e+01\n" - ] + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", - "output_type": "stream", - "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.616e-01 U_stat=6.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.961e-01 U_stat=7.000e+00\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.865e-01 U_stat=1.000e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.616e-01 U_stat=6.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.953e-01 U_stat=6.000e+00\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.865e-01 U_stat=1.000e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:9.187e-01 U_stat=1.300e+01\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.961e-01 U_stat=8.000e+00\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.728e-01 U_stat=4.000e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.750e-01 U_stat=8.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.608e-01 U_stat=1.200e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.728e-01 U_stat=2.000e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.595e-01 U_stat=1.000e+01\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.953e-01 U_stat=6.000e+00\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.728e-01 U_stat=2.000e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.273e-02 U_stat=3.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.400e-01 U_stat=1.300e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.728e-01 U_stat=2.000e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.750e-01 U_stat=8.000e+00\n", - "1.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.953e-01 U_stat=1.000e+01\n", - "0.0 vs. 3.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.728e-01 U_stat=3.000e+00\n" - ] + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" - } - ], - "source": [ - "\n", - "for cond_i in conds_exc:\n", - " fig,ax = plt.subplots(2,4,figsize=[20,10])\n", - " for i,val in enumerate(['Vm_avg', 'resistance','mi', 'thr', 'isi', 'sub_thr','imp']):\n", - " if i<4:\n", - " plot_significance_new(data = df_exc_for_sig[df_exc_for_sig.cond==cond_i],var = val,hue = 'class',ax = ax[0,i])\n", - " else:\n", - " plot_significance_new(data = df_exc_for_sig[df_exc_for_sig.cond==cond_i],var = val,hue = 'class',ax = ax[1,i-4])\n", - " plt.title('comparison for '+cond_i)\n", - " plt.show()\n" - ] - }, - { - "cell_type": "code", - "execution_count": 174, - "metadata": {}, - "outputs": [ - { - "name": "stdout", - "output_type": "stream", - "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_agoanta: t-test independent samples, P_val:3.185e-01 t=-1.000e+00\n", - "0.0_acsf vs. 0.0_agoanta: t-test independent samples, P_val:8.163e-01 t=-2.328e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_agoanta: t-test independent samples, P_val:3.126e-01 t=1.012e+00\n", - "0.0_acsf vs. 0.0_agoanta: t-test independent samples, P_val:8.256e-01 t=2.208e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_agoanta: t-test independent samples, P_val:9.946e-02 t=1.655e+00\n", - "0.0_acsf vs. 0.0_agoanta: t-test independent samples, P_val:8.015e-01 t=-2.520e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_agoanta: t-test independent samples, P_val:9.493e-01 t=-6.370e-02\n", - "0.0_acsf vs. 0.0_agoanta: t-test independent samples, P_val:6.497e-01 t=4.553e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_agoanta: t-test independent samples, P_val:3.596e-01 t=-9.182e-01\n", - "0.0_acsf vs. 0.0_agoanta: t-test independent samples, P_val:8.584e-01 t=-1.788e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_agoanta: t-test independent samples, P_val:5.371e-01 t=-6.183e-01\n", - "0.0_acsf vs. 0.0_agoanta: t-test independent samples, P_val:1.527e-01 t=1.439e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_agoanta: t-test independent samples, P_val:3.463e-01 t=-9.442e-01\n", - "0.0_acsf vs. 0.0_agoanta: t-test independent samples, P_val:1.496e-01 t=-1.450e+00\n" - ] }, { "data": { - "image/png": "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", + "image/png": "iVBORw0KGgoAAAANSUhEUgAAApQAAAJhCAYAAAD/kCCWAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAABOTElEQVR4nO3df1xVdb7v8Teg/EhApCgTMczCH5GpJEhMpaMezQJR8QeliXaThqQpjemUM5A2/TjNGS1rsh+O4xFNUUAuYmppUWkE5JilqajBTRz1kgqBP0DY+/7h3XvcslVoqZstr+fjcR66v+uz1v6u3ZrOu+93fddyMZvNZgEAAAC/kqujOwAAAADnRqAEAACAIQRKAAAAGEKgBAAAgCEESgAAABjSxtEdaK1Onz6tHTt2KCAgQG5ubo7uDgAAwAU1NDSooqJCoaGh8vT0bLSdQOkgO3bs0COPPOLobgAAADTZsmXLdPfddzdqJ1A6SEBAgKSz/2A6duzo4N4AAABc2OHDh/XII49Y88v5CJQOYpnm7tixozp37uzg3gAAAFzahW7TY1EOAAAADCFQAgAAwBACJQAAAAwhUAIAAMCQFhEoKyoqlJqaqvvvv1+hoaGKiorSs88+qwMHDjSqzcnJUWxsrPr06aP77rtPr776qk6cOGH3uPn5+Ro/frz69u2ryMhIvfDCCzp69Kjd2m3btikhIUH9+/dXeHi4nnrqKbvfL0n79u1TUlKSIiMjFRYWpscee0w7d+789T8AAACAE3N4oKyoqNDYsWOVkZGhbt26adKkSbrzzjuVl5enuLg4lZWVWWvfe+89PffcczKZTJo4caJ69OihxYsX67HHHlNdXZ3NcfPy8pSYmKijR48qPj5eAwYM0OrVqzVhwgT98ssvNrVFRUWaNGmS9u7dq1GjRmnw4MH67LPPFBcXp/Lycpva/fv3Kz4+XoWFhRo2bJhiYmL07bffKj4+Xt99990V+50AAABaLLOD/elPfzKHhISYFy1aZNOek5NjDgkJMScmJprNZrO5vLzc3KtXL/P48ePNdXV11ro33njDHBISYk5PT7e21dTUmPv3728ePHiwubq62tq+atUqc0hIiPm1116ztjU0NJiHDRtmvvvuu82HDh2ytn/11Vfm7t27m5OTk236NWXKFHOvXr3MP/zwg7Vtz5495rvuuss8evToJp/3gQMHzCEhIeYDBw40eR8AAABHuFRucfgI5caNG+Xv76/JkyfbtI8cOVJdunTR5s2bZTKZtHLlStXX1ysxMVFt27a11j3xxBPy9vbWqlWrrG1r165VVVWVEhIS5O3tbW2Pi4tT165dlZ2drYaGBklSQUGBSktLFRcXZ/OA8cjISEVFRWnjxo06fvy4JKmsrExbtmzR4MGD1bNnT2ttSEiIYmJitGPHDu3atevy/kAAAAAtnEMDZUNDgxITEzV9+nS5ujbuiru7u86cOaP6+noVFxdLksLDw21qPDw81KdPH+3evVvV1dWSZK2NiIhodMzw8HBVVlZq7969l6yNiIhQQ0ODtm7d2qRa6ez0OQAAQGvi0DfluLm5NRqZtNi/f79+/PFHdenSRe7u7vrpp590ww03qF27do1qAwMDJUmlpaXq3bu3dTFNUFBQo1rLW2lKS0vVo0ePi9Zajmu5j7M5tQAAAK2Fw6e87TGZTHrppZdkMpk0btw4SVJlZaV8fHzs1lvaa2pqJEnHjx+Xu7u7PD09G9VapsAttZWVlZIkX1/fC9ZaRj4vVmvpg6UWAACgtWhxgdJsNis1NVUFBQUKDQ21jmDW19fL3d3d7j6W9tra2mbXnjlzxqbdXq1lBXlzagEAAFqLFhUo6+vr9cILL2jVqlUKCgrSO++8Yw1qnp6e1kB3PkuI8/Ly+lW1kuzWG6kFAABoLVpMoDx16pSSkpKUnZ2t4OBgLVmyRDfddJN1u6+v7wWnky3tlmlnX19f1dbW2h0ttEx1n1t77jF+be35fQAAAGgtWkSgrKqq0uTJk/X555+rV69e+vDDD9WpUyebmuDgYB09elSnT59utP/Bgwfl6uqqW265xVorqdFDyc9t69q1a7NrLX82pRYAAKC1cHigrK2tVWJiorZv367w8HClp6fr+uuvb1QXFhYmk8mkb775ptH+3377rW677TbrIpqwsDBJ/37Mz7kKCwvl4+Ojbt26XbK2qKhIrq6u6t27d5NqJalPnz5NOm8AAIBrhcMD5dy5c7Vt2zb17dtXH3zwgc2DyM/10EMPyc3NTW+//bbNVPa7776rmpoajR8/3to2ZMgQtWvXTgsXLrSuzJakzMxMlZWVaezYsdbnXoaHh6tTp07KyMiwGXksKCjQli1bNHToUPn7+0s6+7igfv36acOGDfr++++ttSUlJcrNzVVoaKjuuOOOy/K7AAAAOAsXs9lsdtSXV1RUaNCgQTpz5ozGjBmjm2++2W7dtGnT5OHhof/+7//WBx98oG7dumnQoEHat2+f8vPz1a9fP/3P//yPzerr5cuX68UXX9TNN9+sBx54QEeOHNG6devUpUsXZWRkyM/Pz1qbn5+vpKQk+fj4KDo6WidPntSaNWvk7e2tlStX2jx3cseOHZo4caJcXFwUHR0tNzc35ebmqr6+Xunp6dbRzEspLy/X4MGDtWnTJuuzMQEAAFqiS+UWhwbKjRs36sknn7xkXXFxsXx9fWU2m/Xhhx/qww8/1E8//aSAgAANHTpU06dPt7sY5qOPPtLChQu1b98+tW/fXr/5zW/0zDPP6MYbb2xU+9VXX+ntt9/WDz/8oOuuu0533323ZsyYYb3H8lw7d+7U3Llz9c9//lNt27bVnXfeqaefflp33nlnk8+dQAngcigsLNS8efMUFBSkvXv3qq6uTqmpqWrTpo1ee+01mUwmSVJiYqKGDRvm4N4CcFYtOlC2ZgRKAJdDYWGhpkyZoqysLPXs2VOLFi3Sp59+Kjc3N40bN04PPvigdu/erYyMDKWlpTm6uwCc1KVyi8PvoQQAGNOpUyf17NlTktSrVy9VVVXpgQce0Jw5czRz5kzt3LlTM2bMcHAvAVzLCJQA4OTOfc2si4uLzGazJkyYoNzcXEVFRWnz5s2KiYnh1bAArhgCJQBcgyZMmKBdu3Zp9OjReumll/TLL7+oqqrK0d0CcI1q4+gOAAAuv2effVavvPKK3njjDbm6umr69Oncrw3giiFQAoATi4iIUF5ent3P2dnZjuoWgFaGKW8AAAAYwgglWo3s7GytX7/e0d0AAFwGw4cP1+jRox3dDfx/jFCi1Vi/fr1KSkoc3Q0AgEElJSUMELQwjFCiVQkJCdH777/v6G4AAAyYNm2ao7uA8zBCCQAAAEMIlAAAADCEQAkAAABDCJQAAAAwhEAJAAAAQwiUAAAAMITHBqHViImJcXQXAACXAf8+b3kIlGg1HnroIUd3AQBwGfDv85aHKW8AAAAYQqAEAACAIQRKAAAAGEKgBAAAgCEESgAAABhCoAQAAIAhBEoAAAAYQqAEAACAITzYHACAZigsLNS8efMUFBSkvXv3qq6uTqmpqWrTpo1ee+01mUwmSVJiYqKGDRvm4N4CVweBEgCAZvruu++Ulpamnj17atGiRXr77bfl5uamKVOm6MEHH9Tu3buVkZFBoESrwZQ3AADN1KlTJ/Xs2VOS1KtXL1VVVemBBx7QnDlzNHPmTO3cuVMzZsxwcC+Bq4dACQBAM3l6elr/7uLiIrPZrAkTJig3N1dRUVHavHmzYmJiVF1d7cBeAlcPgRIAgMtgwoQJ2rVrl0aPHq2XXnpJv/zyi6qqqhzdLeCq4B5KAAAug2effVavvPKK3njjDbm6umr69Onq3Lmzo7sFXBUESgAAmiEiIkJ5eXl2P2dnZzuqW4BDESgB4BqSnZ2t9evXO7obwBU3fPhwjR492tHdwP/HPZQAcA1Zv369SkpKHN0N4IoqKSnhP5xaGEYoAeAaExISovfff9/R3QCumGnTpjm6CzgPI5QAAAAwhEAJAAAAQwiUAAAAMIRACQAAAEMIlAAAADCEQAkAAABDCJQAAAAwhOdQAsA1JCYmxtFdAK44rvOWh0AJANeQhx56yNFdAK44rvOWhylvAAAAGEKgBAAAgCEESgAAABhCoAQAAIAhBEoAAAAYQqAEAACAIQRKAAAAGEKgBAAAgCEt6sHmR44c0YgRI5ScnKyEhARr+29/+1sdPHjwovu++uqrGj16tCSpoKDAZv9z3XDDDdqyZYtN27Zt2/Tmm29q586dcnFx0YABA5SSkqKgoKBG++/bt09z587Vtm3bVFdXpz59+mjGjBm64447mneywDWosLBQ8+bNU1BQkPbu3au6ujqlpqaqTZs2eu2112QymSRJiYmJGjZsmIN7CwC4XFpMoDxx4oSSk5NVU1PTaNujjz6q6urqRu2nT5/WokWL5OHhoTvvvNPavnv3bknS+PHjFRAQYLPPddddZ/O5qKhIU6dOVfv27TVq1ChVV1crLy9PhYWFysrKUufOna21+/fvV3x8vEwmk6Kjo+Xi4qLc3FzFx8dr6dKl6t27t6HfALgWfPfdd0pLS1PPnj21aNEivf3223Jzc9OUKVP04IMPavfu3crIyCBQAsA1pEUEyoMHDyo5OVk7d+60u/1Co41//vOfZTKZNGvWLN1+++3W9j179kiS/vCHP8jb2/uC32symZSamiovLy9lZWWpY8eOks6+I3TKlCl6/fXXNX/+fGv9yy+/rJMnTyozM1M9e/aUJMXHx2vcuHGaPXu2srKymnXewLWoU6dO1v999OrVS6tXr9YjjzyiOXPm6NNPP9U999yjGTNmOLiXAIDLyeH3UC5evFjR0dHavXu3BgwY0OT9vvnmGy1dulRRUVEaO3aszbY9e/YoMDDwomFSOjs1Xlpaqri4OGuYlKTIyEhFRUVp48aNOn78uCSprKxMW7Zs0eDBg63/z1KSQkJCFBMTox07dmjXrl1N7j9wrfL09LT+3cXFRWazWRMmTFBubq6ioqK0efNmxcTE2J11AAA4J4cHyiVLligwMFBLly7VyJEjm7zff/3Xf8nNzU1//OMfbdobGhq0b98+hYSEXPIYxcXFkqSIiIhG2yIiItTQ0KCtW7c2qVY6O30OoLEJEyZo165dGj16tF566SX98ssvqqqqcnS3AACXicOnvGfPnq177rlHbm5uKisra9I+H3/8sb777juNGzdOt956q8220tJS1dXVycPDQykpKfr666/1yy+/qFevXvrd736n++67z1p74MABSbK7+CYwMFCSrH1qTi0AW88++6xeeeUVvfHGG3J1ddX06dNt7k8GADg3hwfKe++9t9n7/OMf/5Crq6see+yxRtss90+uX79e/fr1U3R0tI4cOaKNGzdq2rRp+vOf/6y4uDhJUmVlpSTJ19e30XEs0+WWabmL1fr4+NjUAq1VRESE8vLy7H7Ozs52VLcAAFeYwwNlc/3www/65z//qf/4j/9QcHBwo+2nT59Wly5dNHbsWE2bNs3avm/fPo0fP14vvfSS7r//fgUEBOjMmTOSJHd390bHsbTV1dVJUrNq0TJlZ2dr/fr1ju4GcMUNHz7c+hg1ALgaHH4PZXPl5ORIksaNG2d3+5gxY/TJJ5/YhElJuu222zR58mSdPn1amzZtkvTvxQOWsHguSzj08vJqdi1apvXr16ukpMTR3QCuqJKSEv7DCcBV53QjlJ999pn8/PwUGRnZ7H179eolSSovL5f07+nr6upq3XDDDTa1ludhWqazz609n6XNUouWKyQkRO+//76juwFcMef/xzQAXA1ONUL5448/6qefftJvf/tbtWljPwvv27dPX331lcxmc6NttbW1kiQPDw9Jsk6ZWwLmuSxtXbt2tfmzKbUAAACtiVMFyu3bt0uSwsLCLliTlpamKVOm6Icffmi0zfIIoNDQUJvjWB4JdK6ioiK5urpa335zqVpJ6tOnT1NPBQAA4JrhVIHSEhIv9t7s4cOHS5LeeOMN1dfXW9u3bt2qlStXqkuXLtaV5eHh4erUqZMyMjJsRh4LCgq0ZcsWDR06VP7+/pLOPi6oX79+2rBhg77//ntrbUlJiXJzcxUaGsr7vAEAQKvkVPdQWp4FeeONN16wZsKECdqwYYO++OILxcbG6je/+Y0OHTqkTZs2qW3btvrrX/9qnS53c3NTWlqakpKSNGbMGEVHR+vkyZNas2aNOnTooJSUFJtjz5o1SxMnTtSjjz6q6Ohoubm5KTc3V2azWWlpaVfuxAEAAFowpxqhtDwL8mKLX9q2batFixZp+vTpOnPmjJYuXarCwkINHTpU2dnZ1ilsi4EDB2rhwoXq1q2bMjMzlZ+fr0GDBmn58uWNHmIeGhqqZcuWqV+/flqzZo3Wrl2rPn36aOnSpY2OCwAA0Fq0qBHK0aNHX/TZaStWrGjScdzd3ZWcnKzk5OQm1d9zzz265557mlR7xx136O9//3uTagEAAFoDpxqhBAAAQMvTokYogSspJibG0V0ArjiucwCOQKBEq/HQQw85ugvAFcd1DsARmPIGAACAIQRKAAAAGEKgBAAAgCEESgAAABhCoAQAAIAhBEoAAAAYQqAEAACAIQRKAAAAGEKgBAAAgCEESgAAABhCoAQAAIAhBEoAAAAYQqAEAACAIQRKAAAAGEKgBAAAgCEESgAAABhCoAQAAIAhBEoAAAAYQqAEAACAIQRKAAAAGEKgBAAAgCEESgAAABhCoAQAAIAhBEoAAAAY0sbRHQCAa1lOTo6ysrKu2vf9/PPPkqQbbrjhqn3nmDFjFBsbe9W+D0DLQ6AEgGtIRUWFpKsbKAGAQAkAV1BsbOxVHb2bNGmSJCk9Pf2qfScAcA8lAAAADCFQAgAAwBACJQAAAAwhUAIAAMAQAiUAAAAMIVACAADAEAIlAAAADCFQAgAAwBACJQAAAAwhUAIAAMAQAiUAAAAMIVACAADAEAIlAAAADCFQAgAAwBACJQAAAAwhUAIAAMAQAiUAAAAMIVACAADAEAIlAAAADCFQAgAAwBACJQAAAAxpUYHyyJEjCgsL0+LFixttW7Vqlbp37273/8aNG9eoPj8/X+PHj1ffvn0VGRmpF154QUePHrX7vdu2bVNCQoL69++v8PBwPfXUUzpw4IDd2n379ikpKUmRkZEKCwvTY489pp07dxo6bwAAAGfWxtEdsDhx4oSSk5NVU1Njd/uePXskSY8//rg8PDxstnXs2NHmc15enmbOnKmgoCDFx8fr0KFDWr16tYqLi5WVlSVfX19rbVFRkaZOnar27dtr1KhRqq6uVl5engoLC5WVlaXOnTtba/fv36/4+HiZTCZFR0fLxcVFubm5io+P19KlS9W7d+/L9XMAAAA4jRYRKA8ePKjk5OSLjvTt2bNHfn5+evbZZy96rBMnTmjOnDkKCgpSTk6OvL29JUlRUVGaNWuWFixYoOeee06SZDKZlJqaKi8vL2VlZVmDaUxMjKZMmaLXX39d8+fPtx775Zdf1smTJ5WZmamePXtKkuLj4zVu3DjNnj1bWVlZhn4HAAAAZ+TwKe/FixcrOjpau3fv1oABAy5YV1JSopCQkEseb+3ataqqqlJCQoI1TEpSXFycunbtquzsbDU0NEiSCgoKVFpaqri4OJtRzsjISEVFRWnjxo06fvy4JKmsrExbtmzR4MGDrWFSkkJCQhQTE6MdO3Zo165dzT5/AAAAZ+fwQLlkyRIFBgZq6dKlGjlypN2aw4cPq7KyUt27d7/k8YqLiyVJERERjbaFh4ersrJSe/fuvWRtRESEGhoatHXr1ibVSmenzwEAAFobhwfK2bNnKycnR/369btgjeX+yTNnzlgXxPTt21ePPfaYvvvuO5tay2KaoKCgRsex3A9ZWlp6ydrAwEBJZ0cmm1sLAADQmjg8UN57771yc3O7aI0lUK5YsUK1tbUaPXq0oqKiVFBQoIcfflhffvmltfb48eNyd3eXp6dno+NYpsAtC38qKyslyWaRzvm11dXVl6z18fGxqQUAAGhNWsSinEsxmUwKDAzU008/rZiYGGt7UVGREhIS9Pzzz2vTpk3y8PBQfX293N3d7R7H0l5bWyvp7Ijnue32auvq6ppdCwAA0Jo4fISyKZ544gl9+umnNmFSOntPZHR0tCoqKqz3L3p6elrD3/ksgc/Ly8taK8luvZFaAACA1sQpAuXF9OrVS5JUXl4u6eyUdG1trd3RQstUt2WK2jJ9bW+qujm1ljZLLQAAQGviFIFy586d1lXW57NMX1sedh4cHCzp3wHzXJa2rl27NrvW8mdTagEAAFoTpwiUTz75pB599FEdO3as0TbLY31CQ0MlSWFhYZJkN4AWFhbKx8dH3bp1u2RtUVGRXF1drW+/uVStJPXp06dZ5wUAAHAtcIpAOXz4cJlMJs2bN09ms9navm7dOuXn56t///7Wh54PGTJE7dq108KFC60rsyUpMzNTZWVlGjt2rFxdz552eHi4OnXqpIyMDJuRx4KCAm3ZskVDhw6Vv7+/pLOPC+rXr582bNig77//3lpbUlKi3NxchYaG6o477riSPwMAAECL5BSrvJOSkvTFF19o5cqV2rNnj8LCwlRaWqr8/HwFBATo1Vdftdb6+fkpJSVFL774omJjY/XAAw/oyJEjWrdunYKDg5WYmGitdXNzU1pampKSkjRmzBhFR0fr5MmTWrNmjTp06KCUlBSbfsyaNUsTJ07Uo48+qujoaLm5uSk3N1dms1lpaWlX7fcAAABoSZxihNLX11crVqzQ5MmTVVFRofT0dO3YsUNxcXHKzs5u9LDx+Ph4zZs3T/7+/lq2bJmKi4sVGxur9PR0+fn52dQOHDhQCxcuVLdu3ZSZman8/HwNGjRIy5cvb3Tc0NBQLVu2TP369dOaNWu0du1a9enTR0uXLrVOjQMAALQ2LuZz55Bx1ZSXl2vw4MHatGmT9Q0+AGDUpEmTJEnp6ekO7gmAa8mlcotTjFACAACg5SJQAgAAwBACJQAAAAwhUAIAAMAQAiUAAAAMIVACAADAEAIlAAAADCFQAgAAwBACJQAAAAwhUAIAAMAQAiUAAAAMIVACAADAEAIlAAAADCFQAgAAwBACJQAAAAwhUAIAAMAQAiUAAAAMIVACAADAEAIlAAAADCFQAgAAwBACJQAAAAwhUAIAAMAQAiUAAAAMIVACAADAEAIlAAAADCFQAgAAwBACJQAAAAwhUAIAAMAQAiUAAAAMIVACAADAEAIlAAAADCFQAgAAwBACJQAAAAwhUAIAAMAQAiUAAAAMIVACAADAEAIlAAAADCFQAgAAwBACJQAAAAwhUAIAAMAQAiUAAAAMIVACAADAEAIlAAAADCFQAgAAwBACJQAAAAwhUAIAAMAQAiUAAAAMIVACAADAEAIlAAAADCFQAgAAwBACJQAAAAwhUAIAAMCQNo7uwLmOHDmiESNGKDk5WQkJCTbbampq9M477+iTTz7RoUOH1K5dO4WFhSk5OVk9e/a0qS0oKGi0v8UNN9ygLVu22LRt27ZNb775pnbu3CkXFxcNGDBAKSkpCgoKarT/vn37NHfuXG3btk11dXXq06ePZsyYoTvuuMPQuQO4evYH3OvoLlwxp3yOS7q2z9GiW8WXju4CgP+vxQTKEydOKDk5WTU1NY22nTp1So888oh2796tvn37asiQITp8+LA+/vhjbd68Wf/4xz8UFhZmrd+9e7ckafz48QoICLA51nXXXWfzuaioSFOnTlX79u01atQoVVdXKy8vT4WFhcrKylLnzp2ttfv371d8fLxMJpOio6Pl4uKi3NxcxcfHa+nSperdu/fl/EkAoNn+o9bT0V0A0Aq1iEB58OBBJScna+fOnXa3p6ena/fu3Zo0aZL++Mc/WtuLioqUkJCgF198UWvWrLG279mzR5L0hz/8Qd7e3hf8XpPJpNTUVHl5eSkrK0sdO3aUJMXExGjKlCl6/fXXNX/+fGv9yy+/rJMnTyozM9M6KhofH69x48Zp9uzZysrK+vU/AgBcBoPrvBzdBQCtkMMD5eLFizV//nydPn1aAwYM0Ndff92o5uOPP5aLi4uefvppm/bw8HCFh4eroKBAR44c0U033STpbKAMDAy8aJiUzk6Nl5aWaurUqdYwKUmRkZGKiorSxo0bdfz4cXXo0EFlZWXasmWLhg0bZjPFHhISopiYGGVkZGjXrl2Npt8BtGxMmzqX1jCVDzgjhy/KWbJkiQIDA7V06VKNHDnSbs2ECRP0zDPP2A2I7u7uks5OmUtSQ0OD9u3bp5CQkEt+d3FxsSQpIiKi0baIiAg1NDRo69atTaqVzo6YAgAAtDYOH6GcPXu27rnnHrm5uamsrMxuTVxcnN32Y8eO6ZtvvtF1111nvdextLRUdXV18vDwUEpKir7++mv98ssv6tWrl373u9/pvvvus+5/4MABSbK7+CYwMFCSrH1qTi0AAEBr4vARynvvvVdubm6/at+//OUvOnHihEaOHGkdqbTcP7l+/XqVl5crOjpaQ4YM0Q8//KBp06YpMzPTun9lZaUkydfXt9GxLaOh1dXVl6z18fGxqQUAAGhNHD5C+Wu98847ys7OVmBgoJ555hlr++nTp9WlSxeNHTtW06ZNs7bv27dP48eP10svvaT7779fAQEBOnPmjKR/T5ufy9JWV1cnSc2qBQAAaE0cPkL5a7z55pt688035efnp/fee0/t27e3bhszZow++eQTmzApSbfddpsmT56s06dPa9OmTZIkT8+zj9ewhMVzWcKhl5dXs2sBAABaE6cKlA0NDZo1a5beeecdXX/99fqf//kf3X777U3ev1evXpKk8vJySf+evrY3VW15HqZlOvtitZY2Sy0AAEBr4jSBsq6uTk8++aQyMzMVGBioDz/8UD169GhUt2/fPn311Vcym82NttXW1kqSPDw8JEnBwcGS/h0wz2Vp69q1q82fTakFAABoTZwiUJrNZs2cOVOfffaZbr/9di1fvtwaBs+XlpamKVOm6Icffmi0zfIIoNDQUEmyvl3H8kigcxUVFcnV1dX69ptL1UpSnz59mndiAAAA1wCnCJTp6en6+OOPdcstt2jJkiXWB5jbM3z4cEnSG2+8ofr6emv71q1btXLlSnXp0kX33nv2wbjh4eHq1KmTMjIybEYeCwoKtGXLFg0dOlT+/v6Szj4uqF+/ftqwYYO+//57a21JSYlyc3MVGhrK+7wBAECr1OJXedfV1emdd96RJHXv3l3Lli2zWzdhwgQFBARowoQJ2rBhg7744gvFxsbqN7/5jQ4dOqRNmzapbdu2+utf/6o2bc6etpubm9LS0pSUlKQxY8YoOjpaJ0+e1Jo1a9ShQwelpKTYfMesWbM0ceJEPfroo4qOjpabm5tyc3NlNpuVlpZ2ZX8IAACAFqrFB8r9+/fr+PHjks6+gvHjjz+2WzdkyBAFBASobdu2WrRokd577z3l5eVp6dKl8vb21tChQ/XUU081us9x4MCBWrhwod5++21lZmbquuuu06BBgzRjxoxGDzEPDQ3VsmXLNHfuXK1Zs0Zt27ZVnz599PTTT+vOO++8Mj8AAABAC+ditrd6BVdceXm5Bg8erE2bNlnf8gPg6jj3fdC8y9u58M8OcIxL5RanuIcSAAAALReBEgAAAIYQKAEAAGAIgRIAAACGECgBAABgCIESAAAAhhAoAQAAYAiBEgAAAIYQKAEAAGAIgRIAAACGECgBAABgCIESAAAAhhAoAQAAYAiBEgAAAIYQKAEAAGAIgRIAAACGECgBAABgCIESAAAAhhAoAQAAYAiBEgAAAIYQKAEAAGAIgRIAAACGECgBAABgCIESAAAAhhAoAQAAYAiBEgAAAIYQKAEAAGAIgRIAAACGECgBAABgCIESAAAAhhAoAQAAYAiBEgAAAIYQKAEAAGAIgRIAAACGECgBAABgCIESAAAAhhAoAQAAYAiBEgAAAIYQKAEAAGAIgRIAAACGtLlUwfTp0zVixAiNGDHC+rkpXFxc9NZbbxnrHQAAAFq8SwbKjRs3qkePHjafm8LFxeXX9woAAABO45KBctOmTfL19bX5DAAAAFhcMlAGBgZe9DMAAABat0sGygvZv3+/unXrZv28YsUKffPNNwoMDNTEiRMVEBBwWToIAACAlq3ZgbKmpkbTp09XYWGhCgoK5Ofnp3nz5un999+X2WyWJK1evVorV65Ux44dL3uHAQAA0LI0+7FB7733nr7++mvdf//9kqRTp05pyZIl8vf319KlS/Xaa6/p2LFj+tvf/nbZOwsAAICWp9kjlB9//LH69++vd999V9LZVd+nTp3SxIkTdffdd+vuu+/Wl19+qS+++OKydxYAAAAtT7NHKA8dOqS+fftaP3/xxRdycXHRfffdZ20LDAzU8ePHL08PAQAA0KI1O1C2b99eVVVV1s9ffPGFvLy8bEJmWVkZi3IAAABaiWYHyl69emn9+vUqLi7W3//+dx0+fFgDBw5UmzZnZ8/Xr1+vTZs2KSws7LJ3FgAAAC1Ps++hnD59uqZOnapHH31UZrNZHh4eSkxMlCT9+c9/1tKlS+Xn56ekpKTL3lkAAAC0PM0eobzzzju1atUqJSQkKCEhQRkZGerevbskKTQ0VPHx8crKylJwcHCzO3PkyBGFhYVp8eLFdrfn5OQoNjZWffr00X333adXX31VJ06csFubn5+v8ePHq2/fvoqMjNQLL7ygo0eP2q3dtm2bEhIS1L9/f4WHh+upp57SgQMH7Nbu27dPSUlJioyMVFhYmB577DHt3Lmz2ecKAABwrbjkCOXu3bsVEBCg66+/3vpZkkaOHGlTI0k9evRQjx49VF1drd27d9u8A/xSTpw4oeTkZNXU1Njd/t5772nu3Lnq3r27Jk6cqJKSEi1evFjbt2/XkiVL5O7ubq3Ny8vTzJkzFRQUpPj4eB06dEirV69WcXGxsrKybF4lWVRUpKlTp6p9+/YaNWqUqqurlZeXp8LCQmVlZalz587W2v379ys+Pl4mk0nR0dFycXFRbm6u4uPjtXTpUvXu3bvJ5wsAAHCtuGSgjI2N1fTp0zV9+nTrZxcXlyYdfNeuXU2qO3jwoJKTky840nfw4EHNnz9fffv2VXp6utq2bStJevPNN/XOO+9o5cqVmjhxoqSzwXTOnDkKCgpSTk6OvL29JUlRUVGaNWuWFixYoOeee06SZDKZlJqaKi8vL2VlZVkfxB4TE6MpU6bo9ddf1/z58639ePnll3Xy5EllZmaqZ8+ekqT4+HiNGzdOs2fPVlZWVpPOFwAA4FpyyUA5atQoa3iSmhcom2Lx4sWaP3++Tp8+rQEDBujrr79uVLNy5UrV19crMTHRGiYl6YknntCSJUu0atUqa6Bcu3atqqqq9NRTT1nDpCTFxcVp4cKFys7O1rPPPis3NzcVFBSotLRUU6dOtXmrT2RkpKKiorRx40YdP35cHTp0UFlZmbZs2aJhw4bZ/B4hISGKiYlRRkaGdu3aZbMNAACgNbhkoHz11VdtPr/22muXtQNLlixRYGCgZs+erbKyMruBsri4WJIUHh5u0+7h4aE+ffpo8+bNqq6ulo+Pj7U2IiKi0XHCw8OVkZGhvXv3qkePHhetjYiI0ObNm7V161YNGTLkkrUZGRkqKioiUAIAgFan2YtyLrfZs2crJydH/fr1u2DNTz/9pBtuuEHt2rVrtC0wMFCSVFpaKknWxTRBQUGNai33Qzal1nLcsrKyZtcCAAC0Jg4PlPfee6/c3NwuWlNZWSkfHx+72yztlsU8x48fl7u7uzw9PRvVWqbALbWVlZWSZLNI5/za6urqS9Za+mCpBQAAaE0cHiibor6+3mYV97ks7bW1tc2uPXPmjE27vdq6urpm1wIAALQmThEoPT09rYHufJYQ5+Xl9atqJdmtN1ILAADQmjhFoPT19b3gdLKl3TLt7Ovrq9raWrujhZap7nNrzz3Gr609vw8AAACtiVMEyuDgYB09elSnT59utO3gwYNydXXVLbfcYq2VpPLy8ka1lrauXbs2u9byZ1NqAQAAWhOnCJRhYWEymUz65ptvbNpra2v17bff6rbbbrMuogkLC5P070cNnauwsFA+Pj7q1q3bJWuLiork6upqffvNpWolqU+fPr/m9AAAAJyaUwTKhx56SG5ubnr77bdtprLfffdd1dTUaPz48da2IUOGqF27dlq4cKF1ZbYkZWZmqqysTGPHjpWr69nTDg8PV6dOnZSRkWEz8lhQUKAtW7Zo6NCh8vf3l3T2cUH9+vXThg0b9P3331trS0pKlJubq9DQUN1xxx1X6icAAABosS75YPOWoFu3bpo6dao++OADxcbGatCgQdq3b5/y8/PVr18/jRs3zlrr5+enlJQUvfjii4qNjdUDDzygI0eOaN26dQoODlZiYqK11s3NTWlpaUpKStKYMWMUHR2tkydPas2aNerQoYNSUlJs+jFr1ixNnDhRjz76qKKjo+Xm5qbc3FyZzWalpaVdtd8DAACgJXGKEUpJmjlzplJTU+Xi4qIlS5Zo7969SkhI0Pvvv9/oUT7x8fGaN2+e/P39tWzZMhUXFys2Nlbp6eny8/OzqR04cKAWLlyobt26KTMzU/n5+Ro0aJCWL1/e6CHmoaGhWrZsmfr166c1a9Zo7dq16tOnj5YuXWqdGgcAAGhtXMxms9nRnWiNysvLNXjwYG3atMn6Bh8AV8f+gHutf+9W8aUDe4Lm4p8d4BiXyi1OM0IJAACAlolACQAAAEMIlAAAADCEQAkAAABDCJQAAAAwhEAJAAAAQwiUAAAAMMQp3pQDAJfTJvdT+tjjtCTJa9IkB/cGzXHK57j+o9ZTg+u8HN0VAOdghBIAAACGMEIJoNUZXOdlHeHqlp7u4N6gOc59Uw6AloMRSgAAABhCoAQAAIAhBEoAAAAYQqAEAACAIQRKAAAAGEKgBAAAgCEESgAAABhCoAQAAIAhBEoAAAAYQqAEAACAIQRKAAAAGEKgBAAAgCEESgAAABhCoAQAAIAhBEoAAAAYQqAEAACAIQRKAAAAGNLG0R1A65WTk6OsrKyr9n0///yzJOmGG264at85ZswYxcbGXrXvAwDAEQiUaDUqKiokXd1ACQBAa0CghMPExsZe1dG7SZMmSZLS09Ov2ncCANAacA8lAAAADCFQAgAAwBACJQAAAAwhUAIAAMAQAiUAAAAMIVACAADAEAIlAAAADCFQAgAAwBACJQAAAAwhUAIAAMAQAiUAAAAMIVACAADAEAIlAAAADCFQAgAAwBACJQAAAAwhUAIAAMAQAiUAAAAMIVACAADAEAIlAAAADCFQAgAAwBACJQAAAAxp4+gONFX37t0vWbNkyRJFRERIklatWqU//vGPduvuuusurVy50qYtPz9fCxYsUElJiTw9PTVo0CDNnDlT119/faP9t23bpjfffFM7d+6Ui4uLBgwYoJSUFAUFBf2KMwMAAHBuThMop0+fbrf96NGjWr58ua6//nrdeuut1vY9e/ZIkh5//HF5eHjY7NOxY0ebz3l5eZo5c6aCgoIUHx+vQ4cOafXq1SouLlZWVpZ8fX2ttUVFRZo6darat2+vUaNGqbq6Wnl5eSosLFRWVpY6d+58uU4ZAADAKThNoExOTrbb/rvf/U4uLi76y1/+ooCAAGv7nj175Ofnp2efffaixz1x4oTmzJmjoKAg5eTkyNvbW5IUFRWlWbNmacGCBXruueckSSaTSampqfLy8lJWVpY1mMbExGjKlCl6/fXXNX/+/MtxugAAAE7Dqe+hzM3N1aeffqqxY8cqKirKZltJSYlCQkIueYy1a9eqqqpKCQkJ1jApSXFxceratauys7PV0NAgSSooKFBpaani4uJsRjkjIyMVFRWljRs36vjx45fp7AAAAJyD0wbK2tpazZs3Tz4+PpoxY4bNtsOHD6uysrJJ910WFxdLkvXey3OFh4ersrJSe/fuvWRtRESEGhoatHXr1mafCwAAgDNz2kD54Ycf6l//+pf+1//6X+rQoYPNNsv9k2fOnFFSUpIiIyPVt29fPfbYY/ruu+9sag8cOCBJdhfUWO6HLC0tvWRtYGCgJKmsrMzAWQEAADgfpwyUDQ0NWrJkidq1a6eHH3640XZLoFyxYoVqa2s1evRoRUVFqaCgQA8//LC+/PJLa+3x48fl7u4uT0/PRsexTIHX1NRIkiorKyXJZpHO+bXV1dXGTg4AAMDJOM2inHN9+umn+te//qUpU6bYDXcmk0mBgYF6+umnFRMTY20vKipSQkKCnn/+eW3atEkeHh6qr6+Xu7u73e+xtNfW1ko6O+J5bru92rq6OmMnBwAA4GSccoQyJydHkjRu3Di725944gl9+umnNmFSOntPZHR0tCoqKlRUVCRJ8vT0tAbF81nCoZeXl7VWkt3682sBAABaC6cLlLW1tfrqq68UEhJi89zJpurVq5ckqby8XNLZ6eva2lq7I4uWqW4fHx9rrWR/Wvv8WgAAgNbC6QJlUVGRTp48qWHDhl2wZufOndYV2eezTF9bHnYeHBws6d8B81yWtq5duza7FgAAoLVwukC5fft2SVJYWNgFa5588kk9+uijOnbsWKNtlsf6hIaG2hzHXgAtLCyUj4+PunXrdsnaoqIiubq6qnfv3s05HQAAAKfndIHyhx9+kCTdcccdF6wZPny4TCaT5s2bJ7PZbG1ft26d8vPz1b9/f+tDz4cMGaJ27dpp4cKF1lXckpSZmamysjKNHTtWrq5nf6bw8HB16tRJGRkZNqOUBQUF2rJli4YOHSp/f//LeboAAAAtntOt8j5w4IA8PT3tru62SEpK0hdffKGVK1dqz549CgsLU2lpqfLz8xUQEKBXX33VWuvn56eUlBS9+OKLio2N1QMPPKAjR45o3bp1Cg4OVmJiorXWzc1NaWlpSkpK0pgxYxQdHa2TJ09qzZo16tChg1JSUq7ouQMAALRETjdCefz48UsufPH19dWKFSs0efJkVVRUKD09XTt27FBcXJyys7MbPZg8Pj5e8+bNk7+/v5YtW6bi4mLFxsYqPT1dfn5+NrUDBw7UwoUL1a1bN2VmZio/P1+DBg3S8uXL7T7wHAAA4FrndCOUmzdvblKdr6+vXnjhBb3wwgtNqh8xYoRGjBjRpNp77rlH99xzT5NqAQAArnVON0IJAACAloVACQAAAEMIlAAAADCEQAkAAABDCJQAAAAwhEAJAAAAQwiUAAAAMIRACQAAAEMIlAAAADCEQAkAAABDCJQAAAAwxOne5Y0ry8XF0T24cjp3PvvntXyOFmazo3sAAGhNCJRoNaqqxji6CwAAXJMIlGg1qqtjHd0FAACuSQRKXBDTps6lNUzlAwBaJhblAAAAwBACJQAAAAwhUAIAAMAQAiUAAAAMIVACAADAEAIlAAAADCFQAgAAwBACJQAAAAwhUAIAAMAQAiUAAAAMIVACAADAEAIlAAAADCFQAgAAwBACJQAAAAwhUAIAAMAQAiUAAAAMIVACAADAkDaO7gAAONL+gHsd3YXLapP7KUnS4DovB/cEQGtCoASAa8jHHqclESgBXF1MeQMAAMAQRigBtDrdKr50dBeuGK9JkyRJ3dLTHdwTAK0JI5QAAAAwhEAJAAAAQwiUAAAAMIRACQAAAEMIlAAAADCEQAkAAABDCJQAAAAwhEAJAAAAQwiUAAAAMIRACQAAAEMIlAAAADCEQAkAAABDCJQAAAAwhEAJAAAAQwiUAAAAMIRACQAAAEPaOLoDzfXGG29owYIFdreNGDFC8+bNs37OycnR4sWLVVZWJl9fXz3wwAN66qmn1K5du0b75ufna8GCBSopKZGnp6cGDRqkmTNn6vrrr29Uu23bNr355pvauXOnXFxcNGDAAKWkpCgoKOjynSgAAICTcLpAuXv3brm7u2vatGmNtt1+++3Wv7/33nuaO3euunfvrokTJ6qkpESLFy/W9u3btWTJErm7u1tr8/LyNHPmTAUFBSk+Pl6HDh3S6tWrVVxcrKysLPn6+lpri4qKNHXqVLVv316jRo1SdXW18vLyVFhYqKysLHXu3PnK/gAAAAAtjNMFypKSEt12221KTk6+YM3Bgwc1f/589e3bV+np6Wrbtq0k6c0339Q777yjlStXauLEiZKkEydOaM6cOQoKClJOTo68vb0lSVFRUZo1a5YWLFig5557TpJkMpmUmpoqLy8vZWVlqWPHjpKkmJgYTZkyRa+//rrmz59/JU8fAACgxXGqeyhramp08OBBde/e/aJ1K1euVH19vRITE61hUpKeeOIJeXt7a9WqVda2tWvXqqqqSgkJCdYwKUlxcXHq2rWrsrOz1dDQIEkqKChQaWmp4uLirGFSkiIjIxUVFaWNGzfq+PHjl+t0AQAAnIJTBcrdu3dL0iUDZXFxsSQpPDzcpt3Dw0N9+vTR7t27VV1dbVMbERHR6Djh4eGqrKzU3r17L1kbERGhhoYGbd26tTmnBAAA4PScKlDu2bNHknTs2DFNmTJF/fv3V//+/fXUU0/pxx9/tNb99NNPuuGGG+wuvgkMDJQklZaWSpIOHDggSXYX1Fjuh2xKreW4ZWVlv+rcAAAAnJVTBspFixbJ29tbY8eOVe/evbVhwwaNGzdOu3btkiRVVlbKx8fH7jEs7TU1NZKk48ePy93dXZ6eno1qLVPgltrKykpJslmkc36tZeQTAACgtXCqRTlubm4KDAzUq6++ajPtnJubq5SUFL3wwgtavXq16uvrbVZxn8vSXltbK0nNqj1z5oxNu73aurq6X3NqAAAATsupAmVaWprd9piYGK1cuVLFxcX68ccf5enpaQ1/57MEPi8vL0lqdq0ku/Xn1wIAALQWTjXlfTG9evWSJJWXl8vX1/eCU8+WdsvUt6+vr2pra+2OLFqmus+tPfcYF6sFAABoLZwmUNbX1+u7777T9u3b7W4/ffq0pLMruYODg3X06FFr27kOHjwoV1dX3XLLLZKk4OBgSWeD6PksbV27dm12LQAAQGvhNIHSZDLp4Ycf1uOPP259LqSF2WzWtm3b1KZNG/Xs2VNhYWEymUz65ptvbOpqa2v17bff6rbbbrMuogkLC5P070cCnauwsFA+Pj7q1q3bJWuLiork6uqq3r17Gz9ZAAAAJ+I0gdLd3V2DBg1SVVWV3n//fZttixYtUklJiR566CH5+vrqoYcekpubm95++22bqex3331XNTU1Gj9+vLVtyJAhateunRYuXGhdxS1JmZmZKisr09ixY+XqevZnCg8PV6dOnZSRkWEzSllQUKAtW7Zo6NCh8vf3v0K/AAAAQMvkVItynnvuOW3btk1vvPGGioqK1KNHD+3YsUNFRUW67bbb9J//+Z+SpG7dumnq1Kn64IMPFBsbq0GDBmnfvn3Kz89Xv379NG7cOOsx/fz8lJKSohdffFGxsbF64IEHdOTIEa1bt07BwcFKTEy01rq5uSktLU1JSUkaM2aMoqOjdfLkSa1Zs0YdOnRQSkrKVf9NAAAAHM1pRiilsw8az8rK0pgxY7R3716lp6fr4MGDmjp1qlasWKEOHTpYa2fOnKnU1FS5uLhoyZIl2rt3rxISEvT+++83euxPfHy85s2bJ39/fy1btkzFxcWKjY1Venq6/Pz8bGoHDhyohQsXqlu3bsrMzFR+fr4GDRqk5cuX233gOQAAwLXOxWw2mx3didaovLxcgwcP1qZNm6xv5GkJXFz+/XeuDOfCPztI0qRJkyRJ6enpDu4JgGvJpXKLU41QAgAAoOUhUAIAAMAQAiUAAAAMIVACAADAEAIlAAAADCFQAgAAwBACJQAAAAwhUAIAAMAQAiUAAAAMIVACAADAEAIlAAAADCFQAgAAwBACJQAAAAwhUAIAAMCQNo7uAFoWH58ctW+fJUmaNMnBnUGzdO4sVVWNUXV1rKO7AgBoZRihBAAAgCGMUMJGdXWsdYQrPd2xfUHzuLg4ugcAgNaKEUoAAAAYQqAEAACAIQRKAAAAGEKgBAAAgCEESgAAABhCoAQAAIAhBEoAAAAYQqAEAACAIQRKAAAAGEKgBAAAgCEESgAAABhCoAQAAIAhBEoAAAAYQqAEAACAIQRKAAAAGEKgBAAAgCEESgAAABhCoAQAAIAhBEoAAAAYQqAEAACAIQRKAAAAGEKgBAAAgCEESgAAABhCoAQAAIAhBEoAAAAYQqAEAACAIQRKAAAAGEKgBAAAgCEESgAAABhCoAQAAIAhBEoAAAAYQqAEAACAIQRKAAAAGNLG0R1Ay+Xi4ugeXF4+PjmSpOrqWIf2AwCAa43TBcqKigq99dZb+vzzz3X06FG1b99ekZGR+v3vf6+goCBr3apVq/THP/7R7jHuuusurVy50qYtPz9fCxYsUElJiTw9PTVo0CDNnDlT119/faP9t23bpjfffFM7d+6Ui4uLBgwYoJSUFJvvR8vTvn2WJAIlAACXm1MFyoqKCo0dO1aHDh1SVFSURowYodLSUuXl5enLL79URkaGgoODJUl79uyRJD3++OPy8PCwOU7Hjh1tPufl5WnmzJkKCgpSfHy8Dh06pNWrV6u4uFhZWVny9fW11hYVFWnq1Klq3769Ro0aperqauXl5amwsFBZWVnq3Lnzlf0RAAAAWhinCpRvvfWWDh06pP/8z//UlClTrO3/+3//b/3hD3/Qa6+9pnfffVfS2UDp5+enZ5999qLHPHHihObMmaOgoCDl5OTI29tbkhQVFaVZs2ZpwYIFeu655yRJJpNJqamp8vLyUlZWljWYxsTEaMqUKXr99dc1f/78K3HqV43Z7OgeXDmTJp39Mz3dsf0AAOBa41SLcjZu3Ch/f39NnjzZpn3kyJHq0qWLNm/eLJPJJEkqKSlRSEjIJY+5du1aVVVVKSEhwRomJSkuLk5du3ZVdna2GhoaJEkFBQUqLS1VXFyczShnZGSkoqKitHHjRh0/fvxynCoAAIDTcJpA2dDQoMTERE2fPl2uro277e7urjNnzqi+vl6HDx9WZWWlunfvfsnjFhcXS5IiIiIabQsPD1dlZaX27t17ydqIiAg1NDRo69atzTovAAAAZ+c0U95ubm6NRiYt9u/frx9//FFdunSRu7u79f7JM2fOKCkpSdu2bdPp06fVr18//f73v1fv3r2t+x44cECS7C6osdwPWVpaqh49ely0NjAwUJJUVlb2608SwDUnJydHWVlZV+37du3aJUmaZLnH4yoYM2aMYmNjr9r3AWh5nGaE8kJMJpNeeuklmUwmjRs3TtK/F+SsWLFCtbW1Gj16tKKiolRQUKCHH35YX375pXX/48ePy93dXZ6eno2ObZkCr6mpkSRVVlZKks0infNrq6urL9/JAUAzBQQEKCAgwNHdANDKOM0IpT1ms1mpqakqKChQaGiodQTTZDIpMDBQTz/9tGJiYqz1RUVFSkhI0PPPP69NmzbJw8ND9fX1cnd3t3t8S3ttba2ksyOe57bbq62rq7t8JwjA6cXGxjJ6B+Ca57QjlPX19XrhhRe0atUqBQUF6Z133rGGuieeeEKffvqpTZiUzt4TGR0drYqKChUVFUmSPD09rUHxfJZw6OXlZa2VZLf+/FoAAIDWwikD5alTp5SUlKTs7GwFBwdryZIluummm5q0b69evSRJ5eXlks5OX9fW1todWbRMdfv4+FhrJfvT2ufXAgAAtBZOFyirqqo0efJkff755+rVq5c+/PBDderUyaZm586d1hXZ57NMX1sedm55ELolYJ7L0ta1a9dm1wIAALQWThUoa2trlZiYqO3btys8PFzp6el2X4345JNP6tFHH9WxY8cabbM81ic0NFSSFBYWJkl2A2hhYaF8fHzUrVu3S9YWFRXJ1dXVZgU5AABAa+BUgXLu3Lnatm2b+vbtqw8++MDmQeTnGj58uEwmk+bNmyfzOa9+WbdunfLz89W/f3/rQ8+HDBmidu3aaeHChdZV3JKUmZmpsrIyjR071vrcy/DwcHXq1EkZGRk2o5QFBQXasmWLhg4dKn9//ytw5gAAAC2X06zyrqio0LJlyyRJt956qz744AO7ddOmTVNSUpK++OILrVy5Unv27FFYWJhKS0uVn5+vgIAAvfrqq9Z6Pz8/paSk6MUXX1RsbKweeOABHTlyROvWrVNwcLASExOttW5ubkpLS1NSUpLGjBmj6OhonTx5UmvWrFGHDh2UkpJyZX8EAACAFshpAuX27dutq6sv9pDgyZMny9fXVytWrNDbb7+tTz75ROnp6fLz81NcXJyeeuop3XjjjTb7xMfHq3379lq4cKGWLVum9u3bKzY2Vs8884z8/PxsagcOHKiFCxfq7bffVmZmpq677joNGjRIM2bMsPvAcwAAgGudi/ncOWFcNeXl5Ro8eLA2bdpkfSMPrizLm0PS09Md3BMAAJzLpXKLU91DCQAAgJaHQAkAAABDCJQAAAAwhEAJAAAAQwiUAAAAMIRACQAAAEMIlAAAADCEQAkAAABDCJQAAAAwhEAJAAAAQwiUAAAAMIRACQAAAEMIlAAAADCEQAkAAABDCJQAAAAwhEAJAAAAQwiUAAAAMIRACQAAAEMIlAAAADCEQAkAAABDCJQAAAAwhEAJAAAAQ9o4ugNovXJycpSVlXXVvm/Xrl2SpEmTJl217xwzZoxiY2Ov2vcBAOAIBEq0GgEBAY7uAgAA1yQCJRwmNjaW0TsAAK4B3EMJAAAAQxihBADgGpSTk6O//v3vKqusvOLf5f7LL3Krrb3i3+NIDR4eqvP1vWrf99sRI/Q/zz9/1b7PKEYoAQAAYAgjlAAAXINiY2P1rZ+fZn/+uaO7gl+ha2Sko7vQLARKAACuUS8OHKgXBw50dDfQCjDlDQAAAEMIlAAAADCEQAkAAABDCJQAAAAwhEAJAAAAQwiUAAAAMIRACQAAAEMIlAAAADCEQAkAAABDCJQAAAAwhEAJAAAAQwiUAAAAMIRACQAAAEMIlAAAADCEQAkAAABDCJQAAAAwpI2jO9BaNTQ0SJIOHz7s4J4AAABcnCWvWPLL+QiUDlJRUSFJeuSRRxzcEwAAgKapqKjQLbfc0qjdxWw2mx3Qn1bv9OnT2rFjhwICAuTm5ubo7gAAAFxQQ0ODKioqFBoaKk9Pz0bbCZQAAAAwhEU5AAAAMIRACQAAAEMIlAAAADCEQAkAAABDCJQAAAAwhEAJAAAAQwiUAAAAMIRACadXX1+vxYsXa8SIEerdu7cGDx6sv/3tbzpz5kyT9q+srNScOXP029/+VnfddZdGjx6tjz766Ar3Gvj1jhw5orCwMC1evLjJ+3CdwxlUVFQoNTVV999/v0JDQxUVFaVnn31WBw4caNL+XOeOw6sX4fTmzJmjjIwMhYWF6be//a3++c9/av78+dqzZ4/mz59/0X1PnjypqVOnateuXRo+fLhuvvlmffzxx3rmmWd07NgxTZw48SqdBdA0J06cUHJysmpqapq8D9c5nEFFRYXGjh2rQ4cOKSoqSiNGjFBpaany8vL05ZdfKiMjQ8HBwRfcn+vcwcyAE9u6das5JCTEnJycbDaZTGaz2Ww2mUzmP/zhD+aQkBDzp59+etH9FyxYYA4JCTEvXbrU2lZdXW1+8MEHzXfddZf5559/vqL9B5qjvLzcPGrUKHNISIg5JCTE/I9//KNJ+3Gdwxn86U9/MoeEhJgXLVpk056Tk2MOCQkxJyYmXnR/rnPHYsobTm3ZsmWSpOnTp8vFxUWS5OLiohkzZsjFxUWrVq266P4ffvihbrjhBk2YMMHa5u3trSeeeEKnTp3SmjVrrlzngWZYvHixoqOjtXv3bg0YMKBZ+3Kdwxls3LhR/v7+mjx5sk37yJEj1aVLF23evFkmk+mC+3OdOxaBEk7tm2++UYcOHRQSEmLTftNNNyk4OFjFxcUX3Penn36y3ovm5uZmsy0iIkKSLro/cDUtWbJEgYGBWrp0qUaOHNnk/bjO4QwaGhqUmJio6dOny9W1cTRxd3fXmTNnVF9fb3d/rnPH4x5KOK26ujodPnxYd911l93tgYGBKi0t1bFjx+Tv799o+08//SRJ6tKlS6NtAQEB8vDwUFlZ2WXtM/BrzZ49W/fcc4/c3NyadV1yncMZuLm5NRqZtNi/f79+/PFHdenSRe7u7nZruM4djxFKOK3KykpJko+Pj93tlvbq6uqL7u/r62t3u7e39wX3Ba62e++9t9HIS1NwncOZmUwmvfTSSzKZTBo3btwF67jOHY9ACadlmfq40H+xWtpra2t/9f4X2hdwFlzncFZms1mpqakqKChQaGjoBUcwJa7zloBACafl6ekpSRd83mRdXZ0kycvLy+52Dw8Pmzp7+1933XVGuwk4FNc5nFF9fb1eeOEFrVq1SkFBQXrnnXcuGBYlrvOWgEAJp+Xt7S1XV9cLPo/PMr1xoSnx9u3bS9IF96+pqZG3t/dl6CngOFzncDanTp1SUlKSsrOzFRwcrCVLluimm2666D5c547Hohw4LXd3d3Xq1Enl5eV2t5eXl8vf319+fn52t1sekGtv///7f/+vamtr1bVr18vVXcAhuM7hTKqqqvT4449r+/bt6tWrlxYuXKjrr7/+kvtxnTseI5RwamFhYaqoqFBpaalN+5EjR1RWVnbBFeCS1KlTJ3Xq1Elbt25t9GyzoqIiSVLfvn0vf6eBq4jrHM6itrZWiYmJ2r59u8LDw5Went6kMClxnbcEBEo4tdjYWEnSvHnzrP8SMZvNmjt3riRp/PjxF90/JiZGhw8f1tKlS61tNTU1evfdd+Xp6dms5/0BLRXXOZzB3LlztW3bNvXt21cffPBBs6eouc4diylvOLV77rlHI0aM0EcffaTx48crIiJC27Zt0zfffKNhw4Zp4MCB1tq33npLkpScnGxte/zxx7V+/Xq9/PLLKi4uVlBQkD7++GMdOHBAf/rTn+w+vxJoybjO4YwqKiqsbz679dZb9cEHH9itmzZtmjw8PLjOWyAXs9lsdnQnACPOnDmj999/X6tXr9aRI0fUqVMnxcTE6PHHH7dZFdi9e3dJ0p49e2z2//nnnzV37lx99tlnOnXqlG699VY99thjevDBB6/qeQBNlZ2dreeff17PP/+8EhISbLZxncMZbdy4UU8++eQl64qLi+Xr68t13gIRKAEAAGAI91ACAADAEAIlAAAADCFQAgAAwBACJQAAAAwhUAIAAMAQAiUAAAAMIVACAADAEAIlALRwkyZNUvfu3fXLL784uisAYBeBEgAAAIYQKAEAAGAIgRIAAACGtHF0BwCgtTt27Jjeffddbdy4UT///LNuvvlmDR8+XNOmTVO7du3s7nPmzBl9+OGHWrt2rfbv36/a2loFBATo3nvv1dNPPy1/f39r7f/5P/9Hc+fO1XfffaeKigrdeOONuu+++/Tkk08qICCg2XUAcD4Xs9lsdnQnAKC1qqio0Pjx43Xw4EFFRETojjvu0A8//KCvv/5akZGRWrhwoaZMmaKioiIVFxfL19dXkvTUU09pw4YNCgsL01133aW6ujpt3rxZZWVlCg0NVVZWlqSzYXXMmDE6fvy4hg0bphtvvFF79uzR559/rltvvVW5ublq27Ztk+sAwB5GKAHAgf7yl7/o4MGDev7555WQkGBtT01NVUZGhj799NNG+3z77bfasGGDoqOj9d///d/W9vr6eo0aNUo7duxQaWmpunbtqo8++kj/+te/9Morr2jMmDHW2jlz5mjZsmXasmWLBg4c2OQ6ALCHeygBwEHq6ur0ySefKDg42CZMSlJiYqKeeOIJu1PNHTt21Guvvabf//73Nu1t2rRRWFiYJOno0aOSJJPJJEnauXOnGhoarLXPPPOMNm/ebA2JTa0DAHuY8gYAB9m3b58efPBBxcbG6r/+678uWDdp0qRGU97S2RHJPXv2qLS0VD/99JN27dqlr776SjU1NUpPT1d4eLiOHDmimJgYVVZWqkOHDvrNb36j++67T/fff7/at29vPVZT6wDAHkYoAcBBqqqqJEne3t7N3nfFihUaNGiQRo8erZkzZ+of//iHTp06pW7dukmSLGMFN910kzIzMzVmzBiZzWatWbNGKSkpioqKUmpqqurq6ppVBwD2cA8lADiIZQX3iRMn7G4/efKkrrvuukbt69atU1pamrp37660tDTdcccduvnmmyVJaWlp2r59u019UFCQXnnlFTU0NGjHjh368ssvlZ2drYyMDPn4+CglJaVZdQBwPkYoAcBBunbtqrZt2+q7775rtO3IkSPq27ev/vSnPzXalpeXJ0n661//qiFDhljDpCT9+OOPkv49Qrlp0ya9+OKLqqmpkZubm+666y5Nnz5dy5YtkyRt3bq1WXUAYA+BEgAcxMPDQ8OGDdP+/fu1cuVKm23vvvuuJCkyMtLufpL0888/27Tn5OSoqKhI0tn7K6WzAXP58uVavny5Te3BgwclSZ06dWpWHQDYw6IcAHCgI0eOaPz48Tp06JDuvfde3X777fr+++9VXFysIUOG6G9/+1ujRTn5+flKTEyUt7e3HnzwQXl7e+v7779XUVGRrr/+eh09elR//etf9dBDD6mqqkpxcXH66aefdN9996l79+46evSo1q9fL0lavny5evTo0eQ6ALCHQAkADlZRUaG33npLn332mY4dO6abbrpJMTExSkpKkru7u91V3h999JE++OADlZWVydPTU0FBQRo5cqT69u2rUaNGKSYmRn/5y18knQ2tCxYs0JYtW3T48GF5e3srPDxc06dP1+23327tR1PrAOB8BEoAAAAYwj2UAAAAMIRACQAAAEMIlAAAADCEQAkAAABDCJQAAAAwhEAJAAAAQwiUAAAAMIRACQAAAEMIlAAAADCEQAkAAABD/h8xZ6xGB6Ny+QAAAABJRU5ErkJggg==", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", - "output_type": "stream", - "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_cirazoline: t-test independent samples, P_val:3.150e-01 t=-1.007e+00\n", - "0.0_acsf vs. 0.0_cirazoline: t-test independent samples, P_val:8.108e-01 t=-2.399e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_cirazoline: t-test independent samples, P_val:6.443e-01 t=-4.624e-01\n", - "0.0_acsf vs. 0.0_cirazoline: t-test independent samples, P_val:9.834e-01 t=-2.079e-02\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_cirazoline: t-test independent samples, P_val:1.492e-01 t=1.448e+00\n", - "0.0_acsf vs. 0.0_cirazoline: t-test independent samples, P_val:8.729e-01 t=-1.603e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_cirazoline: t-test independent samples, P_val:7.668e-01 t=-2.970e-01\n", - "0.0_acsf vs. 0.0_cirazoline: t-test independent samples, P_val:5.286e-01 t=6.319e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_cirazoline: t-test independent samples, P_val:7.885e-02 t=-1.767e+00\n", - "0.0_acsf vs. 0.0_cirazoline: t-test independent samples, P_val:3.086e-01 t=-1.022e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_cirazoline: t-test independent samples, P_val:6.100e-01 t=-5.109e-01\n", - "0.0_acsf vs. 0.0_cirazoline: t-test independent samples, P_val:4.690e-01 t=7.264e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_cirazoline: t-test independent samples, P_val:2.490e-01 t=-1.156e+00\n", - "0.0_acsf vs. 0.0_cirazoline: t-test independent samples, P_val:2.094e-01 t=-1.262e+00\n" - ] + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", - "output_type": "stream", - "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d1ago: t-test independent samples, P_val:1.576e-03 t=3.203e+00\n", - "0.0_acsf vs. 0.0_d1ago: t-test independent samples, P_val:1.951e-01 t=-1.301e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d1ago: t-test independent samples, P_val:7.105e-01 t=3.717e-01\n", - "0.0_acsf vs. 0.0_d1ago: t-test independent samples, P_val:9.275e-01 t=9.108e-02\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d1ago: t-test independent samples, P_val:7.463e-01 t=3.240e-01\n", - "0.0_acsf vs. 0.0_d1ago: t-test independent samples, P_val:3.690e-03 t=2.948e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d1ago: t-test independent samples, P_val:5.941e-01 t=-5.337e-01\n", - "0.0_acsf vs. 0.0_d1ago: t-test independent samples, P_val:9.455e-01 t=-6.843e-02\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d1ago: t-test independent samples, P_val:7.173e-01 t=-3.626e-01\n", - "0.0_acsf vs. 0.0_d1ago: t-test independent samples, P_val:4.260e-04 t=-3.600e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d1ago: t-test independent samples, P_val:7.210e-01 t=3.577e-01\n", - "0.0_acsf vs. 0.0_d1ago: t-test independent samples, P_val:6.088e-02 t=-1.888e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d1ago: t-test independent samples, P_val:9.589e-02 t=1.673e+00\n", - "0.0_acsf vs. 0.0_d1ago: t-test independent samples, P_val:3.604e-02 t=2.115e+00\n" - ] + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" }, { "data": { - "image/png": "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", + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAoQAAAJhCAYAAADYPqF+AAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAABFOUlEQVR4nO3deViVdeL+8fuALCruooliqOX+04zSyrFxTRNFhFTcxqUxHNJKbZ9ynZymxUqITBv1i1tqkmmZ45pmNblkmoaYiimUgJoIiixyfn84nhlikaPn8HB43q/r6iqez/M55z5d59Kbz7NZrFarVQAAADAtN6MDAAAAwFgUQgAAAJOjEAIAAJgchRAAAMDkKIQAAAAmV8noAK7qypUrOnTokHx9feXu7m50HAAAgGJdvXpVaWlpatu2rby9vQuNUwhv0qFDhzR8+HCjYwAAAJTasmXLdM899xTaTiG8Sb6+vpKu/Y+97bbbDE4DAABQvDNnzmj48OG2/vJ7FMKbdP0w8W233aZGjRoZnAYAAODGijvNjYtKAAAATI5CCAAAYHIUQgAAAJOjEAIAAJgchRAAAMDkKIQAAAAmRyEEAAAwOQohAACAyVEIAQAATI5CCAAAYHIUQgAAAJOjEAIAAJgchRAAAMDkKIQAAAAmRyEEAAAwOQohAACAyVEIAQAATI5CCAAAYHIUQgAAAJOrZHQAADCzb7/9Vm+99Zb8/f31008/KScnR1OnTlWlSpX06quvKj8/X5IUERGh3r17G5wWQEVFIQQAgx08eFDTpk1Tq1attHDhQkVHR8vd3V1jxoxRUFCQjhw5opUrV1IIATgNh4wBwGB+fn5q1aqVJKl169ZKT0/Xww8/rJkzZ2rKlCk6fPiwJk+ebHBKABUZhRAADObt7W37b4vFIqvVqvDwcK1bt06dO3fWrl27FBwcrIyMDANTAqjIKIQAUA6Fh4crPj5eoaGhmjVrli5evKj09HSjYwGooDiHEADKoaefflqzZ8/W22+/LTc3N02YMEGNGjUyOhaACopCCAAG6tSpkz799NMif46LizMqFgCToRDCZcTFxWnjxo1GxwAAOECfPn0UGhpqdAz8B+cQwmVs3LhRR48eNToGAOAWHT16lF/wyxlWCOFSmjdvrvnz5xsdAwBwCx577DGjI+B3WCEEAAAwOQohAACAyVEIAQAATI5CCAAAYHIUQgAAAJOjEAIAAJgchRAAAMDkuA8hXEZwcLDREQAADsCf5+UPhRAuo1+/fkZHAAA4AH+elz8cMgYAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5nlQCADCVb7/9Vm+99Zb8/f31008/KScnR1OnTlWlSpX06quvKj8/X5IUERGh3r17G5wWKBsUQgCA6Rw8eFDTpk1Tq1attHDhQkVHR8vd3V1jxoxRUFCQjhw5opUrV1IIYRocMgYAmI6fn59atWolSWrdurXS09P18MMPa+bMmZoyZYoOHz6syZMnG5wSKDsUQgCA6Xh7e9v+22KxyGq1Kjw8XOvWrVPnzp21a9cuBQcHKyMjw8CUQNmhEAIAICk8PFzx8fEKDQ3VrFmzdPHiRaWnpxsdCygTnEMIAICkp59+WrNnz9bbb78tNzc3TZgwQY0aNTI6FlAmKIQAAFPp1KmTPv300yJ/jouLMyoWYCgKIQCUI3Fxcdq4caPRMQCn69Onj0JDQ42Ogf8od+cQpqSkKDAwUIsXLy40lpmZqddee029evVS27Zt1alTJ0VGRio+Pr7Ur//MM8+oRYsWRf7zxhtvOPCTAID9Nm7cqKNHjxodA3Cqo0eP8otPOVOuVggvXbqkiRMnKjMzs9BYVlaWhg8friNHjqhDhw7q2bOnzpw5o02bNmnXrl1atGiRAgMDb/geR44cUd26dRUeHl5orDTzAcDZmjdvrvnz5xsdA3Caxx57zOgI+J1yUwiTk5M1ceJEHT58uMjxJUuW6MiRIxo5cqReeukl2/bdu3dr9OjRmj59utavX1/ie+Tm5ioxMVFdu3bVxIkTHZofAADAVZWLQ8aLFy9W//79deTIEd13331F7rNp0yZZLBY99dRTBbZ37NhRHTt21NGjR5WSklLi+xw/fly5ublq0aKFo6IDAAC4vHKxQhgbG6uGDRtqxowZOnnypP79738X2ic8PFznzp2Tj49PoTFPT09J1w45lyQhIUGSKIQAAAD/o1wUwhkzZuiBBx6Qu7u7Tp48WeQ+jzzySJHbz58/r71796pKlSo3vF/U9UKYmJio8PBwJSQkyNvbW127dtVTTz2l+vXr39LnAAAAcEXl4pBxly5d5O7uflNzX3/9dV26dEkDBgywrRQW53ohjImJUaNGjTRkyBAFBAQoLi5OgwYN0pkzZ24qAwAAgCsrFyuENysmJkZxcXFq2LChJk2adMP9vb29FRAQoOjoaN1555227e+9957efvtt/e1vf1N0dLQzIwMAAJQ7LlsI33nnHcXExKhmzZp6//33VaNGjRvOeffdd4vcHhERoY8++kjbt2/XpUuXVLVqVUfHBQAAKLfKxSFje1y9elV//etfFRMTozp16uj//u//Cqz23Qw3Nze1bNlSeXl5HDYGAACm41IrhDk5OXriiSe0fft2NWzYUAsXLlRAQECp5mZlZdkuImnZsmWh8StXrkiSvLy8HBkZAOwSHBxsdATA6fielz8uUwitVqumTJmi7du3684779Q///lPu64KPnv2rIYMGaLmzZsXuoF1VlaWfvzxR9WuXVsNGzZ0dHQAKLV+/foZHQFwOr7n5Y/LHDJesmSJNm3apNtvv12xsbF23yLG399fbdq00dGjR7Vu3TrbdqvVqjfffFPnz5/X0KFDZbFYHB0dAACgXHOJFcKcnBzFxMRIunZT6WXLlhW5X3h4uHx9fSVde/pJRkaGRo0aperVq0uSZs6cqZEjR+rZZ5/Vpk2b1LBhQ+3du1eHDh3Svffeq/Hjx5fNBwIAAChHXKIQHj9+XL/99puka4+w27RpU5H79ezZ01YIY2NjlZycrIEDB9oKYdu2bfXRRx9p7ty5+ve//60vvvhCDRs21BNPPKFx48bd8D6GAAAAFZHFarVajQ7hipKSktSjRw9t3br1hk9IAQAAMNKNeovLnEMIAAAA56AQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADC5SkYHAAAAxlq7dq3WrFlTZu939uxZSVLdunXL7D3DwsIUEhJSZu/naiiEAACgTKWlpUkq20KIklEIAQAwuZCQkDJdPRs5cqQkacmSJWX2nigZ5xACAACYHIUQAADA5CiEAAAAJkchBAAAMDkKIQAAgMlRCAEAAEyOQggAAGByFEIAAACToxACAACYHIUQAADA5CiEAAAAJkchBAAAMDkKIQAAgMlRCAEAAEyOQggAAGByFEIAAACToxACAACYHIUQAADA5CiEAAAAJkchBAAAMDkKIQAAgMlRCAEAAEyOQggAAGByFEIAAACToxACAACYHIUQAADA5CiEAAAAJkchBAAAMDkKIQAAgMlRCAEAAEyOQggAAGByFEIAAACToxACAACYHIUQAADA5CiEAAAAJlfuCmFKSooCAwO1ePHiIsfXrl2rkJAQ3XXXXXrwwQf197//XZcuXSr162dlZentt99Wr1691K5dO/Xt21fLli2T1Wp10CcAAABwLeWqEF66dEkTJ05UZmZmkePvv/++nnvuOeXn52vEiBFq2bKlFi9erEcffVQ5OTk3fP2rV6/qySef1HvvvacmTZroT3/6kypVqqSZM2fqtddec/THAQAAcAnlphAmJydr5MiROnDgQLHjc+fOVYcOHbRmzRo9/fTTmj9/viIjI7V//36tWrXqhu+xYcMG7dixQ2PHjtX8+fP19NNPa82aNbrvvvu0aNEiJSQkOPpjAQAAlHvlohAuXrxY/fv315EjR3TfffcVuc+qVauUl5eniIgIeXh42LaPHz9ePj4+Wr169Q3fZ9myZapUqZLGjx9v2+bh4aGnnnpKVqtVH3300a1/GAAAABdTLgphbGysGjZsqKVLl2rAgAFF7rNnzx5JUseOHQts9/Ly0l133aUjR44oIyOj2PfIycnRDz/8oJYtW6pGjRoFxtq1a6fKlSvb3gMAAMBMykUhnDFjhtauXau777672H1OnTqlunXrqmrVqoXGGjZsKElKTEwsdn5ycrLy8vLUuHHjQmPu7u667bbbdPLkSfvDAwAAuLhyUQi7dOkid3f3Eve5cOGCqlWrVuTY9e3FXYxyff7/7lvUa2RlZSkvL68UiQEAACqOclEISyMvL0+enp5Fjl3fnp2dXeL8/933Zl4DAACgInKZQujt7a3c3Nwix67fcqZy5crFzvfy8pKkEl/DYrGU+BoAAAAVkcsUwurVqxd70cj17cUdDpZku5CkuMPKGRkZqlKlitzcXOZ/CQAAgEO4TPsJCAjQuXPndOXKlUJjycnJcnNz0+23317s/IYNG8rDw0NJSUmFxq5evaozZ86oSZMmDs0MAADgClymEAYGBio/P1979+4tsD07O1vff/+97rjjDvn4+BQ7v1KlSmrfvr1+/PHHQquEBw8eVFZWljp06OCU7AAAAOWZyxTCfv36yd3dXdHR0QUeUzdv3jxlZmZqyJAhN3yNkJAQ5eTkKCoqyrYtNzdX77zzjiRp0KBBjg8OAABQzlUyOkBpNWvWTGPHjtWCBQsUEhKibt266dixY/riiy909913a/DgwQX2X7x4sTIyMjRq1ChVr15dkhQaGqo1a9Zo8eLFOnr0qNq0aaMvv/xSR44c0dixY9WiRQsjPhoAAIChXKYQStKUKVPUoEEDLV++XLGxsfL19dXo0aM1YcKEQreTiY2NVXJysgYOHGgrhO7u7vrggw8UFRWlzz//XPv27VPjxo01depUDR061IiPBAAAYDiL1Wq1Gh3CFSUlJalHjx7aunWrGjVqZHQcAABcxsiRIyVJS5YsMTiJedyot7jMOYQAAABwDgohAACAyVEIAQAATI5CCAAAYHIUQgAAAJOjEAIAAJgchRAAAMDkKIQAAAAmRyEEAAAwOQohAACAyVEIAQAATI5CCAAAYHIUQgAAAJOjEAIAAJgchRAAAMDkKIQAAAAmRyEEAAAwOQohAACAyVEIAQAATK6S0QEAAEBBlhkzjI7gVI1OnpRU8T+nJFmnTTM6QqlQCAEAQJlKb9rU6Aj4HQohAAAoUxkUwnKHQggAQDnmKocc8V+ueCici0oAAABMjkIIAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmFwlowPYo0WLFjfcJzY2Vp06dSpxn2HDhmnfvn1Fjk2fPl1Dhw69qXwAAACuyKUK4YQJE4rcfu7cOa1YsUJ16tRR06ZNb/g6CQkJatKkiYKCggqNtW3b9pZzAgAAuBKXKoQTJ04scvtf/vIXWSwWvf766/L19S3xNZKSkpSZmalHHnmk2NcDAAAwE5c/h3DdunXatm2bBg0apM6dO99w/4SEBEmlO/wMAABgBi5dCLOzs/XWW2+pWrVqmjx5cqnmUAgBAAAKculCuHz5cv3yyy/685//rFq1apVqTkJCgiwWi/bt26eBAwfqrrvu0oMPPqhXXnlFGRkZTk4MAABQ/rhsIbx69apiY2NVtWpVDRs2rNTzEhISZLVaNXfuXLVu3VqDBg1S7dq1FRsbq2HDhikzM9OJqQEAAMofl7qo5H9t27ZNv/zyi8aMGaPq1auXak5+fr6qV6+uVq1a6f3331f9+vVt26dPn66VK1cqKipKL7zwgjOjAwAAlCsuu0K4du1aSdLgwYNLPcfNzU2rVq3S2rVrbWXw+vbnnntOlStX1meffeboqAAAAOWaSxbC7Oxsff3112revHmp7jtYGlWrVlVAQIDS0tKUnZ3tkNcEAABwBS5ZCHfv3q3Lly+rd+/eds27ePGivvvuOyUmJhY5fuXKFbm5ualSJZc9kg4AAGA3lyyEBw4ckCQFBgbaNe/w4cMaOnSo/vGPfxQaS01NVVJSklq1aiV3d3eH5AQAAHAFLlkIf/zxR0lSmzZt7JoXGBgoX19f7dy5U3v27LFtz8nJ0axZs5Sbm6vhw4c7NCsAAEB555LHRk+fPi1vb+8bXl0cFRUl6b+PvPP09NSsWbM0YcIEjRkzRn369FHNmjX19ddf6/jx4woKClJoaKjT8wMAAJQnLlkIf/vtN1WrVu2G+0VHR0sq+Azkbt26admyZYqJidEXX3yh7OxsNWnSRC+//LKGDRsmi8XitNwAAADlkUsWwl27dpVqv+uPqfu9u+66S/Pnz3dkJKBC+Pbbb/XWW2/J399fP/30k3JycjR16lRVqlRJr776qvLz8yVJERERdl/UBQAov1yyEAJwnoMHD2ratGlq1aqVFi5cqOjoaLm7u2vMmDEKCgrSkSNHtHLlSgohAFQgLnlRCQDn8fPzU6tWrSRJrVu3Vnp6uh5++GHNnDlTU6ZM0eHDhzV58mSDUwIAHIlCCKAAb29v239bLBZZrVaFh4dr3bp16ty5s3bt2qXg4GBlZGQYmBIA4EgUQgA3FB4ervj4eIWGhmrWrFm6ePGi0tPTjY4FAHAQziEEcENPP/20Zs+erbfffltubm6aMGGCGjVqZHQsAICDUAgB2HTq1EmffvppkT/HxcUZFQsA4GQ3XQgvXbqko0ePKj09XV27dlV6erpq1KjhyGxAAXFxcdq4caPRMQCn69OnDzfJB1Cm7D6H8OzZs5o0aZI6deqkYcOGKTIyUpK0fPly9erVS3v37nV4SECSNm7cqKNHjxodA3Cqo0eP8osPgDJn1wrh+fPnNWTIECUnJ+vuu+9Wdna27bnClStX1i+//KJx48bpww8/VIsWLZwSGObWvHlzbiqOCu2xxx4zOgIAE7JrhXDu3Ln69ddf9d5772n58uXq1q2bbWz06NFauHCh8vLy9N577zk8KAAAAJzDrkK4bds29erVq0AR/F+dOnXSQw89pO+//94R2QAAAFAG7CqEv/32m/z9/Uvcp379+jp//vwthQIAAEDZsasQ3nbbbbZzBotz8OBB3XbbbbcUCgAAAGXHrkLYu3dvffPNN/rwww+LHF+0aJH27dunnj17OiQcAAAAnM+uq4zHjx+vHTt2aMaMGVq2bJny8/MlSc8//7wOHz6sY8eOqXHjxho/frxTwgIAAMDx7Foh9PHx0YoVKxQeHq7k5GQdP35cVqtVa9eu1c8//6wBAwZoxYoVql69urPyAgAAwMHsflKJj4+Ppk2bppdeekmJiYm6ePGiqlSpoqZNm8rT09MZGQEAAOBEdhfC/Px8bdu2Tb6+vmrfvr1t+9SpU9W5c2f17t3boQGB64KDg42OADgd33MARrCrEF6+fFkTJkzQN998o4iICFshzMrK0qpVq7R69Wr16tVLb775pjw8PJwSGObVr18/oyMATsf3HJJU7cQJ1ThxQpI08tgxg9PAXo1OnlR606bKaNrU6CilZtc5hO+//76+/vprDRo0SIMHD7Ztr1y5snbs2KHw8HBt2rRJ8+bNc3hQAAAAOIddK4QbN27U/fffr5kzZxYaq1+/vqZNm6affvpJa9eu1cSJEx0WEgAAM8n4n9WlJdOmGZwG9rLMmGF0BLvZtUJ45swZtWrVqsR92rVrp5SUlFsKBQAAgLJjVyGsW7fuDZ9U8tNPP6lOnTq3FAoAAABlx65C2KNHD3377bdasmRJkeOrV6/Wrl271K1bN4eEAwAAgPPZdQ7hX/7yF23ZskWzZ8/WsmXL1KFDB1WtWlWXLl3SDz/8oOPHj+u2227j/EEAAAAXYlchrFWrllatWqXXXntNmzdv1scff2wb8/DwUN++ffXcc89xyBgAAMCF2H1j6rp16+q1115TTk6OTp8+rfT0dJ5UAgAA4MLsLoTXeXp6qlmzZo7MAgAAAAPYXQiPHz+uTz75RMnJycrJyZHVai20j8ViUVRUlEMCAgAAwLnsKoS7d+/Wn//8Z+Xm5hZZBK+zWCy3HAwAAABlw65COHfuXOXl5empp57SH//4R/n4+FD+AAAAXJxdhfDQoUPq27evIiIinJUHAAAAZcyuG1N7eXnJ19fXWVkAAABgALsK4R/+8Aft2rVLV69edVYeAAAAlDG7CuGzzz6ry5cv66mnntK+fft0/vx5ZWZmFvkPAAAAXINd5xAOGzZMly9f1ubNm7Vly5Zi97NYLPrxxx9vORwAAACcz65C6Ofn56wcAAAAMIhdhXDJkiXOygEAAACD2HUOIQAAACoeux9dd/bsWW3fvl3nzp3T1atXCzyxJDc3VxcuXNCuXbu0detWhwYFAACAc9hVCI8cOaIRI0bo0qVLslqttqeUXC+FFotFVqtVNWvWdHhQAAAAOIddhTAqKkqZmZkaOnSoOnbsqNdee01t27bVww8/rOPHj2vJkiXy9PTU559/7qy8AAAAcDC7CuF3332ne++9V9OmTZMk7dy5U4mJierbt68kqVevXho8eLDmz5+vKVOmOD4tAAAAHM6ui0oyMjLUrl0728/NmzfXkSNHbIeMW7Zsqa5du2rnzp2OTQkAJpGamqoRI0YoLS3N6CgATMSuQlitWjXl5OTYfvb391d2drYSExNt2wICAvTLL784LiEAmEhMTIz27t2rmJgYo6MAMBG7CmGbNm20c+dOZWdnS5LuuOMOWa1Wfffdd7Z9Tp06JXd3d8emBAATSE1NVVxcnKxWq9asWcMqIYAyY1chHD58uH7++WcNHDhQ+/btU0BAgFq3bq033nhDK1asUFRUlLZs2aI2bdo4Ky9MjENpqOhiYmKUn58vScrPz2eVEECZsasQduvWTS+99JJSU1Ntfym/8MILunLlimbOnKl3331XVapU4YISOAWH0lDRrV+/Xrm5uZKu3dd13bp1BicCYBZ235h6xIgRGjx4sO232HvvvVcbNmzQli1b5OXlpa5du6p+/foODwpz+/2htMjISPn6+hodC3Co/v3766OPPlJubq48PDwUHBxsdCQAJmHXCuHatWt15MgReXp6ytvb27bdz89Pf/rTnzRkyBAlJSXp3XffdXhQmBuH0mAGkZGRcnO79seym5ubIiMjDU4EwCzsKoTPP//8DR9Jt3nzZs2fP/+WQgG/x6E0mEG9evUUGhoqi8WisLAwVsEBlJkSDxnHxcVp27ZtBbZ99tlnio+PL3L/3Nxcffvttzy6Dg7HoTSYRWRkpI4dO8bqIIAyVWIh7NKli/72t7/p8uXLkq49q/jEiRM6ceJEsXM8PT31xBNPODYlTC8yMlJxcXGSOJSGiq1evXpaunSp0TEAmEyJhdDX11dbtmxRVlaWrFarevbsqVGjRulPf/pToX0tFosqVaqkWrVqycPDw2mBYU7XD6V9+OGHHEoDAMDBbniVce3atW3//fe//12tWrVSw4YNnRoKKAqH0gAAcA67bjszcODAIrcfO3ZM+/fvl5+fnzp37uyQYMDvcSgNAADnsPs+hDExMVq+fLm2bNkib29vff7553r66adttwTp2LGj5s+fLy8vL4eHBQAAgOPZdduZFStWaO7cubJYLEpPT5fVatWrr74qd3d3TZw4UQMHDtS3336rBQsWOCsvAAAAHMyuQrhmzRrdfvvt2rhxo+rXr699+/YpJSVF/fr1U2RkpGbPnq377rtPn332mbPyAkCFxjO7ARjBrkJ4/Phxde/eXVWrVpUk7dixQxaLRd27d7ft07ZtW/3yyy+OTfk7b7/9tlq0aFHkP5MmTbrh/AsXLmjmzJnq3r272rdvr9DQUG3YsMGpmQGgNHhmNwAj2HUOoYeHh6xWq+3nnTt3yt3dXffdd59tW3p6uqpVq+a4hEW4/vi8xx57rNDYnXfeWeLcy5cva+zYsYqPj1efPn3UoEEDbdq0SZMmTdL58+c1YsQIZ8UGgBLxzG4ARrGrEDZr1kxffPGFnnzySSUkJCghIUGdOnWSj4+PJOn06dPauHGj/t//+39OCXvd0aNHdccdd2jixIl2z42NjdXhw4c1depUDR8+XNK125mEh4frjTfe0MMPP6w6deo4OjIA3FBRz+yeNm2awakAmIFdh4yHDx+ukydPqnPnzho+fLgsFotGjhwpSVq8eLFCQkJ06dIljRs3zilhJSkzM1PJyclq0aLFTc1fvny56tatq/DwcNs2Hx8fjR8/XllZWVq/fr2jogKAXXhmNwCj2FUI+/XrpzfffFMBAQFq0aKFpk+frp49e0qSrly5ogYNGig6Olr333+/U8JK1w4XS7qpQnjq1CmlpKQoMDBQ7u7uBcY6deokSdqzZ8+thwSAm9C/f3/bk554ZjeAsmT3fQiDgoIUFBRUaPujjz6q8ePHOyRUSRISEiRJ58+f15gxY3To0CFJ0v3336+nnnpKTZs2LXbuqVOnJEmNGzcuNObr6ysvLy+dPHnS8aEBoBR4ZjcAo9i1QliSsnp+8fVCuHDhQvn4+GjQoEFq166d/vWvf2nw4MGKj48vdu6FCxckSdWrVy9y3MfHRxkZGQ7PDAClcf2Z3RaLhWd2AyhTJa4QduzYUY899pj+/Oc/234uDYvFom+//fbW0xXB3d1dDRs21N///nfbYV5JWrdunZ555hm9+OKL+vjjj4ucm5eXJ0ny9PQsctzT01NZWVmODw0ApcQzuwEYocRC6OPjU6A8Xb+a2EjFXXEXHBysVatWac+ePTpx4kSRh46vP04vJyenyNfIyclRlSpVHBcWAOzEM7sBGKHEQrht27YSfy5vWrdurT179igpKanIQlijRg1J165ULkpmZia3nAEAAKZj90UlRsrLy9OPP/4oq9Wq9u3bFxq/cuWKpP+uBP5eQECAJCkpKanQWGpqqrKzs9WkSRPHBQYAAHABdl9UcvHiRS1fvtz2c3p6uiZPnqwHH3xQQ4cO1TfffOPQgP8rPz9fw4YN07hx43T16tUCY1arVfv371elSpXUqlWrIuf7+fnJz89P+/bts9389brdu3dLkjp06OCc8AAAAOWUXYXw1KlT6tOnj2bNmqWUlBRJ0tSpU7VhwwZlZmbq4MGDGjdunL7//ntnZJWnp6e6deum9PR0zZ8/v8DYwoULdfToUfXr16/Yq4ila+canjlzpsA5OpmZmZo3b568vb01YMAAp2QHAAAor+w6ZBwdHa309HQ988wzqlmzps6ePavNmzfrzjvv1OrVq5WWlqZBgwZp3rx5mjdvnlMCP/fcc9q/f7/efvtt7d69Wy1bttShQ4e0e/du3XHHHXr++edt+0ZFRUlSgUfcjRs3Ths3btQrr7yiPXv2yN/fX5s2bdLp06f18ssvq3bt2k7JDQAAUF7ZtUL4zTff6KGHHtLYsWPl5eWl7du3Kz8/XyEhIfL29pa/v7969+6t/fv3OyuvGjVqpDVr1igsLEw//fSTlixZouTkZI0dO1YffvihatWqZds3Ojpa0dHRBeb7+Pho2bJlCgsL0969e7V8+XJVr15dc+bM0YgRI5yWGwAAoLyya4UwPT29wFM+vvzyS1ksFv3hD3+wbfPx8Sn2ti6OUr9+fc2ePfuG+12/ifXv1a1bt1TzAQAAzMCuFcLbbrtNp0+flnTtnn1ff/21fH19CzxX+Pvvv1eDBg0cmxIAAABOY9cK4T333KN169YpOjpaCQkJunTpksLCwiRJp0+f1qJFi/Tdd99p3LhxTgkLAAAAx7OrEE6ZMkXx8fG28/L8/f01fvx4SVJsbKyWL1+uDh06UAgBAABciF2FsE6dOlq5cqW+/vpr5efn64EHHpC3t7ckqXfv3rr77rvVs2dPeXh4OCUsAAAAHM/uJ5V4enqqa9euhbbfc889jsgDAACAMnZTj67buXOn4uLiFB8fr4sXL+qbb77RunXrdOrUKT366KOqXLmyo3MCAADASewuhFOnTtXq1atltVrl7u5uewTcoUOHFBsbqy+//FILFy5U1apVHR4WAAAAjmfXbWc+/PBDrVq1Sg899JA2bdpku6BEkh5//HGFhYXpwIEDWrRokcODAgAAwDnsLoQtWrTQO++8o8aNG8tisdjGatSooVdeeUXt2rXT559/7vCgAAAAcA67CmFiYqK6dOlS4j733nuvkpOTbykUAAAAyo5dhdDb21vnzp0rcZ/U1FTbrWgAAABQ/tlVCAMDA7V582b9+uuvRY6fPHlSW7Zs0d133+2QcAAAAHA+uwrh448/rpycHA0aNEiLFi1SYmKiJGn37t365z//qfDwcOXm5ioiIsIpYQEAAOB4dt12pk2bNoqOjtZzzz2nf/zjH7bto0aNktVqlY+Pj9544w21b9/e4UEBAADgHHYVwkmTJumee+7R9u3btXXrVh0+fFgZGRmqUqWKWrRooV69eqlatWrOygoAFV5qaqomT56st956S76+vkbHAWASdhXC7du3q1atWvL29lZQUJCCgoKclQsATCkmJkZ79+5VTEyMpk2bZnQcACZhVyGsXbu2MjMznZUFAEwtNTVVcXFxslqtWrNmjSIjI1klhCwzZhgdweGqnTghScpo2tTgJLjOrotKpk2bpq1bt+q1117T999/r7NnzyozM7PIfwAA9omJibE9DjQ/P18xMTEGJwKco8aJE6rxn1KI8sGuFcIZM2bIarVq0aJFJT6ezmKx6Mcff7zlcABgJuvXr1dubq4kKTc3V+vWreOwMYAyYVchbNiwoRo2bOisLABgav3799dHH32k3NxceXh4KDg42OhIMIi1gv8iMPLYMUnSkgr+OV2JXYVwyZIlzsoBAKYXGRmpuLg4SZKbm5siIyMNTgTALOw6hxAA4Dz16tVTaGioLBaLwsLCuKAEQJmxa4UQAOBckZGROnbsGKuDAMoUhRAAypF69epp6dKlRscAYDIcMgYAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJVTI6gL3S0tIUFRWlHTt26Ny5c6pRo4buv/9+Pfnkk/L397/h/GHDhmnfvn1Fjk2fPl1Dhw51dGQAAIByzaUKYVpamgYNGqRff/1VnTt3Vt++fZWYmKhPP/1UX375pVauXKmAgIASXyMhIUFNmjRRUFBQobG2bds6KTkAAED55VKFMCoqSr/++quef/55jRkzxrb9k08+0bPPPqtXX31V8+bNK3Z+UlKSMjMz9cgjj2jixIllERkAAKDcc6lzCLds2aLatWtr1KhRBbYPGDBAjRs31q5du5Sfn1/s/ISEBElSixYtnJoTAADAlbjMCuHVq1cVERGhSpUqyc2tcI/19PRUbm6u8vLy5OnpWeRrUAgBAAAKc5lC6O7uXmhl8Lrjx4/rxIkTaty4cbFlULpWCC0Wi/bt26eXXnpJiYmJql69unr37q0nnnhC1apVc1Z8AACAcsulDhkXJT8/X7NmzVJ+fr4GDx5c4r4JCQmyWq2aO3euWrdurUGDBql27dqKjY3VsGHDlJmZWUapAQAAyg+XWSEsitVq1dSpU/XNN9+obdu2xa4gSteKY/Xq1dWqVSu9//77ql+/vm379OnTtXLlSkVFRemFF14oq/gAAADlgsuuEObl5enFF1/U6tWr5e/vr5iYmBIPF7u5uWnVqlVau3atrQxe3/7cc8+pcuXK+uyzz8oiOgAAQLnikiuEWVlZevLJJ7Vjxw4FBARo0aJFBUqevapWraqAgADFx8crOztbXl5eDkxbca1du1Zr1qwps/c7e/asJKlu3bpl9p5hYWEKCQkps/cDAMAILlcI09PTNW7cOB04cECtW7fWBx98oDp16txw3sWLF3Xs2DHVqlVLTZo0KTR+5coVubm5qVIll/tfYhppaWmSyrYQAgBgBi7VfrKzsxUREaEDBw6oY8eOeu+99+Tj41OquYcPH9bo0aPVrVu3QjevTk1NVVJSklq1aiV3d3dnRK+QQkJCynT1bOTIkZKkJUuWlNl7AgBgBi51DuGcOXO0f/9+dejQQQsWLCh1GZSkwMBA+fr6aufOndqzZ49te05OjmbNmqXc3FwNHz7cGbEBAADKNZdZIUxLS9OyZcskSU2bNtWCBQuK3O+xxx6Tl5eXoqKiJMn2iDpPT0/NmjVLEyZM0JgxY9SnTx/VrFlTX3/9tY4fP66goCCFhoaWzYcBAAAoR1ymEB44cEC5ubmSVOKFDKNGjZKXl5eio6MlqcAzi7t166Zly5YpJiZGX3zxhbKzs9WkSRO9/PLLGjZsmCwWi3M/BAAAQDnkMoWwZ8+etkfPlUZx+951112aP3++o2IBAAC4PJc6hxAAAACORyEEAAAwOQohAACAyVEIAQAATI5CCAAAYHIUQgAAAJOjEAIAAJgchRAAAMDkKIQAAAAm5zJPKgEAI6xdu7bEx2U62tmzZyVJdevWLbP3DAsLU0hISJm9H4Dyh0IIAOVIWlqapLIthABAIQSAEoSEhJTp6tnIkSMlSUuWLCmz9wQAziEEAAAwOQohAACAyVEIAQAATI5zCAEAMLmyvpo+Pj5e0n/PmS0LXE1fMgohAAAoU76+vkZHwO9QCCsQi8XoBM7VqNG1f1f0zylJVqvRCQCYSVlfTY/yh0IIl5GeHmZ0BAAAKiQKIVxGRkaI0REAAKiQKIQVFIccXY8ZDoUDAMonbjsDAABgchRCAAAAk6MQAgAAmBznEAJwKcd9uxgdwamyqv0mqeJ/Tklqlval0REA/AeFEADKkYeyvY2OAMCEKIQAUI70yKlsdAQAJkQhBOCyOOToesxwKBxwRVxUAgAAYHIUQgAAAJOjEAIAAJgc5xACcClbPbO0yeuKJKnyyJEGp4G9sqr9poeyvbl4BihnWCEEAAAwOVYIAbiUHjmVbatLzZYsMTgN7MVVxkD5xAohAACAyVEIAQAATI5CCAAAYHIUQgAAAJOjEAIAAJgcVxlXINWqrVWNGmskSdyezfU0aiSlp4cpIyPE6CgAAJNhhRAAAMDkWCGsQDIyQmyrS9yezfVYLEYnAACYFSuEAAAAJkchBAAAMDkKIQAAgMlRCAEAAEyOQggAAGByFEIAAACToxACAACYHIUQAADA5LgxNQCXddy3i9ERHG6rZ5YkqUdOZYOTADATCiEAlCObvK5IohACKFscMgYAADA5VggBuJRmaV8aHcGpKo8cKUlqxgPJAZQhVggBAABMjkIIAABgchRCAAAAk+McwgrKYjE6geNVq7ZWkpSREWJoDgAAKhoKIVxGjRprJFEIAQBwNJc8ZJyXl6fFixerb9++ateunXr06KF3331Xubm5pZp/4cIFzZw5U927d1f79u0VGhqqDRs2ODk1AABA+eSSK4QzZ87UypUrFRgYqO7du+u7777T3LlzlZCQoLlz55Y49/Llyxo7dqzi4+PVp08fNWjQQJs2bdKkSZN0/vx5jRgxoow+heNZrUYncK7/3I1D3I0DAADHcrlC+N1332nlypXq3bu33nnnHVksFlmtVj3//PNau3attm/frm7duhU7PzY2VocPH9bUqVM1fPhwSVJkZKTCw8P1xhtv6OGHH1adOnXK6uMAAAAYzuUK4bJlyyRJEyZMkOU/V05YLBZNnjxZn3zyiVavXl1iIVy+fLnq1q2r8PBw2zYfHx+NHz9eU6ZM0fr16zV69GinfgYArmPt2rVas2ZNmb1ffHy8JGnk9SXxMhAWFqaQkJAyez8A5Y/LnUO4d+9e1apVS82bNy+wvX79+goICNCePXuKnXvq1CmlpKQoMDBQ7u7uBcY6deokSSXOBwBn8/X1la+vr9ExAJiMS60Q5uTk6MyZM2rfvn2R4w0bNlRiYqLOnz+v2rVrFxo/deqUJKlx48aFxnx9feXl5aWTJ086NDMA1xYSEsLqGYAKz6UK4YULFyRJ1apVK3L8+vaMjIwiC+H1+dWrVy9yvo+PjzIyMm49qElwKA0AgIrBpQphXl6eJMnT07PI8evbs7Ozb3p+VlbWrcaEk3AYDQAA53CpQujt7S1Jxd5vMCcnR5JUuXLlIse9vLwK7FfU/CpVqtxqTNPgUBoAABWDS11U4uPjIzc3N2VmZhY5fv1wb3GHlGvUqCFJxc7PzMyUj4+PA5ICAAC4DpcqhJ6envLz81NSUlKR40lJSapdu7Zq1qxZ5HhAQIBtv99LTU1Vdna2mjRp4qi4AAAALsGlCqEkBQYGKi0tTYmJiQW2p6Sk6OTJk8VegSxJfn5+8vPz0759+5Sfn19gbPfu3ZKkDh06OD40AABAOeZyhfD6OWtvvfWWrdRZrVbNmTNHkjRkyJAS5wcHB+vMmTNaunSpbVtmZqbmzZsnb29vDRgwwDnBAQAAyimXuqhEkh544AH17dtXGzZs0JAhQ9SpUyft379fe/fuVe/evdW1a1fbvlFRUZKkiRMn2raNGzdOGzdu1CuvvKI9e/bI399fmzZt0unTp/Xyyy8XebsaAACAisxitVqtRoewV25urubPn6+PP/5YKSkp8vPzU3BwsMaNG1fgljItWrSQJCUkJBSYf/bsWc2ZM0fbt29XVlaWmjZtqkcffVRBQUGlzpCUlKQePXpo69atatSokWM+GAAAgBPcqLe4ZCEsDyiEAADAVdyot7jcOYQAAABwLAohAACAyVEIAQAATI5CCAAAYHIUQgAAAJOjEAIAAJgchRAAAMDkKIQAAAAmRyEEAAAwOQohAACAyVEIAQAATI5CCAAAYHIUQgAAAJOjEAIAAJgchRAAAMDkKIQAAAAmRyEEAAAwOQohAACAyVEIAQAATI5CCAAAYHIUQgAAAJOjEAIAAJgchRAAypHU1FSNGDFCaWlpRkcBYCIUQgAoR2JiYrR3717FxMQYHQWAiVAIAaCcSE1NVVxcnKxWq9asWcMqIYAyQyEEgHIiJiZG+fn5kqT8/HxWCQGUGQohAJQT69evV25uriQpNzdX69atMzgRALOgEAJAOdG/f395eHhIkjw8PBQcHGxwIgBmQSEEgHIiMjJSbm7X/lh2c3NTZGSkwYkAmAWFEADKiXr16ik0NFQWi0VhYWHy9fU1OhIAk6hkdAAAwH9FRkbq2LFjrA4CKFMUQgAoR+rVq6elS5caHQOAyXDIGAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiFcRmpqqkaMGKG0tDSjowAAUKFQCOEyYmJitHfvXsXExBgdBQCACoVCCJeQmpqquLg4Wa1WrVmzhlVCAAAciEIIlxATE6P8/HxJUn5+PquEAAA4EIUQLmH9+vXKzc2VJOXm5mrdunUGJwIAoOKgEMIl9O/fXx4eHpIkDw8PBQcHG5wIAICKg0IIlxAZGSk3t2tfVzc3N0VGRhqcCACAioNCCJdQr149hYaGymKxKCwsTL6+vkZHAgCgwqhkdAB7fP3111qwYIF++OEHXblyRY0bN1ZISIjGjh2rSpVu/FFOnTqlXr16FTt+8OBBeXl5OTIyHCgyMlLHjh1jdRAAAAdzmUL4ySef6LnnnlPVqlX10EMPycfHR1999ZXefPNN7d+/XzExMbJYLCW+xpEjRyRJffv2VdOmTQuNu7u7OyU7HKNevXpaunSp0TEAAKhwXKIQXrlyRbNnz5aPj48+/vhj+fv7S7p2tWlkZKS2bdumzZs366GHHirxdRISEiRJERERatmypdNzAwAAuAKXOIfw22+/1YULFzRo0CBbGZSuXW0aEREhSdq5c+cNXychIUEeHh5q1qyZ07ICAAC4GpdYIWzUqJEmT56se++9t9CYp6enJOny5cs3fJ2EhAQ1adLEdvsSAAAAuEghbNasWbGrelu2bJEk3XHHHSW+xuXLl3X69Gl17NhRM2bM0I4dO3T27Fk1a9ZMY8aM4b52AADAtFyiEBbn+PHjio2NlaenpwYOHFjivkePHpXVarUdfu7du7d+++03bdu2Tc8884xOnjypJ554ooySAwAAlB+GFsLu3bsrOTm5xH2GDx+uqVOnFtp+5swZjRs3TllZWXrhhRfUoEGDEl8nIyNDTZo0UefOnfXXv/7VdpPjlJQUDR06VDExMXrooYe42AQAAJiOoYWwZ8+eOn/+fIn7tGvXrtC2n3/+WWPGjFFycrLCw8M1evToG75Xly5dtHHjxkLb69evr8cff1wvvviiPvvsMwohAAAwHUML4Ysvvmj3nIMHDyoiIkLnz59XeHi4pk+ffss52rRpI0lKSkq65dcCAABwNS5x25nrvvrqK40aNUrnz5/X+PHjNWPGjBvejPq6U6dO6ZtvvlFWVlahsStXrkgSTykp51JTUzVixAilpaUZHQUAgArFZQrh999/r8cff1xZWVl68cUXNWnSJLvmR0dHa/To0fryyy8Lje3bt0+S1LZtW4dkhXPExMRo7969iomJMToK4DT84gPACC5RCC9duqRJkyYpKytLzz//vEaNGmX3a/Tp00eS9O677xa4Z+GJEyc0f/581ahRQ/369XNYZjhWamqq4uLiZLVatWbNGv6yRIXFLz4AjOASt51ZtWqVfvnlF9WsWVMZGRmKiooqtE/Tpk0VFBQkSYqPj9eWLVvUqlUr9ezZU9K1K5r79eunTz/9VP369VP37t118eJFbd68WTk5OYqKilLNmjXL8mPBDjExMcrPz5ck5efnKyYmRtOmTTM4FeBYv//FJzIyUr6+vkbHAmACLlEI9+zZI0m6cOGCoqOji9ynR48eBQphdHS0Bg4caCuEkvT666+rffv2Wr16tT788ENVrlxZHTt21OOPP17k1cwoP9avX6/c3FxJ155hvW7dOgohKhx+8QFgFIvVarUaHcIVJSUlqUePHtq6dasaNWpkdJwKb/r06froo4+Um5srDw8PDRo0iL8oUeEEBgYqMzPT9rOPj4/tHGcAuBU36i0ucQ4hEBkZabuZuJubmyIjIw1OBDhe//79bc9a9/Dw4JGaAMoMhRAuoV69egoNDZXFYlFYWBjnVaFC4hcfAEahEMJlREZG6p577uEvSVRY/OIDwCgucVEJIF37y3Lp0qVGxwCcKjIyUseOHeMXHwBlikIIAOUIv/gAMAKHjAEAAEyOQggAAGByFEIAAACToxACAACYHIUQAADA5CiEAAAAJkchBAAAMDkKIQAAgMlRCAEAAEyOQggAAGByFEIAAACToxACAACYHIUQAADA5CiEAAAAJlfJ6ACu6urVq5KkM2fOGJwEAACgZNf7yvX+8nsUwpuUlpYmSRo+fLjBSQAAAEonLS1Nt99+e6HtFqvVajUgj8u7cuWKDh06JF9fX7m7uxsdBwAAoFhXr15VWlqa2rZtK29v70LjFEIAAACT46ISAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIw+Xl5Wnx4sXq27ev2rVrpx49eujdd99Vbm5uqeZfuHBBM2fOVPfu3dW+fXuFhoZqw4YNTk4N3JyUlBQFBgZq8eLFpZ7DdxyuIi0tTVOnTtUf//hHtW3bVp07d9bTTz+t06dPl2o+33Xj8Og6GG7mzJlauXKlAgMD1b17d3333XeaO3euEhISNHfu3BLnXr58WWPHjlV8fLz69OmjBg0aaNOmTZo0aZLOnz+vESNGlNGnAG7s0qVLmjhxojIzM0s9h+84XEVaWpoGDRqkX3/9VZ07d1bfvn2VmJioTz/9VF9++aVWrlypgICAYufzXTeYFTDQvn37rM2bN7dOnDjRmp+fb7Vardb8/Hzrs88+a23evLl127ZtJc5/7733rM2bN7cuXbrUti0jI8MaFBRkbd++vfXs2bNOzQ+UVlJSknXgwIHW5s2bW5s3b25dtGhRqebxHYerePnll63Nmze3Lly4sMD2tWvXWps3b26NiIgocT7fdWNxyBiGWrZsmSRpwoQJslgskiSLxaLJkyfLYrFo9erVJc5fvny56tatq/DwcNs2Hx8fjR8/XllZWVq/fr3zwgOltHjxYvXv319HjhzRfffdZ9dcvuNwFVu2bFHt2rU1atSoAtsHDBigxo0ba9euXcrPzy92Pt91Y1EIYai9e/eqVq1aat68eYHt9evXV0BAgPbs2VPs3FOnTtnOx3J3dy8w1qlTJ0kqcT5QVmJjY9WwYUMtXbpUAwYMKPU8vuNwFVevXlVERIQmTJggN7fC1cLT01O5ubnKy8srcj7fdeNxDiEMk5OTozNnzqh9+/ZFjjds2FCJiYk6f/68ateuXWj81KlTkqTGjRsXGvP19ZWXl5dOnjzp0MzAzZgxY4YeeOABubu72/Wd5DsOV+Hu7l5oZfC648eP68SJE2rcuLE8PT2L3IfvuvFYIYRhLly4IEmqVq1akePXt2dkZJQ4v3r16kWO+/j4FDsXKEtdunQptOpRGnzH4ery8/M1a9Ys5efna/DgwcXux3fdeBRCGOb6oYPifmO8vj07O/um5xc3F3AFfMfhyqxWq6ZOnapvvvlGbdu2LXYFUeK7Xh5QCGEYb29vSSr2foM5OTmSpMqVKxc57uXlVWC/ouZXqVLlVmMChuE7DleVl5enF198UatXr5a/v79iYmKKLXsS3/XygEIIw/j4+MjNza3Ye7JdPzxQ3CHlGjVqSFKx8zMzM+Xj4+OApIAx+I7DFWVlZSkyMlJxcXEKCAhQbGys6tevX+IcvuvG46ISGMbT01N+fn5KSkoqcjwpKUm1a9dWzZo1ixy/foPTouanpqYqOztbTZo0cVRcoMzxHYerSU9P17hx43TgwAG1bt1aH3zwgerUqXPDeXzXjccKIQwVGBiotLQ0JSYmFtiekpKikydPFnsFsiT5+fnJz89P+/btK3Rvq927d0uSOnTo4PjQQBnhOw5Xkp2drYiICB04cEAdO3bUkiVLSlUGJb7r5QGFEIYKCQmRJL311lu2PwSsVqvmzJkjSRoyZEiJ84ODg3XmzBktXbrUti0zM1Pz5s2Tt7e3Xfd8A8ojvuNwFXPmzNH+/fvVoUMHLViwwO5DvHzXjcUhYxjqgQceUN++fbVhwwYNGTJEnTp10v79+7V371717t1bXbt2te0bFRUlSZo4caJt27hx47Rx40a98sor2rNnj/z9/bVp0yadPn1aL7/8cpH3LwTKK77jcFVpaWm2J081bdpUCxYsKHK/xx57TF5eXnzXyyGL1Wq1Gh0C5pabm6v58+fr448/VkpKivz8/BQcHKxx48YVuCqtRYsWkqSEhIQC88+ePas5c+Zo+/btysrKUtOmTfXoo48qKCioTD8HUBpxcXF64YUX9MILL2j06NEFxviOw1Vt2bJFjz/++A3327Nnj6pXr853vRyiEAIAAJgc5xACAACYHIUQAADA5CiEAAAAJkchBAAAMDkKIQAAgMlRCAEAAEyOQggAAGByFEIAcLKRI0eqRYsWunjxotFRAKBIFEIAAACToxACAACYHIUQAADA5CoZHQAAXN358+c1b948bdmyRWfPnlWDBg3Up08fPfbYY6patWqRc3Jzc7V8+XJ99tlnOn78uLKzs+Xr66suXbroqaeeUu3atW37/vzzz5ozZ44OHjyotLQ01atXTw8++KAef/xx+fr62r0fAPyexWq1Wo0OAQCuKi0tTUOGDFFycrI6deqkNm3a6Mcff9S///1v3X///frggw80ZswY7d69W3v27FH16tUlSU888YT+9a9/KTAwUO3bt1dOTo527dqlkydPqm3btlqzZo2ka2UzLCxMv/32m3r37q169eopISFBO3bsUNOmTbVu3Tp5eHiUej8AKAorhABwC15//XUlJyfrhRde0OjRo23bp06dqpUrV2rbtm2F5nz//ff617/+pf79++uNN96wbc/Ly9PAgQN16NAhJSYmqkmTJtqwYYN++eUXzZ49W2FhYbZ9Z86cqWXLlumrr75S165dS70fABSFcwgB4Cbl5ORo8+bNCggIKFAGJSkiIkLjx48v8lDtbbfdpldffVVPPvlkge2VKlVSYGCgJOncuXOSpPz8fEnS4cOHdfXqVdu+kyZN0q5du2wlr7T7AUBROGQMADfp2LFjCgoKUkhIiP7xj38Uu9/IkSMLHTKWrq0IJiQkKDExUadOnVJ8fLy+/vprZWZmasmSJerYsaNSUlIUHBysCxcuqFatWvrDH/6gBx98UH/84x9Vo0YN22uVdj8AKAorhABwk9LT0yVJPj4+ds/98MMP1a1bN4WGhmrKlClatGiRsrKy1KxZM0nS9d/V69evr48++khhYWGyWq1av369nnnmGXXu3FlTp05VTk6OXfsBQFE4hxAAbtL1K4gvXbpU5Pjly5dVpUqVQts///xzTZs2TS1atNC0adPUpk0bNWjQQJI0bdo0HThwoMD+/v7+mj17tq5evapDhw7pyy+/VFxcnFauXKlq1arpmWeesWs/APg9VggB4CY1adJEHh4eOnjwYKGxlJQUdejQQS+//HKhsU8//VSS9Oabb6pnz562MihJJ06ckPTfFcKtW7dq+vTpyszMlLu7u9q3b68JEyZo2bJlkqR9+/bZtR8AFIVCCAA3ycvLS71799bx48e1atWqAmPz5s2TJN1///1FzpOks2fPFti+du1a7d69W9K18wulawVxxYoVWrFiRYF9k5OTJUl+fn527QcAReGiEgC4BSkpKRoyZIh+/fVXdenSRXfeead++OEH7dmzRz179tS7775b6KKSL774QhEREfLx8VFQUJB8fHz0ww8/aPfu3apTp47OnTunN998U/369VN6eroeeeQRnTp1Sg8++KBatGihc+fOaePGjZKkFStWqGXLlqXeDwCKQiEEgFuUlpamqKgobd++XefPn1f9+vUVHBysyMhIeXp6FnmV8YYNG7RgwQKdPHlS3t7e8vf314ABA9ShQwcNHDhQwcHBev311yVdK53vvfeevvrqK505c0Y+Pj7q2LGjJkyYoDvvvNOWo7T7AcDvUQgBAABMjnMIAQAATI5CCAAAYHIUQgAAAJOjEAIAAJgchRAAAMDkKIQAAAAmRyEEAAAwOQohAACAyVEIAQAATI5CCAAAYHL/H4pCPuxAxIBVAAAAAElFTkSuQmCC", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", - "output_type": "stream", - "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d2: t-test independent samples, P_val:6.792e-01 t=-4.141e-01\n", - "0.0_acsf vs. 0.0_d2: t-test independent samples, P_val:4.016e-01 t=-8.416e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d2: t-test independent samples, P_val:7.862e-01 t=2.715e-01\n", - "0.0_acsf vs. 0.0_d2: t-test independent samples, P_val:4.656e-01 t=7.319e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d2: t-test independent samples, P_val:3.657e-01 t=9.065e-01\n", - "0.0_acsf vs. 0.0_d2: t-test independent samples, P_val:9.945e-01 t=6.860e-03\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d2: t-test independent samples, P_val:1.631e-01 t=1.400e+00\n", - "0.0_acsf vs. 0.0_d2: t-test independent samples, P_val:3.066e-01 t=1.027e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d2: t-test independent samples, P_val:7.789e-02 t=-1.771e+00\n", - "0.0_acsf vs. 0.0_d2: t-test independent samples, P_val:5.731e-01 t=5.650e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d2: t-test independent samples, P_val:2.761e-01 t=-1.092e+00\n", - "0.0_acsf vs. 0.0_d2: t-test independent samples, P_val:8.218e-01 t=-2.257e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d2: t-test independent samples, P_val:2.682e-03 t=-3.037e+00\n", - "0.0_acsf vs. 0.0_d2: t-test independent samples, P_val:7.590e-01 t=-3.074e-01\n" - ] + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", - "output_type": "stream", - "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_dopamine: t-test independent samples, P_val:2.706e-01 t=1.105e+00\n", - "0.0_acsf vs. 0.0_dopamine: t-test independent samples, P_val:1.272e-01 t=-1.535e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_dopamine: t-test independent samples, P_val:4.768e-01 t=-7.129e-01\n", - "0.0_acsf vs. 0.0_dopamine: t-test independent samples, P_val:7.921e-01 t=2.641e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_dopamine: t-test independent samples, P_val:3.467e-01 t=-9.432e-01\n", - "0.0_acsf vs. 0.0_dopamine: t-test independent samples, P_val:8.210e-01 t=2.267e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_dopamine: t-test independent samples, P_val:7.331e-01 t=-3.414e-01\n", - "0.0_acsf vs. 0.0_dopamine: t-test independent samples, P_val:7.259e-01 t=-3.513e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_dopamine: t-test independent samples, P_val:2.896e-01 t=1.062e+00\n", - "0.0_acsf vs. 0.0_dopamine: t-test independent samples, P_val:6.497e-01 t=4.553e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_dopamine: t-test independent samples, P_val:1.166e-01 t=-1.576e+00\n", - "0.0_acsf vs. 0.0_dopamine: t-test independent samples, P_val:8.133e-01 t=-2.366e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_dopamine: t-test independent samples, P_val:5.664e-03 t=-2.798e+00\n", - "0.0_acsf vs. 0.0_dopamine: t-test independent samples, P_val:7.724e-01 t=2.898e-01\n" - ] + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", - "output_type": "stream", - "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_m1: t-test independent samples, P_val:3.950e-01 t=-8.524e-01\n", - "0.0_acsf vs. 0.0_m1: t-test independent samples, P_val:1.228e-01 t=-1.553e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_m1: t-test independent samples, P_val:3.638e-01 t=-9.102e-01\n", - "0.0_acsf vs. 0.0_m1: t-test independent samples, P_val:8.525e-01 t=1.863e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_m1: t-test independent samples, P_val:6.191e-02 t=1.877e+00\n", - "0.0_acsf vs. 0.0_m1: t-test independent samples, P_val:4.290e-01 t=7.934e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_m1: t-test independent samples, P_val:6.557e-01 t=-4.465e-01\n", - "0.0_acsf vs. 0.0_m1: t-test independent samples, P_val:6.116e-01 t=-5.090e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_m1: t-test independent samples, P_val:4.705e-01 t=-7.230e-01\n", - "0.0_acsf vs. 0.0_m1: t-test independent samples, P_val:9.125e-01 t=1.101e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_m1: t-test independent samples, P_val:7.440e-01 t=-3.270e-01\n", - "0.0_acsf vs. 0.0_m1: t-test independent samples, P_val:9.066e-01 t=-1.175e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_m1: t-test independent samples, P_val:8.674e-01 t=1.671e-01\n", - "0.0_acsf vs. 0.0_m1: t-test independent samples, P_val:4.094e-01 t=-8.277e-01\n" - ] + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAApoAAAJhCAYAAADhWRAlAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAABSW0lEQVR4nO3de1zUdd738feIAtrgASVzEMPDYpmFxpXeZQcPe4thIWkpeUot0MhDWm2HR9q1drV2d8DEQ67uZSZ4QG6VzdZcy1Paeieoa8UqZsIiFjhKKAhyaOb+w4vZnZhRUX+ODK/n47GPy76H3+8z41w93n2/v4PJbrfbBQAAAFxjjTxdAAAAALwTQRMAAACGIGgCAADAEARNAAAAGIKgCQAAAEM09nQBcHb+/Hl99913CgoKko+Pj6fLAQAAcOuXX36R1WpV9+7d5e/vX6ufoHmD+e677zRq1ChPlwEAAHDZVq5cqf/4j/+o1U7QvMEEBQVJuvAXdsstt3i4GgAAAPcKCgo0atQoR375NYLmDaZmu/yWW25R+/btPVwNAADApbm73I+bgQAAAGAIgiYAAAAMQdAEAACAIQiaAAAAMARBEwAAAIYgaAIAAMAQBE0AAAAYgqAJAAAAQxA0AQAAYAiCJgAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBAETQAAABiCoAkAAABDEDQBAABgCIImAAAADNHY0wUAAK6tr7/+WnPnzlVISIi+//57VVZWatasWWrcuLHefvtt2Ww2SdLEiRMVGRnp4WoBeDOCJgB4oW+++UZvvPGGbr/9di1btkwLFiyQj4+Pxo8fr8GDB+vw4cNKTU0laAIwFFvnAOCFLBaLbr/9dklSt27ddObMGT388MOaPXu2XnjhBWVlZWnGjBkerhKAtyNoAoAX8vf3d/zZZDLJbrcrNjZWn3zyifr06aPdu3crOjpaJSUlHqwSgLcjaAJAAxEbG6tDhw5p6NChevPNN3X27FmdOXPG02UB8GJcowkADcSLL76oP/zhD/rggw/UqFEjTZ48We3bt/d0WQC8GEETALxM79699emnn7r85/Xr13uqLAANEFvnAAAAMAQrmmjw1q9fr82bN3u6DADANTBo0CANHTrU02Xgf7CiiQZv8+bNOnLkiKfLAABcpSNHjrBwcINhRROQFBYWpiVLlni6DADAVYiPj/d0CfgVVjQBAABgCIImAAAADEHQBAAAgCEImgAAADAEQRMAAACGIGgCAADAEDzeCA1edHS0p0sAAFwD/Pv8xkPQRIP3yCOPeLoEAMA1wL/PbzxsnQMAAMAQBE0AAAAYgqAJAAAAQxA0AQAAYAiCJgAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhuCB7QAAXKWvv/5ac+fOVUhIiL7//ntVVlZq1qxZaty4sd5++23ZbDZJ0sSJExUZGenhaoHrh6AJAMA18M033+iNN97Q7bffrmXLlmnBggXy8fHR+PHjNXjwYB0+fFipqakETTQobJ0DAHANWCwW3X777ZKkbt266cyZM3r44Yc1e/ZsvfDCC8rKytKMGTM8XCVwfRE0AQC4Bvz9/R1/NplMstvtio2N1SeffKI+ffpo9+7dio6OVklJiQerBK4vgiYAAAaJjY3VoUOHNHToUL355ps6e/aszpw54+mygOuGazQBADDIiy++qD/84Q/64IMP1KhRI02ePFnt27f3dFnAdUPQBADgKvXu3Vuffvqpy39ev369p8oCPI6gCQANwPr167V582ZPlwEYbtCgQRo6dKiny8D/uCGCZnV1tVJSUrR27Vrl5+crKChIQ4cOVXx8vJo0aXLJ+cXFxUpKStKOHTt0+vRpde7cWc8884yioqJqjf3xxx81b948ff311/r555/VqVMnjRw5Uo8//rhMJpPTWKvVqvnz52vnzp06ffq0WrRooXvvvVfTpk1TSEiI09i0tDS9/vrrLusLDw/X2rVr6/CNAMC1tXnzZh05ckRhYWGeLgUwzJEjRySJoHkDuSGC5uzZs5WamqqIiAj1799f+/fvV1JSkrKzs5WUlHTRuWVlZZowYYIOHTqkQYMGqV27dtqyZYumT5+uoqIijR492jG2oKBATzzxhIqLixUZGam2bdtq9+7dev311/WPf/xDb7zxhmOs1WrVE088oZ9++kl9+vRRVFSUcnJy9Omnn2rXrl1KTU1VaGioY3x2drYkKS4uTn5+fk413nLLLdfgWwKAqxMWFqYlS5Z4ugzAMPHx8Z4uAb/i8aC5f/9+xwNs582b53gkxCuvvKL09HRt375d/fr1czt/xYoVysrK0qxZszRq1ChJUkJCgmJjY/Xee+/p4YcfVuvWrSVJ7777rk6dOqVFixZpwIABkqQXXnhBY8eO1apVq/Tkk086/mt//vz5+umnn/TKK69o/PjxjvP9+c9/1u9+9zu9/fbbWrx4saM9OztbLVu21IsvvnjNvyMAAID6yOOPN1q5cqUkafLkyY6ta5PJpBkzZshkMiktLe2i81etWqU2bdooNjbW0WY2mzVp0iSVl5dr48aNkiS73a7CwkJ1797dETIlqXHjxho0aJAk6eDBg472L774QoGBgXrqqaeczjdkyBB16NBBu3fvdrxSTBJbUgAAAL/i8RXNzMxMtWrVqlZIa9u2rUJDQ5WRkeF2bl5engoLCxUZGSkfHx+nvt69e0uSMjIyNG7cOJlMJqWkpLg8zrFjxyTJsfL5yy+/aOLEiWrcuLEaNaqdxX19fVVVVaXq6mr5+vqqoKBAxcXF6tq16+V/cAAAAC/n0aBZWVmpgoIChYeHu+wPDg5WTk6OioqKFBgYWKs/Ly9PktShQ4dafUFBQfLz81Nubq7LY9tsNp08eVLr1q1TamqqunXrpgcffFCS5OPjU2sls8YPP/ygY8eOqUOHDvL19ZX0r+szq6qqlJCQoAMHDuj8+fO6++67NW3aNN11110X/yIAAAC8kEe3zouLiyVJAQEBLvtr2t29rqtmfvPmzV32m81mt3NffvllPfTQQ0pKStKtt96qpUuXqnHji+dum82mN998UzabTcOHD3e01wTNNWvWqKKiQkOHDlWfPn20Z88ejRw5Urt27brocQEAALyRR1c0q6urJcmxMvhrNe0VFRVXPL+8vNxlX7du3XTzzTfr8OHD2r17t5588kl99NFHbt/YYLfbNWvWLO3Zs0fdu3d3WvG02WwKDg7W888/r+joaEf73r17NW7cOL366qvaunVrrbvRAQAAvJlHVzT9/f0lXdhydqWyslKS1LRpU5f9NcGtZpyr+c2aNXPZN378eL300kv67//+b82aNUt5eXmaPXu2y7HV1dV67bXXlJaWppCQEC1atMgp3E6aNEnbtm1zCpmS1KtXLz366KOyWq3au3evy2MDAAB4K4+uaJrNZjVq1EilpaUu+2u2vd1trbdo0UKS3M4vLS113OBzMaNGjdLHH3+sXbt2qbKy0ilElpeXa9q0adq5c6dCQ0P10UcfqW3btpc8Zo1u3bopPT1d+fn5lz0HAK61X/+HMOCN+J3feDwaNH19fWWxWNyGsPz8fAUGBqply5Yu+2semO5q/smTJ1VRUaGOHTtKuvBg94yMDAUEBOjuu++uNd5iseif//ynzpw5o6CgIEnSmTNnFBcXp4MHD6pbt27605/+5DK4ZmVlqaysTPfcc0+tvpptf7bNAXjSI4884ukSAMPxO7/xePw5mhEREbJarcrJyXFqLywsVG5urts70qUL4dBisWjfvn1Oz7SU5Niq7tmzp6QLq6Px8fH6r//6r1rHqa6u1tGjR2U2m9WqVStJFwLixIkTdfDgQfXq1UvJycluV0efe+45jR07VkVFRbX69u3bJ0nq3r27288BAADgjTweNGNiYiRJc+fOdYRFu92uxMRESdKIESMuOj86OloFBQVOz8gsLS3V4sWL5e/vryFDhki68FzOnj17KisrS3/5y18cY+12uz744ANZrVbFxMQ47jxPTEzUgQMH1LNnTy1dulRms9ltDYMGDZLNZtPcuXNlt9sd7Z999pl27Nihe+65h4e5AwCABsdk//dk5CHTp0/Xpk2bdNddd6l37946cOCAMjMznV5LKV14LaQkTZkyxTG3tLRUw4YNU25urgYOHKiQkBBt2bJFx48f18yZM53edZ6dna1Ro0bp3Llz+u1vf6vg4GDt379fBw8eVPfu3bVixQrddNNNslqt6tevn6qqqjRs2DC1a9fOZd3x8fHy8/PT2bNnFRsbqx9++EHh4eGKiIhQTk6OduzYoTZt2mj16tUKCQm5rO8iPz9fAwYM0NatW93eAQ8AAHAjuFRuuSGCZlVVlZYsWaINGzaosLBQFotF0dHRiouLc7oxp+bNOzXPraxx6tQpJSYmavv27SovL1enTp309NNPa/DgwbXOlZubq6SkJH311Vc6d+6cgoODNXjwYMXFxTnubv/iiy/03HPPXbLujIwMxzM8z549qwULFujzzz+X1WpVy5Yt1bdvX02dOlU333zzZX8XBE0AAFBf1IugiX8haAIAgPriUrnF49doAgAAwDsRNAFcF+fPn9fp06c1adIkVVRU6Pz581q6dKm2bNmi8+fPe7o8AIABPPocTQANx+bNm/Xpp5/q2LFjSkhIUFVVlUpKStS0aVPt27dPr776qqdLBABcY6xoArguHnnkEfn6+qp79+4aMmSIZs2apdatW8vHx0cTJkzwdHkAAAOwognguvDx8dHEiRPVtWtX5eTkqFWrVnrjjTdUXl6um266ydPlAQAMQNAEcF2YTCbHm75uv/12SXL7ti0AgHdg6xwAAACGIGgCAADAEARNAAAAGIKgCQAAAENwMxAavPXr12vz5s2eLgMw3KBBgzR06FBPlwGgAWFFEw3e5s2bdeTIEU+XARjqyJEj/AcVgOuOFU1AUlhYmJYsWeLpMgDDxMfHe7oEAA0QK5oAAAAwBEETAAAAhiBoAgAAwBAETQAAABiCoAkAAABDEDQBAABgCIImAAAADMFzNNHgRUdHe7oEwHD8zgF4AkETDd4jjzzi6RIAw/E7B+AJbJ0DAADAEARNAAAAGIKgCQAAAEMQNAEAAGAIgiYAAAAMQdAEAACAIQiaAAAAMARBEwAAAIYgaAIAAMAQBE0AAAAYgqAJAAAAQxA0AQAAYAiCJgAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBAETQAAABiCoAkAAABDEDQBAABgCIImAAAADEHQBAAAgCEImgAAADAEQRMAAACGaOzpAgCgIUpPT9e6deuu2/lOnTolSWrTps11O+ewYcMUExNz3c4H4MZD0ASABsBqtUq6vkETAAiaAOABMTEx13W1b8yYMZKk5OTk63ZOAOAaTQAAABiCoAkAAABDEDQBAABgCIImAAAADEHQBAAAgCEImgAAADAEQRMAAACGuCGCZnV1tZYvX66oqCjdddddGjBggBYuXKiqqqrLml9cXKzZs2erf//+Cg8P19ChQ7Vp0yaXY3/88Ue9/PLL6tu3r8LDw/XYY48pLS1Ndrv9qutKT09XTEyMevTooQcffFBz5szRuXPnLv+LAAAA8CI3RNCcPXu25syZo5YtW2rs2LFq27atkpKS9MILL1xybllZmSZMmKDVq1crPDxco0aN0tmzZzV9+nSlpKQ4jS0oKNATTzyhTz/9VHfffbdGjhyp6upqvf7665o9e/ZV1fXHP/5RL7/8smw2m0aPHq3bbrtNy5cv19NPP63Kysor/3IAAADqK7uH7du3zx4WFmafMmWK3Waz2e12u91ms9l/97vf2cPCwuzbtm276PwPP/zQHhYWZk9JSXG0lZSU2AcPHmwPDw+3nzp1ytE+Y8YMe1hYmP2LL75wtFVVVdmffPJJe1hYmD07O/uK6srPz7d369bNPmLECHtlZaWj/YMPPrCHhYXZk5OTL/v7OH78uD0sLMx+/Pjxy54DAJcyevRo++jRoz1dBgAvc6nc4vEVzZUrV0qSJk+eLJPJJEkymUyaMWOGTCaT0tLSLjp/1apVatOmjWJjYx1tZrNZkyZNUnl5uTZu3ChJstvtKiwsVPfu3TVgwADH2MaNG2vQoEGSpIMHD15RXWvXrlV1dbUmTpyoJk2aONonTZoks9l8yc8AAADgjTweNDMzM9WqVSuFhYU5tbdt21ahoaHKyMhwOzcvL0+FhYWKiIiQj4+PU1/v3r0lyTHfZDIpJSVF69atq3WcY8eOSZJat259RXXV/LlXr15OY/38/NSjRw8dPnxYJSUlbj8HAACAN/Jo0KysrFRBQYE6dOjgsj84OFhnz55VUVGRy/68vDxJcjk/KChIfn5+ys3NdTnXZrOpoKBACxcuVGpqqrp166YHH3zwiurKy8tTmzZtdNNNN7kcK0k5OTkujwUAAOCtGnvy5MXFxZKkgIAAl/017SUlJQoMDHQ7v3nz5i7nm81mtyuJL7/8sj755BNJUseOHbV06VI1btz4iuoqLi5W+/btLzq2tLTUZT8AAIC38uiKZnV1tSTJ19fXZX9Ne0VFxRXPdze3W7dueuaZZ3T//fcrJydHTz75pPLz86+orurq6iv+DAAAAN7Koyua/v7+kuT2uZQ1jwVq2rSpy34/Pz+nca7mN2vWzGXf+PHjHX9euXKlZs+erdmzZ2vJkiV1rsvf3/+KPwMAAIC38uiKptlsVqNGjdxuK9dse7vbwm7RooUk99vSpaWlMpvNl6xj1KhRuvXWW7Vr1y5VVlbWua7mzZu73aK/1GcAAADwVh4Nmr6+vrJYLI4t61/Lz89XYGCgWrZs6bI/NDTUMe7XTp48qYqKCnXs2FHShQe779y5U/v373d5LIvFIpvNpjNnztS5rtDQUJ0+fVrnz5+vNfbEiRNq1KiRbr31VpfHAgAA8FYef7xRRESErFZrrbuyCwsLlZubq/DwcLdzLRaLLBaL9u3bJ5vN5tS3d+9eSVLPnj0lXVhZjI+P13/913/VOk51dbWOHj0qs9msVq1a1bmuiIgI2Ww2ZWZmOo2tqKjQ3//+d3Xp0uWyVlYBAAC8iceDZkxMjCRp7ty5jrBot9uVmJgoSRoxYsRF50dHR6ugoMDpdZOlpaVavHix/P39NWTIEEkXnn/Zs2dPZWVl6S9/+YtjrN1u1wcffCCr1aqYmBjHned1qeuRRx6Rj4+PFixY4HS96OLFi1VaWnrJzwAAAOCNPHozkCTdd999ioqK0qZNmzRixAj17t1bBw4cUGZmpiIjI9W3b1/H2Pnz50uSpkyZ4miLi4vT5s2b9dZbbykjI0MhISHasmWLjh8/rpkzZzo9Fun3v/+9Ro0apRdffFGbN29WcHCw9u/fr4MHD6p79+6aMWPGFdXVuXNnTZgwQUuXLlVMTIz69euno0ePaseOHbr77rs1fPhw475AAACAG5TJbrfbPV1EVVWVlixZog0bNqiwsFAWi0XR0dGKi4tzemxQ165dJUnZ2dlO80+dOqXExERt375d5eXl6tSpk55++mkNHjy41rlyc3OVlJSkr776SufOnVNwcLAGDx6suLi4WneGX25d0oXVzlWrVmnVqlXKy8tTUFCQ/vf//t+aPHlynW4Eys/P14ABA7R161a3z+YEgLoaM2aMJCk5OdnDlQDwJpfKLTdE0MS/EDQBGIGgCcAIl8otHr9GEwAAAN6JoAkAAABDEDQBAABgCIImAAAADEHQBAAAgCEImgAAADAEQRMAAACGIGgCAADAEARNAAAAGIKgCQAAAEMQNAEAAGAIgiYAAAAMQdAEAACAIQiaAAAAMARBEwAAAIYgaAIAAMAQBE0AAAAYgqAJAAAAQxA0AQAAYAiCJgAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBAETQAAABiCoAkAAABDEDQBAABgCIImAAAADEHQBAAAgCEImgAAADAEQRMAAACGIGgCAADAEARNAAAAGIKgCQAAAEMQNAEAAGAIgiYAAAAMQdAEAACAIQiaAAAAMARBEwAAAIYgaAIAAMAQBE0AAAAYgqAJAAAAQxA0AQAAYAiCJgAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBAETQAAABiCoAkAAABDEDQBAABgiMaeLgAAbgQ/BD3g6RIMVR7wsyTv/5yS1Nm6y9MlAPgfBE0AaAAGVvh7ugQADRBBEwAagAGVTT1dAoAGiKAJAL/C1mv90xAuCQDqI24GAgAAgCFuiBXN6upqpaSkaO3atcrPz1dQUJCGDh2q+Ph4NWnS5JLzi4uLlZSUpB07duj06dPq3LmznnnmGUVFRdUam5ubqwULFmjPnj06c+aMWrdurb59+2ratGkKDAx0jOvateslz7tixQr17t1bkpSWlqbXX3/d5bjw8HCtXbv2kscDAADwJjdE0Jw9e7ZSU1MVERGh/v37a//+/UpKSlJ2draSkpIuOresrEwTJkzQoUOHNGjQILVr105btmzR9OnTVVRUpNGjRzvGHj16VLGxsTp37pz69++vDh06KCsrS2vWrNHu3buVlpbmCJuTJ092eb7Tp09r9erVat26tTp16uRoz87OliTFxcXJz8/Pac4tt9xyRd8LAABAfebxoLl//36lpqYqMjJS8+bNk8lkkt1u1yuvvKL09HRt375d/fr1czt/xYoVysrK0qxZszRq1ChJUkJCgmJjY/Xee+/p4YcfVuvWrSVJc+bMUUlJiebPn6+BAwc6jrFo0SLNmzdPixYtcqxKTpkyxeX5nn32WZlMJr377rsKCgpytGdnZ6tly5Z68cUXr/o7AQAA8AYev0Zz5cqVki6sIJpMJkmSyWTSjBkzZDKZlJaWdtH5q1atUps2bRQbG+toM5vNmjRpksrLy7Vx40ZJUmlpqfbs2aM77rjDKWRKUnx8vPz8/PTll19e9FyffPKJtm3bpieeeEJ9+vRx6jty5IjCwsIu70MDAAA0AB4PmpmZmWrVqlWtkNa2bVuFhoYqIyPD7dy8vDwVFhYqIiJCPj4+Tn01107WzLfb7XrppZc0fvz4Wsfx8fGRj4+PysrK3J6roqJCc+fOVUBAgGbMmOHUV1BQoOLi4su6rhMAAKCh8GjQrKysVEFBgTp06OCyPzg4WGfPnlVRUZHL/ry8PElyOT8oKEh+fn7Kzc2VJAUEBGj8+PF69NFHa4396quvVFZWpi5duritddWqVfrxxx/1zDPPqFWrVk59NddnVlVVKSEhQffee6969uypp59+Wt98843bYwIAAHgzjwbN4uJiSRdCoCs17SUlJRed37x5c5f9ZrPZ7dwa5eXlevvttyVJw4cPdznml19+0YoVK3TTTTdp5MiRtfprguaaNWtUUVGhoUOHqk+fPtqzZ49GjhypXbt4Jh8AAGh4PHozUHV1tSTJ19fXZX9Ne0VFxRXPLy8vd3v+yspKTZs2Td9//70GDBjg8nFIkrRt2zb9+OOPGj9+vMtQa7PZFBwcrOeff17R0dGO9r1792rcuHF69dVXtXXr1lp3owMAAHgzj65o+vtfePduVVWVy/7KykpJUtOmrl+dVhPcasa5mt+sWTOXfWVlZXr22We1c+dO3XnnnXrnnXfc1pmeni7J/YrnpEmTtG3bNqeQKUm9evXSo48+KqvVqr1797o9PgAAgDfyaNA0m81q1KiRSktLXfbXbHu721pv0aKFJLmdX1paKrPZXKu9qKhIY8eO1e7du9WjRw8tW7bM5Tjpwmrq3/72N4WFhTk9N/NydevWTZKUn59f57kAAAD1mUeDpq+vrywWi9sQlp+fr8DAQLVs2dJlf2hoqGPcr508eVIVFRXq2LGjU/uJEyf05JNP6ttvv9X999+vjz76yO01ntKF7e+ysjJFRka6HZOVleX27viabX+2zQEAQEPj8ccbRUREyGq1Kicnx6m9sLBQubm5Cg8PdzvXYrHIYrFo3759stlsTn01W9U9e/Z0tBUVFWnChAnKzc1VVFSUFi9e7HZrvcbBgwcddbrz3HPPaezYsS7vjt+3b58kqXv37hc9DwAAgLfxeNCMiYmRJM2dO9cRFu12uxITEyVJI0aMuOj86OhoFRQUKCUlxdFWWlqqxYsXy9/fX0OGDHG0z5o1S7m5uRo4cKDef//9y3qP+j/+8Q9J0h133OF2zKBBg2Sz2TR37lzZ7XZH+2effaYdO3bonnvu4WHuAACgwfH4Kyjvu+8+RUVFadOmTRoxYoR69+6tAwcOKDMzU5GRkerbt69j7Pz58yU5vx4yLi5Omzdv1ltvvaWMjAyFhIRoy5YtOn78uGbOnOl4d3lWVpY+//xzmUwmWSwWLVy4sFYtfn5+io+Pd2o7fvy4/P39L7q9npCQoC+//FJr165Vdna2IiIilJOTox07digoKEhz5sy5mq8IAACgXvJ40JSkd955R126dNGGDRv08ccfy2KxaOrUqYqLi3O8llKSFixYIMk5aJrNZq1cuVKJiYnavn27du3apU6dOikxMVGDBw92jPv3NwQtX77cZR0BAQG1gubPP//s9makGs2bN9eaNWu0YMECff7550pOTlbLli31+OOPa+rUqbr55pvr9H0AAAB4A5P93/d64XH5+fkaMGCAtm7dqvbt23u6HKDB+CHoAcefO1t5yUJ9w98f4BmXyi0ev0YTAAAA3omgCQAAAEMQNAEAAGAIgiYAAAAMQdAEAACAIQiaAAAAMARBEwAAAIYgaAIAAMAQBE0AAAAYgqAJAAAAQxA0AQAAYAiCJgAAAAxB0AQAAIAhCJoAAAAwRGNPFwD8Wnp6utatW3fdznfq1ClJUps2ba7bOYcNG6aYmJjrdj4AADyBoIkGz2q1Srq+QRMAgIaAoIkbTkxMzHVd7RszZowkKTk5+bqdEwCAhoBrNAEAAGAIgiYAAAAMQdAEAACAIbhGEwAkbfUt1xa/85Kkpv9z3S7qj/KAnzWwwl8DKpt6uhQA/4YVTQAAABiCFU0AkDSgsqljNawzTyCod34IesDTJQBwgRVNAAAAGIKgCQAAAEMQNAEAAGAIgiYAAAAMQdAEAACAIQiaAAAAMARBEwAAAIYgaAIAAMAQBE0AAAAYgqAJAAAAQxA0AQAAYAiCJgAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBAETQAAABiCoAkAAABDEDQBAABgCIImAAAADNH4SieWlpZqx44dOnz4sEpKStSqVSuFh4erT58+8vX1vZY1AgAAoB66oqC5YcMGzZkzRyUlJbLb7Y52k8mkW265RW+++abuv//+a1YkAAAA6p86B80dO3botddeU0BAgCZNmqS77rpLbdq0UUlJifbv36+UlBQlJCQoJSVFd911lxE1AwAAoB6oc9BcvHixWrRoobS0NIWEhDj13XfffRo8eLCGDx+uBQsWaMmSJdesUAAAANQvdb4ZKDs7W5GRkbVCZo2OHTtq4MCBOnDgwFUXBwAAgPqrzkEzICBANpvtomNMJpP8/PyuuCgAAADUf3UOmsOHD9fGjRv1zTffuOw/duyYNm/erMcee+yqiwMAAED9VedrNHv06KFOnTpp5MiRGjx4sP7jP/5Dbdu2VUVFhb799lulpqaqUaNGatmypVasWOE0d+zYsdescAAAANzY6hw0n3nmGcef//znP+vPf/6zTCaTJDk96ui9996r9egjgiYAAEDDUeegOWfOHCPqAAAAgJepc9Dk2ksAAABcDt51DgAAAEPUeUXTZrNp5cqV+vTTT3XixAlVVla6HGcymfT1119fdYEAAACon+ocNBctWqSFCxfKbrerTZs2MpvNV11EdXW1UlJStHbtWuXn5ysoKEhDhw5VfHy8mjRpcsn5xcXFSkpK0o4dO3T69Gl17txZzzzzjKKiomqNzc3N1YIFC7Rnzx6dOXNGrVu3Vt++fTVt2jQFBgY6jf3ggw/04YcfujxnVFSU5s6d69SWnp6u5cuXKzc3V82bN9fDDz+sqVOn6qabbqrDtwEAAOAd6hw0N2zYoHbt2ik5OVnBwcHXpIjZs2crNTVVERER6t+/v/bv36+kpCRlZ2crKSnponPLyso0YcIEHTp0SIMGDVK7du20ZcsWTZ8+XUVFRRo9erRj7NGjRxUbG6tz586pf//+6tChg7KysrRmzRrt3r1baWlpTmHz8OHD8vX1VXx8fK3z/uY3v3H65z/+8Y9KTExU165dNXr0aB05ckTLly/XwYMHtWLFCvn6+l7ltwQAAFC/1DloFhUVaeTIkdcsZO7fv1+pqamKjIzUvHnzZDKZZLfb9corryg9PV3bt29Xv3793M5fsWKFsrKyNGvWLI0aNUqSlJCQoNjYWL333nt6+OGH1bp1a0kX7pgvKSnR/PnzNXDgQMcxFi1apHnz5mnRokV6/fXXHe1HjhxRly5dNGXKlIt+hhMnTigpKUk9e/ZUcnKyYxW25phr1651CrwAAAANQZ1vBurWrZvy8vKuWQErV66UJE2ePNnxPE6TyaQZM2bIZDIpLS3tovNXrVqlNm3aKDY21tFmNps1adIklZeXa+PGjZKk0tJS7dmzR3fccYdTyJSk+Ph4+fn56csvv3S0lZaW6sSJE+rateslP8PatWtVXV2tiRMnOm31T5o0SWaz+ZKfAQAAwBvVOWjOmDFDO3fu1OrVq50eyH6lMjMz1apVK4WFhTm1t23bVqGhocrIyHA7Ny8vT4WFhYqIiJCPj49TX+/evSXJMd9ut+ull17S+PHjax3Hx8dHPj4+Kisrc7QdPnxYki4raNaco1evXk7tfn5+6tGjhw4fPqySkpJLHgcAAMCb1HnrPCIiQiNGjNDs2bP17rvvql27di6vPzSZTFq/fv1Fj1VZWamCggKFh4e77A8ODlZOTo6Kiopq3agjybGy2qFDh1p9QUFB8vPzU25uriQpICDAZciUpK+++kplZWVOdWRnZ0u6cKnA+PHj9d1330mS7r33Xj3//PPq1KmTUx1t2rRxedNPzSUGOTk5uuuuu1yeHwAAwBvVOWguX75cKSkpstvtKisr0w8//OByXM02+MUUFxdLuhACXalpLykpcRk0a+Y3b97c5Xyz2XzJlcTy8nK9/fbbkqThw4c72muC5rJly9S/f3898cQTys7O1l//+lf97W9/U3Jysm6//XZHHe3bt7/oZygtLb1oHQAAAN6mzkFzxYoVatmypd577z3dfffdatq06RWfvLq6WpLc3pFd015RUXHF88vLy92ev7KyUtOmTdP333+vAQMGOD0OycfHR8HBwZozZ45jG16SPvnkE7300kt67bXXtGHDBkcdV/oZAAAAvFWdg+bp06cVGxurPn36XPXJ/f39JUlVVVUu+2seBu8uzPr5+TmNczW/WbNmLvvKyso0ZcoU7d69W3feeafeeecdp/433njD5bzo6GitXbtWGRkZOnbsmDp16iR/f/8r/gwAAADeqs43A3Xu3Fk///zzNTm52WxWo0aN3G4r12x7u9tab9GihST329KlpaUuHyhfVFSksWPHavfu3erRo4eWLVtWpwfPd+vWTZKUn58v6cLWvbst+kt9BgAAAG9V56D57LPPavPmzdq2bdtVn9zX11cWi8UR2H4tPz9fgYGBatmypcv+0NBQx7hfO3nypCoqKtSxY0en9hMnTujJJ5/Ut99+q/vvv18fffRRrWs8q6ur9c033+jgwYMuz3v+/HlJ/1pRDQ0N1enTpx3tvz5fo0aNdOutt7o8FgAAgLeq89b5Dz/8oM6dO+u5555TcHCwbr31VpfbwiaTSfPnz7/k8SIiIvTnP/9ZOTk5TqGwsLBQubm5F31Yu8VikcVi0b59+2Sz2dSo0b9y8969eyVJPXv2dLQVFRVpwoQJys3NVVRUlN555x2Xr7i02WwaOXKkmjVrpj179jg9Oslut+vAgQNq3Lix42agiIgIff3118rMzNT999/vGFtRUaG///3v6tKlyzV5VScAAEB9UucVzQ8++ECHDh2S3W5Xfn6+vvrqK33xxRcu/3c5YmJiJElz586VzWaTdCHMJSYmSpJGjBhx0fnR0dEqKChQSkqKo620tFSLFy+Wv7+/hgwZ4mifNWuWcnNzNXDgQL3//vtu36Pu6+urfv366cyZM1qyZIlT37Jly3TkyBE98sgjjpXQRx55RD4+PlqwYIHT9aKLFy9WaWnpJT8DAACAN6rziubWrVuvaQH33XefoqKitGnTJo0YMUK9e/fWgQMHlJmZqcjISPXt29cxtmaF9N9fCRkXF6fNmzfrrbfeUkZGhkJCQrRlyxYdP35cM2fOdDwWKSsrS59//rlMJpMsFosWLlxYqxY/Pz/He81ffvllHThwQB988IH27t2r2267Td9995327t2rLl266JVXXnHM69y5syZMmKClS5cqJiZG/fr109GjR7Vjxw7dfffdTo9NAgAAaCguGTQPHz6soKAgx/vCjXjDzTvvvKMuXbpow4YN+vjjj2WxWDR16lTFxcU5PY9zwYIFkpyDptls1sqVK5WYmKjt27dr165d6tSpkxITEzV48GDHuH9/Q9Dy5ctd1hEQEOAImu3bt9e6des0b948ffnll8rIyNDNN9+sCRMmKCEhodbNPS+88ILatWunVatWacWKFQoKCtK4ceM0efJkt48+AgAA8GYm+yXeI3nbbbdp8uTJmjx5suOfL+dh7JJ06NChq6+wgcnPz9eAAQO0detWtw+Bx7U1ZswYSVJycrKHK4En/RD0gOPPna27PFgJrgR/f4BnXCq3XHJF87HHHnPc9CJduKbycoMmAAAAGq5LBs05c+Y4/XPN6xoBAACAi6nzXecAAADA5SBoAgAAwBAETQAAABiCoAkAAABDEDQBAABgCIImAAAADEHQBAAAgCEImgAAADAEQRMAAACGIGgCAADAEARNAAAAGIKgCQAAAEMQNAEAAGAIgiYAAAAM0djTBeDGZzJ5ugJjtW9/4f96++eUJLvd0xUAABoSgiYavDNnhnm6BAAAvBJBEw1eSUmMp0sAAMArETRRJ2y91j8N4ZIAAMCNiZuBAAAAYAiCJgAAAAzB1jkAADBEenq61q1bd93Od+rUKUlSmzZtrts5hw0bppiYmOt2vvqGoAkAALyC1WqVdH2DJi6OoAkAAAwRExNzXVf7xowZI0lKTk6+bufExXGNJgAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBDcdQ4AQANh+v3vPV2Codrn5kry/s8pSfY33vB0CZeFoAkAALzCmU6dPF0CfoWgCQAAvEIJQfOGQ9AEAKABqi9br/iX+nhJAEETAH7lh6AHPF3CNbfVt1ySNKCyqYcrAdCQEDQBoAHY4ndeEkETwPXF440AAABgCFY0AUBSZ+suT5dgqKZjxkiSOicne7gSAA0JK5oAAAAwBCuaAAA0EAHHjqnFsWOSpDFHj3q4GtRV+9xcnenUqV49xokVTQAAABiCFU0AABqIkn9bDUvmOZr1Tn18jiYrmgAAADAEQRMAAACGIGgCAADAEARNAAAAGIKgCQAAAENw1zkAAA1QfbyD+VIC/ucZofXpOZPejqAJAAC8QguC5g2HrXMAAAAYghVNAAAaCLuXP6S95rWaPIz+xsGKJgAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBA3xF3n1dXVSklJ0dq1a5Wfn6+goCANHTpU8fHxatKkySXnFxcXKykpSTt27NDp06fVuXNnPfPMM4qKiqo1Njc3VwsWLNCePXt05swZtW7dWn379tW0adMUGBjoNNZqtWr+/PnauXOnTp8+rRYtWujee+/VtGnTFBIS4jQ2LS1Nr7/+usv6wsPDtXbt2jp8IwAA1H/p6elat27ddTvfoUOHJEljxoy5buccNmyYYmJirtv56psbImjOnj1bqampioiIUP/+/bV//34lJSUpOztbSUlJF51bVlamCRMm6NChQxo0aJDatWunLVu2aPr06SoqKtLo0aMdY48eParY2FidO3dO/fv3V4cOHZSVlaU1a9Zo9+7dSktLc4RNq9WqJ554Qj/99JP69OmjqKgo5eTk6NNPP9WuXbuUmpqq0NBQx7Gzs7MlSXFxcfLz83Oq8ZZbbrlG3xQAAHAnKCjI0yXg1+wetm/fPntYWJh9ypQpdpvNZrfb7XabzWb/3e9+Zw8LC7Nv27btovM//PBDe1hYmD0lJcXRVlJSYh88eLA9PDzcfurUKUf7hAkT7GFhYfa//vWvTsdYuHChPSwszP7mm2862mbOnGkPCwuzL1u2zGlsenq6PSwszD5x4kSn9tGjR9t79epVtw/vwvHjx+1hYWH248ePX/WxrhXpX/9D/cPfH+z2C/+OGj16tKfLAOBlLpVbPH6N5sqVKyVJkydPlslkkiSZTCbNmDFDJpNJaWlpF52/atUqtWnTRrGxsY42s9msSZMmqby8XBs3bpQklZaWas+ePbrjjjs0cOBAp2PEx8fLz89PX375paPtiy++UGBgoJ566imnsUOGDFGHDh20e/du2Ww2R/uRI0cUFhZ2Bd8AAACAd/L41nlmZqZatWpVK6S1bdtWoaGhysjIcDs3Ly9PhYWFioyMlI+Pj1Nf7969JUkZGRkaN26c7Ha7XnrpJbVp06bWcXx8fOTj46OysjJJ0i+//KKJEyeqcePGatSodhb39fVVVVWVqqur5evrq4KCAhUXF6tr1651/vz1QUBAulq0uHCNzXW87AXXSPv20pkzw1RSEuPpUgAADYxHg2ZlZaUKCgoUHh7usj84OFg5OTkqKiqqdaOOdCFoSlKHDh1q9QUFBcnPz0+5ubmSpICAAI0fP97leb766iuVlZU56vDx8am1klnjhx9+0LFjx9ShQwf5+vpK+tf1mVVVVUpISNCBAwd0/vx53X333Zo2bZruuuuui3wLAAAA3smjQbO4uFjShRDoSk17SUmJy6BZM7958+Yu55vNZpWUlFy0hvLycr399tuSpOHDh190rM1m05tvvimbzeY0tiZorlmzRvfff7+GDh2qf/7zn9q2bZu+/vprffjhh3rggQcueuwbWUlJjGM1LDnZs7Wg7v7nihQAAK47jwbN6upqSXKsDP5aTXtFRcUVzy8vL3d7/srKSk2bNk3ff/+9BgwY4PJxSDXsdrtmzZqlPXv2qHv37k4rnjabTcHBwXr++ecVHR3taN+7d6/GjRunV199VVu3bq11NzoAAIA38+jNQP7+/pIubDm7UllZKUlq2rSpy/6a4FYzztX8Zs2auewrKyvTs88+q507d+rOO+/UO++847bO6upqvfbaa0pLS1NISIgWLVrkFG4nTZqkbdu2OYVMSerVq5ceffRRWa1W7d271+3xAQAAvJFHg6bZbFajRo1UWlrqsr9m29vd1nqLFi0kye380tJSmc3mWu1FRUUaO3asdu/erR49emjZsmUux0kXttYTEhK0fv16hYaGasWKFWrbtu0lP1uNbt26SZLy8/Mvew4AAIA38GjQ9PX1lcVicRvC8vPzFRgYqJYtW7rsr3lguqv5J0+eVEVFhTp27OjUfuLECT355JP69ttvdf/99+ujjz5ye43nmTNn9NRTT2nnzp3q1q2bVq1aJYvFUmtcVlaW27vja7b92TYHAAANjcefoxkRESGr1aqcnByn9sLCQuXm5rq9I12SLBaLLBaL9u3b5/RMS0mOreqePXs62oqKijRhwgTl5uYqKipKixcvdru1XlFRoYkTJ+rgwYPq1auXkpOT1bp1a5djn3vuOY0dO1ZFRUW1+vbt2ydJ6t69u9vPAQAA4I08HjRr3g86d+5cR1i02+1KTEyUJI0YMeKi86Ojo1VQUKCUlBRHW2lpqRYvXix/f38NGTLE0T5r1izl5uZq4MCBev/99y/6HvXExEQdOHBAPXv21NKlS91urUvSoEGDZLPZNHfuXNntdkf7Z599ph07duiee+7hYe4AAKDB8fgD2++77z5FRUVp06ZNGjFihHr37q0DBw4oMzNTkZGR6tu3r2Ps/PnzJUlTpkxxtMXFxWnz5s166623lJGRoZCQEG3ZskXHjx/XzJkzHY9FysrK0ueffy6TySSLxaKFCxfWqsXPz0/x8fGyWq2ONxZ16tRJS5cudVl7zRuFEhIS9OWXX2rt2rXKzs5WRESEcnJytGPHDgUFBWnOnDnX6usCAACoN0z2f1+C85CqqiotWbJEGzZsUGFhoSwWi6KjoxUXF+d0d3fNm3dqnltZ49SpU0pMTNT27dtVXl6uTp066emnn9bgwYMdY5YvX37JwBcQEKDMzEx98cUXeu655y5Zd0ZGhuP6zrNnz2rBggX6/PPPZbVa1bJlS/Xt21dTp07VzTfffNnfRX5+vgYMGKCtW7eqffv2lz3PSP/+HEbP/1pQV/z9QZLG/M9rvZJ5GC6Aa+hSueWGCJr4F4ImrjX+/iARNAEY41K5xePXaAIAAMA7ETQBAABgCIImAAAADEHQBAAAgCEImgAAADAEQRMAAACGIGgCAADAEARNAAAAGIKgCQAAAEMQNAEAAGAIgiYAAAAMQdAEAACAIQiaAAAAMARBEwAAAIYgaAIAAMAQBE0AAAAYgqAJAAAAQxA0AQAAYAiCJgAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBAETQAAABiCoAkAAABDEDQBAABgCIImAAAADEHQBAAAgCEae7oA1C8mk6cruPYCAtIlSSUlMR6tAwAAb0PQRIPXosU6SQRNAACuNbbOAQAAYAhWNHFJdrunKzDWmDEX/m9ysmfrAADA27CiCQAAAEMQNAEAAGAIgiYAAAAMQdAEAACAIQiaAAAAMARBEwAAAIYgaAIAAMAQBE0AAAAYgqAJAAAAQxA0AQAAYAiCJgAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBAETQAAABiCoAkAAABDEDQBAABgCIImAAAADEHQBAAAgCEImgAAADAEQRMAAACGIGgCAADAEARNAAAAGOKGCJrV1dVavny5oqKidNddd2nAgAFauHChqqqqLmt+cXGxZs+erf79+ys8PFxDhw7Vpk2bXI7Nzc3Viy++qD59+qh79+566KGH9MYbb6ioqOiq60pPT1dMTIx69OihBx98UHPmzNG5c+cu/4sAAADwIjdE0Jw9e7bmzJmjli1bauzYsWrbtq2SkpL0wgsvXHJuWVmZJkyYoNWrVys8PFyjRo3S2bNnNX36dKWkpDiNPXr0qB5//HH95S9/UY8ePTRmzBjdeuutWrNmjZ544olaYbMudf3xj3/Uyy+/LJvNptGjR+u2227T8uXL9fTTT6uysvLqviAAAIB6qLGnC9i/f79SU1MVGRmpefPmyWQyyW6365VXXlF6erq2b9+ufv36uZ2/YsUKZWVladasWRo1apQkKSEhQbGxsXrvvff08MMPq3Xr1pKkOXPmqKSkRPPnz9fAgQMdx1i0aJHmzZunRYsW6fXXX69zXSdOnFBSUpJ69uyp5ORkNWnSRJIcx1y7dq1Gjx5tyPcHAABwo/L4iubKlSslSZMnT5bJZJIkmUwmzZgxQyaTSWlpaRedv2rVKrVp00axsbGONrPZrEmTJqm8vFwbN26UJJWWlmrPnj264447nEKmJMXHx8vPz09ffvnlFdW1du1aVVdXa+LEiY6QKUmTJk2S2Wy+5GcAAADwRh4PmpmZmWrVqpXCwsKc2tu2bavQ0FBlZGS4nZuXl6fCwkJFRETIx8fHqa93796S5Jhvt9v10ksvafz48bWO4+PjIx8fH5WVlV1RXTV/7tWrl9NYPz8/9ejRQ4cPH1ZJSYnbzwEAAOCNPBo0KysrVVBQoA4dOrjsDw4O1tmzZ13eqCNdCJqSXM4PCgqSn5+fcnNzJUkBAQEaP368Hn300Vpjv/rqK5WVlalLly5XVFdeXp7atGmjm266yeVYScrJyXF5LAAAAG/l0aBZXFws6UIIdKWm3d1qYM385s2bu+w3m82XXEksLy/X22+/LUkaPnz4FdVVXFx8ybGlpaUXrQMAAMDbeDRoVldXS5J8fX1d9te0V1RUXPF8d3OlCyuX06ZN0/fff68BAwYoKirqiuqqrq6+4s8AAADgrTwaNP39/SXJ7XMpax4L1LRpU5f9fn5+TuNczW/WrJnLvrKyMj377LPauXOn7rzzTr3zzjtXXJe/v/8VfwYAAABv5dGgaTab1ahRI7fbyjVb0+62pVu0aCHJ/bZ0aWmpzGZzrfaioiKNHTtWu3fvVo8ePbRs2TKncXWtq3nz5m636C/1GQAAALyVR4Omr6+vLBaL8vPzXfbn5+crMDBQLVu2dNkfGhrqGPdrJ0+eVEVFhTp27OjUfuLECT355JP69ttvdf/99+ujjz6qdY1nXesKDQ3V6dOndf78+VpjT5w4oUaNGunWW291eSwAAABv5fHHG0VERMhqtda6K7uwsFC5ubkKDw93O9dischisWjfvn2y2WxOfXv37pUk9ezZ09FWVFSkCRMmKDc3V1FRUVq8eLHbrfW61BURESGbzabMzEynsRUVFfr73/+uLl26uFxZBQAA8GYeD5oxMTGSpLlz5zrCot1uV2JioiRpxIgRF50fHR2tgoICp9dNlpaWavHixfL399eQIUMc7bNmzVJubq4GDhyo999/3+nh6ldT1yOPPCIfHx8tWLDA6XrRxYsXq7S09JKfAQAAwBt5/BWU9913n6KiorRp0yaNGDFCvXv31oEDB5SZmanIyEj17dvXMXb+/PmSpClTpjja4uLitHnzZr311lvKyMhQSEiItmzZouPHj2vmzJkKDAyUJGVlZenzzz+XyWSSxWLRwoULa9Xi5+en+Pj4OtfVuXNnTZgwQUuXLlVMTIz69euno0ePaseOHbr77rsdj00CAABoSDweNCXpnXfeUZcuXbRhwwZ9/PHHslgsmjp1quLi4hyvf5SkBQsWSHIOmmazWStXrlRiYqK2b9+uXbt2qVOnTkpMTNTgwYMd4/79DUHLly93WUdAQIAjaNalLkl64YUX1K5dO61atUorVqxQUFCQxo0bp8mTJ7t99BEAAIA3M9ntdruni8C/5Ofna8CAAdq6davat2/v6XIahDFjxkiSkpOTPVwJYBx+5wCMcKnc4vFrNAEAAOCdCJoAAAAwBEETAAAAhiBoAgAAwBAETQAAABiCoAkAAABDEDQBAABgCIImAAAADEHQBAAAgCEImgAAADAEQRMAAACGIGgCAADAEARNAAAAGIKgCQAAAEMQNAEAAGCIxp4uAAAaovT0dK1bt+66ne/QoUOSpDFjxly3cw4bNkwxMTHX7XwAbjwETQBoAIKCgjxdAoAGiKAJAB4QExPDah8Ar8c1mgAAADAEQRMAAACGIGgCAADAEARNAAAAGIKgCQAAAEMQNAEAAGAIgiYAAAAMQdAEAACAIQiaAAAAMARBEwAAAIYgaAIAAMAQBE0AAAAYgqAJAAAAQxA0AQAAYAiCJgAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBAETQAAABiCoAkAAABDEDQBAABgCIImAAAADEHQBAAAgCEImgAAADAEQRMAAACGIGgCAADAEARNAAAAGIKgCQAAAEMQNAEAAGAIgiYAAAAMQdAEAACAIQiaAAAAMARBEwAAAIYgaAIAAMAQBE0AAAAYgqAJAAAAQxA0AQAAYAiCJgAAAAzR2NMFSFJ1dbVSUlK0du1a5efnKygoSEOHDlV8fLyaNGlyyfnFxcVKSkrSjh07dPr0aXXu3FnPPPOMoqKiLjrv0KFDevzxxzVv3jz99re/derr2rXrJc+7YsUK9e7dW5KUlpam119/3eW48PBwrV279pLHAwAA8CY3RNCcPXu2UlNTFRERof79+2v//v1KSkpSdna2kpKSLjq3rKxMEyZM0KFDhzRo0CC1a9dOW7Zs0fTp01VUVKTRo0e7nGe1WjV16lRVV1e77J88ebLL9tOnT2v16tVq3bq1OnXq5GjPzs6WJMXFxcnPz89pzi233HLRzwAAAOCNPB409+/fr9TUVEVGRmrevHkymUyy2+165ZVXlJ6eru3bt6tfv35u569YsUJZWVmaNWuWRo0aJUlKSEhQbGys3nvvPT388MNq3bq105zDhw9r8uTJOn78uNvjTpkyxWX7s88+K5PJpHfffVdBQUGO9uzsbLVs2VIvvvhiXT4+AACA1/L4NZorV66UdGEF0WQySZJMJpNmzJghk8mktLS0i85ftWqV2rRpo9jYWEeb2WzWpEmTVF5ero0bNzqNf+edd/T444/LarUqIiKiTrV+8skn2rZtm5544gn16dPHqe/IkSMKCwur0/EAAAC8mceDZmZmplq1alUrpLVt21ahoaHKyMhwOzcvL0+FhYWKiIiQj4+PU1/NtZO/nv/f//3fuvPOO7V+/Xrde++9l11nRUWF5s6dq4CAAM2YMcOpr6CgQMXFxZd1XScAAEBD4dGgWVlZqYKCAnXo0MFlf3BwsM6ePauioiKX/Xl5eZLkcn5QUJD8/PyUm5vr1L5kyRKtXr1anTt3rlOtq1at0o8//qhnnnlGrVq1cuqruT6zqqpKCQkJuvfee9WzZ089/fTT+uabb+p0HgAAAG/h0aBZXFwsSQoICHDZX9NeUlJy0fnNmzd32W82m2vNfeihh+pc5y+//KIVK1bopptu0siRI2v11wTNNWvWqKKiQkOHDlWfPn20Z88ejRw5Urt27arzOQEAAOo7j94MVHPHt6+vr8v+mvaKioornl9eXn61ZWrbtm368ccfNX78eJeh1mazKTg4WM8//7yio6Md7Xv37tW4ceP06quvauvWrbXuRgcAAPBmHl3R9Pf3l3Rhy9mVyspKSVLTpk1d9tcEt5pxruY3a9bsastUenq6JGn48OEu+ydNmqRt27Y5hUxJ6tWrlx599FFZrVbt3bv3qusAAACoTzwaNM1msxo1aqTS0lKX/TXb3u621lu0aCFJbueXlpbKbDZfVY0VFRX629/+prCwMKfnZl6ubt26SZLy8/Ovqg4AAID6xqNB09fXVxaLxW0Iy8/PV2BgoFq2bOmyPzQ01DHu106ePKmKigp17Njxqmrcu3evysrKFBkZ6XZMVlaW27vja7b92TYHAAANjccfbxQRESGr1aqcnByn9sLCQuXm5io8PNztXIvFIovFon379slmszn11WxV9+zZ86rqO3jwoKNOd5577jmNHTvW5d3x+/btkyR17979quoAAACobzweNGNiYiRJc+fOdYRFu92uxMRESdKIESMuOj86OloFBQVKSUlxtJWWlmrx4sXy9/fXkCFDrqq+f/zjH5KkO+64w+2YQYMGyWazae7cubLb7Y72zz77TDt27NA999zDw9wBAECD4/FXUN53332KiorSpk2bNGLECPXu3VsHDhxQZmamIiMj1bdvX8fY+fPnS3J+PWRcXJw2b96st956SxkZGQoJCdGWLVt0/PhxzZw5U4GBgVdV3/Hjx+Xv7+/2EUrShVdefvnll1q7dq2ys7MVERGhnJwc7dixQ0FBQZozZ85V1QAAAFAfeXxFU7rwWsipU6fq559/1scff6xTp05p6tSpeu+99xyvpZSkBQsWaMGCBU5zzWazVq5cqWHDhikzM1OrVq1S8+bNlZiYqNGjR191bT///LPbm5FqNG/eXGvWrNFTTz0lq9Wq5ORkfffdd3r88ce1fv16hYSEXHUdAAAA9Y3J/u97vfC4/Px8DRgwQFu3blX79u09XU6DMGbMGElScnKyhysBAKB+uVRuuSFWNAEAAOB9CJoAAAAwBEETAAAAhvD4XefAr6Wnp2vdunXX7XyHDh2S9K9rNa+HYcOGOR7tBQCAtyJoosELCgrydAkAAHglgiZuODExMaz2AQDgBbhGEwAAAIYgaAIAAMAQBE0AAAAYgqAJAAAAQxA0AQAAYAiCJgAAAAxB0AQAAIAhCJoAAAAwBEETDd7Jkyc1evRoWa1WT5cCAIBXIWiiwVu0aJEyMzO1aNEiT5cCAIBXIWiiQTt58qTWr18vu92udevWsaoJAMA1RNBEg7Zo0SLZbDZJks1mY1UTAIBriKCJBm3jxo2qqqqSJFVVVemTTz7xcEUAAHgPgiYatEcffVRNmjSRJDVp0kTR0dEerggAAO9B0ESDlpCQoEaNLvy/QaNGjZSQkODhigAA8B4ETTRoN998s4YOHSqTyaRhw4YpKCjI0yUBAOA1Gnu6AMDTEhISdPToUVYzAQC4xgiaaPBuvvlmpaSkeLoMAAC8DlvnAAAAMARBEwAAAIYgaAIAAMAQBE0AAAAYgqAJAAAAQxA0AQAAYAiCJgAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBAETQAAABiCoAkAAABDNPZ0AXD2yy+/SJIKCgo8XAkAAMDF1eSVmvzyawTNG4zVapUkjRo1ysOVAAAAXB6r1apbb721VrvJbrfbPVAP3Dh//ry+++47BQUFycfHx9PlAAAAuPXLL7/IarWqe/fu8vf3r9VP0AQAAIAhuBkIAAAAhiBoAgAAwBAETQAAABiCoAkAAABDEDQBAABgCIImAAAADEHQBAAAgCEImvBa1dXVWr58uaKionTXXXdpwIABWrhwoaqqqi5rfnFxsWbPnq3+/fsrPDxcQ4cO1aZNmwyuGrgyhYWFioiI0PLlyy97Dr9x1BdWq1WzZs3SQw89pO7du6tPnz568cUXdfz48cuaz2/dc3gFJbzW7NmzlZqaqoiICPXv31/79+9XUlKSsrOzlZSUdNG5ZWVlmjBhgg4dOqRBgwapXbt22rJli6ZPn66ioiKNHj36On0K4NLOnTunKVOmqLS09LLn8BtHfWG1WvXEE0/op59+Up8+fRQVFaWcnBx9+umn2rVrl1JTUxUaGup2Pr91D7MDXmjfvn32sLAw+5QpU+w2m81ut9vtNpvN/rvf/c4eFhZm37Zt20Xnf/jhh/awsDB7SkqKo62kpMQ+ePBge3h4uP3UqVOG1g9crvz8fPtjjz1mDwsLs4eFhdk/+uijy5rHbxz1xcyZM+1hYWH2ZcuWObWnp6fbw8LC7BMnTrzofH7rnsXWObzSypUrJUmTJ0+WyWSSJJlMJs2YMUMmk0lpaWkXnb9q1Sq1adNGsbGxjjaz2axJkyapvLxcGzduNK544DItX75cjz76qA4fPqz/9b/+V53m8htHffHFF18oMDBQTz31lFP7kCFD1KFDB+3evVs2m83tfH7rnkXQhFfKzMxUq1atFBYW5tTetm1bhYaGKiMjw+3cvLw8x/VuPj4+Tn29e/eWpIvOB66XFStWKDg4WCkpKRoyZMhlz+M3jvril19+0cSJEzV58mQ1alQ7svj6+qqqqkrV1dUu5/Nb9zyu0YTXqaysVEFBgcLDw132BwcHKycnR0VFRQoMDKzVn5eXJ0nq0KFDrb6goCD5+fkpNzf3mtYMXInf//73uu++++Tj41On3yS/cdQXPj4+tVYya/zwww86duyYOnToIF9fX5dj+K17Hiua8DrFxcWSpICAAJf9Ne0lJSUXnd+8eXOX/Waz2e1c4Hp64IEHaq3SXA5+46jvbDab3nzzTdlsNg0fPtztOH7rnkfQhNep2UJx91+4Ne0VFRVXPN/dXKA+4DeO+sxut2vWrFnas2ePunfv7nbFU+K3fiMgaMLr+Pv7S5Lb52VWVlZKkpo2beqy38/Pz2mcq/nNmjW72jIBj+E3jvqqurpar732mtLS0hQSEqJFixa5DZESv/UbAUETXsdsNqtRo0ZunylYs03ibmu9RYsWkuR2fmlpqcxm8zWoFPAMfuOoj8rLy5WQkKD169crNDRUK1asUNu2bS86h9+653EzELyOr6+vLBaL8vPzXfbn5+crMDBQLVu2dNlf8+BfV/NPnjypiooKdezY8VqVC1x3/MZR35w5c0ZxcXE6ePCgunXrpj/96U9q3br1JefxW/c8VjThlSIiImS1WpWTk+PUXlhYqNzcXLd3pEuSxWKRxWLRvn37aj2bbe/evZKknj17XvuigeuE3zjqk4qKCk2cOFEHDx5Ur169lJycfFkhU+K3fiMgaMIrxcTESJLmzp3r+JeL3W5XYmKiJGnEiBEXnR8dHa2CggKlpKQ42kpLS7V48WL5+/vX6ZmFwI2I3zjqi8TERB04cEA9e/bU0qVL67zVzW/ds9g6h1e67777FBUVpU2bNmnEiBHq3bu3Dhw4oMzMTEVGRqpv376OsfPnz5ckTZkyxdEWFxenzZs366233lJGRoZCQkK0ZcsWHT9+XDNnznT5/E3gRsVvHPWV1Wp1vOmtU6dOWrp0qctx8fHx8vPz47d+AzLZ7Xa7p4sAjFBVVaUlS5Zow4YNKiwslMViUXR0tOLi4pzuUuzataskKTs722n+qVOnlJiYqO3bt6u8vFydOnXS008/rcGDB1/XzwFcjvXr1+vVV1/Vq6++qnHjxjn18RtHffXFF1/oueeeu+S4jIwMNW/enN/6DYigCQAAAENwjSYAAAAMQdAEAACAIQiaAAAAMARBEwAAAIYgaAIAAMAQBE0AAAAYgqAJAAAAQxA0AaCeGjNmjLp27aqzZ896uhQAcImgCQAAAEMQNAEAAGAIgiYAAAAM0djTBQAAXCsqKtLixYv1xRdf6NSpU2rXrp0GDRqk+Ph43XTTTS7nVFVVadWqVfrLX/6iH374QRUVFQoKCtIDDzyg559/XoGBgY6x//znP5WYmKhvvvlGVqtVN998sx588EE999xzCgoKqvM4APg1k91ut3u6CACAM6vVqhEjRujEiRPq3bu37rjjDv3jH//Q//t//0/33nuv/vSnP2n8+PHau3evMjIy1Lx5c0nS1KlT9de//lUREREKDw9XZWWldu/erdzcXHXv3l3r1q2TdCHEDhs2TD///LMiIyN18803Kzs7Wzt37lSnTp30ySefqEmTJpc9DgBcYUUTAG5A7777rk6cOKFXX31V48aNc7TPmjVLqamp2rZtW605f//73/XXv/5Vjz76qN577z1He3V1tR577DF99913ysnJUceOHbVp0yb9+OOP+sMf/qBhw4Y5xs6ePVsrV67UV199pb59+172OABwhWs0AeAGU1lZqc8//1yhoaFOIVOSJk6cqEmTJrncsr7lllv09ttva9q0aU7tjRs3VkREhCTp9OnTkiSbzSZJysrK0i+//OIYO336dO3evdsRHi93HAC4wtY5ANxgjh49qsGDBysmJkb/5//8H7fjxowZU2vrXLqwgpmdna2cnBzl5eXp0KFD+tvf/qbS0lIlJyerV69eKiwsVHR0tIqLi9WqVSvdf//9evDBB/XQQw+pRYsWjmNd7jgAcIUVTQC4wZw5c0aSZDab6zx3zZo16tevn4YOHaoXXnhBH330kcrLy9W5c2dJUs3aQtu2bfV//+//1bBhw2S327Vx40a99NJL6tOnj2bNmqXKyso6jQMAV7hGEwBuMDV3lJ87d85lf1lZmZo1a1ar/bPPPtMbb7yhrl276o033tAdd9yhdu3aSZLeeOMNHTx40Gl8SEiI/vCHP+iXX37Rd999p127dmn9+vVKTU1VQECAXnrppTqNA4BfY0UTAG4wHTt2VJMmTfTNN9/U6issLFTPnj01c+bMWn2ffvqpJOn999/Xb3/7W0fIlKRjx45J+teK5tatW/Wf//mfKi0tlY+Pj8LDwzV58mStXLlSkrRv3746jQMAVwiaAHCD8fPzU2RkpH744QetXbvWqW/x4sWSpHvvvdflPEk6deqUU3t6err27t0r6cL1m9KF4Ll69WqtXr3aaeyJEyckSRaLpU7jAMAVbgYCgBtQYWGhRowYoZ9++kkPPPCAfvOb3+jbb79VRkaGfvvb32rhwoW1bgbasWOHJk6cKLPZrMGDB8tsNuvbb7/V3r171bp1a50+fVrvv/++HnnkEZ05c0aPP/648vLy9OCDD6pr1646ffq0Nm/eLElavXq1brvttsseBwCuEDQB4AZltVo1f/58bd++XUVFRWrbtq2io6OVkJAgX19fl3edb9q0SUuXLlVubq78/f0VEhKiIUOGqGfPnnrssccUHR2td999V9KFMPvhhx/qq6++UkFBgcxms3r16qXJkyfrN7/5jaOOyx0HAL9G0AQAAIAhuEYTAAAAhiBoAgAAwBAETQAAABiCoAkAAABDEDQBAABgCIImAAAADEHQBAAAgCEImgAAADAEQRMAAACGIGgCAADAEP8fCTOEhH1DlkgAAAAASUVORK5CYII=", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" }, { "data": { - "image/png": "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", + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAn4AAAJhCAYAAADfUL0uAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAAA0X0lEQVR4nO3de1hVdb7H8Q8XwWSreCGSxERLsnFEDyWTeRkVxaRQ0TmiNHlJ00exc7STpiWZnpiayqZszKwzmYoe7VR4N8XK66SEdUwzSsMUDNQQEeUq+/zh4z4RFzdy2Wx+79fzzDPTWr+1/e7+2PN2rbXXdrFarVYBAACgwXN19AAAAACoG4QfAACAIQg/AAAAQxB+AAAAhiD8AAAADOHu6AGcQX5+vo4cOSIfHx+5ubk5ehwAAIAKXb16VefOnVOXLl3UuHHjUvsIPzscOXJE0dHRjh4DAADAbvHx8br33ntLbSP87ODj4yPp2r/A2267zcHTAAAAVCwjI0PR0dG2fvk1ws8O1y/v3nbbbWrbtq2DpwEAALix8m5P48sdAAAAhiD8AAAADOG04bdq1SoFBgYqJyen3P3Z2dlasGCB+vfvr6CgIEVGRmrLli11PCUAAED94ZT3+CUlJenll1+ucP+VK1c0YcIEHTt2TIMHD1abNm20fft2zZgxQ1lZWXrkkUfqcFoAAID6wenO+G3evFmTJk1Sfn5+hWtWrFiho0eP6tlnn9Vrr72mWbNmKSEhQXfddZdeeeUV/fLLL3U4MQAAQP3gNOGXlZWladOmaebMmWrZsqXuuOOOCteuXr1arVu3VlRUlG2bxWLRlClTlJeXp40bN9bFyAAAAPWK04TfDz/8oJ07dyoyMlIJCQny9fUtd92pU6eUmZmp4ODgMl9jDgkJkXTtUjEAAIBpnOYev3bt2mn9+vUKDAysdN2pU6ds63/Lx8dHnp6eOnnyZG2MCAAAUK85Tfi1adNGbdq0ueG67OxsSVKzZs3K3W+xWHTp0qWaHA0AAMApODT8+vfvr/T09ErXREdHKzY21u7XLC4uliR5eHiUu9/Dw0N5eXn2DwkAANBAODT8QkNDlZWVVemarl27Vuk1PT09JUmFhYXl7i8sLFSTJk2q9JoAAAANgUPDb+7cuTX+ms2bN5ck5ebmlrs/NzdXrVq1qvE/FwAAoL5zmm/12qt9+/aSpLS0tDL7zp49q4KCAgUEBNTxVAAAAI7X4MLPz89Pfn5+Sk5OVklJSal9Bw8elCR1797dEaMBAAA4VIMLP0mKiIhQRkaGVq1aZduWm5urpUuXqnHjxho6dKgDpwMAAHAMp3mcS1VMmjRJ27Zt0wsvvKCkpCT5+/tr+/btOn36tObNm6eWLVs6ekQAAIA61yDP+FksFsXHx2vEiBH68ssvtXr1ajVr1kyLFi3SI4884ujxAAAAHMJpz/itXLmy0v2tW7dWXFxcHU0DAABQ/zXIM34AAAAoy2nP+AGAMzlw4IBee+01+fv764cfflBhYaFiY2Pl7u6uF1980fYUgsmTJyssLMzB0wJoqAg/AKgjhw8f1nPPPafOnTvrH//4h9588025ublp/PjxCg8P13fffae1a9cSfgBqDZd6AaCO+Pn5qXPnzpKke+65RxcvXtSDDz6oBQsW6Mknn9TRo0c1c+ZMB08JoCEj/ACgjjRu3Nj2v11cXGS1WhUVFaUNGzbogQce0N69exUREaFLly45cEoADRnhBwAOFBUVpWPHjikyMlILFy5UTk6OLl686OixADRQ3OMHAA70H//xH4qLi9Pf/vY3ubq6KiYmRm3btnX0WAAaKMIPAOpASEiINm3aVO4/f/TRR44aC4BhuNQLAABgCM74ATXoo48+0rZt2xw9BoAGaPDgwYqMjHT0GHBynPEDatC2bdv0/fffO3oMAA3M999/z18qUSM44wfUsE6dOmnZsmWOHgNAA/L44487egQ0EJzxAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiCBzgDNSgiIsLRIwBogPhsQU0h/IAa9NBDDzl6BAANEJ8tqClc6gUAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAId0cPAACAoyQmJmr79u2OHuOGLly4IElq0aKFgye5sUGDBik0NNTRY6ACnPEDAKCeu3Dhgi3+gOrgjB8AwFihoaFOcXZq1qxZkqS//vWvDp4Ezo4zfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADCE04bfqlWrFBgYqJycnHL3jxkzRoGBgeX+Z82aNXU8LQAAgOO5O3qAm5GUlKSXX3650jUpKSkKCAhQeHh4mX1dunSprdEAAADqLacLv82bN+uZZ55Rfn5+hWvS0tKUm5urkSNHavr06XU4HQAAQP3lNOGXlZWlefPmKTExUbfffrvc3d31008/lbs2JSVFkhQYGFiXIwIAANRrTnOP3w8//KCdO3cqMjJSCQkJ8vX1rXAt4QcAAFCW05zxa9eundavX29XzKWkpMjFxUXJycl69tlnlZqaqmbNmiksLExPPPGEmjZtWgcTAwAA1C9Oc8avTZs2dp/BS0lJkdVq1RtvvKF77rlHf/rTn9SyZUutWLFCY8aMUW5ubi1PCwAAUP849Ixf//79lZ6eXuma6OhoxcbG2v2aJSUlatasmTp37qy3337bdkm4pKRE8+fP19q1a7V48WLNmTOnWrMDAAA4G4eGX2hoqLKysipd07Vr1yq9pqurq9atW1fu9tmzZ2vDhg3avHkz4QcAAIzj0PCbO3dunf55Xl5eat++vY4dO6aCggJ5enrW6Z8PAADgSE5zj5+9cnJydOjQIaWmppa7Pz8/X66urnJ3d5rvtQAAANSIBhd+R48e1ejRo/XSSy+V2Xf27FmlpaWpc+fOcnNzc8B0AAAAjtPgwi84OFg+Pj7avXu3kpKSbNsLCwu1cOFCFRUVKTo62oETAgAAOEaDu97p4eGhhQsXKiYmRuPHj9fgwYPl7e2t/fv368SJEwoPD1dkZKSjxwQAAKhzDS78JKlfv36Kj4/XkiVL9Pnnn6ugoEABAQGaN2+exowZIxcXF0ePCAAAUOecNvxWrlxZ6f5u3bpp2bJldTQNAABA/dfg7vEDAABA+Qg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBBOFX779+/X+PHjde+996pLly4aMmSIli1bpuLi4jJrs7OztWDBAvXv319BQUGKjIzUli1bHDA1AABA/eA04bd+/XpNmDBBhw8f1sCBAzV69GhJ0quvvqrp06fLarXa1l65ckUTJkzQmjVrFBQUpOjoaOXk5GjGjBlatWqVo94CAACAQ7k7egB75OfnKy4uThaLRR9//LH8/f0lSUVFRZo6dao+/fRT7dixQ4MGDZIkrVixQkePHlVsbKyio6MlSVOnTlVUVJReeeUVPfjgg2rVqpXD3g8AAIAjOMUZvwMHDig7O1t/+tOfbNEnSY0aNdLkyZMlSbt377ZtX716tVq3bq2oqCjbNovFoilTpigvL08bN26su+EBAADqCacIv7Zt22rmzJkaOHBgmX0eHh6Srl3elaRTp04pMzNTwcHBcnNzK7U2JCREkpSUlFTLEwMAANQ/TnGpt2PHjurYsWO5+xITEyVJd955p6Rr4SdJ7dq1K7PWx8dHnp6eOnnyZO0MCgAAUI85xRm/ipw4cUIrVqyQh4eHhg8fLunat3klqVmzZuUeY7FYdOnSpboaEQAAoN5w6Bm//v37Kz09vdI10dHRio2NLbM9IyNDkyZNUl5enubMmaM2bdpIku3RLtcvAf+Wh4eH8vLyqjk5AACA83Fo+IWGhiorK6vSNV27di2z7aefftL48eOVnp6uqKgojRs3zrbP09NTklRYWFju6xUWFqpJkyY3PzQAAICTcmj4zZ07t8rHHD58WJMnT1ZWVpaioqI0f/78UvubN28uScrNzS33+NzcXB7lAgAAjOQUX+64bt++fYqJidGVK1c0ZcoUzZgxo8ya9u3bS5LS0tLK7Dt79qwKCgoUEBBQ26MCAADUO04Tfl9//bWmTZum/Px8zZ07V2PHji13nZ+fn/z8/JScnKySkhK5uv7/91cOHjwoSerevXudzAwAAFCfOMW3ei9fvqwZM2YoLy9PTz/9dIXRd11ERIQyMjJK/Txbbm6uli5dqsaNG2vo0KG1PTIAAEC94xRn/NatW6czZ87I29tbly5d0uLFi8us6dChg8LDwyVJkyZN0rZt2/TCCy8oKSlJ/v7+2r59u06fPq158+apZcuWdf0WAAAAHM4pwu/6L21kZ2frzTffLHfNgAEDbOFnsVgUHx+vRYsW6bPPPtOePXvUoUMHLVq0yLYGAADANE4RfkuWLKnyMa1bt1ZcXFwtTAMAAOCcnOIePwAAAFQf4QcAAGAIp7jUCwBwLid8ejt6hAYlL/AWSfx7rQ0dz+1x9Ah1ivADAKCeu/98kaNHQANB+AEAUM+F/FLs6BHQQBB+AIBaZdqlNNR/Jl8y58sdAAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCHd7Fz766KM3XOPm5qbGjRurTZs2+sMf/qBBgwZVazgAAADUHLvD7+eff1ZOTo4uXrx47UB3d7Vs2VKXL1/W5cuXJUkuLi6yWq2SpDVr1qh3795666235ObmVgujAwAAoCrsvtS7dOlSSVJwcLDWrFmjw4cPa/fu3UpOTtamTZvUt29ftWjRQhs3blRiYqJGjRqlPXv2aPny5bU1OwAAAKrA7vB76aWX1KpVKy1fvlzdu3eXq+v/H3rnnXdq8eLFatGihV577TW1bdtW8+fPV1BQkDZs2FArgwMAAKBq7A6/pKQk9evXT40aNSp3v4eHhx544AH985//tG3r3r27Tp8+Xf0pAQAAUG12h1+TJk2UlpZW6ZqMjIxSYVhSUlJhKAIAAKBu2R1+ISEhSkxM1I4dO8rdv2vXLu3cuVP33XefJKmoqEi7d+9WQEBAzUwKAACAarH7W70zZszQP//5Tz3xxBO699579fvf/14+Pj7Kzc3V4cOHtX//fnl5eWnmzJkqLi5WRESETp48qf/8z/+szfkBAABgJ7vDz9/fX2vXrlVcXJx2796tpKQk2z4XFxf17NlTzz77rAICAnTq1CllZmZqwoQJGjFiRK0MDgAAgKqxO/wkqV27dlq6dKkuXLigo0eP6sKFC7JYLLrnnnvk6+trW+fv769Dhw7V+LAAAAC4eXaH34IFCzR06FAFBQWpRYsW6tWrV4VrXVxcamQ4AAAA1By7v9yxevVqRUVFaeDAgXrjjTeUmppam3MBAACghtkdfmvXrtUjjzyigoICLVmyREOGDNGIESP0/vvv6/z587U5IwAAAGqA3eEXFBSkZ555Rrt27dKKFSv0r//6r/r555/1l7/8RX379tVjjz2mhIQE2+/2AgAAoH6xO/yuc3FxUY8ePfT8889rz549+q//+i9FRkYqJSVFc+bMqfTePwAAADhOlcPv10pKSlRQUCCr1SpJslqtcnNzq5HBAAAAULOq9DgXSbp69ar27t2rrVu3aufOncrNzZWbm5t69+6tiIgIDRgwoDbmBAAAQDXZHX779u3T1q1btWPHDuXk5Mhqtapbt26KiIjQkCFD5O3tXYtjAgAAoLrsDr/HHntMktS+fXs9+uijioiIkL+/f60NBgAAgJpld/g98sgjioiIUNeuXWtzHgAAANQSu8Pv2WeftWtdXl6ebrnllpseCAAAALWjSl/u+O6777R161ZlZWXp6tWrtm/zSlJRUZGys7OVnJysr776qsYHBQAAQPXYHX4HDhzQY489Zgs+FxeXUuF3/fd577777pqfEgAAANVm93P83n77bV29elVPPvmk1q1bpzvuuEMPP/yw1q1bp7i4OLVp00YtWrTQO++8U5vzAgAA4CbZHX5HjhxR3759NXHiRHXt2lUhISE6fvy4unbtqsjISK1atUr5+flasmRJbc4LAACAm2R3+F25ckV33XWX7Z/vvPNOHT9+XMXFxZIkPz8/DRgwQAcPHqz5KQEAAFBtdoeft7e3Ll++bPvndu3aqbi4WD/++KNtW5s2bXTmzJmanRAAAAA1wu7w69atmxITE5WVlSVJuuuuu2S1WrV//37bmu+++05NmjSp+SkBAABQbXZ/q3f8+PF69NFHFR4erpdeekl9+vTRfffdp7/97W86f/68zp8/rz179mjgwIG1OS8AAABukt1n/IKDg/X666/L29tbhYWFkq491NnLy0vvvvuuEhIS5Ofnp6eeeqrWhgUAAMDNq9IDnENDQxUaGmp7fl9gYKC2b9+uL774Qp6engoODi71qx25ubnKycmRn59fzU4NAACAKrP7jN+vXX9YsyR5eXlpwIAB6tWrV5mfalu+fLkGDBhQvQkBAABQI24q/AAAAOB8CD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQ1Tpt3qrqkePHrX58gAAAKiCSsMvLy9P77//vnbt2qXs7Gz5+vpq0KBBGjVqlNzc3G744j169CD+AAAA6okKw+/ixYsaM2aMfvzxR1mtVklSamqqDhw4oC1btugf//iHPDw86mxQAAAAVE+F9/gtW7ZMJ06cUK9evfTee+9p8+bNWrJkiX7/+98rOTlZK1eurMs5AQAAUE0VnvH7/PPPdc899+idd96xbevYsaN69eqlwYMHa/v27XrsscfqZEgAAABUX4Vn/M6cOaOQkJAy2z08PNSnTx+lpqbW6mAAAACoWRWGX35+vry8vMrd16pVK12+fLnWhgIAAEDNqzD8rn+hozwuLi4qKSmplYEAAABQO3iAMwAAgCEIPwAAAENU+gDnjz/+WAcPHiyzPT09XZL06KOPltnn4uKi999/v4bGK23//v1655139M033yg/P1/t2rXTsGHDNGHCBLm7l34rY8aMUXJycrmvM3/+fI0ePbpWZgQAAKivKg2/9PR0W+SVp7wodHFxqf5U5Vi/fr1mz54tLy8vDRo0SBaLRfv27dOrr76qr776SkuWLCn1Z6ekpCggIEDh4eFlXqtLly61MiMAAEB9VmH47dy586Ze8MqVKzc9TEXy8/MVFxcni8Wijz/+WP7+/pKkoqIiTZ06VZ9++ql27NihQYMGSZLS0tKUm5urkSNHavr06TU+DwAAgDOqMPxuv/12u1/kypUr2rx5sz744AMdOXJE3377bY0Md92BAweUnZ2tCRMm2KJPkho1aqTJkydr9+7d2r17ty38UlJSJEmBgYE1OgcAAIAzq/RS7418/fXX+uCDD7R161bl5eXJarWqadOmNTWbTdu2bTVz5kzdd999ZfZd/73gX59pJPwAAADKqnL4ZWdnKyEhQR9++KGOHz8uq9UqV1dX3X///YqMjNTAgQNrfMiOHTuqY8eO5e5LTEyUJN155522bSkpKXJxcVFycrKeffZZpaamqlmzZgoLC9MTTzxRK3EKAPh/B1q565+tG0mSbpk1y8HTAKXlBd6i+88XKeSXYkePUufsDr/9+/frgw8+0M6dO1VUVGR7wHNISIhefPFFtWnTptaGrMiJEye0YsUKeXh4aPjw4bbtKSkpslqteuONNxQWFqZ7771XSUlJWrFihb744gutWbNGFoulzucFAABwpErDLzMzUx9++KE++ugjpaeny2q1qlWrVho8eLAeeughjR49WgEBATcdff3796/0W8OSFB0drdjY2DLbMzIyNGnSJOXl5WnOnDm2GUpKStSsWTN17txZb7/9tnx9fW3b58+fr7Vr12rx4sWaM2fOTc0MALixkF+KbWdTOu79q4OnAUo74dPb0SM4TIXhN3nyZO3du1dXr15V06ZNNWzYMA0ZMkQ9e/aUm5tbjfzhoaGhysrKqnRN165dy2z76aefNH78eKWnpysqKkrjxo2z7XN1ddW6devKHOPq6qrZs2drw4YN2rx5M+EHAACMU2H47dq1S7fccosmTpyoSZMm2b5EUZPmzp1b5WMOHz6syZMnKysrS1FRUZo/f77dx3p5eal9+/Y6duyYCgoK5OnpWeU/HwAAwFlV+JNtvXr1UmFhod5880317t1bM2fOVGJiogoLC+tyvlL27dunsWPHKisrS1OmTNHzzz9f5oHROTk5OnTokFJTU8t9jfz8fLm6upb5pQ8AAICGrsL6effdd3X+/Hlt2LBBCQkJ2rJli7Zu3SovLy8NHDiw3F/EqE1ff/21pk2bpvz8fM2dO1djx44td93Ro0c1btw49evXT0uXLi217+zZs0pLS1Pnzp1r7HI1AACAs6jwjJ8ktW7dWhMmTLDF39ixY9W4cWN9/PHHmjRpklxcXPTtt9/qq6++qtUhL1++rBkzZigvL09PP/10hdEnScHBwfLx8dHu3buVlJRk215YWKiFCxeqqKhI0dHRtTovAABAfWT39c67775bTz/9tGbNmqW9e/dq/fr12rlzpw4fPqwxY8bo9ttv18MPP6yHH35YHTp0qNEh161bpzNnzsjb21uXLl3S4sWLy6zp0KGDwsPD5eHhoYULFyomJkbjx4/X4MGD5e3trf379+vEiRMKDw9XZGRkjc4HAADgDKp8o5urq6v69OmjPn366PLly9q6davWr1+vL7/8Um+99ZbefvvtGv/Jtutn7rKzs/Xmm2+Wu2bAgAG2y8/9+vVTfHy8lixZos8//1wFBQUKCAjQvHnzNGbMmDL3BQIAAJigWt9w8PLy0siRIzVy5EidOXNGCQkJ2rBhQ03NZrNkyZIqH9OtWzctW7asxmcBAABwVpXe41cVfn5+mjp1qrZt21ZTLwkAAIAaVGPhBwAAgPqN8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADCEu6MHAAA0bCd8ejt6BKd3oNW1/7sO+aXYwZPA2RF+AADUc/9s3UgS4Yfq41IvAACAITjjBwCocR3P7XH0CA3KLbNmSZI67v2rgyeBs+OMHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBBOFX6HDh3ShAkTFBISouDgYE2YMEEHDhwod212drYWLFig/v37KygoSJGRkdqyZUsdTwwAAFB/OE347dmzR9HR0Tpy5IgGDx6soUOH6tixYxo7dmyZoLty5YomTJigNWvWKCgoSNHR0crJydGMGTO0atUqB70DAAAAx3J39AD2KCkp0TPPPCOLxaKEhAT5+flJkiZPnqyIiAjFxcVp0KBBcne/9nZWrFiho0ePKjY2VtHR0ZKkqVOnKioqSq+88ooefPBBtWrVymHvBwAAwBGc4ozf6dOn5eXlpWHDhtmiT5J8fX1133336dy5c0pPT7dtX716tVq3bq2oqCjbNovFoilTpigvL08bN26s0/kBAADqA6c443fHHXdo69atZbaXlJTo5MmTcnNzk7e3tyTp1KlTyszMVFhYmNzc3EqtDwkJkSQlJSVp3LhxtT02AABAveIU4fdbRUVFSk1N1VtvvaUffvhBjzzyiJo3by7pWvhJUrt27coc5+PjI09PT508ebIuxwUAAKgXnDL8QkNDlZGRIUkKCwvT3Llzbfuys7MlSc2aNSv3WIvFokuXLtX6jAAAAPWNQ8Ovf//+pe7NK090dLRiY2NLbRswYIAaNWqk/fv365NPPtG0adP0+uuvy9PTU8XFxZIkDw+Pcl/Pw8NDeXl5NfMGAAAAnIhDwy80NFRZWVmVrunatWuZbddDsLi4WLNnz9amTZu0cuVKTZw4UZ6enpKkwsLCcl+vsLBQTZo0qebkAAAAzseh4ffrS7Q3w93dXbNmzdKmTZu0c+dOTZw40XavX25ubrnH5Obm8igXAABgJKd4nEtmZqZ27Nih06dPl9l36623qlGjRrpw4YIkqX379pKktLS0MmvPnj2rgoICBQQE1Oq8AAAA9ZFThN+BAwcUExOj+Pj4MvuOHz+uoqIi27d4/fz85Ofnp+TkZJWUlJRae/DgQUlS9+7da39oAACAesYpwq9v375q0qSJ1q1bV+pRLFeuXNHChQslSSNGjLBtj4iIUEZGRqmfZ8vNzdXSpUvVuHFjDR06tM5mBwAAqC+c4nEuzZs3V2xsrObMmaPIyEgNGTJEHh4e2rVrl9LS0hQVFaWwsDDb+kmTJmnbtm164YUXlJSUJH9/f23fvl2nT5/WvHnz1LJlSwe+GwAAAMdwivCTpOHDh8vX11dLly7V5s2bdfXqVXXq1EkxMTEaPnx4qbUWi0Xx8fFatGiRPvvsM+3Zs0cdOnTQokWLFB4e7qB3AAAA4FhOE36S1LNnT/Xs2dOuta1bt1ZcXFwtT4S6kpiYqO3btzt6jBu6/iWjFi1aOHiSGxs0aJBCQ0MdPQYAoA45Vfih5rm4OHoC+/j5SW3bOnqKG2va9Fr4HT5c/8MvPl46c8bRU9jPanX0BADg/Ag/OIUzZ0J15kz9Pzvl55coSU4xKwDAPIQfUIMIPgBAfUb4wYZLaahvnOVWBABwFk7xHD8AAABUH+EHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCHcHT0AAACOkpiYqO3btzt6jBv68ccfJUmzZs1y8CQ3NmjQIIWGhjp6DFSA8AMAoJ5r0aKFo0dAA0H4Gc7PL1Ft2177264T/EUShunRQ0pLG6QzZzh7gNoRGhrK2SkYhXv8AAAADMEZP8OdORNqO5ty4ICDhwF+w8XF0RMAQMPCGT8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAh3B09AOoPFxdHT+D8/PwSJUlnzoQ6eBIAAMoi/IAa1LbtdkmEHwCgfuJSLwAAgCE442c4q9XREzQss2Zd++8DBxw7BwAA5eGMHwAAgCEIPwAAAEMQfgAAAIYg/AAAAAxB+AEAABiC8AMAADCEUz3O5dChQ3rzzTd19OhRFRcXKygoSJMnT1ZISEiZtWPGjFFycnK5rzN//nyNHj26tscFAACoV5wm/Pbs2aPHH39cTZs21YMPPig3Nzdt3bpVY8eO1aJFizRkyJBS61NSUhQQEKDw8PAyr9WlS5e6GhsAAKDecIrwKykp0TPPPCOLxaKEhAT5+flJkiZPnqyIiAjFxcVp0KBBcne/9nbS0tKUm5urkSNHavr06Y4cHQAAoN5winv8Tp8+LS8vLw0bNswWfZLk6+ur++67T+fOnVN6erpte0pKiiQpMDCwzmcFAACor5zijN8dd9yhrVu3ltleUlKikydPys3NTd7e3rbthB8AAEBZTnHG77eKior0/fff68knn9QPP/yg0aNHq3nz5rb9KSkpcnFxUXJysoYPH65u3bqpT58+euGFF3Tp0iUHTg4AAOA4TnHG77dCQ0OVkZEhSQoLC9PcuXNL7U9JSZHVatUbb7yhsLAw3XvvvUpKStKKFSv0xRdfaM2aNbJYLI4YHQAAwGEcGn79+/cvdW9eeaKjoxUbG1tq24ABA9SoUSPt379fn3zyiaZNm6bXX39dnp6eKikpUbNmzdS5c2e9/fbb8vX1lXTtsvD8+fO1du1aLV68WHPmzKm19wUAAFAfOTT8QkNDlZWVVemarl27ltl2PQSLi4s1e/Zsbdq0SStXrtTEiRPl6uqqdevWlTnG1dVVs2fP1oYNG7R582bCDwAAGMeh4ffbS7RV5e7urlmzZmnTpk3auXOnJk6cWOl6Ly8vtW/fXseOHVNBQYE8PT2r9ecDAAA4E6f4ckdmZqZ27Nih06dPl9l36623qlGjRrpw4YIkKScnR4cOHVJqamq5r5Wfny9XV1fbM/8AAABM4RThd+DAAcXExCg+Pr7MvuPHj6uoqEjt2rWTJB09elSjR4/WSy+9VGbt2bNnlZaWps6dO8vNza3W5wYAAKhPnCL8+vbtqyZNmmjdunU6efKkbfuVK1e0cOFCSdKIESMkScHBwfLx8dHu3buVlJRkW1tYWKiFCxeqqKhI0dHRdTo/AABAfeAU1zubN2+u2NhYzZkzR5GRkRoyZIg8PDy0a9cupaWlKSoqSmFhYZIkDw8PLVy4UDExMRo/frwGDx4sb29v7d+/XydOnFB4eLgiIyMd/I4AAADqnlOEnyQNHz5cvr6+Wrp0qTZv3qyrV6+qU6dOiomJ0fDhw0ut7devn+Lj47VkyRJ9/vnnKigoUEBAgObNm6cxY8bIxcXFQe8CAADAcZwm/CSpZ8+e6tmzp11ru3XrpmXLltXyRAAAAM7DKe7xAwAAQPURfgAAAIYg/AAAAAxB+AEAABiC8AMAADAE4QcAAGAIwg8AAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBDujh4AsEdiYqK2b9/u6DFu6Mcff5QkzZo1y8GT3NigQYMUGhrq6DEAAHWI8ANqUIsWLRw9AgAAFSL84BRCQ0M5OwUAQDVxjx8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEHAABgCMIPAADAEIQfAACAIQg/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIZwd/QAzuDq1auSpIyMDAdPAgAAULnrvXK9X36N8LPDuXPnJEnR0dEOngQAAMA+586d0x133FFqm4vVarU6aB6nkZ+fryNHjsjHx0dubm6OHgcAAKBCV69e1blz59SlSxc1bty41D7CDwAAwBB8uQMAAMAQhB8AAIAhCD8AAABDEH4AAACGIPwAAAAMQfgBAAAYgvADAAAwBOEH2KG4uFjLly/XkCFD1LVrVw0YMEB///vfVVRUZNfx2dnZWrBggfr376+goCBFRkZqy5YttTw1AGeRmZmp4OBgLV++3O5j+FzBzSD8ADssWLBAf/nLX+Tt7a1HH31Uvr6+euONN/Tkk0/e8NgrV65owoQJWrNmjYKCghQdHa2cnBzNmDFDq1atqoPpAdRnly9f1vTp05Wbm2v3MXyu4KZZAVQqOTnZ2qlTJ+v06dOtJSUlVqvVai0pKbHOmjXL2qlTJ+unn35a6fFvvfWWtVOnTtZVq1bZtl26dMkaHh5uDQoKsp4/f75W5wdQf6WlpVmHDx9u7dSpk7VTp07W9957z67j+FzBzeKMH3AD8fHxkqSYmBi5uLhIklxcXDRz5ky5uLjogw8+qPT41atXq3Xr1oqKirJts1gsmjJlivLy8rRx48baGx5AvbV8+XI9/PDD+u677/SHP/yhSsfyuYKbRfgBN/Dll1+qRYsW6tSpU6ntvr6+at++vZKSkio89tSpU7Z7d9zc3ErtCwkJkaRKjwfQcK1YsUK33367Vq1apaFDh9p9HJ8rqA7CD6hEYWGhMjIy1K5du3L333777crJyVFWVla5+0+dOiVJ5R7v4+MjT09PnTx5ssbmBeA8nn/+eSUkJOhf/uVfqnQcnyuoDsIPqER2drYkqWnTpuXuv7790qVLlR7frFmzcvdbLJYKjwXQsPXu3bvMGTt78LmC6iD8gEoUFxdLkjw8PMrdf317QUHBTR9f0bEAUB4+V1AdhB9QicaNG0tShc/rKywslCTdcsst5e739PQsta6845s0aVLdMQEYhM8VVAfhB1TCYrHI1dW1wudrXb+cUtGl4ObNm0tShcfn5ubKYrHUwKQATMHnCqqD8AMq4eHhIT8/P6WlpZW7Py0tTS1btpS3t3e5+9u3b29b91tnz55VQUGBAgICampcAAbgcwXVQfgBNxAcHKxz584pNTW11PbMzEydPHlSQUFBFR7r5+cnPz8/JScnq6SkpNS+gwcPSpK6d+9e80MDaLD4XEF1EH7ADQwbNkyS9Nprr9k+ZK1WqxYtWiRJGjVqVKXHR0REKCMjo9TPKOXm5mrp0qVq3LhxlZ7fBQASnyu4ee6OHgCo73r27KkhQ4Zoy5YtGjVqlEJCQvTVV1/pyy+/VFhYmP74xz/a1i5evFiSNH36dNu2SZMmadu2bXrhhReUlJQkf39/bd++XadPn9a8efPUsmXLun5LAJwInyuoSS5Wq9Xq6CGA+q6oqEjLli3Txx9/rMzMTPn5+SkiIkKTJk0q9UiFwMBASVJKSkqp48+fP69Fixbps88+U15enjp06KDHHntM4eHhdfo+ANRPH330kebMmaM5c+Zo3LhxpfbxuYKaRPgBAAAYgnv8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgBQg/785z8rMDBQOTk5jh4FAMog/AAAAAxB+AEAABiC8AMAADCEu6MHAABnkpWVpaVLlyoxMVHnz59XmzZtNHjwYD3++OPy8vIq95iioiKtXr1amzdv1okTJ1RQUCAfHx/17t1b//7v/66WLVva1v70009atGiRDh8+rHPnzunWW29Vnz59NG3aNPn4+FR5HQD8movVarU6eggAcAbnzp3TqFGjlJ6erpCQEP3ud7/Tt99+qy+++EL333+/3n33XY0fP14HDx5UUlKSmjVrJkl64okn9Mknnyg4OFhBQUEqLCzU3r17dfLkSXXp0kUffvihpGtROWLECF24cEFhYWG69dZblZKSol27dqlDhw7asGGDGjVqZPc6APgtzvgBgJ1efvllpaena86cORo3bpxte2xsrNauXatPP/20zDFff/21PvnkEz388MN65ZVXbNuLi4s1fPhwHTlyRKmpqQoICNCWLVt05swZxcXFacSIEba1CxYsUHx8vPbt26c//vGPdq8DgN/iHj8AsENhYaF27Nih9u3bl4o+SZo8ebKmTJlS7iXW2267TS+++KL+7d/+rdR2d3d3BQcHS5J++eUXSVJJSYkk6ejRo7p69apt7YwZM7R3715bzNm7DgB+i0u9AGCH48ePKzw8XMOGDdNLL71U4bo///nPZS71StfO8KWkpCg1NVWnTp3SsWPHtH//fuXm5mrlypXq0aOHMjMzFRERoezsbLVo0UK9evVSnz591LdvXzVv3tz2WvauA4Df4owfANjh4sWLkiSLxVLlY//7v/9b/fr1U2RkpJ588km99957ysvLU8eOHSVJ1//+7evrq//5n//RiBEjZLVatXHjRj311FN64IEHFBsbq8LCwiqtA4Df4h4/ALDD9W/sXr58udz9V65cUZMmTcps37p1q5577jkFBgbqueee0+9+9zu1adNGkvTcc8/pf//3f0ut9/f3V1xcnK5evaojR45oz549+uijj7R27Vo1bdpUTz31VJXWAcCvccYPAOwQEBCgRo0a6fDhw2X2ZWZmqnv37po3b16ZfZs2bZIkvfrqqwoNDbVFnyT9+OOPkv7/jN/OnTs1f/585ebmys3NTUFBQYqJiVF8fLwkKTk5uUrrAOC3CD8AsIOnp6fCwsJ04sQJrVu3rtS+pUuXSpLuv//+co+TpPPnz5fanpCQoIMHD0q6dv+fdC0E16xZozVr1pRam56eLkny8/Or0joA+C2+3AEAdsrMzNSoUaP0888/q3fv3rrrrrv0zTffKCkpSaGhofr73/9e5ssdn3/+uSZPniyLxaLw8HBZLBZ98803OnjwoFq1aqVffvlFr776qh566CFdvHhRI0eO1KlTp9SnTx8FBgbql19+0bZt2yRJa9as0d133233OgD4LcIPAKrg3LlzWrx4sT777DNlZWXJ19dXERERmjp1qjw8PMr9Vu+WLVv0zjvv6OTJk2rcuLH8/f01dOhQde/eXcOHD1dERIRefvllSdfi8q233tK+ffuUkZEhi8WiHj16KCYmRnfddZdtDnvXAcCvEX4AAACG4B4/AAAAQxB+AAAAhiD8AAAADEH4AQAAGILwAwAAMAThBwAAYAjCDwAAwBCEHwAAgCEIPwAAAEMQfgAAAIb4Pzn43kI9+etBAAAAAElFTkSuQmCC", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", - "output_type": "stream", - "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_sag: t-test independent samples, P_val:6.967e-01 t=-3.903e-01\n", - "0.0_acsf vs. 0.0_sag: t-test independent samples, P_val:5.898e-01 t=-5.406e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_sag: t-test independent samples, P_val:5.909e-01 t=5.384e-01\n", - "0.0_acsf vs. 0.0_sag: t-test independent samples, P_val:3.670e-01 t=9.054e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_sag: t-test independent samples, P_val:2.447e-01 t=1.167e+00\n", - "0.0_acsf vs. 0.0_sag: t-test independent samples, P_val:5.019e-01 t=6.735e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_sag: t-test independent samples, P_val:5.247e-01 t=-6.373e-01\n", - "0.0_acsf vs. 0.0_sag: t-test independent samples, P_val:9.214e-01 t=9.886e-02\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_sag: t-test independent samples, P_val:9.237e-01 t=9.595e-02\n", - "0.0_acsf vs. 0.0_sag: t-test independent samples, P_val:7.146e-01 t=-3.665e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_sag: t-test independent samples, P_val:4.683e-02 t=-2.001e+00\n", - "0.0_acsf vs. 0.0_sag: t-test independent samples, P_val:9.079e-01 t=1.159e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_sag: t-test independent samples, P_val:4.043e-01 t=8.358e-01\n", - "0.0_acsf vs. 0.0_sag: t-test independent samples, P_val:6.748e-01 t=-4.206e-01\n" - ] + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAn4AAAJhCAYAAADfUL0uAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAAAwGElEQVR4nO3de5zWdZ3//+cAclDUVCAZtCVtOZhf1ETdUkuRhDDx1K4US2moleE3tcwwxUNrurlYarZ22O9SCtphWdf6miLKoWQNNKwgIVdTcEwFOSigAsP8/vDnfEOGkZGBay7e9/vt1q2uz+f9mXmNt1tXj96f61DT0NDQEAAAdnjtKj0AAADbh/ADACiE8AMAKITwAwAohPADAChEh0oPUA1effXVzJs3L927d0/79u0rPQ4AwGbV19dnyZIlOfDAA9O5c+eNzgm/LTBv3ryMHDmy0mMAAGyxiRMnZuDAgRsdE35boHv37kle/we49957V3gaAIDNe+655zJy5MjGfvlrwm8LvHF7d++9984+++xT4WkAAN5aUy9P8+YOAIBCCD8AgEIIPwCAQgg/AIBCCD8AgEIIPwCAQgg/AIBCCD8AgEIIPwCAQgg/AIBCCD8AgEIIPwCAQgg/AIBCCD8AgEIIPwCAQgg/AIBCCD8AgEIIPwCAQgg/AIBCdKj0AAAl+M1vfpNvfvOb2XffffP4449n7dq1GTduXDp06JBrr702GzZsSJJ85jOfyZAhQyo8LbCjEn4A28nvf//7XH755enfv3/+z//5P/n2t7+d9u3b58wzz8wJJ5yQBQsW5Mc//rHwA7YZt3oBtpPa2tr0798/SXLAAQdk5cqV+chHPpKrrroqX/ziFzN//vxceOGFFZ4S2JEJP4DtpHPnzo3/uaamJg0NDRkxYkTuuuuuHHnkkfn1r3+d4cOH5+WXX67glMCOTPgBVNCIESPy2GOP5dRTT83Xvva1vPTSS1m5cmWlxwJ2UF7jB1BBX/rSl/L1r3893/rWt9KuXbuMGTMm++yzT6XHAnZQwg9gOzjiiCPyi1/8osnHkydPrtRYQGHc6gUAKIQdP2hFkydPzj333FPpMYAd0NChQ3PqqadWegyqnB0/aEX33HNP/vSnP1V6DGAH86c//cn/qaRV2PGDVtanT59873vfq/QYwA7knHPOqfQI7CDs+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFEL4AQAUwgc4QysaPnx4pUcAdkCeW2gtwg9a0Uc/+tFKjwDsgDy30Frc6gUAKITwAwAohPADAChEVb3G76WXXsp3vvOd3HvvvXnxxRdTW1ubE088MWeddVY6deq00drHHnssN9xwQx5++OEkyQEHHJDPfOYzOfLIIysxOgBAxVXNjt9LL72UT3ziE5kwYUL69OmTkSNHZuedd86NN96YL37xixutnT17dkaMGJHp06fn8MMPzymnnJIXXngho0ePzsSJEyv0FwAAVFbV7Ph961vfyuOPP55x48Zl5MiRSZINGzbk3HPPzX333Zc5c+bksMMOS319fS655JK8+uqrueGGGzJ06NAkyauvvpqzzjor11xzTY488sj07t27gn8NAMD2VxU7fmvWrMnkyZPzvve9rzH6kqRdu3Y599xzc+qpp2b9+vVJkj/84Q9ZvHhxjjrqqMboS5LOnTvnwgsvzLp163Lbbbdt978BAKDSqmLHb86cOXnllVcyZMiQTc4NGDAgAwYMaHz8zDPPJEkOPvjgTdb27ds3SfLb3/522wwKANCGVcWO35/+9KckyXve857ceeedOfnkkzNgwIAMGjQoN998c+NuX5J07NgxSbJ27dpNfs6qVauSJHV1ddthagCAtqUqwu+FF15Iktx222255JJL8jd/8zf5h3/4h+y000658cYbc9FFFzWufe9735skmTZt2kZBmCT3339/kv8XgAAAJanord5Bgwa95e7byJEjG3fvpk+fnh/84Ac56qijkiSvvPJKRo8enbvvvjsnnHBCBg8enF69emXIkCG59957c8EFF+TCCy9Mt27dMn369Fx//fXp0qVLk7uBAAA7uoqG3+DBg7Ns2bJm1wwYMKDxNXnHHXdcY/QlSZcuXXL++edn1KhR+eUvf5nBgwcnSf7pn/4py5cvz5QpUzJlypQkyU477ZSLL744P/nJT9zqBQCKVNHwu+SSS7Zo3Ruv8XvjNu5f69+/f5Jk8eLFjcd22223/OhHP8qsWbMyf/78dO3aNcccc0xqa2tz8803p1u3bq0wPQBAdamKd/W+8Zl769at2+TcG8c6d+680fGampoceeSRG31TR11dXZYvX55DDjlk2w0LANBGVcWbOw499NAkyUMPPbTJuXnz5iX5fx/Vsm7dunz4wx/OmDFjNll73333JclGt4sBAEpRFeG3//77Z+DAgfntb3+bu+66q/H46tWrc8MNN6Rdu3Y59dRTk7z+Wr699947M2fOzNNPP924dtGiRbnlllvSrVu3xrUAACWpilu9SfK1r30tI0eOzMUXX5x77703vXr1yowZM/LUU0/l7LPPbnytX5JcfPHFGTFiRE4//fR89KMfzdq1a3P33Xfntddey3e/+9106dKlgn8JAEBlVMWOX5Lst99++Y//+I+cfPLJmTt3bu6444507tw5V199db70pS9ttPbAAw/MpEmT0q9fv9x111257777cvjhh+fHP/5xPvCBD1ToLwAAqKyq2fFLktra2lxzzTVbtHbAgAGZMGHCth0IAKCKVM2OHwAAW0f4AQAUQvgBABRC+AEAFEL4AQAUQvgBABRC+AEAFKKqPsePck2dOjVTpkyp9Bhvafny5UmSPfbYo8KTvLXjjz8+gwcPrvQYAGxHdvygFS1fvrwx/gCgrbHjR1UYPHhwVexOffnLX06SfOMb36jwJACwKTt+AACFEH4AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFEH4AAIXoUOkBtsTkyZMzduzYZtf06tUrDzzwQOPjFStW5MYbb8z06dPz4osvZv/9989ZZ52VYcOGbetxAQDapKoIv/79+2fMmDFNnnvwwQczd+7cHHbYYY3H1qxZk09/+tN57LHHMnTo0PTs2TNTpkzJBRdckGXLluUf//Eft9foAABtRtWEX//+/Tc5XldXlwkTJqR379654oorGo//6Ec/yvz58zNu3LiMHDkySXLuuedmxIgR+Zd/+Zd85CMfyV577bW9xgegjZo6dWqmTJlS6THe0vLly5Mke+yxR4UneWvHH398Bg8eXOkx2Iyqfo3fpZdemtWrV+fqq69Oly5dGo9PmjQp3bp1y4gRIxqPde3aNZ/97Gfzyiuv5Oc//3klxgWAt2X58uWN8Qdboyp2/JoyY8aMzJo1K8OHD8/AgQMbjy9atCjPP/98hgwZkvbt2290zRFHHJEkmTNnTs4444ztOS4AbdDgwYOrYnfqy1/+cpLkG9/4RoUnodpV7Y7f+PHj06FDh5x//vkbHV+0aFGS5F3vetcm13Tv3j2dOnXKU089tR0mBABoW6oy/GbNmpWFCxdm2LBh6dWr10bnVqxYkSTZbbfdmry2a9euefnll7f1iAAAbU5Fb/UOGjQodXV1za4ZOXJkxo0bt9GxW2+9NUkyevToTdavX78+SdKxY8cmf17Hjh3zyiuvvJ1xAQCqWkXDb/DgwVm2bFmzawYMGLDR4xUrVmTGjBk5+OCD069fv03Wd+rUKUmydu3aJn/e2rVrs/POO7/NiQEAqldFw++SSy5p8TXTp09PfX19hg4d2uT53XffPUmyatWqJs+vWrXKR7kAAEWqutf4zZw5M0kyZMiQJs/37t07SfLMM89scu6FF17Ia6+9lne/+93bbD4AgLaq6sLv0UcfTc+ePVNbW9vk+dra2tTW1uaRRx7Jhg0bNjo3e/bsJMkhhxyyzecEAGhrqir8Vq5cmbq6uhxwwAHNrhs+fHiee+653HbbbY3HVq1alVtuuSWdO3fOSSedtK1HBQBoc6rqA5wXL16cJOnRo0ez684+++zcc889ufrqqzNnzpzsu+++mTJlShYvXpzLLrsse+655/YYFwCgTamqHb83PqNv1113bXZd165dM3HixJx22ml5+OGHM2nSpOy22265/vrr84//+I/bYVIAgLanqnb8jjrqqCxcuHCL1nbr1i1f//rXt/FEAADVo6p2/AAAePuEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGEHwBAIYQfAEAhhB8AQCGq6ivbaH01NZWeYMdy+OGv/7t/rq2voaHSEwBUP+EHreiZZ46v9AgAsFnCD1rRs88OrvQIALBZwo9GbqXR1rhlDtC6vLkDAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEB0qPQAAO54nuh9d6RF2KK/07ZLEP9dtYf8lv6r0CNuV8Ctcbe3U7LPPlCTJl79c4WHgTQ4/PHnmmePz7LODKz0KVNT7l66r9AjsIIQfALRxR7y4vtIjsIMQfoV79tnBjbspv/lNhYeBN6mpqfQEtIbSbqXR9pV8y9ybOwAACiH8AAAKIfwAAAoh/AAACiH8AAAKIfwAAAoh/AAACiH8AAAKIfwAAArRovD7+Mc/nhtuuGFbzQIAwDbUovCbP39+1qxZs61m2azJkyenb9++zf5r0KBBm71+2rRp6du3bx577LHtODUAQNvSou/q3WeffbJ48eJtNctm9e/fP2PGjGny3IMPPpi5c+fmsMMOa/L8E088kbFjx27L8QAAqkKLwu+f//mf87nPfS5f+MIXcvzxx2efffZJp06dmlzbr1+/VhkweT38+vfvv8nxurq6TJgwIb17984VV1yxyfmHHnooF1xwQZYvX95qswAAVKsWhd/f//3fp6amJvfee2+mTJnS7NrtcVv10ksvzerVq/Pd7343Xbp0aTz+6quv5mtf+1omT56c3XbbLe9973szf/78bT4PAEBb1qLwO/nkk1NTU7OtZmmRGTNmZNasWRk+fHgGDhy40bmlS5fmZz/7WY499thceeWV+eY3vyn8AIDitSj8rr322m01R4uNHz8+HTp0yPnnn7/Jud133z2TJk3KoYceuv0HAwBoo6ryc/xmzZqVhQsXZtiwYenVq9cm53fddVfRBwDwJi3a8Utef+3ef/7nf2bx4sVZs2ZNGhoaNllTU1OTH/7wh2/5swYNGpS6urpm14wcOTLjxo3b6Nitt96aJBk9enQLJgcAKFuLwu+hhx7KWWedlfXr1ze7bktfBzh48OAsW7as2TUDBgzY6PGKFSsyY8aMHHzwwa36zmEAgB1di8LvxhtvTENDQ8aOHZtBgwblHe94x1b98ksuuaTF10yfPj319fUZOnToVv1uAIDStCj8HnvssQwbNiyf+tSnttU8b2nmzJlJkiFDhlRsBgCAatSiN3d06tQpPXr02FazbJFHH300PXv2TG1tbUXnAACoNi0Kvw9+8IOZMWNG6uvrt9U8zVq5cmXq6upywAEHVOT3AwBUs2Zv9a5atWqjx+ecc04+9alP5fOf/3zOPvvs9O7de7Nf2da1a9fWm/L/98b3BFd61xGA5v1mrw757247JUm6fPnLFZ4GNvZK3y55/9J1OeLF5t+suiNqNvwGDhy4yTt0GxoaMmPGjMyYMWOz19XU1OSPf/xj60z4V1asWJHk9c/pAwCgZZoNv8MOO2x7zbFFjjrqqCxcuLDF11177bVt6ltHAHZ0R7y4vnE3Zf9ff6PC08DGnuh+dKVHqJhmw++ND0oGAKD6tejNHd/+9rczZ86cZtdMmzYtl1122VYNBQBA62tx+M2ePbvZNTNmzMh//dd/bdVQAAC0vmZv9U6cODE/+9nPNjp2++23Z+rUqU2uX7duXZ588snss88+rTch280WftMezaitff2/G88+O7jCkwDAppoNv5NOOik333xz4/fp1tTUZOnSpVm6dGnTP6xDh/Ts2TNf/epXW39SqAL77DMlifADoG1qNvy6du2aWbNmNT7u169fxowZkzFjxmzzwQAAaF0t+q7eH/3oR+nVq1eLfsHUqVNz//3355prrmnRdWwfDQ2VnmDLTJ06NVOmTKn0GG/pySefTJJ86ENt/wNrjz/++AwebGcSoCQtenPH4Ycf3uLwW7BgQe68884WXQPVao899sgee+xR6TEAoEkt2vGDShk8eLDdKQDYSi3a8QMAoHoJPwCAQgg/AIBCCD8AgEIIPwCAQgg/AIBCCD8AgEIIPwCAQmzz8GtoaEhDtXwvGADADuxtf3PHs88+mwULFmTNmjXZfffdc8ABB2SvvfbaZN15552X8847b6uGBABg67U4/P74xz/mn/7pnzJ37tyNjtfU1ORDH/pQLrvsstTW1rbagAAAtI4Whd+CBQsycuTIvPrqqznyyCMzYMCA7LLLLnnhhRcyd+7cTJs2LfPnz89PfvKT7L333ttqZgAA3oYWhd+3vvWtrFu3Lt/73vdy9NFHb3L+rrvuysUXX5zx48fnuuuua7UhAQDYei16c8ecOXMyZMiQJqMvSYYPH57jjjsuM2fObJXhAABoPS0Kv3bt2qVHjx7NrnnXu96V9evXb9VQAAC0vhaF3+DBg/PLX/4yL7/8cpPnX3vttTzwwAOb3REEAKBymn2N34IFCzZ6fNJJJ+VXv/pVPvaxj+Xcc8/N+973vuy11155+eWX84c//CHf/e5309DQkC984QvbdGgAAFqu2fA7+eSTU1NTs9GxhoaGLF26NF/5ylc2Wf/GBzWfcMIJ+eMf/9iKYwIAsLVaHH4AAFSnZsPv2muv3V5zAACwjW3z7+oFAKBtaNEHOI8ZM2aL1tXU1OSmm256WwMBALBttCj8pk6d2uz5mpqadO7cOTvttNNWDQUAQOtrUfjdf//9TR5/9dVX8/TTT+ff/u3f8uqrr+aHP/xhqwwHAEDraVH49erVa7Pn9t9//xx55JE58cQTM378+Fx++eVbPRwAAK2nVd/c0alTpxx33HG57777WvPHAgDQClr9Xb3Lly/PqlWrWvvHAgCwlVp0q3dzQbdhw4a88sormTZtWn7xi1/kf/2v/9UqwwEA0HpaFH4DBw58y2/yaNeuXc4777ytGgoAgNbXovA77LDDmjxeU1OTnXbaKfvtt19OO+209OvXr1WGAwCg9bQo/G699dYmj9fX1+eZZ55Jt27dsssuu7TKYAAAtK4Wv7ljzpw5ueCCC1JfX58kWbBgQY477rgMHTo0H/jAB/Ltb3+71YcEAGDrtSj8/vu//ztnnHFG7rnnnvzlL39Jklx66aV57rnncsQRR6RXr165+eab81//9V/bZFgAAN6+FoXfD37wg+yyyy756U9/mn322SdPPPFE5s2bl6OOOioTJkzInXfemf322y+TJk3aVvMCAPA2tSj85s2bl2HDhuXAAw9MkkybNi01NTX5yEc+kiTp2LFjjj766Dz++OOtPykAAFulReG3du3a7Lrrro2PZ86cmSQ58sgjG49t2LAhHTq06D0jAABsBy0Kv3333Te/+93vkiRLly7Nb3/727znPe/J3nvvneT1MJwxY0b23Xff1p8UAICt0qLwO/744zN79uyMGjUqH//4x1NfX5/TTjstSTJ9+vSMGDEiixYtyj/8wz9sk2EBAHj7WnRP9nOf+1yWLFmSn/70p2loaMiwYcMyatSoJMncuXOzYMGCnHHGGcIPAKANalH4tW/fPldeeWUuuuiiNDQ0bPR6v7//+7/PqFGj0q1bt1YfEgCArfe23oXRtWvXTY7ts88+Wz0MAADbTou/uQMAgOok/AAACiH8AAAKIfwAAAoh/AAACiH8AAAKIfwAAAoh/AAACiH8AAAKIfwAAAoh/AAACiH8AAAKIfwAAAoh/AAACtGh0gMAsGN7ovvRlR5hs36zV4f8d7edKj3GDuX9S9fliBfXV3oMNsOOHwBAIez4AVCsI15cb3eKogg/AFrd/kt+VekRgCa41QsAUAjhBwBQCOEHAFAI4QcAUAjhBwBQCOEHAFAI4QcAUAjhBwBQCOEHAFAI4QcAUAjhBwBQCOEHAFAI4QcAUIgOlR5gS0yePDljx45tdk2vXr3ywAMPND6eN29evvOd7+SRRx7J6tWrs/fee2fo0KE599xzs/POO2/rkQEA2pyqCL/+/ftnzJgxTZ578MEHM3fu3Bx22GGNxx566KGcddZZSZIhQ4akR48emTNnTr7//e/noYceysSJE9OpU6ftMjsAQFtRNeHXv3//TY7X1dVlwoQJ6d27d6644orG41deeWUaGhpy++23Z8CAAUmShoaGjBs3Lj/5yU8yadKknHnmmdtrfACANqGqX+N36aWXZvXq1bn66qvTpUuXJMn//M//5Mknn8xxxx3XGH1JUlNTk89//vNJkpkzZ1ZkXgCASqqKHb+mzJgxI7Nmzcrw4cMzcODAxuNdu3bNl770pfTp02eTazp27JgkWbNmzXabEwCgraja8Bs/fnw6dOiQ888/f6Pje++9d84+++wmr7nvvvuSJO95z3u29XgAAG1OVd7qnTVrVhYuXJhhw4alV69eW3TN0qVLc+ONNyZJTj/99G05HgBAm1TRHb9Bgwalrq6u2TUjR47MuHHjNjp26623JklGjx69Rb/n5ZdfzjnnnJOlS5dm1KhRG732DwCgFBUNv8GDB2fZsmXNrnlzpK1YsSIzZszIwQcfnH79+r3l71i2bFnOOuuszJ8/P8cee2y+8pWvbNXMAADVqqLhd8kll7T4munTp6e+vj5Dhw59y7WLFi3K6NGjs2jRogwaNCg33HBDOnSo2pc1AgBslap7jd8bH8UyZMiQZtc99thjGTFiRBYtWpRTTjklN910U+O7egEASlR121+PPvpoevbsmdra2s2uefrpp/PpT386y5Yty5lnnpmLL744NTU123FKAIC2p6p2/FauXJm6uroccMABm12zYcOGXHjhhVm2bFk++clP5itf+YroAwBIle34LV68OEnSo0ePza6ZOnVq5s2bl44dO2bnnXfOTTfdtMmabt265eMf//g2mxMAoC2qqvBbsWJFkmTXXXfd7Jo5c+YkSdauXZtbbrmlyTX9+vUTfgBAcaoq/I466qgsXLiw2TVf/epX89WvfnU7TQQAUD2q6jV+AAC8fcIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBAdKj3Alpg8eXLGjh3b7JpevXrlgQceaHz8+OOP54Ybbsijjz6a1atXp1+/fjnzzDNz/PHHb+txAQDapKoIv/79+2fMmDFNnnvwwQczd+7cHHbYYY3HFixYkBEjRqShoSHDhg3LrrvumqlTp+a8887LRRddlLPOOmt7jQ4A0GZUTfj1799/k+N1dXWZMGFCevfunSuuuKLx+BVXXJH169fnjjvuyIEHHpgk+cIXvpBTTjklN954Y0477bTsscce22t8AIA2oapf43fppZdm9erVufrqq9OlS5ckyapVq7JmzZocc8wxjdGXJLvsskuOPfbYvPbaa3nssccqNTIAQMVUxY5fU2bMmJFZs2Zl+PDhGThwYOPxrl275q677mrymieffDJJstdee22XGQEA2pKqDb/x48enQ4cOOf/885tdV19fn2eeeSa33nprZs6cmWOPPTZ9+/bdPkMCALQhVRl+s2bNysKFCzN8+PD06tWr2bWjRo3KI488kiR53/vel+uvv357jAgA0OZUNPwGDRqUurq6ZteMHDky48aN2+jYrbfemiQZPXr0W/6Oww8/PAcddFDmzp2b3/72t/nUpz6V73//+3nHO97xtucGAKhGFQ2/wYMHZ9myZc2uGTBgwEaPV6xYkRkzZuTggw9Ov3793vJ3/PWt4G984xv5t3/7t9xwww25/PLL39bMAADVqqLhd8kll7T4munTp6e+vj5Dhw5t8bXnn39+Jk2alPvvv1/4AQDFqbqPc5k5c2aSZMiQIU2eX7FiRR544IEsWLBgk3MdO3ZM9+7ds3z58m06IwBAW1R14ffoo4+mZ8+eqa2tbfL8E088kc997nO5+eabNzn38ssv59lnn8273vWubT0mAECbU1Xht3LlytTV1eWAAw7Y7JqDDz44tbW1uf/++/Pwww83Hl+/fn2uvPLKrF+/Pqeddtr2GBcAoE2pqo9zWbx4cZKkR48em13Tvn37XH311TnnnHNyxhln5CMf+Uj22GOPzJo1K48//niOOeaYfPKTn9xeIwMAtBlVFX4rVqxIkuy6667NrvvABz6QO+64I9/+9rczbdq0vPbaa+ndu3fGjh2bUaNGpX379tthWgCAtqWqwu+oo47KwoULt2jtgQcemFtuuWUbTwQAUD2q6jV+AAC8fcIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwDauGXLluWiiy7KsmXLKj0KVU74AUAbN2nSpMyfPz+TJk2q9ChUOeEHAG3YsmXLct9996WhoSH33XefXT+2ivADgDZs0qRJ2bBhQ5Jkw4YNdv3YKsIPANqwadOmZf369UmS9evXZ9q0aRWeiGom/ACgDTv22GPToUOHJEmHDh1y7LHHVngiqpnwA4A27BOf+ETatXv9f67btWuXT3ziExWeiGom/ACgDdtzzz3z4Q9/ODU1Nfnwhz+cPffcs9IjUcU6VHoAAKB5n/jEJ/L000/b7WOrCT8AaOP23HPPXHfddZUegx2AW70AAIUQfgAAhRB+AACFEH4AAIUQfgAAhRB+AACFqIqPc5k8eXLGjh3b7JpevXrlgQceaPJcfX19Pv7xj+d3v/tdFi5cuC1GBABo86oi/Pr3758xY8Y0ee7BBx/M3Llzc9hhh232+h/+8If53e9+t63GAwCoClUTfv3799/keF1dXSZMmJDevXvniiuuaPLap59+OjfccMM2nhAAoO2rivDbnEsvvTSrV6/Od7/73XTp0mWT8w0NDbn00kvTo0ePtGvXLk899dT2HxIAoI2o2jd3zJgxI7NmzcqJJ56YgQMHNrnmjjvuyOzZs/O1r30tnTt33s4TAgC0LVUbfuPHj0+HDh1y/vnnN3n+L3/5S6677rp87GMfy9/93d9t3+EAANqgqgy/WbNmZeHChRk2bFh69erV5Jpx48Zl5513zsUXX7ydpwMAaJsq+hq/QYMGpa6urtk1I0eOzLhx4zY6duuttyZJRo8e3eQ1d955Z2bOnJkbb7wxu+22W+sMCwBQ5SoafoMHD86yZcuaXTNgwICNHq9YsSIzZszIwQcfnH79+m2yfunSpbnmmmvy4Q9/OEOGDGnVeQEAqllFw++SSy5p8TXTp09PfX19hg4d2uT5q666KvX19ZvsEm6N+vr6JMlzzz3Xaj8TAGBbeKNX3uiXv1Z1H+cyc+bMJNnsbt69996bJDn66KObPN+3b99mv+WjKUuWLEny+m1nAIBqsGTJkvzN3/zNRseqLvweffTR9OzZM7W1tU2e39w3fNxxxx1ZunRpxowZk1133bVFv/PAAw/MxIkT071797Rv377FMwMAbC/19fVZsmRJDjzwwE3O1TQ0NDRUYKa3ZeXKlTn88MNz3HHH5Tvf+U6Lrj3ppJOyYMEC39ULABSrqj7OZfHixUmSHj16VHgSAIDqU1Xht2LFiiRp8a1aAACq7FYvAABvX1Xt+AEA8PYJPwCAQgg/AIBCCD8AgEIIP9gC69evz4QJEzJs2LAMGDAgxx13XG6++easW7dui65fsWJFrrrqqgwaNCgHHXRQTj311Nx9993beGqgWjz//PM59NBDM2HChC2+xvMKb4fwgy1w1VVX5Zprrsk73vGOfPKTn8w73/nO3HjjjfniF7/4lteuWbMmn/70p3P77bfnoIMOysiRI/PSSy/lggsuyG233bYdpgfastWrV+e8887LqlWrtvgazyu8bQ1Asx555JGGPn36NJx33nkNGzZsaGhoaGjYsGFDw5e//OWGPn36NDzwwAPNXv+v//qvDX369Gm47bbbGo+9/PLLDSeccELDQQcd1LB06dJtOj/Qdj3zzDMNp5xySkOfPn0a+vTp0/Dv//7vW3Sd5xXeLjt+8BYmTpyY5PXvga6pqUmS1NTU5MILL0xNTU1++tOfNnv9pEmT0q1bt4wYMaLxWNeuXfPZz342r7zySn7+859vu+GBNmvChAk58cQTs2DBgvzd3/1di671vMLbJfzgLTz88MPZY4890qdPn42Ov/Od70zv3r0zZ86czV67aNGixtfutG/ffqNzRxxxRJI0ez2w4/rRj36UXr165bbbbstJJ520xdd5XmFrCD9oxtq1a/Pcc8/lXe96V5Pne/XqlZdeeinLli1r8vyiRYuSpMnru3fvnk6dOuWpp55qtXmB6nHllVfmzjvvzPve974WXed5ha0h/KAZb/X90G8cf/nll5u9frfddmvyfNeuXTd7LbBjO/roozfZsdsSnlfYGsIPmrF+/fokSceOHZs8/8bx11577W1fv7lrAZrieYWtIfygGZ07d06SzX5e39q1a5MkXbp0afJ8p06dNlrX1PU777zz1o4JFMTzCltD+EEzunbtmnbt2m3287XeuJ2yuVvBu+++e5Js9vpVq1ala9eurTApUArPK2wN4QfN6NixY2pra/PMM880ef6ZZ57JnnvumXe84x1Nnu/du3fjujd74YUX8tprr+Xd7353a40LFMDzCltD+MFbOPTQQ7NkyZL8+c9/3uj4888/n6eeeioHHXTQZq+tra1NbW1tHnnkkWzYsGGjc7Nnz06SHHLIIa0/NLDD8rzC1hB+8BZOPvnkJMk3v/nNxifZhoaGXH/99UmS008/vdnrhw8fnueee26jr1FatWpVbrnllnTu3LlFn98FkHhe4e3rUOkBoK37wAc+kGHDhuXuu+/O6aefniOOOCJz587Nww8/nCFDhuSYY45pXHvTTTclSc4777zGY2effXbuueeeXH311ZkzZ0723XffTJkyJYsXL85ll12WPffcc3v/SUAV8bxCa6ppaGhoqPQQ0NatW7cu3/ve9/Kf//mfef7551NbW5vhw4fn7LPP3ugjFfr27ZskWbhw4UbXL126NNdff32mTZuWV155Jfvtt19Gjx6dE044Ybv+HUDbNHny5IwdOzZjx47NGWecsdE5zyu0JuEHAFAIr/EDACiE8AMAKITwAwAohPADACiE8AMAKITwAwAohPADACiE8ANoRaNGjUrfvn3z0ksvVXoUgE0IPwCAQgg/AIBCCD8AgEJ0qPQAANVk2bJlueWWWzJ16tQsXbo0PXv2zNChQ3POOedkl112afKadevWZdKkSfm///f/5oknnshrr72W7t275+ijj87555+fPffcs3Ht008/neuvvz6///3vs2TJkvTo0SMf/OAH8/nPfz7du3dv8TqAv1bT0NDQUOkhAKrBkiVLcvrpp6euri5HHHFE3vve9+aPf/xjHnroobz//e/PD37wg5x55pmZPXt25syZk9122y1J8r//9//Ovffem0MPPTQHHXRQ1q5dm1//+td56qmncuCBB+Y//uM/krwelaeddlqWL1+eIUOGpEePHlm4cGFmzJiR/fbbL3fddVd22mmnLV4H8GZ2/AC20HXXXZe6urqMHTs2Z5xxRuPxcePG5cc//nEeeOCBTa559NFHc++99+bEE0/Mv/zLvzQeX79+fU455ZTMmzcvf/7zn/Pud787d999d5599tl8/etfz2mnnda49qqrrsrEiRPz4IMP5phjjtnidQBv5jV+AFtg7dq1ue+++9K7d++Noi9JPvOZz+Szn/1sk7dY995771x77bX5whe+sNHxDh065NBDD02SvPjii0mSDRs2JEnmz5+f+vr6xrUXXHBBfv3rXzfG3JauA3gzt3oBtsD//M//5IQTTsjJJ5+cf/7nf97sulGjRm1yqzd5fYdv4cKF+fOf/5xFixblsccey6xZs7Jq1arceuutOfzww/P8889n+PDhWbFiRfbYY48cddRR+eAHP5gPfehD2X333Rt/1pauA3gzO34AW2DlypVJkq5du7b42jvuuCPHHntsTj311Hzxi1/Mv//7v+eVV17J/vvvnyR54/9/v/Od78zPfvaznHbaaWloaMjPf/7zXHTRRTnyyCMzbty4rF27tkXrAN7Ma/wAtsAb79hdvXp1k+fXrFmTnXfeeZPjv/zlL3P55Zenb9++ufzyy/Pe9743PXv2TJJcfvnl+d3vfrfR+n333Tdf//rXU19fn3nz5uVXv/pVJk+enB//+MfZddddc9FFF7VoHcBfs+MHsAXe/e53Z6eddsrvf//7Tc49//zzOeSQQ3LZZZdtcu4Xv/hFkmT8+PEZPHhwY/QlyZNPPpnk/+343X///bniiiuyatWqtG/fPgcddFDGjBmTiRMnJkkeeeSRFq0DeDPhB7AFOnXqlCFDhuSJJ57IT37yk43O3XLLLUmS97///U1elyRLly7d6Pidd96Z2bNnJ3n99X/J6yF4++235/bbb99obV1dXZKktra2ResA3sybOwC20PPPP5/TTz89f/nLX3L00Ufnb//2b/OHP/whc+bMyeDBg3PzzTdv8uaO6dOn5zOf+Uy6du2aE044IV27ds0f/vCHzJ49O3vttVdefPHFjB8/Ph/96EezcuXKfOxjH8uiRYvywQ9+MH379s2LL76Ye+65J0ly++23p1+/flu8DuDNhB9ACyxZsiQ33XRTpk2blmXLluWd73xnhg8fnnPPPTcdO3Zs8l29d999d77//e/nqaeeSufOnbPvvvvmpJNOyiGHHJJTTjklw4cPz3XXXZfk9bj813/91zz44IN57rnn0rVr1xx++OEZM2ZM/vZv/7Zxji1dB/DXhB8AQCG8xg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBDCDwCgEMIPAKAQwg8AoBD/H9GkLGTXFxPMAAAAAElFTkSuQmCC", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] }, + "metadata": {}, "output_type": "display_data" } ], "source": [ - "test_type = 't-test_ind'\n", + "def plot_significance_new(data,var,hue,ax,palette='mako',drug=False,test ='Mann-Whitney'):\n", + "\n", + "\n", + " ax.tick_params(axis='x', labelsize=20)\n", + " ax.tick_params(axis='y', labelsize=20)\n", + "\n", + " boxes = sns.boxplot(data=data,\n", + " x=hue,\n", + " y=var,\n", + " width=.6, \n", + " palette=palette,\n", + " ax=ax)\n", + " \n", + " # sns.stripplot(x=hue, y=var , data=data,\n", + " # size=3, color=\".4\", linewidth=0,ax=ax)\n", + " ax.set_xlabel('class',fontdict={'fontsize':20})\n", + " ax.set_ylabel(var,fontdict={'fontsize':20})\n", + "\n", + " for box,col in zip(boxes.patches,['blue','crimson','teal']):\n", + " mybox1 = box\n", + "\n", + " # Change the appearance of that box\n", + " if drug:\n", + " mybox1.set_facecolor('white')\n", + " mybox1.set_edgecolor(col)\n", + " else:\n", + " mybox1.set_facecolor(col)\n", + " mybox1.set_edgecolor('black')\n", + "\n", + " mybox1.set_linewidth(3)\n", + "\n", + " pairs = np.unique(data[hue])\n", + " pairs = [i for i in combinations(pairs,2)]\n", + "\n", + "\n", + " annotator = Annotator(ax,pairs, data=data, x=hue,palette=palette, y=var)\n", + " annotator.configure(test=test, text_format='star', loc='inside')\n", + " annotator.apply_and_annotate() \n", + "for cond in np.unique(df_inh_for_sig.cond):\n", + " for val in ['AP_avg', 'resistance','mi', 'thr', 'isi', 'sub_thr','imp']:\n", + "\n", + " fig,ax = plt.subplots(1,1,figsize=[10,10])\n", + " if cond == 'acsf':\n", + " drug = False\n", + " else:\n", + " drug = True\n", + " plot_significance_new(data = df_inh_for_sig[df_inh_for_sig.cond==cond],\n", + " var = val,\n", + " hue = 'class',\n", + " ax = ax,\n", + " drug = drug,\n", + " palette = ['blue','crimson','teal'])\n", + " plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/'+val+'_'+cond+'_dist.png',dpi=200)\n" + ] + }, + { + "cell_type": "code", + "execution_count": 39, + "metadata": {}, + "outputs": [ + { + "ename": "NameError", + "evalue": "name 'cond_exc' is not defined", + "output_type": "error", + "traceback": [ + "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[1;31mNameError\u001b[0m Traceback (most recent call last)", + "\u001b[1;32m\u001b[0m in \u001b[0;36m\u001b[1;34m\u001b[0m\n\u001b[0;32m 1\u001b[0m \u001b[0mtest_type\u001b[0m \u001b[1;33m=\u001b[0m \u001b[1;34m'Mann-Whitney'\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m----> 2\u001b[1;33m \u001b[1;32mfor\u001b[0m \u001b[0mcond_\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mcond_exc\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m1\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 3\u001b[0m \u001b[0mfig\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0max\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mplt\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0msubplots\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m2\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;36m4\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0mfigsize\u001b[0m\u001b[1;33m=\u001b[0m \u001b[1;33m[\u001b[0m\u001b[1;36m20\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;36m8\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 4\u001b[0m \u001b[1;32mfor\u001b[0m \u001b[0mi\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mvar\u001b[0m \u001b[1;32min\u001b[0m \u001b[0menumerate\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'Vm_avg'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'resistance'\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;34m'mi'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'thr'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'isi'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'sub_thr'\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;34m'imp'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 5\u001b[0m \u001b[0mcond\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mcond_\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;31mNameError\u001b[0m: name 'cond_exc' is not defined" + ] + } + ], + "source": [ + "test_type = 'Mann-Whitney'\n", "for cond_ in cond_exc[1:]:\n", " fig,ax = plt.subplots(2,4,figsize= [20,8])\n", " for i, var in enumerate(['Vm_avg', 'resistance','mi', 'thr', 'isi', 'sub_thr','imp']):\n", @@ -4177,9 +4391,248 @@ }, { "cell_type": "code", - "execution_count": 175, + "execution_count": 40, + "metadata": {}, + "outputs": [ + { + "ename": "NameError", + "evalue": "name 'cond_inh' is not defined", + "output_type": "error", + "traceback": [ + "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[1;31mNameError\u001b[0m Traceback (most recent call last)", + "\u001b[1;32m\u001b[0m in \u001b[0;36m\u001b[1;34m\u001b[0m\n\u001b[0;32m 1\u001b[0m \u001b[0mtest_type\u001b[0m \u001b[1;33m=\u001b[0m \u001b[1;34m'Mann-Whitney'\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m----> 2\u001b[1;33m \u001b[1;32mfor\u001b[0m \u001b[0mcond_\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mcond_inh\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;36m1\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 3\u001b[0m \u001b[0mfig\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0max\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mplt\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0msubplots\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m2\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;36m4\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0mfigsize\u001b[0m\u001b[1;33m=\u001b[0m \u001b[1;33m[\u001b[0m\u001b[1;36m20\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;36m8\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 4\u001b[0m \u001b[1;32mfor\u001b[0m \u001b[0mi\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mvar\u001b[0m \u001b[1;32min\u001b[0m \u001b[0menumerate\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'Vm_avg'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'resistance'\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;34m'mi'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'thr'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'isi'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;34m'sub_thr'\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;34m'imp'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 5\u001b[0m \u001b[0mcond\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mcond_\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;31mNameError\u001b[0m: name 'cond_inh' is not defined" + ] + } + ], + "source": [ + "test_type = 'Mann-Whitney'\n", + "for cond_ in cond_inh[1:]:\n", + " fig,ax = plt.subplots(2,4,figsize= [20,8])\n", + " for i, var in enumerate(['Vm_avg', 'resistance','mi', 'thr', 'isi', 'sub_thr','imp']):\n", + " cond = cond_\n", + " data_temp = df_inh_for_sig[df_inh_for_sig.cond.isin(['acsf',cond])]\n", + " if i>=4:\n", + "\n", + " sns.boxplot(data=data_temp,\n", + " x='class',\n", + " y= var,\n", + " hue ='cond',ax=ax[1,i-4],palette='PuBuGn')\n", + " # boxprops={\"facecolor\": (.2, .6, .8, .5)},\n", + " # medianprops={\"color\": \"red\"}) \n", + "\n", + " pairs = np.unique(data_temp['class'])\n", + " pairs = [((0.0,\"acsf\"),(0.0,cond)),\n", + " ((1.0, \"acsf\" ),(1.0,cond)),\n", + " ((2.0, \"acsf\" ),(2.0,cond))]\n", + "\n", + "\n", + " annotator = Annotator(ax[1,i-4],pairs, data=data_temp, x='class', y=var,hue='cond')\n", + " annotator.configure(test=test_type, text_format='star', loc='inside')\n", + " annotator.apply_and_annotate() \n", + " if i<4:\n", + "\n", + " sns.boxplot(data=data_temp,\n", + " x='class',\n", + " y= var,\n", + " hue ='cond',ax=ax[0,i],palette='PuBuGn')\n", + " # boxprops={\"facecolor\": (.2, .6, .8, .5)},\n", + " # medianprops={\"color\": \"red\"}) \n", + "\n", + " pairs = np.unique(data_temp['class'])\n", + " pairs = [((0.0,\"acsf\"),(0.0,cond)),\n", + " ((1.0, \"acsf\" ),(1.0,cond)),\n", + " ((2.0, \"acsf\" ),(2.0,cond))]\n", + "\n", + " annotator = Annotator(ax[0,i],pairs, data=data_temp, x='class', y=var,hue='cond')\n", + " annotator.configure(test=test_type, text_format='star', loc='inside')\n", + " annotator.apply_and_annotate() \n", + " plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": 141, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\pandas\\core\\frame.py:3191: SettingWithCopyWarning: \n", + "A value is trying to be set on a copy of a slice from a DataFrame.\n", + "Try using .loc[row_indexer,col_indexer] = value instead\n", + "\n", + "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\paxplot\\core.py:842: Warning: The function you have called (set_figheight) is not officially supported by Paxplot, but it may still work. Report issues to https://github.com/kravitsjacob/paxplot/issues\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\paxplot\\core.py:842: Warning: The function you have called (set_figwidth) is not officially supported by Paxplot, but it may still work. Report issues to https://github.com/kravitsjacob/paxplot/issues\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import pandas as pd\n", + "import matplotlib.pyplot as plt\n", + "import paxplot\n", + "from scipy import stats\n", + "\n", + "# Import data\n", + "df = df_inh_for_sig[['AP_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp','class','cond']]\n", + "df[['AP_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']] = df[['AP_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']]\n", + "df = df[df.cond=='acsf']\n", + "df_acsf_1= df[df['class']==0][['AP_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']]\n", + "df_acsf_2= df[df['class']==1][['AP_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']]\n", + "df_acsf_3= df[df['class']==2][['AP_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']]\n", + "cols = df.columns[:-2]\n", + "# Create figure\n", + "paxfig = paxplot.pax_parallel(n_axes=len(cols))\n", + "paxfig.set_figheight(10)\n", + "paxfig.set_figwidth(20)\n", + "\n", + "paxfig.plot(\n", + " df_acsf_1.to_numpy(), #stats.zscore(df_acsf_1.to_numpy())\n", + " line_kwargs={'alpha': 0.5, 'color': 'blue', 'zorder': 1}\n", + ")\n", + "\n", + "# Add grey data\n", + "paxfig.plot(\n", + " df_acsf_2.to_numpy(), #stats.zscore(df_acsf_2.to_numpy()) \n", + " line_kwargs={'alpha': 0.5, 'color': 'crimson', 'zorder': 0}\n", + ")\n", + "\n", + "paxfig.plot(\n", + " df_acsf_3.to_numpy(), #stats.zscore(df_acsf_3.to_numpy()) \n", + " line_kwargs={'alpha': 0.5, 'color': 'teal', 'zorder': 0}\n", + ")\n", + "for ax in paxfig.axes: \n", + " ax.tick_params(axis='x', labelsize=20)\n", + " ax.tick_params(axis='y', labelsize=20)\n", + "# Add labels\n", + "paxfig.set_labels(cols)\n", + "plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/paralell_plot_all_acsf.png',dpi=200)" + ] + }, + { + "cell_type": "code", + "execution_count": 139, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\pandas\\core\\frame.py:3191: SettingWithCopyWarning: \n", + "A value is trying to be set on a copy of a slice from a DataFrame.\n", + "Try using .loc[row_indexer,col_indexer] = value instead\n", + "\n", + "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\paxplot\\core.py:842: Warning: The function you have called (set_figheight) is not officially supported by Paxplot, but it may still work. Report issues to https://github.com/kravitsjacob/paxplot/issues\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\paxplot\\core.py:842: Warning: The function you have called (set_figwidth) is not officially supported by Paxplot, but it may still work. Report issues to https://github.com/kravitsjacob/paxplot/issues\n" + ] + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import pandas as pd\n", + "import matplotlib.pyplot as plt\n", + "import paxplot\n", + "from scipy import stats\n", + "\n", + "# Import data\n", + "df = df_inh_for_sig[['AP_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp','class','cond']]\n", + "df[['AP_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']] = df[['AP_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']]\n", + "df = df[df.cond=='acsf']\n", + "df_acsf_1= df[df['class']==0][['AP_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']]\n", + "df_acsf_2= df[df['class']==1][['AP_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']]\n", + "df_acsf_3= df[df['class']==2][['AP_avg', 'resistance', 'thr', 'isi', 'sub_thr','imp']]\n", + "cols = df.columns[:-2]\n", + "# Create figure\n", + "paxfig = paxplot.pax_parallel(n_axes=len(cols))\n", + "paxfig.set_figheight(10)\n", + "paxfig.set_figwidth(20)\n", + "\n", + "paxfig.plot(\n", + " [np.mean(df_acsf_1.to_numpy(),axis=0)], #stats.zscore(df_acsf_1.to_numpy())\n", + " line_kwargs={'alpha': 0.5, 'color': 'blue', 'zorder': 1}\n", + ")\n", + "\n", + "# Add grey data\n", + "paxfig.plot(\n", + " [np.mean(df_acsf_2.to_numpy(),axis=0)], #stats.zscore(df_acsf_2.to_numpy()) \n", + " line_kwargs={'alpha': 0.5, 'color': 'crimson', 'zorder': 0}\n", + ")\n", + "\n", + "paxfig.plot(\n", + " [np.mean(df_acsf_3.to_numpy(),axis=0)], #stats.zscore(df_acsf_3.to_numpy()) \n", + " line_kwargs={'alpha': 0.5, 'color': 'teal', 'zorder': 0}\n", + ")\n", + "for ax in paxfig.axes: \n", + " ax.tick_params(axis='x', labelsize=20)\n", + " ax.tick_params(axis='y', labelsize=20)\n", + "# Add labels\n", + "paxfig.set_labels(cols)\n", + "plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/paralell_plot_mean.png',dpi=200)" + ] + }, + { + "cell_type": "code", + "execution_count": 177, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "array(['acsf', 'agoanta', 'cirazoline', 'd1ago', 'd2', 'dopamine', 'm1',\n", + " 'sag'], dtype=object)" + ] + }, + "execution_count": 177, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "np.unique(df_inh_for_sig.cond)" + ] + }, + { + "cell_type": "code", + "execution_count": 178, "metadata": {}, "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + ":72: MatplotlibDeprecationWarning: The label function was deprecated in Matplotlib 3.1 and will be removed in 3.8. Use Tick.label1 instead.\n", + ":75: MatplotlibDeprecationWarning: The label function was deprecated in Matplotlib 3.1 and will be removed in 3.8. Use Tick.label1 instead.\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\fromnumeric.py:3702: RuntimeWarning: Degrees of freedom <= 0 for slice\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_methods.py:253: RuntimeWarning: invalid value encountered in double_scalars\n" + ] + }, { "name": "stdout", "output_type": "stream", @@ -4191,9 +4644,25 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "1.0_acsf vs. 1.0_agoanta: t-test independent samples, P_val:8.785e-01 t=-1.534e-01\n", - "0.0_acsf vs. 0.0_agoanta: t-test independent samples, P_val:1.283e-01 t=1.537e+00\n", - "2.0_acsf vs. 2.0_agoanta: t-test independent samples, P_val:8.206e-01 t=-2.311e-01\n", + "1.0_acsf vs. 1.0_dopamine: t-test independent samples, P_val:5.823e-01 t=5.523e-01\n", + "0.0_acsf vs. 0.0_dopamine: t-test independent samples, P_val:4.849e-01 t=7.019e-01\n", + "2.0_acsf vs. 2.0_dopamine: t-test independent samples, P_val:nan t=nan\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + ":72: MatplotlibDeprecationWarning: The label function was deprecated in Matplotlib 3.1 and will be removed in 3.8. Use Tick.label1 instead.\n", + ":75: MatplotlibDeprecationWarning: The label function was deprecated in Matplotlib 3.1 and will be removed in 3.8. Use Tick.label1 instead.\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\fromnumeric.py:3702: RuntimeWarning: Degrees of freedom <= 0 for slice\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_methods.py:253: RuntimeWarning: invalid value encountered in double_scalars\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -4201,9 +4670,25 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "1.0_acsf vs. 1.0_agoanta: t-test independent samples, P_val:2.196e-01 t=-1.239e+00\n", - "0.0_acsf vs. 0.0_agoanta: t-test independent samples, P_val:5.152e-01 t=-6.537e-01\n", - "2.0_acsf vs. 2.0_agoanta: t-test independent samples, P_val:1.021e-01 t=-1.749e+00\n", + "1.0_acsf vs. 1.0_dopamine: t-test independent samples, P_val:8.563e-01 t=1.817e-01\n", + "0.0_acsf vs. 0.0_dopamine: t-test independent samples, P_val:4.758e-01 t=7.166e-01\n", + "2.0_acsf vs. 2.0_dopamine: t-test independent samples, P_val:nan t=nan\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + ":72: MatplotlibDeprecationWarning: The label function was deprecated in Matplotlib 3.1 and will be removed in 3.8. Use Tick.label1 instead.\n", + ":75: MatplotlibDeprecationWarning: The label function was deprecated in Matplotlib 3.1 and will be removed in 3.8. Use Tick.label1 instead.\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\fromnumeric.py:3702: RuntimeWarning: Degrees of freedom <= 0 for slice\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_methods.py:253: RuntimeWarning: invalid value encountered in double_scalars\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -4211,9 +4696,25 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "1.0_acsf vs. 1.0_agoanta: t-test independent samples, P_val:7.212e-01 t=3.583e-01\n", - "0.0_acsf vs. 0.0_agoanta: t-test independent samples, P_val:1.242e-01 t=-1.554e+00\n", - "2.0_acsf vs. 2.0_agoanta: t-test independent samples, P_val:1.552e-01 t=1.502e+00\n", + "1.0_acsf vs. 1.0_dopamine: t-test independent samples, P_val:9.703e-01 t=-3.740e-02\n", + "0.0_acsf vs. 0.0_dopamine: t-test independent samples, P_val:5.415e-01 t=6.133e-01\n", + "2.0_acsf vs. 2.0_dopamine: t-test independent samples, P_val:nan t=nan\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + ":72: MatplotlibDeprecationWarning: The label function was deprecated in Matplotlib 3.1 and will be removed in 3.8. Use Tick.label1 instead.\n", + ":75: MatplotlibDeprecationWarning: The label function was deprecated in Matplotlib 3.1 and will be removed in 3.8. Use Tick.label1 instead.\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\fromnumeric.py:3702: RuntimeWarning: Degrees of freedom <= 0 for slice\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_methods.py:253: RuntimeWarning: invalid value encountered in double_scalars\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -4221,9 +4722,25 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "1.0_acsf vs. 1.0_agoanta: t-test independent samples, P_val:3.151e-01 t=1.012e+00\n", - "0.0_acsf vs. 0.0_agoanta: t-test independent samples, P_val:8.230e-01 t=2.245e-01\n", - "2.0_acsf vs. 2.0_agoanta: t-test independent samples, P_val:4.350e-01 t=-8.037e-01\n", + "1.0_acsf vs. 1.0_dopamine: t-test independent samples, P_val:5.322e-01 t=6.275e-01\n", + "0.0_acsf vs. 0.0_dopamine: t-test independent samples, P_val:4.253e-01 t=8.015e-01\n", + "2.0_acsf vs. 2.0_dopamine: t-test independent samples, P_val:nan t=nan\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + ":72: MatplotlibDeprecationWarning: The label function was deprecated in Matplotlib 3.1 and will be removed in 3.8. Use Tick.label1 instead.\n", + ":75: MatplotlibDeprecationWarning: The label function was deprecated in Matplotlib 3.1 and will be removed in 3.8. Use Tick.label1 instead.\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\fromnumeric.py:3702: RuntimeWarning: Degrees of freedom <= 0 for slice\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_methods.py:253: RuntimeWarning: invalid value encountered in double_scalars\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -4231,9 +4748,25 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "1.0_acsf vs. 1.0_agoanta: t-test independent samples, P_val:8.746e-01 t=-1.584e-01\n", - "0.0_acsf vs. 0.0_agoanta: t-test independent samples, P_val:5.829e-01 t=5.514e-01\n", - "2.0_acsf vs. 2.0_agoanta: t-test independent samples, P_val:1.813e-01 t=-1.407e+00\n", + "1.0_acsf vs. 1.0_dopamine: t-test independent samples, P_val:9.933e-01 t=8.392e-03\n", + "0.0_acsf vs. 0.0_dopamine: t-test independent samples, P_val:8.049e-01 t=2.479e-01\n", + "2.0_acsf vs. 2.0_dopamine: t-test independent samples, P_val:nan t=nan\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + ":72: MatplotlibDeprecationWarning: The label function was deprecated in Matplotlib 3.1 and will be removed in 3.8. Use Tick.label1 instead.\n", + ":75: MatplotlibDeprecationWarning: The label function was deprecated in Matplotlib 3.1 and will be removed in 3.8. Use Tick.label1 instead.\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\fromnumeric.py:3702: RuntimeWarning: Degrees of freedom <= 0 for slice\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_methods.py:253: RuntimeWarning: invalid value encountered in double_scalars\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -4241,9 +4774,25 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "1.0_acsf vs. 1.0_agoanta: t-test independent samples, P_val:4.813e-01 t=7.080e-01\n", - "0.0_acsf vs. 0.0_agoanta: t-test independent samples, P_val:3.317e-04 t=3.753e+00\n", - "2.0_acsf vs. 2.0_agoanta: t-test independent samples, P_val:4.187e-01 t=8.332e-01\n", + "1.0_acsf vs. 1.0_dopamine: t-test independent samples, P_val:8.687e-01 t=1.658e-01\n", + "0.0_acsf vs. 0.0_dopamine: t-test independent samples, P_val:1.053e-01 t=-1.639e+00\n", + "2.0_acsf vs. 2.0_dopamine: t-test independent samples, P_val:nan t=nan\n" + ] + }, + { + "name": "stderr", + "output_type": "stream", + "text": [ + ":72: MatplotlibDeprecationWarning: The label function was deprecated in Matplotlib 3.1 and will be removed in 3.8. Use Tick.label1 instead.\n", + ":75: MatplotlibDeprecationWarning: The label function was deprecated in Matplotlib 3.1 and will be removed in 3.8. Use Tick.label1 instead.\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\fromnumeric.py:3702: RuntimeWarning: Degrees of freedom <= 0 for slice\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_methods.py:253: RuntimeWarning: invalid value encountered in double_scalars\n" + ] + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -4251,175 +4800,185 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "1.0_acsf vs. 1.0_agoanta: t-test independent samples, P_val:3.384e-03 t=-3.036e+00\n", - "0.0_acsf vs. 0.0_agoanta: t-test independent samples, P_val:3.096e-01 t=-1.023e+00\n", - "2.0_acsf vs. 2.0_agoanta: t-test independent samples, P_val:8.383e-01 t=2.079e-01\n" + "1.0_acsf vs. 1.0_dopamine: t-test independent samples, P_val:1.851e-02 t=-2.406e+00\n", + "0.0_acsf vs. 0.0_dopamine: t-test independent samples, P_val:9.683e-01 t=3.991e-02\n", + "2.0_acsf vs. 2.0_dopamine: t-test independent samples, P_val:nan t=nan\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", - "output_type": "stream", - "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_cirazoline: t-test independent samples, P_val:9.865e-01 t=1.700e-02\n", - "0.0_acsf vs. 0.0_cirazoline: t-test independent samples, P_val:2.883e-01 t=1.069e+00\n", - "2.0_acsf vs. 2.0_cirazoline: t-test independent samples, P_val:5.187e-01 t=-6.634e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_cirazoline: t-test independent samples, P_val:9.316e-02 t=-1.702e+00\n", - "0.0_acsf vs. 0.0_cirazoline: t-test independent samples, P_val:8.369e-03 t=-2.703e+00\n", - "2.0_acsf vs. 2.0_cirazoline: t-test independent samples, P_val:1.574e-01 t=-1.500e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_cirazoline: t-test independent samples, P_val:5.233e-01 t=-6.415e-01\n", - "0.0_acsf vs. 0.0_cirazoline: t-test independent samples, P_val:2.406e-01 t=-1.182e+00\n", - "2.0_acsf vs. 2.0_cirazoline: t-test independent samples, P_val:4.853e-01 t=7.183e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_cirazoline: t-test independent samples, P_val:1.273e-01 t=1.543e+00\n", - "0.0_acsf vs. 0.0_cirazoline: t-test independent samples, P_val:9.765e-01 t=-2.955e-02\n", - "2.0_acsf vs. 2.0_cirazoline: t-test independent samples, P_val:6.278e-01 t=-4.965e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_cirazoline: t-test independent samples, P_val:3.309e-01 t=9.792e-01\n", - "0.0_acsf vs. 0.0_cirazoline: t-test independent samples, P_val:7.832e-01 t=-2.761e-01\n", - "2.0_acsf vs. 2.0_cirazoline: t-test independent samples, P_val:9.669e-01 t=-4.228e-02\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_cirazoline: t-test independent samples, P_val:3.498e-01 t=-9.413e-01\n", - "0.0_acsf vs. 0.0_cirazoline: t-test independent samples, P_val:2.297e-02 t=2.318e+00\n", - "2.0_acsf vs. 2.0_cirazoline: t-test independent samples, P_val:9.368e-02 t=1.809e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_cirazoline: t-test independent samples, P_val:1.701e-02 t=-2.445e+00\n", - "0.0_acsf vs. 0.0_cirazoline: t-test independent samples, P_val:6.547e-02 t=-1.867e+00\n", - "2.0_acsf vs. 2.0_cirazoline: t-test independent samples, P_val:3.880e-01 t=-8.932e-01\n" - ] + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" }, { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAABKcAAAHgCAYAAABw5Q4OAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjMuNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8QVMy6AAAACXBIWXMAAAsTAAALEwEAmpwYAACsr0lEQVR4nOzde3zU5Zn//9eVBAOKFAloNYikIlQQlJJVq3xVBDT2AOiiYkFi7ZraemBRq6Dbiq3sjyK6BXSLwVphBSlbdcVKI6BVqoVVdFVEEdKCkoiA4SAoB5Ncvz9mEkNIQiaZmc8c3s/Hg0fmc7yvjHjzmWvu+7rN3REREREREREREQlCRtABiIiIiIiIiIhI+lJySkREREREREREAqPklIiIiIiIiIiIBEbJKRERERERERERCYySUyIiIiIiIiIiEhglp0REREREREREJDBZQQcQb507d/bu3bsHHYaItNIbb7zxqbt3CTqOllJfJJIa1BeJSCJQXyQiiaA1fVHaJae6d+/OqlWrgg5DRFrJzD4MOobWUF8kkhrUF4lIIlBfJCKJoDV9kab1iYiIiIiIiIhIYJScEhERERERERGRwCg5JSIiIiIiIiIigUm7mlMi8fDll19SVlbGvn37gg4l6bVt25auXbvSpk2boEMRSTrqi6JHfZGIiNS1ceNGLrnkEgYOHMjf/vY3cnNzeeaZZ5g9ezazZs0iKyuL3r17s2DBgqBDlTA9F0VPLJ6LlJwSiYGysjKOPvpounfvjpkFHU7ScncqKiooKysjLy8v6HBEko76ouhQXyQiIg1Zv349TzzxBLNnz+aKK67gySefZMqUKWzYsIHs7Gx27twZdIhSh56LoiNWz0Wa1icSA/v27SMnJ0edXiuZGTk5Ofp2Q6SF1BdFh/oiERFpSF5eHmeccQYAAwYMYOPGjfTr14/Ro0fz+OOPk5WlsSCJRM9F0RGr5yIlp0RiRJ1edOh9lGSxceNGTj31VK677jr69OnDRRddxN69e5kxYwa9e/emX79+jBo1Ku5x6f+h6ND7KCIi9WVnZ9e+zszMpLKykueee44bbriBN954gwEDBlBZWRlghFKf/j2Pjli8j0pOJYFE/cAj6eGaa67hj3/8Y9BhiCSF9evXc8MNN7BmzRo6duxYO7z///7v/3jnnXeYNWtW0CEmLfVFItJaeqaWWKuurmbTpk0MGjSIqVOnsnPnTvbs2RN0WJKCUvG5KCnGGZpZW2A5kE0o5j+6+91m1gn4A9Ad2Ahc4e47goozljSfWUQk8TU1vH/EiBGMGDEi0PhERNKdnqkllqqqqhgzZgy7du3C3Rk/fjwdO3YMOiyRpJAsI6f2Axe6++nAGUCBmZ0NTABecPdTgBfC2ylJ85mluebOnUu/fv04/fTTufrqq/nwww8ZPHgw/fr1Y/DgwXz00UdAKNt+8803c8455/CNb3yjNvPu7tx444307t2b7373u2zdujXIX0ckqWh4/1fUF4lIItIztURL9+7deffdd2u3b7vtNiZPnswrr7zC6tWreffdd5kwIWU/nkqE9Fx0eEnR+7q7AzXjIduE/zgwHLggvH8O8BJwR5zDi4v6H3j27t3Lc889x/Lly1m0aBG/+tWvWLNmjf5BTXNr1qxh8uTJvPrqq3Tu3Jnt27dTWFjI2LFjKSws5NFHH+Xmm2/mf/7nfwDYvHkzr7zyCmvXrmXYsGGMHDmSp59+mg8++IDVq1ezZcsWevfuzbXXXhvsLyaSpOoO7x84cCDz589nz549Kf8tqvoiEUlUeqaWw3nqqacoKSmJe7sFBQVcdtllcW9XYk/PRc2TLCOnMLNMM3sL2Aosdff/BY5z980A4Z/HNnJtkZmtMrNV27Zti1vMsaT5zNKQF198kZEjR9K5c2cAOnXqxIoVK/jBD34AwNVXX80rr7xSe/6IESPIyMigd+/ebNmyBYDly5dz1VVXkZmZyQknnMCFF14Y/19EJEXUDO/v27cv/fv3T5vh/eqLRCRZ6Jla6ispKWHdunVxbXPdunWBJMQkPvRc1DxJ85WAu1cBZ5hZR+BpMzstgmuLgWKA/Px8j02E8aX5zNIQdz/sygl1j9f99jA0QPHQc0SkeRoa3p+u1BeJSLLQM7U0pGfPnhQXF8etvaKiori1JfGn56LmSZqRUzXcfSeh6XsFwBYzOx4g/DP1Jl6i+czSfIMHD2bhwoVUVFQAsH37ds455xwWLFgAwLx58xg4cGCT9zjvvPNYsGABVVVVbN68mb/85S8xj1uSQ80/jpMmTWpyW0R9kYgkIj1Ti0gQ9FzUPEkxcsrMugBfuvtOM2sHDAF+DSwCCoEp4Z/PBBdl5IKYz6y5zKmtT58+3HXXXZx//vlkZmbSv39/ZsyYwbXXXst9991Hly5d+P3vf9/kPS699FJefPFF+vbtS8+ePTn//PPjFL0kunnz5vHxxx+zb98+pk6dygknnHDI9pgxY4IOM6pUd6Jl1BeJSDzpmVqiqVevXmnRpsSPnouax5Lhm24z60eo4HkmodFeC939l2aWAywEugEfAZe7+/am7pWfn++rVq2KdcjNUlRUxLp16+jZs2dc2qtpK55DVNPV+++/z6mnnhp0GCmjoffTzN5w9/yAQmq1ROqLIvHEE08wevRo5s+fz6hRow7ZTjXx7qchun21+qLoUl8kkpj0TK2+SKQ59FwUXdF+LkqKkVPu/g7Qv4H9FcDg+EcUPfH8h01zmUWkNebPn09ZWRm33347H330UYPbNYUdU4nqToiIJD49UyceMysAphMaYPCIu08JOCQRSWBJkZwSEZHgXXXVVZgZkyZN4vbbb68t7lh3W0RERMTMMoGHgKFAGfC6mS1y9/eCjUxEElXSFUQXEZFg1KwQUlMAvbFtERERSXtnAqXu/g93PwAsAIYHHJOIJDCNnApQvAvfqdCeiEhkVBRVRCTx6Zk6IeUCm+pslwFnBRSLiCQBJacCdOutt6Z0eyIiyS6IflN9tYhIZPRMnZAaGk590Px/MysCigC6desWj5hEJIFpWp+IiIiIiIhEUxlwYp3trsDHdU9w92J3z3f3/C5dusQ1OBFJPEpOiUiDfvazn9GnTx9+9rOfBR2KiKQx9UUiIknpdeAUM8szsyOAUcCigGMSSQmp+mykaX0icXDHhDvZsXNn1O53TMeO/HrKv0ftfg15+OGH2bZtG9nZ2TFtR4L31FNPUVJSEtc2CwoKuOyyy+LapqgvEhGR+HD3SjO7EXgeyAQedfc1AYclcpBkfC6C1H02UnJKJA527NzJyLH/GrX7/XHubw57zogRI9i0aRP79u1j3LhxFBUVUVJSwp133klVVRWdO3fmhRde4OWXX2bcuHFAaLW15cuXM3r0aD7//HPOOussJk6cyJVXXhm12CXxlJSUsG7dOnr27BmX9tatWweg5FQA1BeJiEi8uPtiYHHQcYg0JojnItCzUWOUnBJJUY8++iidOnVi7969/NM//RPDhw/nuuuuY/ny5eTl5bF9+3YApk2bxkMPPcS5557Lnj17aNu2LYsWLaJ9+/a89dZbwf4SEjc9e/akuLg4Lm0VFRXFpR1JDOqLRERERL6iZ6OGqeaUSIqaMWMGp59+OmeffTabNm2iuLiY8847j7y8PAA6deoEwLnnnsstt9zCjBkz2LlzJ1lZylk3xcweNbOtZvZunX2dzGypma0P/zwmyBhFEon6IhEREZGv6NmoYUpOiaSgl156iWXLlrFixQrefvtt+vfvz+mnn47Zoav6TpgwgUceeYS9e/dy9tlns3bt2gAiTiqPAQX19k0AXnD3U4AXwttJo1evXvTq1Stl20s2FRUV3HzzzVRUVAQdSqupL4ouMyswsw/MrNTMDulnzOxrZvasmb1tZmvM7IdBxBlrGzdu5NRTT+W6666jT58+XHTRRezdu5cZM2bQu3dv+vXrx6hRo4IOU0RE5BB6NmpcaqfeEsDMmTMpLS1t8Fh5eTkAubm5DR7v0aMHN910U8xik9S1a9cujjnmGI488kjWrl3LypUr2b9/Py+//DIbNmyoHS7aqVMn/v73v9O3b1/69u3LihUrWLt2Ld/85jeD/hUSlrsvN7Pu9XYPBy4Iv54DvATcEb+oWufWW29N6faSzZw5c1i9ejVz585l/PjxQYfTKuqLosfMMoGHgKGElmh/3cwWuft7dU67AXjP3b9vZl2AD8xsnrsfCCDkmFq/fj1PPPEEs2fP5oorruDJJ59kypQpbNiwgezsbHZGscCtJAY9U4tIKtCzUeM0cipAe/fuZe/evUGHISmooKCAyspK+vXrx89//nPOPvtsunTpQnFxMZdddhmnn356bfG83/zmN5x22mmcfvrptGvXjksuuSTg6JPSce6+GSD889iA45EkVVFRQUlJCe5OSUlJ0o+eUl8UVWcCpe7+j3CyaQGhxHhdDhxtoa9f2wPbgcr4hhkfeXl5nHHGGQAMGDCAjRs30q9fP0aPHs3jjz+e8lMfmpKOI8v0TC0iyULPRo1Lin+5zexEYC7wdaAaKHb36WbWCfgD0B3YCFzh7juCirMhTX1LU1N5f/r06fEKRwJyTMeOzV69obn3a0p2djZ//vOfGzxWv1ObOXNmg+ft2bOnRbFJ48ysCCgC6NatW8DRSCKaM2cO1dXVAFRVVUV99JT6oqSWC2yqs10GnFXvnAeBRcDHwNHAle5eHZ/w4qvu8tmZmZns3buX5557juXLl7No0SJ+9atfsWbNmrRNUqXiyDI9U4tItMX7uQj0bNSUZPkXuxK41d3fNLOjgTfMbClwDaE6L1PCtRcmkERTaSR9/HrKvwcdgsTWFjM73t03m9nxwNaGTnL3YqAYID8/3+MZoCSHZcuWUVkZGuhSWVnJ0qVLo5qcUl+U1A4tRhEaKVXXxcBbwIXAycBSM/uru3920I1SMFFeXV3Npk2bGDRoEAMHDmT+/Pns2bOHjs34oJCKmhpZNmLECEaMGBFofCIiiUDPRYklKab1uftmd38z/Ho38D6hbxCHE6rvQvjniEACFJF0twgoDL8uBJ4JMBZJYkOGDKkd6ZGVlcXQoUMDjkgSSBlwYp3troRGSNX1Q+ApDykFNgCHFKdw92J3z3f3/C5dusQs4HiqqqpizJgx9O3bl/79+zN+/Pi0TUzBoSPLKisree6557jhhht44403GDBgQG0iXEREJBEky8ipWuFCxP2B/6VenRczU50XEYkpM3uCUPHzzmZWBtwNTAEWmtmPgI+Ay4OLUJJZYWEhJSUlQOgD5dixYwOOSBLI68ApZpYHlAOjgB/UO+cjYDDwVzM7DugF/COuUcZB9+7deffdd2u3b7vttgCjSQ4aWSYiIokuqZJTZtYeeBL4V3f/rKHlFhu5LuWGr4tIMNz9qkYODY5rIJKScnJyKCgo4Nlnn6WgoICcnJygQ5IE4e6VZnYj8DyQCTzq7mvM7Prw8VnAr4DHzGw1oWmAd7j7p4EFHaGnnnqqNjkbTwUFBVx22WVxbzeeakaW7dq1C3dP+5FlIiKSeJImOWVmbQglpua5+1Ph3arzIiIiKaWwsJCNGzdq1JQcwt0XA4vr7ZtV5/XHwEXxjitaSkpKWLduHT179oxbm+vWrQNIqeSURpaJiEgySorkVHhJ5N8B77v7A3UO1dR5mYLqvIiItEhFRQX33HMPd999t0bqJICcnBxmzJgRdBgigejZsyfFxcVxa6+oqChubYmIiEjjkiI5BZwLXA2sNrO3wvvuRHVeRJpt1qxZHHnkkTEbjfHSSy8xbdo0/vSnP7Fo0SLee+89JkyYEJO2JLrmzJnD6tWrmTt3blRXhhNpiPoikchpyqOISOrSs1FIUiSn3P0VGl5CGVTnRZLATbf+jC0V26N2v+NyOjHz/vsiuub6669vcH9lZWXt6mDRMmzYMIYNGxbVe0psVFRUUFJSgrtTUlLC2LFjNXoqhakvEklOmvIoIhJ9ifBcBHo2qpEUySmRZLelYjsf9jg3ejcsffWwp8ydO5dp06ZhZvTr14+TTz6Z9u3bc9ttt3HBBRdwzjnn8OqrrzJs2DB69uzJvffey4EDB8jJyWHevHkcd9xxfOc73+Hjj0MrlW/YsIEZM2Zw5ZVX8pOf/IRVq1aRlZXFAw88wKBBgw5q+7HHHmPVqlU8+OCDXHPNNXTo0IFVq1bxySefMHXqVEaOHAnAfffdx8KFC9m/fz+XXnop99xzT/TeI2mWOXPmUF1dDYQK5mr0VGpTX6S+KJH16tUrLdpsKU15FBGJriCei0DPRo1RckokBa1Zs4bJkyfz6quv0rlzZ7Zv335IDZudO3fy8ssvA7Bjxw5WrlyJmfHII48wdepU7r//fhYvDtXdfeONN/jhD3/IiBEjeOihhwBYvXo1a9eu5aKLLqr9drUxmzdv5pVXXmHt2rUMGzaMkSNHsmTJEtavX89rr72GuzNs2DCWL1/OeeedF4N3RBqzbNkyKisrgdC3M0uXLlVySqJGfZFE4tZbb02LNkVEJH3p2ahxSk6JpKAXX3yRkSNH0rlzZwA6dep0yDlXXnll7euysjKuvPJKNm/ezIEDB8jLy6s99umnn3L11VezcOFCvva1r/HKK69w0003AfDNb36Tk0466bCd3ogRI8jIyKB3795s2bIFgCVLlrBkyRL69+8PwJ49e1i/fr0+EMbZkCFDWLx4ce2w4aFDhwYdkqQQ9UUi0aFRZSIiqUHPRo1TckokBbk7oUUuG3fUUUfVvr7pppu45ZZbGDZsGC+99BKTJk0CQtO8Ro0axS9+8QtOO+202ntHKjs7+6DYan5OnDiRH//4xxHfT6KnsLCwtshuZmZmzAoxSnpSXyQSHRpVJiKSGvRs1LiMuLYmInExePBgFi5cSEVFBQDbtzdd6G/Xrl3k5uYCoRpENSZMmEC/fv0YNWpU7b7zzjuPefPmAaFiqR999FGLvl29+OKLefTRR9mzZw8A5eXlbN26NeL7SOvk5ORwzjnnAPDtb39bxdDrqaio4Oabb679f0kio75IRERE5Ct6NmqcRk6JpKA+ffpw1113cf7555OZmUn//v3p3r17o+dPmjSJyy+/nNzcXM4++2w2bNgAwLRp0+jTpw9nnHEGAL/85S/56U9/yvXXX0/fvn3JysriscceOyjj3lwXXXQR77//Pt/+9rcBaN++PY8//jjHHntsxPeS1vnggw8O+ilfefjhh3nnnXcoLi5m4sSJQYeTdNQXiYiIiHxFz0aNs5YM/Upm+fn5vmrVqqDDAGDcuHEATJ8+PeBIJNref/99Tj311NrtRFmmNFnVfz8BzOwNd88PKKRWS5S+qLS0lH/5l3+p3X7kkUfo0aNHgBEljoqKCi6//HKqq6vJyMjgv//7v5NuZJn6ouhSXySSmPRMrb5IpDn0XBRd0X4u0sgpkThIp05KkkvNvPW6248//ngwwSSYhx9+mOrqagCqq6tTYvRUUH3R/v37Wb9+Pe3bt2fPnj0cccQR9OjRg23btrFt2zbMjLZt23LyyScHEp+IiIikH31GSyyqOSUiksbKysqa3E5nL7zwwkHby5YtCyiS1LBv3z6OPfZYTjvtNDIzM9mxYweffPIJvXv3pk+fPpx00klBhygiIs1kZpeb2Rozqzaz/HrHJppZqZl9YGYXBxWjiCQXjZwSEUljZnbQyh6HWz2kuWbOnElpaWmDx8rLywFqizvW16NHj9plcCV1ZGdnc+SRRwJw5JFHsn//ftq1a8eGDRvo2LEjHTt2DDZAiQv1DSIp413gMuDhujvNrDcwCugDnAAsM7Oe7l4V/xBFJJkoOSUSI81ZJlQOL93q4sXb+eefz0svvXTQdqzt3bs35m1EwwknnMCmTZsO2o6WeH5AT5S+KCPjq8HaNUnRU045hd27d7Nr1y42b95Mnz59EiLWhqgvir1k6RtEBNz9fWjwS63hwAJ33w9sMLNS4ExgRXwjFGlYojwXJbtYPBcpOSUSA23btqWiooKcnBx1fq3g7lRUVNC2bdugQ0lZY8aMOSg5NWbMmKjct6nkSbIUrv3000+b3I6VaH5AT+S+yN05cOAAHTp0oH379lRUVFBVVUVWVuI9mqgvip4g+gaN1hKJq1xgZZ3tsvA+kcAl8nNRMonVc1HiPQGKpICuXbtSVlbGtm3bgg4l6bVt25auXbsGHUbK+u///u9DtpO96He0DB06lEWLFtVuX3TRRVG7d7w+oCdKX1RZWcnWrVtrR0999tlnVFdXs27dutqi80cddRTr168PMswmqS9KTek+WkuJO2mKmS0Dvt7Aobvc/ZnGLmtgX4NDLMysCCgC6NatW4tiFIlEojwXpYJYPBcpOSUSA23atCEvLy/oMEQOq37R7xdeeEHJqbBhw4YdlJz6/ve/H2A0LaO+SCR5RnImWqIo3RN3Au4+pAWXlQEn1tnuCnzcyP2LgWKA/Px8zZ2WmNNzUWJLiuSUmT0KfA/Y6u6nhfd1Av4AdAc2Ale4+46gYhQRSUb154tHMn+8qQ9STam5puZDYSTi+U39woULD9rWqDIRCUqsEkWJlrhL9X9X0sQiYL6ZPUCoIPopwGvBhiQiySApklPAY8CDwNw6+yYAL7j7FDObEN6+I4DYRESS1uDBg1myZEnt9pAhzf+StLS0lLfWvEd1+04RtWlfhhJgb374SUTXZezZHtH5rbVs2bKDtpcuXarkVD1PPfUUJSUlcW2zoKCAyy67LK5tisRDoiWKglBaWsraD9Zx3PEnHv7kOjIy2wCw47PIknhbNm86/EnSIDO7FJgJdAGeM7O33P1id19jZguB94BK4Aat1CcizZEUySl3X25m3evtHg5cEH49B3gJJadERCLy4x//+KDkVFFRUUTXV7fvxIH8i6MdVoOOWPV8XNqp0ZpRZemipKSEdevW0bNnz7i0t27dOgAlp0RS2HHHn8iY626LS1uPz54Wl3ZSkbs/DTzdyLHJwOT4RiQiyS4pklONOM7dNwO4+2YzOzbogEREkpGZaVndBmRkZFBVVXXQthyqZ8+eFBcXx6WtSJOnkj40HUxERCS5JXNyqtm0EoSISMPmzJlTOyLI3Zk7dy7jx48POKrEMGTIEJ5//vmDtkUkMaX6NGMREZFUl8zJqS1mdnx41NTxwNbGTtRKECIiDVu6dOlB20uWLFFyKqyoqKg2OWVmGrUjkuBSeZqxiIhIqkvm5NQioBCYEv75TLDhiIgkn5ycHMrKyg7alq+0ZspjOkwz6tWrV9zaCqI9EREREYmPpEhOmdkThIqfdzazMuBuQkmphWb2I+Aj4PLgIhQRSU6bN29ucrsp5eXlZOzeFbcRBBm7t1NeHr8Ff+bMmVNbd8rMIp7ymA7TjG699daUbk9ERERE4iMpklPuflUjhwbHNRARkRRTf0RQOhZFb2yE0+rVq6murgagqqqKZ599lo0bNx50zuFGKmmakYiIiIjI4SVFckpERFqnsQTM0UcfzY4dOw7arj+drLEETG5uLtt27oo4FvtiNwB+5NERXhhqM16OOeYYKioqDtoWEZHYKS8vZ/eez3l89rS4tLdl8ya+2H1UXNoSEZGmKTklIpLGjj/++IOSU8cff3yzr+3Ro0eL2iwt3RO6/qSvR3jl11vcZlMaG/lUUVHByJEjcXeys7MpLi5WTS4RERERkRhQckpEJA00NfXs0ksvZceOHVx88cVMnDgxKvdsSs3IrOnTp7fo+njJycmhU6dOVFRUUFBQoMSUiEiM5ebmsuOzvYy57ra4tPf47Gkc06FdXNoSEZGmZQQdgIiIBOv444/nqKOOoqioKOhQEs5xxx3HUUcdxdixY4MORQQzKzCzD8ys1MwmNHLOBWb2lpmtMbOX4x2jiIiISEto5JSISIporK7U4Xz44YcA/PKXv4z42sMVBE8UQbw35eXlQGbE14k0xMwygYeAoUAZ8LqZLXL39+qc0xH4T6DA3T8ys2MDCVZEREQkQkpOiYikiNLSUtZ+sI7jjj8xousyMtsAsOOzvRFdt2XzpojOD1IQ701WZga0aR/RdSJNOBModfd/AJjZAmA48F6dc34APOXuHwG4+9a4RykiIiLSAkpOiYikiNBIncgdk9PywRUtbTPegnhvvvjiCzIyDnDEqudbfI9IZOzeTnl5VdTv29Sos5r3tbFVFFsysi7e7SWRXKBuRrgMOKveOT2BNmb2EnA0MN3d58YnPBEREZGWU3JKRCQKzKwAmE5oHtcj7j4liDgO7N8f8Yimyi8PAJDV5oiI26J9w0twN5VgqNlfUxi9vhRPMKSUvXsjG1GWbO0lGGtgn9fbzgIGAIOBdsAKM1vp7usOupFZEVAE0K1btxiEKo1p6RTjw/WbTVGfKiIiyUDJKRGRVmpOLZh4OP/881v1oadHjx4RX9uSa9q1i//KSLm5uaz9YN3hT6xnR0VoVlRLRlAdeeSR7Nl/IOLr7IvdAPiRR0d4YeMjilqjqQ+1sVh5Md7tJZEyoO681K7Axw2c86m7fw58bmbLgdOBg/7yu3sxUAyQn59fP8ElMaTp1yIiIg1TckpEpPWaUwsm5lr6zXi8EwxBaEkSDaBi65cAES81fkyHnnz++eccdVTDI8uaUlq6B4AeJ309wiu/3uLfU5LC68ApZpYHlAOjCNWYqusZ4EEzywKOIDTt7z/iGqUc1nHHn8iY626LS1uPz55GeXl5i0ZcabSWiIjEk5JTIiKt15xaMJpKE6BEStwlYpvxpqlNkXP3SjO7EXie0PThR919jZldHz4+y93fN7MS4B2gmtAU43eDi1oSwd69e3lrzXtUt+8U0XX2ZWhQ3ZsffhLRdRl7tkd0voiICCg5JSISDc2pBRPoVJqmkgHvvfceX375JaNHj6Zz586HHE/2D/WtsX37djZt2sRf/vIXBg0aFHQ4KaO0tFQfllvA3RcDi+vtm1Vv+z7gvnjGJYmvun0nDuRfHJe24rUIhIiIpBYlp0QkJZnZccC/Aye4+yVm1hv4trv/LgbNNacWTML68svQ1LXy8vIGk1PpbNOm0IC4yZMnKzkVZfqwLCIiIiI1kj45lSgrZCUKLcEtUusx4PfAXeHtdcAfgFgkp5pTCyZQjf2//cwzz/D222/Xbg8ZMoTvf//78QorITTWb27f/tVom8rKSsaOHcsxxxxz0DnqN0VEREREWi+pk1OJskJWskjzJbgl/XR294VmNhFq67VUxaKhxmrBxKKtaPvNb35z0PYDDzyQdsmpxtSMmqrx0UcfHZKckpYpLy8nY/euuI1oyti9nfLymPzvLw0IoqZY6Au4zIivExERkcSQ1MkpEmSFrESSbktwb9y4kUsuuYSBAwfyt7/9jdzcXJ555hlmz57NrFmzyMrKonfv3ixYsCDoUCX+PjezHMK1n8zsbGBXrBprqBZMMnD3JrfTQWP95gUXXHDIvmj1n019eD/cB3SN1pJEV1paytoP1nHc8Sce/uQ6MjLbALDjs8i+TNuyeRNZmRnQpn1E14k0RbMRRETiK9mTU81aISvWtOpQsNavX88TTzzB7NmzueKKK3jyySeZMmUKGzZsIDs7m507dwYdogTjFmARcLKZvQp0AUYGG1LiMbODElJmDdV2l3hq165d0CHEXG5uLlsqM+Nacyo39+txaUtCjjv+RMZcd1tc2np89jQqtpbHpS0R0GwEADO7D/g+cAD4O/BDd98ZPjYR+BFQBdzs7ir8JyKHlezJqWatkBXr5duD+IZQvpKXl8cZZ5wBwIABA9i4cSP9+vVj9OjRjBgxghEjRgQanwTD3d80s/OBXoT6ig/c/cuAw0o4ffv25Z133jloW0K+9a1v8eabb9ZuDxgwIGr31pcLIiKJLd1mI7TAUmBiuLTBr4GJwB3hBWhGAX2AE4BlZtbT3TW3WkSaFNXklJnt5tDk0C5gFXBrzfS7KGrWClnxWL493t8Qyleys7NrX2dmZrJ3716ee+45li9fzqJFi/jVr37FmjVryMpK9lysRMLMbgDm1dR+MrNjzOwqd//PgENLKPVHfbZkFGiquuuuu/jnf/7n2u0777wzwGhEREQSh7svqbO5kq9Gpw8HFrj7fmCDmZUSKsWyIs4hikiSifan9QcIJYfmExqpMAr4OvAB8ChwQZTbS/gVsiT+qqur2bRpE4MGDWLgwIHMnz+fPXv20LFjx6BDk/i6zt0fqtlw9x1mdh2g5FQdQ4YMYdGiRbXbQ4cODTCaxJKTk1M7emrAgAHk5OQEHZKIiETRjoqtVGz9MuISGyrNcYhrCa2IDKGyKyvrHCsL7xMRaVK0k1MF7l635lOxma1091+aWdS/ck7mFbIkdqqqqhgzZgy7du3C3Rk/frwSU+kpw8zMwwWVwqt7HhFwTAmnsLCQkpISDhw4QHZ2NmPHjg06pIRy1113cc8992jUlIhICjpwYD/7Kit588NPIrrOvgxNxIj0uow92yM6P2hmtozQQIP67nL3Z8Ln3AVUAvNqLmvg/AZnrsS69IqIJJdoJ6eqzewK4I/h7brFh2MynS5ZV8iS6OjevTvvvvtu7fZtt8VnaqUkheeBhWY2i1D/cz1QEmxIiScnJ4dBgwbx/PPPc8EFF2h0UD05OTnMmDEj6DBERCRGqtt3iuviDMnE3Yc0ddzMCoHvAYP9q9VVmlV2JXz/mJdeEZHkEe3k1GhgOqFpM05oSOcYM2sH3BjltkREmnIH8GPgJ4S+xVsCPBJoRAlq3759AOzfvz/gSEREJN1t2bwp4vqqOyq2AnBMzrERXXdg/35oG9ElEmZmBYSetc539y/qHFoEzDezBwgVRD8FeC2AEEUkyUQ7ObXb3b/fyLFXotyWpLCnnnqKkpL4D3IpKCjgsssui3u7En3uXg38NvxHGlFRUcFf//pXAJYvX05FRYVGT4mISCB69OjRousqtoYW4z2mQ7uIrvs4M4Pq3dvjNqIpY/d2ystTZtG6B4FsYKmZAax09+vdfY2ZLQTeIzTd7wat1CcizRHt5NTfzGwDoYJ4T7r7zijfX9JESUkJ69ato2fPnnFrc926dQBKTqUIMzsXmAScRKivM8Dd/RtBxpVoHn74Yaqrq4HQYgLFxcVMnDgx4KhERCQdtbRQeE1h8unTp0d03ciRI9n2aQUZuyOsBVUdzrVkZEZ2XVVlZOcnMHdvNJPo7pOByXEMR0RSQFSTU+5+ipmdSWjVvLvM7D1CS4k+Hs12JD307NmT4uLiuLVXVFQUt7YkLn4HjAfeAPSNXSNeeOGFg7aXLVum5JSIiKSF888/v3blvUjUXNOSkV4tHR0mIpLqoj1yCnd/DXjNzP4deACYAyg5JRKAjRs3cskllzBw4ED+9re/kZubyzPPPMPs2bOZNWsWWVlZ9O7dmwULFgQdaizscvc/Bx1EogsPxW90W0REJFU1NVJr5syZLUpcQSgB1dJRYCIi6SqqySkz6wBcSmjk1MnA08CZ0WxD0kOvXr3Sos14WL9+PU888QSzZ8/miiuu4Mknn2TKlCls2LCB7Oxsdu7cGXSIsfIXM7sPeAqorfTt7m8GF1LiGTx4MM8///xB2yIiyWb//v1k7Dug2kESF+3aRVbbSkREDi/aI6feBv4H+KW7r4jyvSWN3HrrrWnRZjzk5eVxxhlnADBgwAA2btxIv379GD16NCNGjGDEiBGBxhdDZ4V/5tfZ58CFAcSSsIqKili6dCnV1dVkZGRoequIiAgtr38lya+iooJ77rmHu+++W4vEiMRRtJNT33B3j/I9RaQVsrOza19nZmayd+9ennvuOZYvX86iRYv41a9+xZo1a8jKivos30C5+6CgY0gGOTk5DB06lOeff56hQ4fqIUxEklJ2djb727TnQP7FcWnviFXPk5v79bi0JdJaZpYBjHT3hUHHkgwefvhh3nnnHS0SIxJn0f402tnMbgf6AG1rdrq7RiqIJIjq6mo2bdrEoEGDGDhwIPPnz2fPnj107Ngx6NCizsy+y6H90S+DiygxFRUVsXnzZo2aEhERSUHuXm1mNwJKTh1GRUUFy5YtA2Dp0qUUFRXpizuROIl2cmoe8Afge8D1QCGwLcptiEgrVFVVMWbMGHbt2oW7M378+FRNTM0CjgQGAY8AI4HXAg0qQeXk5DBjxoygwxBJeWZ2u7tPNbOZhKYZH8Tdbw4gLBFJD0vN7DZCn9U+r9np7tuDCynxPPzww1RXVwOhL3Q1ekokfqKdnMpx99+Z2Th3fxl42cxejnIbItJM3bt35913363dvu222wKMJu7Ocfd+ZvaOu99jZvcTKo4u0myqOyFR9n745yoaSE6JiMTQteGfN9TZ58A3AoglYb3wwgsHbS9btkzJKZE4iXZy6svwz83h6TQfA12j3IaISHPsDf/8wsxOACqAvADjkSQ0Z84cVq9ezdy5cxk/fnzQ4aSUjD3bI15Zzb7YDYAfeXTEbUHw9YHc/dnwy/eAO4HufPUs5sDcAMISkTTg7noGaoaaUVONbYtI7EQ7OXWvmX0NuBWYCXQA9DQvEkVPPfUUJSUlcW+3oKCAyy67LO7ttsKfzKwjcB/wJqEPfo8EGpEklYqKCkpKSnB3SkpKGDt2rEZPRUmPHj1adF1p6Z7Q9SdFmmj6eovbjJHHgZ8BqwF98hGRuDCzczg4KY67KyleR0ZGBlVVVQdti0h8RDU55e5/Cr/cRajOy0HMbKK7/3/RbFMk3ZSUlLBu3Tp69uwZtzbXrVsHkGzJqanuvh940sz+RKgo+r6AY5IkMmfOnNoH1MrKypQePTVz5kxKS0sjvq7mmnHjxkV8bY8ePSJeqr2mnenTp0fcXoLZ5u6Lgg5CRNKHmf0XcDLwFlCTfdGIzXoGDx7MkiVLareHDBkSYDQi6SXea8dfDkSUnDKzy4FJwKnAme6+qs6xicCPCHWwN7t7ZPMDJGGpzkvTevbsSXFxcdzaS9JV3FYA3wIIJ6n2m9mbNftEDmfZsmW1yamqqiqWLl2assmp0tJS1n6wjuOOPzGi6zIy2wCw47O9hznzYFs2b4ro/BR0t5k9ArwA7K/Z6e6qiycisZIP9HZ31btrwo9//GOWLl2Ku5ORkZGsz8AiSSneySlrwTXvApcBDx90I7PewChCy8SfACwzs57uXnXoLSTZqM6LtJSZfR3IBdqZWX++6nc6EFq9T6RZBg4ceNC3p//v//2/AKOJveOOP5Ex18Vn0YTHZ0+LSzsJ7IfAN4E2fDWtz9GiDSmvvLyc3Xs+j9v/A1s2b+LA/n1kZByIuMZbS2Xs3k55uR7HE9C7hIrvbQ46kESWk5PD0KFDWbJkCUOHDtWX5CJxFO/kVMSZend/H8DskLzWcGBBeETEBjMrBc4kNFpCkpjqvEgrXQxcQ2gxhvv5Kjm1m1ABYpFmaeDfHZFoOd3d+wYdhIikPjN7ltBnsKOB98zsNQ4esTksqNiC1NR09k2bNpGVlcWmTZsanLbekmnpInJ4yTByqjG5wMo622XhfZLk5syZU7syRlVVlUZP1dOrV6+0aLOl3H0OMMfM/tndnww6Hklef/3rXw/Z1nLSEiUrzay3u78XdCASX7m5uez4bG9cRylWbC3nszbtOZB/cVzaPGLV8+TmBr86ptSaRugz2K+BEXX21+yTevbv3092djZt2rQJOhSRtBLv5NR/N7TTzJbR8BrPd7n7M43cq6FEV4Mjs8ysCCgC6NatWzPClCAtW7aMyspKIFSEOJXrvLTErbfemhZtRkFXM+tAaMTUbEK1pia4+5KmLxMJGTJkCIsXL6ayspKsrCyGDh0adEiSOgYChWa2gdAIBgPc3fsFG5aIpBp3fxnAzNrUvK5hZu2CiSp4TY18SqHFN0SSSlSTU2aWB9zEoUuUDgv//PeGrnP3liyDUAbUrdzaFfi4kfsXA8UA+fn5KgKY4PSBUKLkWnefbmYXA8cSqvHye0DJKWmWwsJCSkpKAMjMzGTs2LEBRyQppCDoAEQkPZjZT4CfAt8ws3fqHDoaeDWYqEREDhXtkVP/A/wOeJavCnzGyiJgvpk9QKgg+inAazFuU+JAHwglSmpGV34H+L27v20qIiQRyMnJoaCggGeffZaCggLVvpOocfcPW3KdmRUA04FM4BF3n9LIef9EqPTBle7+xxYHKiKpYD7wZ0Irpk+os3+3u28PJqT01FSdq/LyciA09bchqnMl6SAjyvfb5+4z3P0v7v5yzZ/W3NDMLjWzMuDbwHNm9jyAu68BFgLvASXADVqpLzXUfCA0M30glNZ4w8yWEEpOPW9mRxP7pLmkmMLCQvr27askuQTOzDKBh4BLgN7AVeGVixs679dAfJZmE5GE5u673H2ju1/l7h/W+aPEVALZu3cve/fuDToMiZONGzdy6qmnct1119GnTx8uuugi9u7dy4wZM+jduzf9+vVj1KhRQYcZd9EeOTXdzO4mNG2m7ioQb7b0hu7+NPB0I8cmA5Nbem9JXIWFhWzcuFEfCKU1fgScAfzD3b8wsxxCU/tEmi0nJ4cZM2YEHUbMBbG8/Re7j4pLWynkTKDU3f8BYGYLCK1cXL+o+k3Ak8A/xTc8ERFpiupcSV3r16/niSeeYPbs2VxxxRU8+eSTTJkyhQ0bNpCdnc3OnTuDDjHuop2c6gtcDVzIVyMUPLwtUbKjYisVW79scGnTptQMI430Ooj/UNJ0+UAo0Wdm33T3tYQSUxCqsRBgRCIiUZELbKqzXQacVfcEM8sFLiX03KXklIiISILKy8vjjDPOAGDAgAFs3LiRfv36MXr0aEaMGMGIESMCjS8I0U5OXQp8w90PRPm+UseBA/vZV1nJmx9+EtF19mWoFnyk12Xs0ahfSSq3EFqd8/4GjilZLtKAIJa3P6ZD2i4S1VLNWaX4N8Ad7l7VVFJeqxiLiEisbdy4kUsuuYSBAwfyt7/9jdzcXJ555hlmz57NrFmzyMrKonfv3ixYsCDoUAORnZ1d+zozM5O9e/fy3HPPsXz5chYtWsSvfvUr1qxZQ1ZWtFM2iSvav+nbQEdga5TvK/VUt+/EgfyL49LWEatUtkKSh7sXhX8OCjoWEZEoas4qxfnAgnBiqjPwHTOrdPf/qXuSVjEWkdYys18RmlpcTeiz3zXu/nH42ERC5RWqgJvdXR8m0pSmrjVfdXU1mzZtYtCgQQwcOJD58+ezZ88eOnbsGHRocRPt5NRxwFoze52Da04Ni3I7IilNq3m0npldDpS4+24z+zfgW8Cv3P3/Ag5NRKQlXgdOMbM8oBwYBfyg7gnunlfz2sweA/5UPzElIhIl97n7zwHM7GbgF8D14YUaRgF9CK2ovszMemrhqvSkqWvNV1VVxZgxY9i1axfuzvjx49MqMQVRSk6Z2YPAE8Dd0bifiDROK3k028/d/b/NbCBwMTANmEW9Gi3NFU52TQJOBc5091V1jukbQhGJKXevNLMbCa3Clwk86u5rzOz68PFZgQYoImnF3T+rs3kUX00zHg4scPf9wAYzKyW0oMOKOIcoCUBT1xrWvXt33n333drt226LT1mFRBetvwXrCX3wOx74A/CEu78VpXsnvHivcnRg/36sendc2pJgaDWPqKj5hu67wG/d/Rkzm9SK+70LXAY8XHenviEUkXhx98XA4nr7GkxKufs18YhJRNKXmU0GxgK7gJpyCrnAyjqnlYX3iaT81LWnnnqKkpKSuLZZUFDAZZddFtc2YyUqySl3nw5MN7OTCH1I+72ZtSU0mmqBu6+LRjsiIhEoN7OHgSHAr80sG8ho6c3c/X2ABooM6xtCERFJaBl7tkdcQ9S+CH0R6kceHXFb8PWIrpHEZGbLaPg/5l3u/oy73wXcFR5BfiOhWTTNWbyh5v5anCHNpPrUtZKSEtatW0fPnj3j0t66daE0i5JTDXD3D4FfE/og2B94lFAnlRnNdhJNvFc5uv+X4/iibWQPCiJp6AqgAJjm7jvN7HjgZzFop9nfEOohTOTwmqq5V7O/ZgRpfaq5J3Kodu3a0aNHj4ivKy3dA0CPkyJNNH29Re1J4nH3Ic08dT7wHKHPfc1ZvKHm/lqcIYWl69S1nj17UlxcHJe2ioqK4tJOvEQ1OWVmbQh9GBwFDAZeBu6JZhsiIs3h7l+Y2VZgIKGpx5Xhn4063DeEjV3WUPONxKSHMJFWaNeuXdAhSDPEu9zBls2bqKr8Etq0j0t7ySY3N7dFpQBURkCaYmanuHvNc9UwYG349SJgvpk9QKjcwSnAawGEKBJ3vXr1Sun2Yi1aBdGHAlcRqu3yGrAAKHL3z6NxfxGRSJnZ3YSWVe8F/B5oAzwOnNvYNRF8Q1hXs78hFJHD08gnEZGkMMXMegHVwIdAzeIMa8xsIfAeoS8Gb1AdztQRRE0lSJ66SrfeemtKtxdr0Ro5dSeh4Zy3ufv2KN1TROKoqak05eXlQOjb14Yk6FSaS4H+wJsA7v6xmcViPqy+IRQRqSPe5Q4enz2Niq3l7I9LayIC4O7/3MSxycDkOIYjcRLvmkqQenWVpHHRKog+6PBniUiy2rt3b9AhtMQBd3czcwAzO6o1NzOzS4GZQBfgOTN7y90v1jeEIiIiIpIu4llTCVKvrpI0Lqo1p0QkeTU18inZ6k5YaEm9P4VX6+toZtcB1wKzW3pPd38aeLqRY/qGUERERERSWhA1jpKprlJFRQX33HMPd999Nzk5OUGHk3SUnBKRlBMeMTUCuAP4jFDdqV+4+9JAAxMRERGRuGiqZEVTDrcybFM+//xzjjoq8sH6rWkznuU1gqhxlEx1lebMmcPq1auZO3cu48ePDzqcpJPwySkzuw/4PnAA+DvwQ3ffGT42EfgRUAXc7O7PBxWnSDII4h/pAOtRrQB2uvvPgmhcRERERIJTWlrK2g/WcdzxJx7+5DoyMtsAsOOzyMpabNm8iazMDPbsP0B1+04RXWtfhhZxfvPDTyK6LmOPyj0nioqKCkpKSnB3SkpKGDt2rEZPRSjhk1PAUmCiu1ea2a+BicAdZtYbGAX0IVSEeJmZ9UyHWi+VXx4g48vtHLEqPrm4jN3bKS9P+bc1LZSWlvLWmvfS5R/MQcCPzexDoHblUHfvF1xIIiIiIhIvxx1/YtwXZ6hu34kD+RfHpc14fR6Uw5szZw7V1dUAVFVVafRUCyR8csrdl9TZXAmMDL8eDixw9/3ABjMrBc4kNFpCRBqRRv9gXhJk4yIiIiIikh6WLVtGZWUlAJWVlSxdulTJqQglfHKqnmuBP4Rf5xJKVtUoC+87hJkVAUUA3bp1i2V8cZHV5ggOtO0Q1wRDbu7X49KWxFZ5eTkZu3elxag7d/8wkIZFRERERCStDBkyhMWLF1NZWUlWVhZDhw6Nyn2bKstSXl4OQG5ug2mQIMurtEhCJKfMbBnQUPbjLnd/JnzOXYSWaZ9Xc1kD53tD93f3YqAYID8/v8FzJP7S6X80ERERERGRZNDY5zR9RmtcYWEhJSUlAGRmZjJ27NiYt7l3b2R10RJdQiSn3H1IU8fNrBD4HjDY3WuSS2VA3ep2XYGPYxOhxFuq/Y+WKHJzc9lSmalRdyIiIiIiUbZ//34y9h1I2VkK+ozWuJycHAoKCnj22WcpKCiIWjH0ppJ9NYtVTZ8+PSptBS0hklNNMbMCQsvBn+/uX9Q5tAiYb2YPECqIfgrwWgAhSgul0/9oIiIiElsZeyJfLMa+2A2AH3l0xG01POhfRBJFeXk5u/d8zuOzp8WlvS2bN1FVVQUZCf8R+7Aa+5ymz2hNKywsZOPGjXEZNZWKkuH/nAeBbGCpmQGsdPfr3X2NmS0E3iM03e+GdFipT0REREQO1q5dO3r06BHxdaWlewDocVKkiaavt6g9EUltmZmZVMZ58SHNUkgcOTk5zJgxI+gwklbCJ6fcvdF/+d19MjA5juGIRE1TNbeaUnNNzTcXkQjNE8+M+DoREZFElpub26Jv8jUKIHk09dx0uGejdK6Dk85yc3PZ8dlexlx3W1zae3z2NCq2lrM/Lq2JpJ6ET04liy2bN0U8ZHRHxVYAjsk5NqLrDuzfD20jukQSUGlpKWs/WMdxx594+JPryMhsA8COzyKb871l8yayMjOgTfuIrhMRERFJZO3atQs6BBERaSUlp6KgpcO6K7Z+CcAxHSL7B7XiyHZ81qIWJdEcd/yJcf82R0RERIITzy80t2zexDEdekZ0TaLSyCcRSQRacT52lJyKgpb+BWvpUPJx48bx5oeftKhNEREREQlGvL/QPKZDT9XGEhGJE61m2DpKTomIiIiIxEG8v9AUEZHoisWK80HUIk7EUVxKTomEVVRUcM8993D33XeTk5MTdDgiIiIiIiKS4kpLS3lrzXtUt+8U0XX2pQNEPKsqY8/2iM6PFyWnRMLmzJnD6tWrmTt3LuPHjw86nJjJ2LOdI1Y9H9E19sVuAPzIoyNuC7S8rYiISCLSCniS6uJd4y0rM0PP2tIi1e07cSD/4ri0Fenfz3hRckqE0KipkpIS3J2SkhLGjh0b89FT5eXl7N7zecT/YLbUls2bMJwz+vSO+NrS0j0A9Dgp0n/8vq5aFyIiIklIK+BJsguixtvnn3/OUUcdFXGbetYWUXJKBAiNmqqurgagqqoqZUdPtW/fvkX1KlTrQkREJPVo5JOksmSq8aZnbRElp0QAWLZsGZWVlQBUVlaydOnSmCencnNz2fHZXsZcd1tM26nx+OxpEX8DJCIiIiIiIhJrGUEHIJIIhgwZQlZWKFeblZXF0KFDA45IREREREREJD1o5FSSUqG96CosLKSkpASAzMxMxo4dG3BEIiIiIiKJzcxuA+4Durj7p+F9E4EfAVXAze6emNWXRSShKDmVhFpa9E6F9hqXk5NDQUEBzz77LAUFBTEvhi4iIiIikszM7ERgKPBRnX29gVFAH+AEYJmZ9XT3qmCiFJFkoeRUEkqm4n7JpLCwkI0bN2rUlIiISCvFe/n2Yzr0bPT4zJkzKS0tbfBYzf6aZ6T6evTooaLhIo37D+B24Jk6+4YDC9x9P7DBzEqBM4EVAcQnTWiqb2zM4frMpiRTf9qS9wZa/v6sWbOGDLeIZ0a1VMbu7ZSXJ16+OOGTU2b2K0KdXDWwFbjG3T8OH9OQUYmanJwcZsyYEXQYIiIiDTKzAmA6kAk84u5T6h0fDdwR3twD/MTd345vlMEs397SNtu100Ih8fDll19SVlbGvn37gg4l6bVt25auXbvSpk2bQOMws2FAubu/bWZ1D+UCK+tsl4X3SYIpLS1l7QfrOO74E5t9TUZm6O/djs/2RtTWls2bIjo/aC15b6Dl709lZSVkBvv/dCJI+OQUcJ+7/xzAzG4GfgFcryGjDdO3g8klkb5ZFhGRxGVmmcBDhKbQlAGvm9kid3+vzmkbgPPdfYeZXQIUA2fFO9ZEG+GtZ5vglZWVcfTRR9O9e3fqJTIkAu5ORUUFZWVl5OXlxbw9M1tGw4Vn7wLuBC5q6LIG9nkj9y8CigC6devWwiiTRyJ+Tjvu+BPjsnJ4pJ93EkG83huA+385ji/aduBA/sVxae+IVc+Tm5t4NaUTPjnl7p/V2TyKrzo3DRmNUBDfDsZ7SCQkT5Itmb5ZFhGRwJ0JlLr7PwDMbAGhZ6Ha5JS7/63O+SuBrnGNUKQR+/btU2IqCsyMnJwctm3bFpf23H1II3H0BfKAmlFTXYE3zexMQsnzusNNugIfN3L/YkJJdPLz8xtMYKULjeIUSYLkFICZTQbGAruAQeHdGjLagERLysR7SGQyDRlNtG+WE/HbHBERqZUL1P1HroymR0X9CPhzTCMSiYASU9GRCO+ju68Gaofwm9lGIN/dPzWzRcB8M3uA0OyWU4DXAgk0wehZuXFNfQ4pLy8HIDe34Y/6+hySOhIiOdXUkFF3f8bd7wLuCteYuhG4Gw0ZTRrxHBKZjENGk4G+zRERCVwkzz2DCCWnBjZyXM9FIi10zTXX8L3vfY+RI0cGHUpCcvc1ZraQ0KjOSuCGdC+7IiHl5eWNftFdXl7O3r0ND0qo2d/Y8fLy8kYTW0pcJZeESE41NmS0AfOB5wglpzRkVCKmrHzjUvl3ExFJAc167jGzfsAjwCXuXtHQjfRcJCLR5O7d621PBiYHE40kqr179/LWmveobt+pgaOZ0KZ9g9fZl6F/pj5r5PhnlbDlw08O2Z+xZ3uLYy0vL2f3ns/jNvDhwP79WPXuuLSVyBIiOdUUMzvF3deHN4cBa8OvNWRUoqqxbHwQNMVORETqeR04xczygHJCi8L8oO4JZtYNeAq42t3XxT9EkcQzd+5cpk2bhpnRr18/7r33Xq699lq2bdtGly5d+P3vf0+3bt245ppr6NChA6tWreKTTz5h6tSpjBw5Enfnpptu4sUXXyQvLw935XNTgZ61g1HdvlNci35Lckn45BQwxcx6AdXAh8D1oCGj0rimhoy2RmlpaUL8I6UpdiIi6cfdK83sRuB5IBN4NPwsVPNcNIvQisY5wH+G69JUunt+UDGLBG3NmjVMnjyZV199lc6dO7N9+3YKCwsZO3YshYWFPProo9x88838z//8DwCbN2/mlVdeYe3atQwbNoyRI0fy9NNP88EHH7B69Wq2bNlC7969ufbaa4P9xSSm0uVZO56jg7Zs3kRV5ZeNjo5KNLm5uez4bG9cV+vbV/llxAk1+yI02sqPPDqi60KjyrRaX8Tc/Z+bOKYho3KIpoeMNq5myOibDQwLbUprhow2Rt/GiIhIfe6+GFhcb9+sOq//BfiXeMclkqhefPFFRo4cSefOnQHo1KkTK1as4KmnngLg6quv5vbbb689f8SIEWRkZNC7d2+2bNkCwPLly7nqqqvIzMzkhBNO4MILL4z/LyJRp2dtOZwtmzdFnLjbUbEVgGNyjj3MmQer/PIA7du2pcdJkSWMSkv3AER8HXw9IVdxT/jklEhLaMioiIiISHpz98Oublf3eHZ29kHXNnSOSKqI5+igx2dPo2JrOftj3lJ0tDRxU7H1SwCO6RDZ6LtjTjutRTNxYrWKe1CUnJKUs3//fjL2HYhb0ihj93bKyzWjNNWZ2X3A94EDwN+BH7r7zvCxiYRWxqoCbnZ3ZSxFREQCNnjwYC699FLGjx9PTk4O27dv55xzzmHBggVcffXVzJs3j4EDG1zUstZ5553Hww8/zNixY9m6dSt/+ctf+MEPftDkNSKS3Fo6si7VkkXxpuSUiEjzLAUmhuu+/BqYCNxhZr0JFSbuQ2hxhmVm1lM18ERERILVp08f7rrrLs4//3wyMzPp378/M2bM4Nprr+W+++6rLYjelEsvvZQXX3yRvn370rNnT84///w4RS8ikl6UnJKUk52dzf427eM6rS83N/EKykl0ufuSOpsrgZHh18OBBe6+H9hgZqXAmcCKOIcoIiIi9RQWFlJYWHjQvhdffPGQ8x577LGDtvfsCdVyMTMefPDBmMUnIiIhSk5JTMVzFQhIvpUgJGldC/wh/DqXULKqRll4n4iIiIiIiDSDklOSkjL2bE/7pTglcma2jIb/Y97l7s+Ez7kLqATm1VzWwPnewD7MrAgoAujWrVur4xUREREREUkFSk5JTMVzFQgIrQTxxe7t5OZGPnAl1ZbilMi5+5CmjptZIfA9YLB/tYxPGXBindO6Ah83cv9ioBggPz+/wQSWiIiIiEg8bNm8KaIZLjsqtgJwTM6xEbeTlZkR0TWJaubMmZSWljZ4rGZ/TWH0+lqyIl+82wuSklMxlk5/mRJFbm5ui1ZI0OoK0hQzKwDuAM539y/qHFoEzDezBwgVRD8FeC2AEEVEREREmqUlX65XbP0SgGM6tIvoumM69KS8vJzPKiNuMqm0axfZ+5Js7cWaklMBSrW/TCIp7kEgG1hqZgAr3f16d19jZguB9whN97tBK/VJMor021No3Teox3ToGdE1IiIiEj0tGQTRmi/zR44cScbuyEuvtFTG7u2Ul0f/kTzeg0fSabCKklMxlk5/mZKBRrJJS7l7o18vuftkYHIcwxGJqpZOTW7NN6iaDi0iIiIiNZScEgnTSDYRSVctTbxrOrSIpJOf/exnLF68mO985zvcd999QYcjklRyc3PZUpnJgfyL49LeEaueJzdXi1YlEyWnJOYSaaqIRj6JiIiIBOuOCXeyY+fOqN3vmI4d+fWUf4/a/Rrz8MMPs23bNrKzs2PelohIulFySmJKU0VEREREpK4dO3cycuy/Ru1+f5z7m2adN2LECDZt2sS+ffsYN24cRUVFlJSUcOedd1JVVUXnzp154YUXePnll2tHhpoZy5cvZ/To0Xz++eecddZZTJw4kSuvvDJq8YuIiJJTEmOaKiIiIiIiieDRRx+lU6dO7N27l3/6p39i+PDhXHfddSxfvpy8vDy2b98OwLRp03jooYc499xz2bNnD23btmXRokW0b9+et956K9hfQiSJZeyJvCC6fbEbAD/y6IjbAk3rSyZJk5wys9uA+4Au7v5peN9E4EdAFXCzu8en9L+kpIqKCu655x7uvvtucnJygg5HRERERKJoxowZPP300wBs2rSJ4uJizjvvPPLy8gDo1KkTAOeeey633HILo0eP5rLLLqNr166BxSySKlo6u6W0dE/o+pMiTTR9XTNqkkxSJKfM7ERgKPBRnX29gVFAH+AEYJmZ9dQS7tJSc+bMYfXq1cydO5fx48cHHY6IiIiIRMlLL73EsmXLWLFiBUceeSQXXHABp59+Oh988MEh506YMIHvfve7LF68mLPPPptly5bxzW9+M4CoRVKHZtTI4WQEHUAz/QdwO+B19g0HFrj7fnffAJQCZwYRnCS/iooKSkpKcHdKSkqoqKgIOiQRERERiZJdu3ZxzDHHcOSRR7J27VpWrlzJ/v37efnll9mwYQNA7bS+v//97/Tt25c77riD/Px81q5dG2ToIiJpIeGTU2Y2DCh397frHcoFNtXZLgvvE4nYnDlzqK6uBqCqqoq5c+cGHJGIiIiIREtBQQGVlZX069ePn//855x99tl06dKF4uJiLrvsMk4//fTaIue/+c1vOO200zj99NNp164dl1xyScDRi4ikvoSY1mdmy2i4WtldwJ3ARQ1d1sA+b2AfZlYEFAF069athVFKKlu2bBmVlZUAVFZWsnTpUk3tExEREYmBYzp2bPYKe8293+FkZ2fz5z//ucFj9ZNPM2fObPC8PXv2RBybiIg0T0Ikp9x9SEP7zawvkAe8bWYAXYE3zexMQiOlTqxzelfg40buXwwUA+Tn5zeYwJL0NmTIEBYvXkxlZSVZWVkMHTo06JBEREREUtKvp/x70CFIK5nZJOA6YFt4153uvjh8TItWiUjEEnpan7uvdvdj3b27u3cnlJD6lrt/AiwCRplZtpnlAacArwUYriSxwsJCMjJC/ztkZmYyduzYgCMSEREREUlo/+HuZ4T/1CSm6i5aVQD8p5llBhmkiCSHhE5ONcXd1wALgfeAEuAGrdQnLZWTk0NBQQFmRkFBATk5OUGHJCIiIiKSbLRolYi0SFIlp8IjqD6tsz3Z3U92917u3vAkcpFmKiwspG/fvho1JSIiIiJyeDea2Ttm9qiZHRPe1+xFq8ysyMxWmdmqbdu2NXSKiKSRpEpOicRSTk4OM2bM0KgpEREREUl7ZrbMzN5t4M9w4LfAycAZwGbg/prLGrhVgzV/3b3Y3fPdPb9Lly6x+BVEJIkkREF0ERERERERSRyNLVpVn5nNBv4U3mz2olUiInUpOSUiIiIiImll1qxZHHnkkTEr5/DSSy8xbdo0/vSnP7Fo0SLee+89JkyYEJO2gmBmx7v75vDmpcC74deLgPlm9gBwAlq0Spph5syZlJaWNnisZv+4ceMaPN6jRw9uuummmMUm8aPklIiIiIiIxM1Nt/6MLRXbo3a/43I6MfP++yK65vrrr29wf2VlJVlZ0f2INGzYMIYNGxbVeyaAqWZ2BqEpexuBH0No0Sozq1m0qhItWiWt1K5du6BDkDhRckpEREREROJmS8V2PuxxbvRuWPrqYU+ZO3cu06ZNw8zo168fJ598Mu3bt+e2227jggsu4JxzzuHVV19l2LBh9OzZk3vvvZcDBw6Qk5PDvHnzOO644/jOd77Dxx+HZqht2LCBGTNmcOWVV/KTn/yEVatWkZWVxQMPPMCgQYMOavuxxx5j1apVPPjgg1xzzTV06NCBVatW8cknnzB16lRGjhwJwH333cfChQvZv38/l156Kffcc0/03qMoc/ermzg2GZgcx3AkyWnkk4CSUyIiIiIiksLWrFnD5MmTefXVV+ncuTPbt29nxowZB52zc+dOXn75ZQB27NjBypUrMTMeeeQRpk6dyv3338/ixYsBeOONN/jhD3/IiBEjeOihhwBYvXo1a9eu5aKLLmLdunVNxrN582ZeeeUV1q5dy7Bhwxg5ciRLlixh/fr1vPbaa7g7w4YNY/ny5Zx33nkxeEdERBKPklMiIiIiScDMCoDpQCbwiLtPqXfcwse/A3wBXOPub8Y9UJEE8+KLLzJy5Eg6d+4MQKdOnQ4558orr6x9XVZWxpVXXsnmzZs5cOAAeXl5tcc+/fRTrr76ahYuXMjXvvY1XnnlldpRH9/85jc56aSTDpucGjFiBBkZGfTu3ZstW7YAsGTJEpYsWUL//v0B2LNnD+vXr1dySgLRWA0o1X+SWFJySkRERCTBmVkm8BAwlNBqWK+b2SJ3f6/OaZcQKj58CnAWoaXez4p3rCKJxt0J5W4bd9RRR9W+vummm7jlllsYNmwYL730EpMmTQKgqqqKUaNG8Ytf/ILTTjut9t6Rys7OPii2mp8TJ07kxz/+ccT3E4kX1X+SWFJySkRERCTxnQmUuvs/AMxsATCcUNHhGsOBuR76tLvSzDrWW1FLJC0NHjyYSy+9lPHjx5OTk8P27U0XY9+1axe5ubkAzJkzp3b/hAkT6NevH6NGjardd9555zFv3jwuvPBC1q1bx0cffUSvXr1YsWJFRDFefPHF/PznP2f06NG0b9+e8vJy2rRpw7HHHhvRfUSiQaOfJAhKTomIiIgkvlxgU53tMg4dFdXQObmAklOS1vr06cNdd93F+eefT2ZmJv3796d79+6Nnj9p0iQuv/xycnNzOfvss9mwYQMA06ZNo0+fPpxxxhkA/PKXv+SnP/0p119/PX379iUrK4vHHnvsoJFRzXXRRRfx/vvv8+1vfxuA9u3b8/jjjys5JSJpQ8kpERERkcTX0Jyk+vOJmnMOZlYEFAF069at9ZGJROi4nE7NWmEvovsdRmFhIYWFhQ0ee+mllw7aHj58OMOHDz/kvMam8D322GOH7Lvgggu44IILALjmmmu45pprGjx3z549ta/HjRvXaC0fEZFUp+SUiIiISOIrA06ss90V+LgF5+DuxUAxQH5+fuQFcyQmGitADKlXhHjm/fcFHYKIiCQYJackMOn0ECYikqzUVyeM14FTzCwPKAdGAT+od84i4MZwPaqzgF2JVm9Kf59aRkWIRSQRVFRUcM8993D33XeTk5MTdDiSYpSckoSkhzARkcSnvjp+3L3SzG4EngcygUfdfY2ZXR8+PgtYDHwHKAW+AH4YVLwtke5/n9I18SYiyWPOnDmsXr2auXPnMn78+KDDkRST8MkpM5sEXAdsC++6090Xh49NBH4EVAE3u/vzgQQpLaKHMBGRxKe+OnGEn38W19s3q85rB26Id1yR0N+n9OXumDVUFk0i0VjdK5FYq6iooKSkBHenpKSEsWPHavSURFVG0AE003+4+xnhPzWJqd6EhrT3AQqA/zSzzCCDFBERERGRg7Vt25aKigolVlrJ3amoqKBt27ZBhyJpaM6cOVRXVwNQVVXF3LlzA45IUk3Cj5xqwnBggbvvBzaYWSlwJrAi2LBERERERKRG165dKSsrY9u2bYc/WZrUtm1bunbtGnQYkoaWLVtGZWUlAJWVlSxdulRT+ySqkiU5daOZjQVWAbe6+w4gF1hZ55yy8L5DaMlkEREREZFgtGnThry8vKDDEJFWGDJkCIsXL6ayspKsrCyGDh0adEiSYhJiWp+ZLTOzdxv4Mxz4LXAycAawGbi/5rIGbtXgWGF3L3b3fHfP79KlSyx+BREREREREZGUVFhYSEZGKH2QmZnJ2LFjA45IUk1CjJxy9yHNOc/MZgN/Cm+WASfWOdwV+DjKoYmIiIiIiIiktZycHAoKCnj22WcpKChQMXSJuoQYOdUUMzu+zualwLvh14uAUWaWbWZ5wCnAa/GOT0RERERERCTVFRYW0rdvX42akpiwRF81w8z+i9CUPgc2Aj92983hY3cB1wKVwL+6+5+bcb9twIexijeOOgOfBh1EgtJ707RUeX9Ocveknaerviht6P1pXKq8N+qLEkOq/H2KFb0/jUuV90Z9UWJIlb9PsaL3p3Gp8t60uC9K+OSUNMzMVrl7ftBxJCK9N03T+yPRpL9PTdP70zi9NxJN+vvUNL0/jdN7I9Gkv09N0/vTOL03STCtT0REREREREREUpeSUyIiIiIiIiIiEhglp5JXcdABJDC9N03T+yPRpL9PTdP70zi9NxJN+vvUNL0/jdN7I9Gkv09N0/vTuLR/b1RzSkREREREREREAqORUyIiIiIiIiIiEhglpxKcmRWY2QdmVmpmExo4bmY2I3z8HTP7VhBxBsHMHjWzrWb2biPH0/K9MbMTzewvZva+ma0xs3ENnJOW7420nPqixqkvapz6I4k29UWNU1/UOPVFEm3qixqnvqhx6ouapuRUAjOzTOAh4BKgN3CVmfWud9olwCnhP0XAb+MaZLAeAwqaOJ6u700lcKu7nwqcDdygvzfSGuqLDusx1Bc1Rv2RRI36osN6DPVFjVFfJFGjvuiwHkN9UWPUFzVByanEdiZQ6u7/cPcDwAJgeL1zhgNzPWQl0NHMjo93oEFw9+XA9iZOScv3xt03u/ub4de7gfeB3HqnpeV7Iy2mvqgJ6osap/5Iokx9URPUFzVOfZFEmfqiJqgvapz6oqYpOZXYcoFNdbbLOPQvb3POSVdp/96YWXegP/C/9Q6l/XsjEVFf1Dp6b1B/JFGhvqh19N6gvkiiQn1R6+i9QX1RQ5ScSmzWwL76yys255x0ldbvjZm1B54E/tXdP6t/uIFL0ua9kYipL2qdtH9v1B9JlKgvap20f2/UF0mUqC9qnbR/b9QXNUzJqcRWBpxYZ7sr8HELzklXafvemFkbQh3ePHd/qoFT0va9kRZRX9Q6af3eqD+SKFJf1Dpp/d6oL5IoUl/UOmn93qgvapySU4ntdeAUM8szsyOAUcCieucsAsaGq/qfDexy983xDjRBpeV7Y2YG/A54390faOS0tHxvpMXUF7VO2r436o8kytQXtU7avjfqiyTK1Be1Ttq+N+qLmpYVdADSOHevNLMbgeeBTOBRd19jZteHj88CFgPfAUqBL4AfBhVvvJnZE8AFQGczKwPuBtpA2r835wJXA6vN7K3wvjuBbpD27420gPqipqkvapL6I4ka9UVNU1/UJPVFEjXqi5qmvqhJ6ouaYO5pMX1RREREREREREQSkKb1iYiIiIiIiIhIYJScEhERERERERGRwCg5JSIiIiIiIiIigVFySkREREREREREAqPklIiIiIiIiIiIBEbJKUlKZjbJzG4LOg4REfVHIpII1BeJSCJQXyQtpeSUiIiIiIiIiIgERskpSQpmNtbM3jGzt83sv+odu87MXg8fe9LMjgzvv9zM3g3vXx7e18fMXjOzt8L3OyWI30dEkpf6IxFJBOqLRCQRqC+SaDF3DzoGkSaZWR/gKeBcd//UzDoBNwN73H2ameW4e0X43HuBLe4+08xWAwXuXm5mHd19p5nNBFa6+zwzOwLIdPe9Qf1uIpJc1B+JSCJQXyQiiUB9kUSTRk5JMrgQ+KO7fwrg7tvrHT/NzP4a7uRGA33C+18FHjOz64DM8L4VwJ1mdgdwkjo8EYmQ+iMRSQTqi0QkEagvkqhRckqSgQFNDfF7DLjR3fsC9wBtAdz9euDfgBOBt8KZ+/nAMGAv8LyZXRjLwEUk5ag/EpFEoL5IRBKB+iKJGiWnJBm8AFxhZjkA4eGidR0NbDazNoQy8oTPO9nd/9fdfwF8CpxoZt8A/uHuM4BFQL+4/AYikirUH4lIIlBfJCKJQH2RRE1W0AGIHI67rzGzycDLZlYF/B+wsc4pPwf+F/gQWE2oEwS4L1xIzwh1nG8DE4AxZvYl8Anwy7j8EiKSEtQfiUgiUF8kIolAfZFEkwqii4iIiIiIiIhIYDStT0REREREREREAqPklIiIiIiIiIiIBEbJKRERERERERERCYySUyIiIiIiIiIiEhglp0REREREREREJDBKTomIiIiIiEizmNmjZrbVzN5t5LiZ2QwzKzWzd8zsW/GOUUSSj5JTIiIiIiIi0lyPAQVNHL8EOCX8pwj4bRxiEpEkp+SUiIiIiIiINIu7Lwe2N3HKcGCuh6wEOprZ8fGJTkSSlZJTIiIiIiIiEi25wKY622XhfSIijcoKOoB469y5s3fv3j3oMESkld54441P3b1L0HG0lPoikdSgvkhEEkGC9UXWwD4/5CSzIkLT/jjqqKMGfPOb34x1XCISY63pi9IuOdW9e3dWrVoVdBgi0kpm9mHQMbRGsvdF7o6ZMWnSJCZNmlS7LZJu1BeJSCJIsL6oDDixznZX4OP6J7l7MVAMkJ+f7+qLRJJfa/qitEtOiYhI682bN4+PP/6Yffv2MXXqVE444QTGjBkTdFgiIiISvEXAjWa2ADgL2OXumwOOSUQSnGpOiYhIxMaMGcOJJ57I1KlT6datmxJTIiIiacLMngBWAL3MrMzMfmRm15vZ9eFTFgP/AEqB2cBPAwpVRJKIklMiIhKx+fPns2nTJm6//XY++ugj5s+fH3RIIinDzArM7AMzKzWzCQ0cNzObET7+jpl9q86xjmb2RzNba2bvm9m34xu9iKQ6d7/K3Y939zbu3tXdf+fus9x9Vvi4u/sN7n6yu/d1d83XE5HDitm0PjPrBfyhzq5vAL8A5ob3dwc2Ale4+47wNROBHwFVwM3u/nx4/wDgMaAdoUz8OHd3M8sO328AUAFc6e4bY/U7iTTXl19+SVlZGfv27Qs6lKTXtm1bunbtSps2bYIOReq46qqramtO3X777bgfUudUEoD6ouiJV19kZpnAQ8BQQnVbXjezRe7+Xp3TLgFOCf85C/ht+CfAdKDE3Uea2RHAkTENWKQZ1BdFj56LRCRVxSw55e4fAGdA7YNWOfA0MAF4wd2nhL8NnADcYWa9gVFAH+AEYJmZ9XT3KkIPXUXASkLJqQLgz4QSWTvcvYeZjQJ+DVwZq99JpLnKyso4+uij6d69u4pEt4K7U1FRQVlZGXl5eUGHI3XU/L2eNGnSQduSWNQXRUec+6IzgVJ3/wdAuGbLcKBucmo4MNdDWeGV4dFSxwOfA+cB14TjPgAciHXAIoejvig69FwkIqksXtP6BgN/d/cPCT1QzQnvnwOMCL8eDixw9/3uvoHQHOUzww9bHdx9RfghbG69a2ru9UdgsOlfPEkA+/btIycnRw9grWRm5OTk6JtWkRZSXxQdce6LcoFNdbbLwvuac843gG3A783s/8zsETM7KpbBijSH+qLo0HORiKSyeCWnRgFPhF8fV7NaQ/jnseH9jT1o5YZf199/0DXuXgnsAnJiEL9IxPQAFh16H0VaR/8PRUcc38eGGqo/b7axc7KAbwG/dff+hEZSNVSzqsjMVpnZqm3btrU2XpFmUV8UHXofRSRVxTw5Fa53MAz478Od2sA+b2J/U9fUjyGpH8I2btzIqaeeynXXXUefPn246KKL2Lt3LzNmzKB3797069ePUaNGBR2mpKhrrrmGP/7xj0GHISJpLo36ojLgxDrbXYGPm3lOGVDm7v8b3v9HQsmqg7h7sbvnu3t+ly5dohZ4vOi5SIKURn2RiEhcxWPk1CXAm+6+Jby9JTxVj/DPreH9TT1odW1g/0HXmFkW8DVge/0Akv0hDGD9+vXccMMNrFmzho4dO/Lkk08yZcoU/u///o933nmHWbNmBR2iiIiItN7rwClmlhf+gm8UsKjeOYuAseFV+84Gdrn7Znf/BNgUXpQGQmUV3iMF6blIREQktcSsIHodV/HVlD4IPVAVAlPCP5+ps3++mT1AqCD6KcBr7l5lZrvDD1//C4wFZta71wpgJPCip+iSUXl5eZxxxhkADBgwgI0bN9KvXz9Gjx7NiBEjGDFiRKDxSeKYO3cu06ZNw8zo168f9957L9deey3btm2jS5cu/P73v6dbt25cc801dOjQgVWrVvHJJ58wdepURo4cibtz00038eKLL5KXl6dV2NLAU089RUlJSVzbLCgo4LLLLotrmxJf6otaxt0rzexG4HkgE3jU3deY2fXh47MILQ7zHUL1Ob8AfljnFjcB88KJrX/UO5Yy9FwkzaW+SEQkOcR05JSZHUloKeSn6uyeAgw1s/XhY1MA3H0NsJDQN3wlwA3hlfoAfgI8Qugh7O+EVuoD+B2QY2alwC00UFchVWRnZ9e+zszMpLKykueee44bbriBN954gwEDBlBZWRlghJII1qxZw+TJk3nxxRd5++23mT59OjfeeCNjx47lnXfeYfTo0dx8882152/evJlXXnmFP/3pT0yYEPrf5+mnn+aDDz5g9erVzJ49m7/97W9B/ToSJyUlJaxbty5u7a1bty7uyTCJL/VFrePui929p7uf7O6Tw/tmhRNTeMgN4eN93X1VnWvfCo8W7+fuI9x9R1C/RyzpuUiaQ32RiEjyiOnIKXf/gnoFyt29gtAw84bOnwxMbmD/KuC0BvbvAy6PSrBJprq6mk2bNjFo0CAGDhzI/Pnz2bNnDx07dgw6NAnQiy++yMiRI+ncuTMAnTp1YsWKFTz1VCg/fPXVV3P77bfXnj9ixAgyMjLo3bs3W7aEZt4uX76cq666iszMTE444QQuvPDC+P8iEnc9e/akuLg4Lm0VFRXFpR0JjvoiiTc9F0lD1BeJiCSPeEzrkxioqqpizJgx7Nq1C3dn/PjxegAT3P2wq7jUPV73m+e6w9S1Ekx66dWr1+FPSuL2JP7UF0m86blIGqK+SEQkecSjILq0Uvfu3Xn33Xdrt2+77TYmT57MK6+8wurVq3n33Xdrhx5Lehs8eDALFy6koqICgO3bt3POOeewYMECAObNm8fAgQObvMd5553HggULqKqqYvPmzfzlL3+JedypwMwKzOwDMys1s6T6H/LWW2/l1ltvTdn2JP7UF0ks6blImkt9kYhI8tDIqQCpCLFEW58+fbjrrrs4//zzyczMpH///syYMYNrr72W++67r7bwZ1MuvfRSXnzxRfr27UvPnj05//zz4xR98jKzTOAhQnX0yoDXzWyRu6fkKlkih6O+SFoiiOci0LNRKlNfJCKSPCzdVpzIz8/3VatWHf7EOCgqKmLdunX07NkzLu3VtBWvujLp7P333+fUU08NOoyU0dD7aWZvuHt+QCEdxMy+DUxy94vD2xMB3P3/a+yaROqLJHWpL4quRO+LWiKR+qJ4PxeBno3iRX1RdKkvEpFE1Zq+SCOnAqYixCIpIRfYVGe7DDir/klmVgQUAXTr1i0+kYmIJJF4J4r0bCQiIpIYVHNKRKT1GqqUesiwVHcvDi/xnt+lS5c4hCUiIiIiIpL4lJwSEWm9MuDEOttdgY8DikVERERERCSpaFpfgLR8u0jKeB04xczygHJgFPCDYEMSEUkuQTyn6NlIREQkMSg5FaB4L6WupdtFYsPdK83sRuB5IBN41N3XBByWiEhSCeI5Rc9GIiIiiUHJKRGRKHD3xcDioOMQERERERFJNqo5JSIN+tnPfkafPn342c9+FnQoIpLG1BeJSKJQfyQiEjsaOSUSB3dMuJMdO3dG7X7HdOzIr6f8e9Tu15CHH36Ybdu2kZ2dHdN2RCR+1BeJSCJIxr4I1B+JiMSSklMicbBj505Gjv3XqN3vj3N/c9hzRowYwaZNm9i3bx/jxo2jqKiIkpIS7rzzTqqqqujcuTMvvPACL7/8MuPGjQPAzFi+fDmjR4/m888/56yzzmLixIlceeWVUYtdRIKjvkhEEkEQfRGoPxIRSWRKTomkqEcffZROnTqxd+9e/umf/onhw4dz3XXXsXz5cvLy8ti+fTsA06ZN46GHHuLcc89lz549tG3blkWLFtG+fXveeuutYH8JEUl66otEJFGoPxIRSVyqOSWSombMmMHpp5/O2WefzaZNmyguLua8884jLy8PgE6dOgFw7rnncssttzBjxgx27txJVpZy1iISPeqLRCRRqD8SEUlcSk4FqKKigptvvpmKioqgQ5EU89JLL7Fs2TJWrFjB22+/Tf/+/Tn99NMxs0POnTBhAo888gh79+7l7LPPZu3atQFELCKpSH2RRELPRRJL6o9ERBKbklMBmjNnDqtXr2bu3LlBhyIpZteuXRxzzDEceeSRrF27lpUrV7J//35efvllNmzYAFA7dP3vf/87ffv25Y477iA/P18PYCISNeqLJBJ6LpJYUn8kIpLYYpqcMrOOZvZHM1trZu+b2bfNrJOZLTWz9eGfx9Q5f6KZlZrZB2Z2cZ39A8xsdfjYDAt/xWFm2Wb2h/D+/zWz7rH8faKpoqKCkpIS3J2SkhJ9SyhRVVBQQGVlJf369ePnP/85Z599Nl26dKG4uJjLLruM008/vbaQ529+8xtOO+00Tj/9dNq1a8cll1wScPQikirUF0lz6blIYk39kYhIYov1BOrpQIm7jzSzI4AjgTuBF9x9iplNACYAd5hZb2AU0Ac4AVhmZj3dvQr4LVAErAQWAwXAn4EfATvcvYeZjQJ+DSTF0hlz5syhuroagKqqKubOncv48eMDjkpi5ZiOHZu9kkxz79eU7Oxs/vznPzd4rP4D1syZMxs8b8+ePS2KTUQSl/oiSVR6Lkov8e6LQP2RiEiii1lyysw6AOcB1wC4+wHggJkNBy4InzYHeAm4AxgOLHD3/cAGMysFzjSzjUAHd18Rvu9cYASh5NRwYFL4Xn8EHjQzc3eP1e8VLcuWLaOyshKAyspKli5dqoewFPbrKf8edAgiIuqLJGHpuSi9qC8SEZH6Yjmt7xvANuD3ZvZ/ZvaImR0FHOfumwHCP48Nn58LbKpzfVl4X274df39B13j7pXALiAnNr9OdA0ZMqR25Y+srCyGDh0acEQiIiIiwdBzkYiISHqLZXIqC/gW8Ft37w98TmgKX2MOXSoDvIn9TV1z8I3NisxslZmt2rZtW9NRx0lhYSEZGaG3PzMzk7FjxwYckYiIiEgw9FwkIiKS3mKZnCoDytz9f8PbfySUrNpiZscDhH9urXP+iXWu7wp8HN7ftYH9B11jZlnA14Dt9QNx92J3z3f3/C5dukThV2u9nJwcCgoKMDMKCgrIyUmKAV8iIiIiUafnIpHkYWYF4QWsSsM1hOsf/5qZPWtmb5vZGjP7YRBxikhyiVlyyt0/ATaZWa/wrsHAe8AioDC8rxB4Jvx6ETAqvAJfHnAK8Fp46t9uMzs7vErf2HrX1NxrJPBiMtSbqlFYWEjfvn317aCIiIikPT0XiSQ+M8sEHgIuAXoDV4UXtqrrBuA9dz+dUK3h+8OLY4mINCrWq/XdBMwLd0b/AH5IKCG20Mx+BHwEXA7g7mvMbCGhBFYlcEN4pT6AnwCPAe0IFUKvWWrjd8B/hYunbye02l/SyMnJYcaMGUGHISIiIhI4PReJJIUzgVJ3/weAmS0gtEjVe3XOceDo8MCC9oQ+p1XGO1ARSS6xnNaHu78Vnk7Xz91HuPsOd69w98Hufkr45/Y6509295PdvZe7/7nO/lXuflr42I01o6PcfZ+7X+7uPdz9zJpOUkQONWvWLObOnRuz+7/00kt873vfA2DRokVMmTIlZm2JSPJSXyQiiUB9UYs1tohVXQ8CpxIqxbIaGOfu1fEJT0SSVaxHTokIcNOtP2NLxSHl0FrsuJxOzLz/voiuuf766xvcX1lZWbtCUrQMGzaMYcOGRfWeItJ66otEJBGoL0pqzVmQ6mLgLeBC4GRgqZn91d0/O+hGZkVAEUC3bt2iH6mIJBUlp0TiYEvFdj7scW70blj66mFPmTt3LtOmTcPM6NevHyeffDLt27fntttu44ILLuCcc87h1VdfZdiwYfTs2ZN7772XAwcOkJOTw7x58zjuuOP4zne+w8cfh9Yf2LBhAzNmzODKK6/kJz/5CatWrSIrK4sHHniAQYMGHdT2Y489xqpVq3jwwQe55ppr6NChA6tWreKTTz5h6tSpjBw5EoD77ruPhQsXsn//fi699FLuueee6L1HInII9UXJ0ReZWQEwHcgEHnH3KfWOW/j4d4AvgGvc/c3wsY3AbqAKqHT3/DiGLtIs6ouSoy9qRGOLWNX1Q2BKeLZLqZltAL4JvFb3JHcvBooB8vPzk6ZusIjEhpJTIilozZo1TJ48mVdffZXOnTuzffv2Q+p47Ny5k5dffhmAHTt2sHLlSsyMRx55hKlTp3L//fezePFiAN544w1++MMfMmLECB566CEAVq9ezdq1a7noootYt25dk/Fs3ryZV155hbVr1zJs2DBGjhzJkiVLWL9+Pa+99hruzrBhw1i+fDnnnXdeDN4REQmC+qLI1Sk2PJTQh8DXzWyRu9et53IJoYVjTgHOAn4b/lljkLt/GqeQRRKe+qKoeh04JbyAVTmhmr8/qHfOR4QWw/qrmR0H9CJUf1hEpFFKTomkoBdffJGRI0fSuXNnADp16nTIOVdeeWXt67KyMq688ko2b97MgQMHyMvLqz326aefcvXVV7Nw4UK+9rWv8corr3DTTTcB8M1vfpOTTjrpsA9hI0aMICMjg969e7NlyxYAlixZwpIlS+jfvz8Ae/bsYf369Yn4ECYiLaS+qEWaU2x4ODA3PCphpZl1NLPjwyscSz0zZ86ktLS0wWPl5eUA5ObWL5kT0qNHj9q/Z5K81BdFj7tXmtmNwPOERnc+Gl7Y6vrw8VnAr4DHzGw1oWmAdyhhLiKHo+SUSApyd0KzPhp31FFH1b6+6aabuOWWWxg2bBgvvfQSkyZNAqCqqopRo0bxi1/8gtNOO6323pHKzs4+KLaanxMnTuTHP/5xxPcTkeSgvqhFGio2fFYzzskFNhOq/bLEzBx4ODxtRhqxd+/eoEOQOFBfFF3uvhhYXG/frDqvPwYuindcIpLclJwSSUGDBw/m0ksvZfz48eTk5LB9e9NFR3ft2lX7rfGcOXNq90+YMIF+/foxatSo2n3nnXce8+bN48ILL2TdunV89NFH9OrVixUrVkQU48UXX8zPf/5zRo8eTfv27SkvL6dNmzYce+yxEd1HRBKX+qIWaU6x4abOOdfdPzazYwkVIV7r7ssPujjNihA3NfJp3LhxAEyfPj1e4UgA1BeJiCQ+JadEUlCfPn246667OP/888nMzKR///5079690fMnTZrE5ZdfTm5uLmeffTYbNmwAYNq0afTp04czzjgDgF/+8pf89Kc/5frrr6dv375kZWXx2GOPHfQNYHNddNFFvP/++3z7298GoH379jz++ON6CBNJIeqLWqQ5xYYbPSc8YgF332pmTxOaJnhQckpFiCXdqC8SEUl81pKhqMksPz/fV61aFXQYkuLef/99Tj311NrtRFgyOZnVfz8BzOyNZF6FSn2RxIP6ouiKR19kZlnAOkLFhMsJFR/+gbuvqXPOd4EbCa3WdxYww93PNLOjgAx33x1+vRT4pbuXNNZeuvdFGjkVH+qLokvPRSKSqFrTF2nklEgcpNMDk4gkLvVFia+ZxYYXE0pMlQJfEFq2HeA44OlwbZ0sYH5TiSmRoKgvEhGR+pScEhEREUkgzSg27MANDVz3D+D0mAcoIiIiEmUZQQcgIiIiIiIiIiLpS8mpAFVUVHDzzTdTUVERdCgSA+lWzy1WEuV9NLP7zGytmb1jZk+bWcc6xyaaWamZfWBmFwcYpsghEuX/oWSn91GkdfT/UHTofRSRVKXkVIDmzJnD6tWrmTt3btChSJS1bduWiooKPUC0krtTUVFB27Ztgw4FQoWFT3P3foSKFU8EMLPewCigD1AA/KeZZQYWpUgd6ouiI8H6IpGko74oOtQXiUgqU82pgFRUVFBSUoK7U1JSwtixY8nJyQk6LImSrl27UlZWxrZt24IOJem1bduWrl27Bh0G7r6kzuZKYGT49XBggbvvBzaYWSmhpdtXxDlEkUOoL4qeROmLRJKR+qLoUV8kIqlKyamAzJkzh+rqagCqqqqYO3cu48ePDzgqiZY2bdqQl5cXdBgSO9cCfwi/ziWUrKpRFt4nEjj1RSKSCNQXiYjI4WhaX0CWLVtGZWUlAJWVlSxdujTgiETEzJaZ2bsN/Ble55y7gEpgXs2uBm7V4LwFMysys1VmtkrfHouIiIiIiIRo5FRAhgwZwuLFi6msrCQrK4uhQ4cGHZJI2nP3IU0dN7NC4HvAYP+qcEYZcGKd07oCHzdy/2KgGCA/P1+FN0RERERERIjxyCkz22hmq83sLTNbFd7XycyWmtn68M9j6pzf4IpXZjYgfJ9SM5thZhben21mfwjv/18z6x7L3yeaCgsLycgIvf2ZmZmMHTs24IhEpClmVgDcAQxz9y/qHFoEjAr3R3nAKcBrQcQoIiIiIiKSjOIxrW+Qu5/h7vnh7QnAC+5+CvBCePtwK179Figi9KHvlPBxgB8BO9y9B/AfwK/j8PtERU5ODgUFBZgZBQUFKoYukvgeBI4GloYT7rMA3H0NsBB4DygBbnD3quDCFBERERERSS5BTOsbDlwQfj0HeInQaIQGV7wys41AB3dfAWBmc4ERwJ/D10wK3+uPwINmZp4k69QWFhayceNGjZoSSQLhJHhjxyYDk+MYjoiIiIiISMqI9cgpB5aY2RtmVhTed5y7bwYI/zw2vD8X2FTn2poVr3LDr+vvP+gad68EdgFJMwQpJyeHGTNmaNSUiIiIiIiIiKStWI+cOtfdPzazYwlNhVnbxLmNrXjV1EpYzVolK5wYKwLo1q1b0xGLiIiIiIiIiEjcxHTklLt/HP65FXgaOBPYYmbHA4R/bg2f3tiKV2Xh1/X3H3SNmWUBXwO2NxBHsbvnu3t+ly5dovPLiYiIiIiIiIhIq8UsOWVmR5nZ0TWvgYuAdwmtbFUYPq0QeCb8usEVr8JT/3ab2dnhVfrG1rum5l4jgReTpd6UiIiIiIiIiIjEdlrfccDToXwSWcB8dy8xs9eBhWb2I+Aj4HIIrXhlZjUrXlVy8IpXPwEeA9oRKoT+5/D+3wH/FS6evp3Qan8iIiIiIiIiIpIkYpaccvd/AKc3sL8CGNzINQ2ueOXuq4DTGti/j3ByS0REREREREREkk+sV+sTERERERERERFplJJTIiIiIiIiIiISGCWnREREREREREQkMEpOiYiIiIiIiIhIYJScEhERERERERGRwCg5JSIiIiIiIiIigVFySkREREREREREAqPklIiIiIiIiIiIBEbJKRERERERERERCYySUyIiIiIiItIsZlZgZh+YWamZTWjknAvM7C0zW2NmL8c7RhFJPllBByAiIiIiIiKJz8wygYeAoUAZ8LqZLXL39+qc0xH4T6DA3T8ys2MDCVZEkopGTomIiIiIiEhznAmUuvs/3P0AsAAYXu+cHwBPuftHAO6+Nc4xikgSUnJKRERERCTFuDsAkyZNOmhbpJVygU11tsvC++rqCRxjZi+Z2RtmNjZu0YlI0tK0PhEREZEEYmYFwHQgE3jE3afUO27h498BvgCucfc36xzPBFYB5e7+vbgFLgll3rx5fPzxx+zbt4+pU6dywgknMGbMmKDDkuRnDeyrn/nMAgYAg4F2wAozW+nu6w66kVkRUATQrVu3GIQqIslEI6dEREREEkSdei6XAL2Bq8ysd73TLgFOCf8pAn5b7/g44P0YhyoJbsyYMZx44olMnTqVbt26KTEl0VIGnFhnuyvwcQPnlLj75+7+KbAcOL3+jdy92N3z3T2/S5cuMQtYRJKDklMiIiIiiaM59VyGA3M9ZCXQ0cyOBzCzrsB3gUfiGbQknvnz57Np0yZuv/12PvroI+bPnx90SJIaXgdOMbM8MzsCGAUsqnfOM8D/M7MsMzsSOAslzEXkMDStT0RSjpllAGe7+9+CjkVEJEIN1XM5qxnn5AKbgd8AtwNHN9aAptKkh6uuugozY9KkSdx+++2qOSVR4e6VZnYj8DyhqcePuvsaM7s+fHyWu79vZiXAO0A1oenJ7wYXtYgkg5gnp+rXPTCzTsAfgO7ARuAKd98RPnci8COgCrjZ3Z8P7x8APEZozvJiYJy7u5llA3MJzWmuAK50942x/p2SVUVFBffccw933303OTk5QYcjEjPuXm1m9wPfDjoWEZEINaeeS4PnmNn3gK3u/oaZXdBYA+5eDBQD5OfnxzVjMXPmTEpLSxs8Vl5eDkBubv3ayiE9evTgpptuillsqSZUmuyrgug12yKt5e6LCX0mq7tvVr3t+4D74hmXiCS3eEzrq1/3YALwgrufArwQ3iZcT2EU0AcoAP4znNiCUC2FIr6qr1AQ3v8jYIe79wD+A/h1bH+V5DZnzhxWr17N3Llzgw5FJB6WmNk/m57GRSS5NLeeS0PnnAsMM7ONhKYDXmhmj8cu1Ojau3cve/fuDToMERERCUBMR07VqXswGbglvHs4cEH49RzgJeCO8P4F7r4f2GBmpcCZ4QesDu6+InzPucAI4M/hayaF7/VH4EEzM9e45UNUVFRQUlKCu1NSUsLYsWM1ekpS3S3AUUClme0jNNLA3b1DsGGJiDSptp4LUE7oi7sf1DtnEXCjmS0gNOVvl7tvBiaG/xAeOXWbuydUFeymRj6NGzcOgOnTp8crHBEREUkQsR459RtCdQ+q6+w7LvwARfjnseH9jdVPyA2/rr//oGvcvRLYBSjj0oA5c+ZQXR36z1BVVaXRU5Ly3P1od89w9yPcvUN4W4kpEUlo4eeZmnou7wMLa+q51NR0ITSd5h9AKTAb+GkgwYqIiIhEScySU3XrHjT3kgb2eRP7m7qmfixFZrbKzFZt27atmeHEXmlpKd/97ncbrb0QTcuWLaOyshKAyspKli5dGvM2RYJmZrlmdo6ZnVfzJ+iYREQOx90Xu3tPdz/Z3SeH982qqekSXqXvhvDxvu6+qoF7vOTu34t37CIiIiItEctpfTV1D74DtAU6hOsebDGz4919c3jZ463h8xurn1AWfl1/f91ryswsC/gasL1+IEEW/mzKvffey+eff869997LY489FtO2hgwZwuLFi6msrCQrK4uhQ4fGtD2RoJnZr4ErgfcILbIAoeT18lbe9zZCBT67uPun4X0NLuYgIiISDU899RQlJSVxb7egoIDLLrss7u2KiEj6idnIKXef6O5d3b07oXoJL4brHiwCCsOnFQLPhF8vAkaZWXa4zsIpwGvhqX+7zezscGHjsfWuqbnXyHAbCZN8akppaSkbN24EYOPGjTEfPVVYWEhGRug/d2ZmJmPHjo1peyIJYATQy92/4+7fD/8Z1pobmtmJwFDgozr7mlrMQUREpNVKSkpYt25dXNtct25dIAkxERFJTzEtiN6IKcBCM/sRoQ94lwOE6yksJDTKoRK4wd1rRjv8BHgMaEeoEPqfw/t/B/xXuHj6dkIfEJPCvffee8h2LEdP5eTkUFBQwLPPPktBQYGKoUs6+AfQBtgfxXv+B6E6es/U2dfgYg7Aiii2KyIiaa5nz54UFxfHrb2ioqK4tSUiIhKX5JS7v0RoVT7cvQIY3Mh5kwmt7Fd//yrgtAb27yOc3Eo2NaOmGtuOhcLCQjZu3KhRU5LSzGwmoel7XwBvmdkL1ElQufvNLbzvMKDc3d8ODeKslQusrLNdd9EGEREREREROYwgRk4J0L1794MSUt27d495mzk5OcyYMSPm7YgErKYw8BuEpv7W1eS0XzNbBny9gUN3AXcCFzV0WQP7GmzHzIqAIoBu3bo1FYqIpAgzOwL4JqF+4QN3PxBwSJKEevXqlRZtiohI+lJyKiD/9m//xr/8y78ctC0irefucwDMbJy7T697zMzGHebaIQ3tN7O+QB5QM2qqK/CmmZ1J44s5NHT/hFycQURiw8y+C8wC/k4okZ1nZj929z83faXIwW699da0aFNERNJXzAqiS9N69OhRO1qqe/fu9OjRI9iARFJPYQP7rmnJjdx9tbsf6+7dw4s8lAHfcvdPaGQxhxbGLCKp5X5gkLtf4O7nA4MI1a4TERERkTqUnArQv/3bv3HUUUdp1JRIFJnZVWb2LKERCovq/PkLUBHt9tx9DVCzmEMJBy/mICLpbau7112O9x/A1qCCEREREUlUTU7rM7MO7v6ZmXVq6Li7b49NWOmhR48ePPfcc0GHIZJq/gZsBjoTGrVQYzfwTjQaCI+eqrvd4GIOIpL21pjZYkIJbCe0iMvrZnYZgLs/FWRwIiIiIonicDWn5gPfI1RY2Dm48K8D34hRXCIiLeLuHwIfAt9u6jwzW+HuTZ4jItJKbYEtwPnh7W1AJ+D7hJ6jlJyKkpkzZ1JaWnr4E+upuWbcuCZLEjaoR48e3HTTTRFfJyIiIodqMjnl7t8L/8yLTzgiInHTNugARCS1ufsPg44hXZSWlvLWmveobt/gYP9G2ZehtSne/PCTiK7L2KPJAyIiItHUrNX6zOxc4C13/9zMxgDfAn7j7h/FNDoRkdjRankiElPhRRJuArpT55nL3YcFFVMqq27fiQP5F8elrSNWPR+XdiS6Nm7cyCWXXMLAgQP529/+Rm5uLs888wyzZ89m1qxZZGVl0bt3bxYsWBB0qCIiaadZySngt8DpZnY6cDvwO+C/+GqYuoiIiIgc7H8IPTM9C1QHG4qIAKxfv54nnniC2bNnc8UVV/Dkk08yZcoUNmzYQHZ2Njt37gw6RBGRtNTc1foq3d2B4cB0d58OHB27sEREYs4Of4qISKvsc/cZ7v4Xd3+55k/QQYk0R0VFBTfffDMVFVFf6DZQeXl5nHHGGQAMGDCAjRs30q9fP0aPHs3jjz9OVlZzv7sXEZFoam5yareZTQTGAM+ZWSbQJnZhiYi0npl93cyGmdn3zezr9Q5fHUhQIpJOppvZ3Wb2bTP7Vs2foIMSaY45c+awevVq5s6dG3QoUZWdnV37OjMzk8rKSp577jluuOEG3njjDQYMGEBlZWWAEYqIpKfmJqeuBPYDP3L3T4Bc4L6YRSUi0kpm9i/Aa8BlwEhgpZldW3Pc3d8NKjYRSRt9geuAKcD94T/TAo1IpBkqKiooKSnB3SkpKUm50VN1VVdXs2nTJgYNGsTUqVPZuXMne/bsCTosEZG006zklLt/4u4PuPtfw9sfuXtqfY0SgFQdLi2SIH4G9Hf3a9y9EBgA3BFwTCKSXi4FvuHu57v7oPCfC4MOSuRw5syZQ3V1qExaVVVVyo2eqquqqooxY8bQt29f+vfvz/jx4+nYsWPQYYmIpJ0mJ1Wb2SvuPtDMdnPwylYGuLt3iGl0Ka7ucOnx48cHHY5IqikDdtfZ3g1sCigWEUlPbwMdga0BxyESkWXLltVObausrGTp0qUp8az6/7d391FylVW+x7+7X4iNISZpIcYmMXGazjVgBk1E1ohX0UQ7OEsYBhEG7NbFkKUCYoC5oOOYyQh3RS/jHYKOuQmySAYhw4XcITGhY6Ij6PAaFEnCS6eV1qSICRQh5KVJUt37/nFOx06nqrqruuqcevl91urVVeecp86u011Pd+16nv1MmTKFLVv+NHD6hhtuiDEaEREZKGtyyt3PCb+r+HmBDR4u3dbWRmNjY9xhiZQ9M7suvJkAnjCzBwmS6+cTTPMTEYnKBOAFM3uKoDwCAO7+6fhCEhna7NmzWbduHalUirq6OubMmRN3SCIiUuG0HEVM0g2XroRPpERKQH8y/bfhV78HY4hFRKrbgrgDkMqQTCZZuHAhCxYsiOTDzPb2djo6OoCgaHhbW1vRzzkSq1atOhpvVFpbW7nwwgsjPaeISCVTciomlTpcWiRu7r4w7hhERADc/eG4Y5DKEHUpiMbGRlpbW1mzZg2tra0lP7q/o6ODzs5OWlpaIjlfZ2cngJJTIiIFpORUTDRcWqS4zOw/ObZWHgAqRiwixaaanVJIcZWCaG9vp7u7u+RHTfVraWlh6dKlkZxr3rx5kZxHRKSaDGu1vnyY2VvM7Ekz+42ZbTWzheH28Wa2wcy2hd/HDWjzNTPrMrMXzeyTA7bPNLPN4b7FZmbh9lFm9u/h9ifMbEqxnk+htbe3U1MTXP5yGC4tUoZuIFix7++AfwCeATbFGZCIVIeBNTvdfcyAr5OUmJJcxbVyXmNjI4sXLy75UVMiIlIZipacIij8+TF3/3PgTKDVzM4GbgJ+6u6nAT8N72Nm04FLgNOBVuBfzaw2fKwfAPOA08Kv1nD7FcAed28G/jfw7SI+n4LqHy5tZmUxXFqk3Lj70wO+/svdrwM+GHdcIiIiuUhXCkKONW3aNKZNm1ax5xMRqQZFm9bn7g7sD+/Wh1/9K2Z9NNy+HPg5cGO4faW7HwJeMrMu4Cwz6wbGuPtjAGa2ArgAeChs84/hY90PfM/MLDx3ySu34dIi5cTMxg+4WwPMAt4RUzgiIiJ5USmIoV1//fUVfT4RkWpQzJFTmFmtmT0D7AY2uPsTwAR33wkQfj8lPLwJ2D6g+Y5wW1N4e/D2Y9q4ewrYCxw3BMnM5pnZJjPb9MorrxTo2Y2chkuPXHd3N+95z3u48sorOf300/nEJz5BT08PixcvZvr06cyYMYNLLrkk7jAlHk8TTON7GngUuI5gtKWIiEjZUCkIERGpBkVNTrl7r7ufCZxKMArqjCyHW7qHyLI9W5vBcSx191nuPuvkk08eImopN9u2beOqq65i69atjB07lgceeIBFixbx61//mmeffZYlS5bEHaLE40bgTHefCvwbcAA4GG9IIiIiuVEpCBERqQZFTU71c/fXCabvtQK7zGwiQPh9d3jYDmDSgGanAi+H209Ns/2YNmZWB7wNeK0Yz0FK19SpUznzzDMBmDlzJt3d3cyYMYPLLruMu+++m7o6LUpZpb7h7m+Y2TnAHOAugvp1IiIiZaW9vZ33vve9GjUlJcHMWsMFrLrM7KYsx33AzHrN7KIo4xOR8lTM1fpONrOx4e0GYDbwArAaaA8PawceDG+vBi4JV+CbSlD4/Mlw6t8+Mzs7XKWvbVCb/se6CPhZudSbksIZNWrU0du1tbWkUinWrl3LVVddxdNPP83MmTOPFhKVqtIbfv8UsMTdHwROiDEeEZFhGeqNnwUWh/ufNbP3h9vTrpQs5U+lIKRUhAtWfR+YC0wHLg0Xtkp33LeB9dFGKCLlqpgjpyYC/2lmzwJPEdSc+jGwCJhjZtsIRjMsAnD3rcB9wHNAB3CVu/e/ufwScAfQBfyWoBg6wA+BxrB4+nWEK/9Jdevr62P79u2ce+65fOc73+H1119n//79QzeUSpMws/8DXAysM7NRRDRaVEQkX8N84zeXP61gPI8/jQrNtFKyiEihnAV0ufvv3P0wsJJgkarBrgEe4E+zZEREsirman3PAu9Lsz0JfDxDm1uAW9Js3wQcV6/K3d8EPjPiYKWi9Pb2cvnll7N3717cnfnz5zN27Ni4w5LoXUwwlfhWd389nEb8dzHHJCIylKNv/ADMrP+N33MDjjkfWBGOFn/czMaa2cRwtHm6lZJFRAol3SJWHxx4gJk1AX8FfAz4QHShiUg5UzEeKWtTpkxhy5YtR+/fcMMNMUYjpcTdDwKrBtzfCeyMLyIRkWEZ8o1fhmOagJ3hyKungWbg++FKySIihTKcBan+BbjR3XuDqiwZHshsHsHoTyZPnlyo+ESkTCk5JSJS5ZLJJAsXLmTBggWqZyISv+G88ct4TFgS4cyw7uf/M7Mz3H3LMY2L/Ibw9ttvp6urK+d2/W2uvfbanNsmEgmgNud2pSTbdQueHzQ1NaXd39zczDXXXFO02EqBrk/JyLSI1UCzgJVhYurtwHlmlnL3/xh4kLsvBZYCzJo1S6M8RaqcklNSklatWkVHR0fk521tbeXCCy+M/LwicVq+fDmbN29mxYoVzJ8/P+5wRKrdcN74DXlMOJ355wTTm7cM2lfUN4RdXV288GInEyZOGvrgAWpq6wHY80ZPTu127dxOXW0N1I/OqV056enJ7ZpUG12fSD0FnBYuYJUALgH+ZuAB7j61/7aZ3QX8eHBiSkRkMCWnpCR1dHTQ2dlJS0tLZOfs7OwEUHJKqkoymaSjowN3p6Ojg7a2No2eEonXkG/8CFYrvjqsR/VBYK+77zSzk4EjYWKqf6Xkb0cY+1ETJk7i8iujmWp/97JbSe5ORHKuYso2sqd/NNltt90WVTglR9enNLh7ysyuJliFrxa40923mtkXw/1LYg1QRMqWklNSslpaWli6dGlk55s3b15k5xIpFcuXL6evrw8IFhPQ6CmReA3zjd864DyCVYwPAl8Im08Elod1p2qA+8KVkkVECsbd1xH0QwO3pU1Kufvno4hJRMqfklMiIlVs48aNpFIpAFKpFBs2bFBySiRmQ73xC1fpuypNu7QrJYuIiIiUOiWnpCRNmzatKs4p5cXMrgGuBlLAWnf/H+H2rwFXAL3AV9x9fXxR5mb27NmsW7eOVCpFXV0dc+bMiTskERGpUnEU089ULF0F2EVEoqXklJSk66+/virOKeXDzM4FzgdmuPshMzsl3D6doCbM6cA7gY1m1hKumFXy2tvbjy4+UFtbS1tbW8wRiYhItYq6mH7iD7+lq6srbRIqkUhkLLTevz3T/kQikTGxpcSViEh6Sk4VmT51EakYXwIWufshAHffHW4/H1gZbn/JzLqAs4DH4gkzN42NjbS2trJmzRpaW1tVDF1ERGIVZTH9b//Dl9h/4CDPbHkut4bhGpf73zycdvf+Nw/zyp69x+/oTZFIJPT/vYhIGkpOxUjL3oqUlRbgw2Z2C/AmcIO7PwU0AY8POG5HuK1stLe3093drVFTIlK2Dh06RM2bhzlhUzSzqmv2vUYiURYDZGUotXX0nTQ+klPV7HstkvOIiJQjJaeKTMveipQPM9sIvCPNrr8n6C/HAWcDHwDuM7N3A5bmeM/w+POAeQCTJ08uRMgF0djYyOLFi+MOo+x1d3czd+5czjnnHB599FGampp48MEHWbZsGUuWLKGuro7p06ezcuXKuEMVEQE0wr+u/oSji4Lkwg7uA8BPPCnHhpmvp4hItVNySkQk5O6zM+0zsy8Bq8JVsp40sz7g7QQjpQYWxzgVeDnD4y8FlgLMmjUrbQJLytu2bdu49957WbZsGRdffDEPPPAAixYt4qWXXmLUqFG8/vrrcYcoUpFGjRrFofrRHJ71yUjOd8Km9TQ1pfsso3JUwwj/E04YRV1tDc3vOv5nmbXmVOpNABqOpPt8ChoaGjIkod5Bc3Nz3vGKiFQyJadERIbnP4CPAT83sxbgBOBVYDVwj5l9l6Ag+mnAk3EFKfGaOnUqZ555JgAzZ86ku7ubGTNmcNlll3HBBRdwwQUXxBqfiMhApTbCP5FIsG//Ae5edmsk5zt8+BAnjX5r2udY7aPKRESipuSUiMjw3AncaWZbgMNAeziKaquZ3Qc8B6SAq8plpT4pvFGjRh29XVtbS09PD2vXruWRRx5h9erVfOtb32Lr1q3U1VXXn19NeRSRcqPkkohItKrrv2MpG8lkkoULF7JgwQKtHiYlwd0PA5dn2HcLcEu0EUk56OvrY/v27Zx77rmcc8453HPPPezfv5+xY8fGHVrkNOVRRIbS1NTEnjd6Ilut7+5ltzJuTEMk5xIRkeyUnJKStHz5cjZv3syKFSuYP39+3OGIiOSlt7eXyy+/nL179+LuzJ8/vyoTU6Apj9Uk6qlZu3Zupzd1BOpHR3I+ERERKTwlp6TkJJNJOjo6cHc6Ojpoa2vT6CkRKXlTpkxhy5YtR+/fcEM0n/yXC015lGKr2f8aJ2xan1ObfFddq9n/GukXdxUREZF8FO0/QDObBKwg+MvdByx199vMbDzw78AUoBu42N33hG2+BlwB9AJfcff14faZwF1AA7AOuNbd3cxGheeYCSSBz7p7d7Gek0Rj+fLl9PX1AcGoA42eEikuTaOVOGjKY+WKY2rWwX2vZSxOnU1X136AtKu1ZadV10RERAqpmB9PpoDr3f1XZnYS8LSZbQA+D/zU3ReZ2U3ATcCNZjYduAQ4nWDFq41m1hIWFv4BMA94nCA51Qo8RJDI2uPuzWZ2CfBt4LNFfE4SgY0bN5JKpQBIpVJs2LBBySmRItI02sxWrVpFR0dH5OdtbW3lwgsvjPy8UdKURymkpqamvFaVi2NFOhERETle0ZJT7r4T2Bne3mdmzwNNwPnAR8PDlgM/B24Mt69090PAS2bWBZxlZt3AGHd/DMDMVgAXECSnzgf+MXys+4HvmZmFK2hJmZo9ezbr1q0jlUpRV1fHnDlz4g5JpGJpGm12HR0ddHZ20tLSEtk5Ozs7ASoqOaUpjyIiIiKSTSSFHcxsCvA+4AlgQpi4wt13mtkp4WFNBCOj+u0Itx0Jbw/e3t9me/hYKTPbCzQCrxbnmUgU2tvbj45UqK2tpa2tLeaIRCqXptEOraWlhaVLl0Z2vnnz5kV2LhGpfLfffjtdXV05t+tv0z+6LBfNzc1cc801ObcTEZHqVfTklJmNBh4Avurub5hZxkPTbPMs27O1GRzDPIJpgUyePHmokCVmjY2NtLa2smbNGlpbWzWKQ6SINI02u2nTplXFOfMVx7THapjyKFJIXV1dPLP1OfpGj8+pnR0J/qX+1e//mFO7oFi8iIhIboqanDKzeoLE1I/cfVW4eZeZTQxHTU0EdofbdwCTBjQ/FXg53H5qmu0D2+wwszrgbcBxfxHdfSmwFGDWrFma8lcG2tvb6e7u1qgpkQLJ9Ml5Q0MDBw8ePOb+4E/Jq/kT8Ouvv74qzpmvqKc9VuKUR5Eo9I0ez+FZn4zkXLmumCgiIgLFXa3PgB8Cz7v7dwfsWg20A4vC7w8O2H6PmX2XoCD6acCT7t5rZvvM7GyCaYFtwO2DHusx4CLgZ6o3VRkaGxtZvHhx3GGIVLwJEyaQTCYBMDMmTJgQc0RSbqsnRjntUVMeRSrfrp3buXvZrTm12ZMMPuse13jKEEcef65xY6KrKSgiIpkVc+TUh4DPAZvN7Jlw29cJklL3mdkVwB+AzwC4+1Yzuw94jmClv6vClfoAvgTcBTQQFEJ/KNz+Q+DfwuLprxGs9iciIoNkG/n013/91ySTST796U9X5ZS+bPVYEokEQMYl6osxqqycVk+MegpiOU15FCkViUSCmn17IxvRVLPvNRKJ3qEPTKO5uTmvdsndRwAYN6Yhp3bjxrTkfU4RESmsYq7W90vS14QC+HiGNrcAt6TZvgk4I832NwmTW1JZym3kgEg5mzBhAm+++aam0abR09MT6fnKbfXEqKcgltOURxHJXb7J/v7p6LfddlshwxERkQhFslqfSK7KaeSASLmrr6+nubm5pJMgxZTtzVDUb3i0eqJIZYtj5TyAvpOirTnV1PSOSM4lIiKVQ8kpKTnlNnJARKRQSnH1xFKb9ihSzrq6unjhxU4mTJw09MED1NTWA7DnjdxGc+7auZ262hqoH51TOxERkagpOSUlRyMHRCqbpu1mNnv2bNatW0cqlaKuro45c+bEHVJWUU97FMlHtgTrUCOSipFgnTBxEpdfeUNBHzOTu5fdSnJ3IpJziYiIjISSU1JySnHkgEg5iGO6SD5v3DRtN/PP6siRI0f7v97eXrZt23bczyXq0UilNO1RpNAaGnIroC0iIiLFoeRUhamE6RflNnJApFTEMV0kV5q2m119fT11dXWkUinGjx9PfX193CGJlL1S+N9GREREslNyqoqUy/SL9vZ2fvzjHwPQ19enFcRGoLu7m7lz53LOOefw6KOP0tTUxIMPPsiyZctYsmQJdXV1TJ8+nZUrV8YdqhRI1NNFchXXtN04RpUdOHCAt771rTm3q6+vp6+vjwkTJmSMqdBTkMpl1J2Uj107t+fcR+xJ7gZgXOMpOZ9r3JiWnNqIiIhIaVFyqsJUyvQLd487hIqxbds27r33XpYtW8bFF1/MAw88wKJFi3jppZcYNWoUr7/+etwhSoEkEgn27T+QV9IoH7t2bufgvtySL3FN241jVFlv6ghHUr1Qm+Of2r5eALa+uC23dr0pEolEXgmfchh1J+Wjubk5r3bJ3UcAGDcmt6l248a05H3OalGz/zVO2LQ+pzZ2cB8AfuJJOZ8LCr9aX6nVDhMRkcJSckpKzvLly48mp/r6+qq6Lk0hTJ06lTPPPBOAmTNn0t3dzYwZM7jsssu44IILuOCCC2KNT6pLXNN2+6c15yrXERwD9fb2Zt7Z1wtDJeF7U+m3m0FNbd5xpRPH9cn3nFL68k0ClNOHaOWkoaEhr+RdV9d+AJrflWui6R2RJwtVO0xEpPwpOSUlZ8OGDcfc/8lPfqLk1AiMGjXq6O3a2lp6enpYu3YtjzzyCKtXr+Zb3/oWW7dupa5O3UG5a2pq4oUXO3Nul+9Umv5z5qK9vZ2Ojg4g+H2s5Gm7o0aNYtq0aWn3JRKJvKdaNzQ0ZK0dWO4qoXbiSJlZK3AbUAvc4e6LBu23cP95wEHg8+7+KzObBKwgGLbSByx1d2V6qlxTU1NeCb9SSxZWwmtbREQy07tRKTkTJkygu7v7mPtSOH19fWzfvp1zzz2Xc845h3vuuYf9+/czduzYuEOTESqHqTSNjY20trayZs0aWltbIyuG3tTUxJ43eiKtxzVuTEPJvKkbStSJzdSRw/T01KSdgpMtcde/PdP+RCKRMbFVLokrM6sFvg/MAXYAT5nZand/bsBhc4HTwq8PAj8Iv6eA68NE1UnA02a2YVBbERERkZKj5JSUnF27dmW9LyPT29vL5Zdfzt69e3F35s+fr8RUhYh6Kk3/CJdcEwx9fX2YGT/5yU/YuHHjcfuHGhlUDgmGchN1YjP5lrew/9BhfvX7P6bZWwv1o9O2syPBVMg3Mux/IwW70jxmUAOnbJwFdLn77wDMbCVwPjAwwXQ+sMKDOfCPm9lYM5vo7juBnQDuvs/MngeaBrUVOUp1nCQfwxjdeRlwY3h3P/Ald/9NtFGKSLlRckpKzpw5c1izZg3ujpnxiU98Iu6QytaUKVPYsmXL0fs33BDNqBGpDg8//DCvvJpMX/B7GDWVDva8mXb7/oM9vLJn7/E7RlDwG7R6WDZRJzYvuugi9r95OOfz5VqY+U8Ny6rGVRMwsGL8DoJRUUMd00SYmAIwsynA+4AnihKlVDzVcZJ0hjm68yXgI+6+x8zmAks5vh8TETmGklNlqNKX/O6vSXP48GHq6+sruiaNSHUamLSySM5YDlMeRULpXhSDM71ZjzGz0cADwFfd/Y3jTmA2D5gHMHny5PwjlZyV2qqqGvkkeRhydKe7Pzrg+MeBUyONUETKkpJTZairq4tntj5H3+jxObXrnw6RfhpFZlFPhxhYk2bu3LmR1aQpF6tWrTpaUDpKra2tXHjhhZGfV0rXRz7ykayFqzNN6zt06NDR1foGFuzvV4yC31o9rLQ0NTXxyutpRscNId+l7bHci/fHaAcwacD9U4GXh3uMmdUTJKZ+5O6r0p3A3ZcSjGRg1qxZQywbKSJyjOGM7hzoCuChdDuUKBeRgZScKlN9o8dzeNYnIznXCZvWR3Kegdrb2+nu7taoqTQ6Ojro7OykpSW6KUOdnUGhZCWnZKB8Ej7JZJJLL70UCFbrW7FihRLQVSjfJGM5LW0/Ak8Bp5nZVCABXAL8zaBjVgNXhyMWPgjsdfed4Sp+PwSed/fvRhm0DE9cizOIFNBwRncGB5qdS5CcOifdfiXKRWQgJaekJDU2NrJ48eK4wyhZLS0tLF26NLLzzZs3L7JzSXGUStHb5cuX09fXBwTF+VesWMH8+fML8thSHMX43dFItszcPWVmVwPrCYoN3+nuW83si+H+JcA64DygCzgIfCFs/iHgc8BmM3sm3PZ1d18X4VMQkco2nNGdmNkM4A5grrsnI4pNRMqYklMFEHUNqK6urowrGYmI5CrKorcbN24klUoBkEql2LBhg5JTgySTSRYuXMiCBQtKflRZMX53SiWRGqcwmbRu0LYlA247cFWadr8kqkJuIlKthhzdaWaTgVXA59y9M/oQRaQcFS05ZWZ3An8J7Hb3M8Jt44F/B6YA3cDF7r4n3Pc1gmGfvcBX3H19uH0mcBfQQPCP2rXu7mY2ClgBzASSwGfdvbtYzyebrq4uXnixkwkTJw198AA1tfUA7HkjfV2WTA4e7IG3KTlVraZNm1YV5yw1ZnYmsAR4C5ACvuzuT4b70vZfpaRU3rDPnj2bdevWHa05NWfOnLhDKjnLly9n8+bNJTOqrFR+d0Crh4mIxG2Yozu/CTQC/xrMNibl7rPiillEykMxR07dBXyPIIHU7ybgp+6+yMxuCu/faGbTCbLupwPvBDaaWYu79wI/ICiU9zhBcqqVoKjeFcAed282s0uAbwOfLeLzyWrCxEmR1Q/453+6llQkZ5JSdP3111fFOUvQd4CF7v6QmZ0X3v/oEP2XDNK/GicENadUV+5YyWSSjo4O3J2Ojg7a2tpKfvRUoZVSMkxERI43jNGdfwv8bdRxiUh5K1pyyt0fMbMpgzafD3w0vL0c+DlwY7h9pbsfAl4ysy7gLDPrBsa4+2MAZrYCuIAgOXU+8I/hY90PfM/MLBzqLiJSaA6MCW+/jT/VV0jbfwGPRR9ifqKcRjZwNc7W1taSSLxkm0bW2dnJoUOH+PKXv0x9ff1x+ws9jUw1uUQq366d27l72a05tdmT3A3AuMZTcj7XuDHRLaAiIiKSr6hrTk1w950A4aoy/X9hmwhGRvXbEW47Et4evL2/zfbwsVJmtpdg+OirxQtfRKrYV4H1ZnYrUAP8Rbg9U/9VNqKeRlZOq3H29fXR19fHrl27OPXUU4t+PtXkEqls+a4amdx9BCDnlffGjWkpp5UqRUSkipVKQfRMS5JmW6o0l2VM5xFMDWTy5Mn5xCdFkG20QiKRAIIll9OplKK3UlrMbCOQbo36vwc+Dsx39wfM7GKC5dpnU+Z9URzTyEptNc5MfUkymeTSSy8FYP/+/Xzzm98s+rVRTS6RyqaVKkVERNKrifh8u8xsIkD4fXe4PdOSpDvC24O3H9PGzOoIptm8lu6k7r7U3We5+6yTTz65QE9Fiqmnp4eentwKxYuMlLvPdvcz0nw9CLQTrDwD8H8Jpu7BMJdUDh+/5PqidNPIJBDHtWlvb6emJvjTrJpcIiIiIlItoh45tZrgDd6i8PuDA7bfY2bfJSgofBrwpLv3mtk+MzsbeAJoA24f9FiPARcBP1O9qfKS7dNDfUIoJehl4CMEtfI+BmwLt6ftv+IIMB+aRpZZHNemFGtyiYiIiIgUW9FGTpnZvQSJo2lmtsPMriBISs0xs23AnPA+7r4VuA94DugArhqw0tWXgDuALuC3BMXQIZhS0xgWH76OYOU/EZFiuRL4ZzP7DfA/CafnDdF/lbzZs2dTVxd8TqFpZMeK69q0t7fz3ve+V6OmRERERKRqFHO1vksz7Pp4huNvAW5Js30TcEaa7W8CnxlJjCIiw+XuvwRmZtiXtv8qB+3t7XR0dACaRjZYe3s7Dz0UfB5SU1MT2bUptZpcIiIiIiLFFnXNKREZoa6uLj71qU9lLCYvkov+aWRmpmlkgzQ2Nh5dlOGd73ynro2IiIiISJGUymp9IpGohBUCb775Zg4cOMDNN9/MXXfdFXc4UgHa29vp7u7WqKlBkskkL78c1LZ/+eWXSSaTSlCJiIiIiBSBRk6JhMphhcCuri66u7sB6O7u1ugpKYj+aWRKvBxr4Gp9fX19WslQRERERKRINHJKiirbSKVs+tv0r9qXi2wjnMp9hcCbb775uPsaPSVSHFrJUEREREQkGkpOSVF1dXXxwoudTJg4Kad2NbX1AOx5I7eRTLt2bs/p+HLTP2oq030RKZwPf/jDrF+//pj7IiIiIiJSeEpOSdFNmDiJy6+8IZJz3b3s1kjOE5cpU6Yck5CaMmVKbLGIVDp3jzsEEREREZGqoJpTImXkG9/4Rtb7IlI4v/zlL4+5/4tf/CKmSEREREREKptGThVAIpFg3/4DkY3aOXzoENa3L5JzSWlpbm4+OnpqypQpNDc3xx2SSMWaPXs2a9eupbe3l9raWubMmRN3SCIiIiIiFUnJKak4iUQir0LqxSrCXmjf+MY3uPbaazVqSqTI2tvb6ejooLe3l7q6Otra2uIOSUQqWLZFZIb6HyXK/0NERESKQcmpAmhqamLPGz2R1VX653+6loNvOSmSc41U1KPKdu3cTm/qCK+8vpe+0eNzamtHgvoyv/r9H3NqV7P/tZyOH6nm5mbWrl0b6TlFqlFjYyOtra2sWbOG1tZWGhsb4w5JRKpUQ0ND3CGIiIgUlZJTUpH6Ro/n8KxPRnKuEzatH/ogESlL7e3tdHd3a9SUiBSdRj6JiEg1U3JKiirqUWV3L7uVl7f/jpp9r0WWNKrZ9xqJRG8k5xKRaDU2NrJ48eK4wxARERERqWhKTpWh1JHD1BxR8kUKK1uti0QiAQTJxnRU60JERERERETypeSUVJxRo0ZxqH50pNP6mpreUdDHLFaiaKjH7enpSbuvf3um/YlEIuPjKnElIiIiIiIi2Sg5VSC7dm7Puej3nuRuAMY1npJTu74+p+9t0dZUGknyJcprs2vndupqa3JqE5c4EkUvvvgib775Zh7RBg4cOJBx+6uvvpoxHiWnREREREREJBMlpwqgubk5r3bJ3UcAGDcmtxVYkic28EZeZ4xe1Ndm3JgWEokE+1/PfdqjHdwHgJ+Y20qIwWp9uSfvurq6eOHFTiZMnHTcvhNPGk+mMIaTuNvzxvGJq107t2PAW9/61rRtDh06RF9fX9p9/dtratIn/mpqahg1alTafWPHjs0Yp4iIiIiIiIiSUwWQ76iQa6+9FoDbbrst53bPbH2u5JMvEP21gewjkrLp6toPQPO7cn2u78grCZdIJDh86BC7dm7PqV3qyGEADh8+lFO7w4cO0dg4nvvvvz/tftWcEhERERERkTiUfXLKzFqB24Ba4A53XxRzSMfI9oa/f3t/ImawTG/4syVCsk4HSwXTuRqOWNr9DQ0NGZIP+SVf4pItSZJv4goKn4AZO3Zsxp9VNkcOO0DO0xfrTmzIOopJySURERERERGJQ1knp8ysFvg+MAfYATxlZqvd/bl4Ixuehobcpqz1yzf5UmqjX4qRuBuJfH8e+brjjjsy7hvOtcmUMNQoJhERKVWl9rdfRERESkNZJ6eAs4Aud/8dgJmtBM4HSiY5FfU/UZXyT1uxEkWVcH2iTqKJVLNkMsnChQtZsGABjY2NcYcjUtH0901ERKR6lXtyqgkYWLBnB/DBmGKRHFVCoqhYdG1ESsPy5cvZvHkzK1asYP78+XGHI1ViqJIFZmbh/vOAg8Dn3f1X4b47gb8Edrv7GZEGPgz6+yYiIiLp5Fa0pvSkK57kxx1kNs/MNpnZpldeeSWCsEREpNwlk0k6Ojpwdzo6Okgmk3GHJFVgQMmCucB04FIzmz7osLnAaeHXPOAHA/bdBbQWP1IRERGRwin35NQOYNKA+6cCLw8+yN2Xuvssd5918sknRxaciIiUr+XLl9PX1wdAb28vK1asiDkiqRJHSxa4+2Ggv2TBQOcDKzzwODDWzCYCuPsjwGuRRiwiIiIyQuWenHoKOM3MpprZCcAlwOqYYxIRkQqwceNGUqkUAKlUig0bNsQckVSJdCULBq9kMpxjMtKIchEZCTNrNbMXzazLzG5Ks9/MbHG4/1kze38ccYpIeSnr5JS7p4CrgfXA88B97r413qhERKQSzJ49m7q6oDRjXV0dc+bMiTkiqRLDKVkwrLIGmWhEuYjkqwBTj0VE0irr5BSAu69z9xZ3/zN3vyXueEREpDK0t7dTUxP8maytraWtrS3miKRKDKdkwbDKGoiIFMGIph6LiGRS9skpERGRYmhsbKS1tRUzo7W1lcbGxrhDkuownJIFq4G2cOrM2cBed98ZdaAiUpWKPvVYRKpTXdwBRO3pp59+1cx+H3ccBfB24NW4gyhRujbZVcr1eVfcAYyE+qLyYGb1DQ0N73744Yd/e91116XyeIiKvj4jVCnXpqB9kbunzKy/ZEEtcKe7bzWzL4b7lwDrgPOALuAg8IX+9mZ2L/BR4O1mtgNY4O4/zHQ+9UVVQ9cns0q5NlH9X1SwqcdmNo9g2h/AITPbMsLY4lYJv0vl/hzKPX4o/+cwLd+GVZeccveKKK5gZpvcfVbccZQiXZvsdH1Kg/qi6qDrk5muTWbuvo4gATVw25IBtx24KkPbS3M8l/qiKqDrk5muTc4KNvXY3ZcCS6Eyfg56DvEr9/ih/J+DmW3Kt62m9YmIiIiIiMhwaOqxiBRF1Y2cEhERERERkdyNdOqxiEgmSk6Vr6VxB1DCdG2y0/WRQtLvU3a6Ppnp2kgh6fcpO12fzHRtcjSSqcdZVMLPQc8hfuUeP5T/c8g7fgv6DhERERERERERkeip5pSIiIiIiIiIiMRGyakSZ2atZvaimXWZ2U1p9puZLQ73P2tm748jzjiY2Z1mtjvTsrPVem3MbJKZ/aeZPW9mW83s2jTHVOW1kfypL8pMfVFm6o+k0NQXZaa+KDP1RaWjEl7Dw3gOl4WxP2tmj5rZn8cRZyZDxT/guA+YWa+ZXRRlfMMxnOdgZh81s2fC1/zDUceYzTB+h95mZmvM7Ddh/CVVt61of2/cXV8l+kVQZPC3wLuBE4DfANMHHXMe8BBgwNnAE3HHHeH1+e/A+4EtGfZX5bUBJgLvD2+fBHTq90ZfI/lSXzTk9VFflPnaqD/SV8G+1BcNeX3UF2W+NuqLSuCrEl7Dw3wOfwGMC2/PLaXnMJz4Bxz3M4LaYhfFHXceP4OxwHPA5PD+KXHHnWP8Xwe+Hd4+GXgNOCHu2AfEV5S/Nxo5VdrOArrc/XfufhhYCZw/6JjzgRUeeBwYa2YTow40Du7+CMELNZOqvDbuvtPdfxXe3gc8DzQNOqwqr43kTX1RFuqLMlN/JAWmvigL9UWZqS8qGZXwGh7yObj7o+6+J7z7OHBqxDFmM5yfAcA1wAPA7iiDG6bhPIe/AVa5+x8A3L2Unsdw4nfgJDMzYDRB356KNszMivX3Rsmp0tYEbB9wfwfH/yEdzjHVquqvjZlNAd4HPDFoV9VfG8mJ+qKR0bVB/ZEUhPqikdG1QX1RzCrhNZxrfFcQjCApFUPGb2ZNwF8BSyhNw/kZtADjzOznZva0mbVFFt3QhhP/94D3AC8Dm4Fr3b0vmvAKIq/XcV3RwpFCsDTbBi+vOJxjqlVVXxszG03wicdX3f2NwbvTNKmaayM5U180MlV/bdQfSYGoLxqZqr826otiVwmv4WHHZ2bnEiSnzilqRLkZTvz/Atzo7r3BwJ2SM5znUAfMBD4ONACPmdnj7t5Z7OCGYTjxfxJ4BvgY8GfABjP7RZp+q1Tl9TpWcqq07QAmDbh/KkH2NNdjqlXVXhszqyf45+tH7r4qzSFVe20kL+qLRqaqr436Iykg9UUjU9XXRn1RSaiE1/Cw4jOzGcAdwFx3T0YU23AMJ/5ZwMowMfV24DwzS7n7f0QS4dCG+3v0qrsfAA6Y2SPAnxPUm4vbcOL/ArDIgwJOXWb2EvDfgCejCXHE8noda1pfaXsKOM3MpprZCcAlwOpBx6wG2sKK+GcDe919Z9SBlqiqvDbh3OQfAs+7+3czHFaV10bypr5oZKr22qg/kgJTXzQyVXtt1BeVjEp4DQ/5HMxsMrAK+FyJjNQZaMj43X2qu09x9ynA/cCXSygxBcP7PXoQ+LCZ1ZnZicAHCWrNlYLhxP8HglFfmNkEYBrwu0ijHJm8XscaOVXC3D1lZlcD6wmq+t/p7lvN7Ivh/iUEKyicB3QBBwmyrFXBzO4FPgq83cx2AAuAeqj6a/Mh4HPAZjN7Jtz2dWAyVP21kTyoL8pOfVFW6o+kYNQXZae+KCv1RSWgEl7Dw3wO3wQagX8NRx+l3H1WXDEPNMz4S9pwnoO7P29mHcCzQB9wh7tviS/qPxnmz+BbwF1mtplgityN7v5qbEEPUqy/NxaMFBMREREREREREYmepvWJiIiIiIiIiEhslJwSEREREREREZHYKDklIiIiIiIiIiKxUXJKRERERERERERio+SUiIiIiIiIiIjERskpKUtm9o9mdkPccYiIqD8SkVKgvkhERMqZklMiIiIiIiIiIhIbJaekLJhZm5k9a2a/MbN/G7TvSjN7Ktz3gJmdGG7/jJltCbc/Em473cyeNLNnwsc7LY7nIyLlS/2RiJQC9UUiIlJJzN3jjkEkKzM7HVgFfMjdXzWz8cBXgP3ufquZNbp7Mjz2ZmCXu99uZpuBVndPmNlYd3/dzG4HHnf3H5nZCUCtu/fE9dxEpLyoPxKRUqC+SEREKo1GTkk5+Bhwv7u/CuDurw3af4aZ/SL8h+sy4PRw+38Bd5nZlUBtuO0x4OtmdiPwLv3zJSI5Un8kIqVAfZGIiFQUJaekHBiQbYjfXcDV7v5eYCHwFgB3/yLwDWAS8Ez4KeI9wKeBHmC9mX2smIGLSMVRfyQipUB9kYiIVBQlp6Qc/BS42MwaAcKh6wOdBOw0s3qCTwcJj/szd3/C3b8JvApMMrN3A79z98XAamBGJM9ARCqF+iMRKQXqi0REpKLUxR2AyFDcfauZ3QI8bGa9wK+B7gGH/APwBPB7YDPBP2QA/yss6mkE/8T9BrgJuNzMjgB/BP4pkichIhVB/ZGIlAL1RSIiUmlUEF1ERERERERERGKjaX0iIiIiIiIiIhIbJadERERERERERCQ2Sk6JiIiIiIiIiEhslJwSEREREREREZHYKDklIiIiIiIiIiKxUXJKRERERERERERio+SUiIiIiIiIiIjERskpERERERERERGJzf8HdyfvsSI4YQUAAAAASUVORK5CYII=", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] }, + "metadata": {}, "output_type": "display_data" }, { - "name": "stdout", - "output_type": "stream", - "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d1ago: t-test independent samples, P_val:6.697e-01 t=-4.281e-01\n", - "0.0_acsf vs. 0.0_d1ago: t-test independent samples, P_val:9.577e-02 t=1.685e+00\n", - "2.0_acsf vs. 2.0_d1ago: t-test independent samples, P_val:9.912e-01 t=1.126e-02\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d1ago: t-test independent samples, P_val:6.606e-01 t=4.407e-01\n", - "0.0_acsf vs. 0.0_d1ago: t-test independent samples, P_val:6.003e-01 t=5.261e-01\n", - "2.0_acsf vs. 2.0_d1ago: t-test independent samples, P_val:8.947e-02 t=-1.847e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d1ago: t-test independent samples, P_val:3.542e-03 t=-3.003e+00\n", - "0.0_acsf vs. 0.0_d1ago: t-test independent samples, P_val:2.783e-02 t=-2.240e+00\n", - "2.0_acsf vs. 2.0_d1ago: t-test independent samples, P_val:5.899e-01 t=-5.537e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d1ago: t-test independent samples, P_val:4.572e-02 t=2.029e+00\n", - "0.0_acsf vs. 0.0_d1ago: t-test independent samples, P_val:2.242e-02 t=2.328e+00\n", - "2.0_acsf vs. 2.0_d1ago: t-test independent samples, P_val:6.285e-02 t=2.050e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d1ago: t-test independent samples, P_val:4.262e-03 t=2.940e+00\n", - "0.0_acsf vs. 0.0_d1ago: t-test independent samples, P_val:1.222e-01 t=1.562e+00\n", - "2.0_acsf vs. 2.0_d1ago: t-test independent samples, P_val:2.866e-01 t=1.115e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d1ago: t-test independent samples, P_val:8.403e-01 t=-2.022e-01\n", - "0.0_acsf vs. 0.0_d1ago: t-test independent samples, P_val:8.979e-02 t=1.717e+00\n", - "2.0_acsf vs. 2.0_d1ago: t-test independent samples, P_val:2.104e-01 t=1.323e+00\n", + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "test_type = 't-test_ind'\n", + "# for cond in np.unique(df_inh_for_sig.cond):\n", + "cond = 'dopamine'\n", + "for i, var in enumerate(['AP_avg', 'resistance','mi', 'thr', 'isi', 'sub_thr','imp']):\n", + " fig,ax = plt.subplots(1,1,figsize= [8,8])\n", + " \n", + " data_temp = df_inh_for_sig[df_inh_for_sig.cond.isin(['acsf',cond])]\n", + " # data_temp = df_inh_for_sig[df_inh_for_sig.cond =='m1']\n", + " flierprops = dict(markerfacecolor='0.75', markersize=0.01,\n", + " linestyle='none')\n", + " # ax = sns.boxplot(data=phenotype_info_merge, orient=\"h\", y=\"primary disease or tissue\", x='value', hue='SampleType',\n", + " # order=sorted_pairs,palette=choosed_color,flierprops=flierprops,hue_order=choosed_sample_sites) # \n", + " # my_pal = {\"versicolor\": \"g\", \"setosa\": \"b\", \"virginica\":\"m\"}\n", + " boxes = sns.boxplot(data=data_temp,\n", + " x='class',\n", + " y= var,\n", + " hue ='cond',\n", + " # palette = ['purple','crimson','teal','teal'],\n", + " ax=ax,\n", + " # palette=choosed_color,\n", + " flierprops=flierprops,)\n", + " # hue_order=choosed_sample_sites)\n", + " ax.set_xlabel('class',fontdict={'fontsize':20})\n", + " ax.set_ylabel(var,fontdict={'fontsize':20})\n", + "\n", + "\n", + " mybox1 = boxes.patches[1]\n", + "\n", + " # Change the appearance of that box\n", + " mybox1.set_facecolor('blue')\n", + " mybox1.set_edgecolor('black')\n", + " mybox1.set_linewidth(1)\n", + "\n", + " mybox1_m1 = boxes.patches[3]\n", + "\n", + " # Change the appearance of that box\n", + " mybox1_m1.set_facecolor('white')\n", + " mybox1_m1.set_edgecolor('blue')\n", + " mybox1_m1.set_linewidth(1)\n", + "\n", + " mybox2 = boxes.patches[4]\n", + "\n", + " # Change the appearance of that box\n", + " mybox2.set_facecolor('crimson')\n", + " mybox2.set_edgecolor('black')\n", + " mybox2.set_linewidth(1)\n", + "\n", + " mybox2_m1 = boxes.patches[5]\n", + "\n", + " # Change the appearance of that box\n", + " mybox2_m1.set_facecolor('white')\n", + " mybox2_m1.set_edgecolor('crimson')\n", + " mybox2_m1.set_linewidth(1)\n", + "\n", + " mybox3 = boxes.patches[6]\n", + "\n", + " # Change the appearance of that box\n", + " mybox3.set_facecolor('teal')\n", + " mybox3.set_edgecolor('black')\n", + " mybox3.set_linewidth(1)\n", + "\n", + " mybox3_m1 = boxes.patches[7]\n", + "\n", + " # Change the appearance of that box\n", + " mybox3_m1.set_facecolor('white')\n", + " mybox3_m1.set_edgecolor('teal')\n", + " mybox3_m1.set_linewidth(1) \n", + "\n", + " # Change the appearance of that box\n", + "\n", + " for tick in ax.xaxis.get_major_ticks():\n", + " tick.label.set_fontsize(20)\n", + "\n", + " for tick in ax.yaxis.get_major_ticks():\n", + " tick.label.set_fontsize(20)\n", + " \n", + " sns.set(style=\"white\", palette=None)\n", + " pairs = np.unique(data_temp['class'])\n", + " pairs = [((0.0,\"acsf\"),(0.0,cond)),\n", + " ((1.0, \"acsf\" ),(1.0,cond)),\n", + " ((2.0, \"acsf\" ),(2.0,cond))]\n", + "\n", + " plt.legend([])\n", + "\n", + " annotator = Annotator(ax,pairs, data=data_temp, x='class', y=var\n", + " ,hue='cond'\n", + " )\n", + " annotator.configure(test=test_type, text_format='star', loc='inside')\n", + " annotator.apply_and_annotate() \n", + "\n", + " plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/'+cond+'_'+var+'_'+'_dist.png',dpi=200)" + ] + }, + { + "cell_type": "code", + "execution_count": 379, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -4427,21 +4986,19 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "1.0_acsf vs. 1.0_d1ago: t-test independent samples, P_val:5.437e-01 t=6.097e-01\n", - "0.0_acsf vs. 0.0_d1ago: t-test independent samples, P_val:8.401e-01 t=-2.024e-01\n", - "2.0_acsf vs. 2.0_d1ago: t-test independent samples, P_val:1.309e-01 t=1.621e+00\n" + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.053e-06 U_stat=2.800e+02\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.207e-02 U_stat=0.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.594e-02 U_stat=4.000e+01\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" - }, + "metadata": {}, "output_type": "display_data" }, { @@ -4455,49 +5012,25 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "1.0_acsf vs. 1.0_d2: t-test independent samples, P_val:3.451e-01 t=9.508e-01\n", - "0.0_acsf vs. 0.0_d2: t-test independent samples, P_val:3.941e-01 t=-8.565e-01\n", - "2.0_acsf vs. 2.0_d2: t-test independent samples, P_val:nan t=nan\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d2: t-test independent samples, P_val:2.374e-02 t=2.314e+00\n", - "0.0_acsf vs. 0.0_d2: t-test independent samples, P_val:7.046e-01 t=-3.804e-01\n", - "2.0_acsf vs. 2.0_d2: t-test independent samples, P_val:nan t=nan\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d2: t-test independent samples, P_val:5.992e-01 t=5.281e-01\n", - "0.0_acsf vs. 0.0_d2: t-test independent samples, P_val:3.441e-01 t=-9.514e-01\n", - "2.0_acsf vs. 2.0_d2: t-test independent samples, P_val:nan t=nan\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d2: t-test independent samples, P_val:2.187e-01 t=1.242e+00\n", - "0.0_acsf vs. 0.0_d2: t-test independent samples, P_val:7.284e-01 t=3.484e-01\n", - "2.0_acsf vs. 2.0_d2: t-test independent samples, P_val:nan t=nan\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_d2: t-test independent samples, P_val:7.983e-01 t=-2.566e-01\n", - "0.0_acsf vs. 0.0_d2: t-test independent samples, P_val:1.910e-01 t=1.318e+00\n", - "2.0_acsf vs. 2.0_d2: t-test independent samples, P_val:nan t=nan\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.661e-01 U_stat=1.490e+02\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.315e-01 U_stat=4.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:7.669e-02 U_stat=4.000e+00\n" + ] + }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAAoQAAAJhCAYAAADYPqF+AAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAABOqklEQVR4nO3dd3gVZcL+8fucVCCEUAISigGUrogoRdQFBUE6QSRSpLiUN4IK2F1B4RVdC7qAEXEX+FGlRQREBARFFpAigiAJAsEQSgqRFAhp5/z+YJN3Ywo5cE4mJ/P9XNdeCzPznNzZnYvceWbmGYvdbrcLAAAApmU1OgAAAACMRSEEAAAwOQohAACAyVEIAQAATI5CCAAAYHKeRgdwV1evXtWRI0cUGBgoDw8Po+MAAAAUKScnRwkJCWrZsqV8fX0L7KcQ3qAjR45oyJAhRscAAAAosaVLl+qee+4psJ1CeIMCAwMlXfsf9pZbbjE4DQAAQNEuXLigIUOG5PWXP6MQ3qDcy8S33HKL6tata3AaAACA6yvqNjceKgEAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMztPoAABgZj/++KM+/PBD1atXT7/99psyMzM1ZcoUeXp66p133pHNZpMkjR07Vt26dTM4LYDyikIIAAY7fPiwpk6dqmbNmmn+/PmaM2eOPDw8NHLkSPXs2VORkZFasWIFhRCAy3DJGAAMFhQUpGbNmkmSmjdvruTkZD366KOaNm2aJk+erKNHj2rSpEkGpwRQnlEIAcBgvr6+eX+2WCyy2+0KDQ3VunXr1LFjR+3cuVN9+vRRamqqgSkBlGcUQgAog0JDQ3Xs2DGFhIRo+vTpSklJUXJystGxAJRT3EMIAGXQ888/rxkzZuijjz6S1WrV+PHjVbduXaNjASinKIQAYKB27dppw4YNhf49IiLCqFgATIZCCLcRERGhTZs2GR0DAOAE3bt3V0hIiNEx8B/cQwi3sWnTJh0/ftzoGACAm3T8+HF+wS9jmCGEW2ncuLHmzZtndAwAwE0YM2aM0RHwJ8wQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAABgcqxDCLfRp08foyMAAJyAf8/LHgoh3EavXr2MjgAAcAL+PS97uGQMAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAABgcrypBABgKj/++KM+/PBD1atXT7/99psyMzM1ZcoUeXp66p133pHNZpMkjR07Vt26dTM4LVA6KIQAANM5fPiwpk6dqmbNmmn+/PmaM2eOPDw8NHLkSPXs2VORkZFasWIFhRCmwSVjAIDpBAUFqVmzZpKk5s2bKzk5WY8++qimTZumyZMn6+jRo5o0aZLBKYHSQyEEAJiOr69v3p8tFovsdrtCQ0O1bt06dezYUTt37lSfPn2UmppqYEqg9FAIAQCQFBoaqmPHjikkJETTp09XSkqKkpOTjY4FlAruIQQAQNLzzz+vGTNm6KOPPpLVatX48eNVt25do2MBpYJCCAAwlXbt2mnDhg2F/j0iIsKoWIChKIQAUIZERERo06ZNRscAXK579+4KCQkxOgb+o8zdQxgXF6c2bdpo4cKFBfalpaXp3XffVdeuXdWyZUu1a9dOYWFhOnbsWIk//4UXXlCTJk0K/c/777/vxO8EABy3adMmHT9+3OgYgEsdP36cX3zKmDI1Q3j58mVNmDBBaWlpBfalp6dryJAhioyMVOvWrdWlSxdduHBBmzdv1s6dO7VgwQK1adPmul8jMjJSNWrUUGhoaIF9JRkPAK7WuHFjzZs3z+gYgMuMGTPG6Aj4kzJTCM+ePasJEybo6NGjhe5fvHixIiMjNWzYMP3tb3/L2753716NGDFCb7zxhtavX1/s18jKylJ0dLQ6deqkCRMmODU/AACAuyoTl4wXLlyo3r17KzIyUu3bty/0mM2bN8tisei5557Lt71t27Zq27atjh8/rri4uGK/zsmTJ5WVlaUmTZo4KzoAAIDbKxMzhIsWLVKdOnX05ptv6vTp09qzZ0+BY0JDQ3Xx4kX5+fkV2Oft7S3p2iXn4kRFRUkShRAAAOC/lIlC+Oabb+q+++6Th4eHTp8+Xegxjz32WKHbk5KStH//flWsWPG660XlFsLo6GiFhoYqKipKvr6+6tSpk5577jnVqlXrpr4PAAAAd1QmLhk/8MAD8vDwuKGx7733ni5fvqy+ffvmzRQWJbcQhoeHq27duho0aJCCg4MVERGhgQMH6sKFCzeUAQAAwJ2ViRnCGxUeHq6IiAjVqVNHEydOvO7xvr6+Cg4O1pw5c3T77bfnbf/kk0/00Ucf6X//9381Z84cV0YGAAAoc9y2EP7jH/9QeHi4AgIC9Omnn6pKlSrXHfPxxx8Xun3s2LFavXq1tm/frsuXL6tSpUrOjgsAAFBmlYlLxo7IycnRa6+9pvDwcFWvXl3/7//9v3yzfTfCarWqadOmys7O5rIxAAAwHbeaIczMzNQzzzyj7du3q06dOpo/f76Cg4NLNDY9PT3vIZKmTZsW2H/16lVJko+PjzMjA4BD+vTpY3QEwOU4z8setymEdrtdkydP1vbt23X77bfrX//6l0NPBScmJmrQoEFq3LhxgQWs09PT9euvv6patWqqU6eOs6MDQIn16tXL6AiAy3Gelz1uc8l48eLF2rx5s2699VYtWrTI4SVi6tWrpxYtWuj48eNat25d3na73a4PPvhASUlJeuKJJ2SxWJwdHQAAoExzixnCzMxMhYeHS7q2qPTSpUsLPS40NFSBgYGSrr39JDU1VcOHD5e/v78kadq0aRo2bJhefPFFbd68WXXq1NH+/ft15MgR3XvvvRo3blzpfEMAAABliFsUwpMnT+qPP/6QdO0Vdps3by70uC5duuQVwkWLFuns2bPq379/XiFs2bKlVq9erVmzZmnPnj367rvvVKdOHT3zzDMaPXr0ddcxBAAAKI8sdrvdbnQIdxQbG6uHH35Y33777XXfkAIAAGCk6/UWt7mHEAAAAK5BIQQAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAADcTkxMjNasWaOYmBijo5QLnkYHAAAAxlq7dq3WrFlTal8vMTFRklSjRo0b/owKFSrIYrHom2++UXp6+nWPHzBggPr163fDX6+8Y4YQAACUqoSEBCUkJNzUZ9jt9nz/jZvDDCEAACbXr1+/Up09GzZsmCRp8eLFN/wZf/zxh44ePaoWLVqoatWqzopmWhRCAADgdqpWrar777/f6BjlBpeMAQAATI5CCAAAYHIUQgAAAJOjEAIAAJgchRAAAMDkKIQAAAAmRyEEAAAwOQohAACAyVEIAQAATI5CCAAAYHIUQgAAAJOjEAIAAJgchRAAAMDkKIQAAAAmRyEEAAAwOQohAACAyVEIAQAATI5CCAAAYHIUQgAAAJOjEAIAAJgchRAAAMDkKIQAAAAmRyEEAAAwOQohAACAyVEIAQAATI5CCAAAYHIUQgAAAJOjEAIAAJgchRAAAMDkKIQAAAAmRyEEAAAwOQohAACAyVEIAQAATI5CCAAAYHJlrhDGxcWpTZs2WrhwYaH7165dq379+umuu+7Sgw8+qLfffluXL18u8eenp6fro48+UteuXXXnnXeqR48eWrp0qex2u5O+AwAAAPdSpgrh5cuXNWHCBKWlpRW6/9NPP9VLL70km82moUOHqmnTplq4cKGeeuopZWZmXvfzc3Jy9Oyzz+qTTz5RgwYN9OSTT8rT01PTpk3Tu+++6+xvBwAAwC2UmUJ49uxZDRs2TIcOHSpy/6xZs9S6dWutWbNGzz//vObNm6ewsDAdPHhQK1euvO7X2Lhxo77//nuNGjVK8+bN0/PPP681a9aoffv2WrBggaKiopz9bQEAAJR5ZaIQLly4UL1791ZkZKTat29f6DErV65Udna2xo4dKy8vr7zt48aNk5+fn1atWnXdr7N06VJ5enpq3Lhxedu8vLz03HPPyW63a/Xq1Tf/zQAAALiZMlEIFy1apDp16mjJkiXq27dvocfs27dPktS2bdt82318fHTXXXcpMjJSqampRX6NzMxM/fLLL2ratKmqVKmSb9+dd96pChUq5H0NAAAAMykThfDNN9/U2rVrdffddxd5TExMjGrUqKFKlSoV2FenTh1JUnR0dJHjz549q+zsbNWvX7/APg8PD91yyy06ffq04+EBAADcXJkohA888IA8PDyKPebSpUuqXLlyoftytxf1MEru+P8+trDPSE9PV3Z2dgkSAwAAlB9lohCWRHZ2try9vQvdl7s9IyOj2PH/feyNfAYAAEB55DaF0NfXV1lZWYXuy11ypkKFCkWO9/HxkaRiP8NisRT7GQAAAOWR2xRCf3//Ih8ayd1e1OVgSXkPkhR1WTk1NVUVK1aU1eo2/5MAAAA4hdu0n+DgYF28eFFXr14tsO/s2bOyWq269dZbixxfp04deXl5KTY2tsC+nJwcXbhwQQ0aNHBqZgAAAHfgNoWwTZs2stls2r9/f77tGRkZ+vnnn3XbbbfJz8+vyPGenp5q1aqVfv311wKzhIcPH1Z6erpat27tkuwAAABlmdsUwl69esnDw0Nz5szJ95q6uXPnKi0tTYMGDbruZ/Tr10+ZmZmaPXt23rasrCz94x//kCQNHDjQ+cEBAADKOE+jA5RUo0aNNGrUKH322Wfq16+fOnfurBMnTui7777T3Xffrccffzzf8QsXLlRqaqqGDx8uf39/SVJISIjWrFmjhQsX6vjx42rRooV++OEHRUZGatSoUWrSpIkR3xoAAICh3KYQStLkyZNVu3ZtLVu2TIsWLVJgYKBGjBih8ePHF1hOZtGiRTp79qz69++fVwg9PDz0z3/+U7Nnz9bXX3+tAwcOqH79+poyZYqeeOIJI74lAAAAw1nsdrvd6BDuKDY2Vg8//LC+/fZb1a1b1+g4AAC4jWHDhkmSFi9ebHAS87heb3GbewgBAADgGhRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmJyn0QEAAEB+b731liIjI42O4TLHjh2TJA0bNszgJK7TtGlTvfbaa0bHKDEKIQAAZUxkZKT2/PSTsv39jY7iElabTZK088QJg5O4hmdKitERHEYhBACgDMr299el9u2NjoEbELBnj9ERHMY9hAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAABgchRCAAAAk6MQAgAAmByFEAAAwOQohAAAACZHIQQAADA5CiEAAIDJUQgBAABMjkIIAABgcp5GB3BEkyZNrnvMokWL1K5du2KPGTx4sA4cOFDovjfeeENPPPHEDeUDAABwR25VCMePH1/o9osXL2r58uWqXr26GjZseN3PiYqKUoMGDdSzZ88C+1q2bHnTOQEAANyJWxXCCRMmFLr9f/7nf2SxWPTee+8pMDCw2M+IjY1VWlqaHnvssSI/DwAAwEzc/h7CdevWadu2bRo4cKA6dux43eOjoqIklezyMwAAgBm4dSHMyMjQhx9+qMqVK2vSpEklGkMhBAAAyM+tC+GyZct07tw5/fWvf1XVqlVLNCYqKkoWi0UHDhxQ//79ddddd+nBBx/UW2+9pdTUVBcnBgAAKHvcthDm5ORo0aJFqlSpkgYPHlzicVFRUbLb7Zo1a5aaN2+ugQMHqlq1alq0aJEGDx6stLQ0F6YGAAAoe9zqoZL/tm3bNp07d04jR46Uv79/icbYbDb5+/urWbNm+vTTT1WrVq287W+88YZWrFih2bNn65VXXnFldAAAgDLFbWcI165dK0l6/PHHSzzGarVq5cqVWrt2bV4ZzN3+0ksvqUKFCvrqq6+cHRUAAKBMc8tCmJGRoV27dqlx48YlWnewJCpVqqTg4GAlJCQoIyPDKZ8JAADgDtyyEO7du1dXrlxRt27dHBqXkpKin376SdHR0YXuv3r1qqxWqzw93fZKOgAAgMPcshAeOnRIktSmTRuHxh09elRPPPGE/v73vxfYFx8fr9jYWDVr1kweHh5OyQkAAOAO3LIQ/vrrr5KkFi1aODSuTZs2CgwM1I4dO7Rv37687ZmZmZo+fbqysrI0ZMgQp2YFAAAo69zy2uiZM2fk6+t73aeLZ8+eLen/Xnnn7e2t6dOna/z48Ro5cqS6d++ugIAA7dq1SydPnlTPnj0VEhLi8vwAAABliVsWwj/++EOVK1e+7nFz5syRlP8dyJ07d9bSpUsVHh6u7777ThkZGWrQoIFef/11DR48WBaLxWW5AQAAyiK3LIQ7d+4s0XG5r6n7s7vuukvz5s1zZiSgXPjxxx/14Ycfql69evrtt9+UmZmpKVOmyNPTU++8845sNpskaezYsQ4/1AUAKLvcshACcJ3Dhw9r6tSpatasmebPn685c+bIw8NDI0eOVM+ePRUZGakVK1ZQCAGgHHHLh0oAuE5QUJCaNWsmSWrevLmSk5P16KOPatq0aZo8ebKOHj2qSZMmGZwSAOBMFEIA+fj6+ub92WKxyG63KzQ0VOvWrVPHjh21c+dO9enTR6mpqQamBAA4E4UQwHWFhobq2LFjCgkJ0fTp05WSkqLk5GSjYwEAnIR7CAFc1/PPP68ZM2boo48+ktVq1fjx41W3bl2jYwEAnIRCCCBPu3bttGHDhkL/HhERYVQsAICL3XAhvHz5so4fP67k5GR16tRJycnJqlKlijOzAflERERo06ZNRscAXK579+4skg+gVDl8D2FiYqImTpyodu3aafDgwQoLC5MkLVu2TF27dtX+/fudHhKQpE2bNun48eNGxwBc6vjx4/ziA6DUOTRDmJSUpEGDBuns2bO6++67lZGRkfde4QoVKujcuXMaPXq0Pv/8czVp0sQlgWFujRs3ZlFxlGtjxowxOgIAE3JohnDWrFk6f/68PvnkEy1btkydO3fO2zdixAjNnz9f2dnZ+uSTT5weFAAAAK7hUCHctm2bunbtmq8I/rd27drpkUce0c8//+yMbAAAACgFDhXCP/74Q/Xq1Sv2mFq1aikpKemmQgEAAKD0OFQIb7nllrx7Boty+PBh3XLLLTcVCgAAAKXHoULYrVs37d69W59//nmh+xcsWKADBw6oS5cuTgkHAAAA13PoKeNx48bp+++/15tvvqmlS5fKZrNJkl5++WUdPXpUJ06cUP369TVu3DiXhAUAAIDzOTRD6Ofnp+XLlys0NFRnz57VyZMnZbfbtXbtWv3+++/q27evli9fLn9/f1flBQAAgJM5/KYSPz8/TZ06VX/7298UHR2tlJQUVaxYUQ0bNpS3t7crMgIAAMCFHC6ENptN27ZtU2BgoFq1apW3fcqUKerYsaO6devm1IBArj59+hgdAXA5znMARnCoEF65ckXjx4/X7t27NXbs2LxCmJ6erpUrV2rVqlXq2rWrPvjgA3l5ebkkMMyrV69eRkcAXI7zHNK118R6pqQoYM8eo6PgBnimpCgxMdHoGA5x6B7CTz/9VLt27dLAgQP1+OOP522vUKGCvv/+e4WGhmrz5s2aO3eu04MCAADANRyaIdy0aZM6dOigadOmFdhXq1YtTZ06Vb/99pvWrl2rCRMmOC0kAABmUqNGDUVeuqRL7dsbHQU3IGDPHtWoUcPoGA5xaIbwwoULatasWbHH3HnnnYqLi7upUAAAACg9DhXCGjVqXPdNJb/99puqV69+U6EAAABQehwqhA8//LB+/PFHLV68uND9q1at0s6dO9W5c2enhAMAAIDrOXQP4f/8z/9o69atmjFjhpYuXarWrVurUqVKunz5sn755RedPHlSt9xyC/cPAgAAuBGHCmHVqlW1cuVKvfvuu9qyZYu++OKLvH1eXl7q0aOHXnrpJS4ZAwAAuBGHF6auUaOG3n33XWVmZurMmTNKTk7mTSUAAABuzOFCmMvb21uNGjVyZhYAAAAYwOFCePLkSX355Zc6e/asMjMzZbfbCxxjsVg0e/ZspwQEAACAazlUCPfu3au//vWvysrKKrQI5rJYLDcdDAAAAKXDoUI4a9YsZWdn67nnntNf/vIX+fn5Uf4AAADcnEOF8MiRI+rRo4fGjh3rqjwAAAAoZQ4tTO3j46PAwEBXZQEAAIABHCqE999/v3bu3KmcnBxX5QEAAEApc6gQvvjii7py5Yqee+45HThwQElJSUpLSyv0PwAAAHAPDt1DOHjwYF25ckVbtmzR1q1bizzOYrHo119/velwAAAAcD2HCmFQUJCrcgAAAMAgDhXCxYsXuyoHAAAADOLQPYQAAAAofxx+dV1iYqK2b9+uixcvKicnJ98bS7KysnTp0iXt3LlT3377rVODAgAAwDUcKoSRkZEaOnSoLl++LLvdnveWktxSaLFYZLfbFRAQ4PSgAAAAcA2HCuHs2bOVlpamJ554Qm3bttW7776rli1b6tFHH9XJkye1ePFieXt76+uvv3ZVXgAAADiZQ4Xwp59+0r333qupU6dKknbs2KHo6Gj16NFDktS1a1c9/vjjmjdvniZPnuz8tAAAAHA6hx4qSU1N1Z133pn398aNGysyMjLvknHTpk3VqVMn7dixw7kpAUnx8fEaOnSoEhISjI4CAEC54lAhrFy5sjIzM/P+Xq9ePWVkZCg6OjpvW3BwsM6dO+e8hMB/hIeHa//+/QoPDzc6CgAA5YpDhbBFixbasWOHMjIyJEm33Xab7Ha7fvrpp7xjYmJi5OHh4dyUKPdOnjyp48ePF7k/Pj5eERERstvtWrNmDbOEAAA4kUOFcMiQIfr999/Vv39/HThwQMHBwWrevLnef/99LV++XLNnz9bWrVvVokULV+VFOXTkyBG9++67+uCDD7Rv375CjwkPD5fNZpMk2Ww2ZglRbnFrBAAjOFQIO3furL/97W+Kj4/P+8fqlVde0dWrVzVt2jR9/PHHqlixIg+UwCGXLl3K+3NSUlKhx6xfv15ZWVmSrq13uW7dutKIBpQ6bo0AYASHF6YeOnSoHn/88bzZmnvvvVcbN27U1q1b5ePjo06dOqlWrVpOD4ryq0OHDkpKSlJ2drY6d+5c6DG9e/fW6tWrlZWVJS8vL/Xp06eUUwKu9+dbI8LCwhQYGJjvmC+//FKnTp1S//79FRwcbExQAOWOQzOEa9euVWRkpLy9veXr65u3PSgoSE8++aQGDRqk2NhYffzxx04PivLLw8NDffr0UUhIiLy9vQs9JiwsTFbrtdPVarUqLCysNCMCpeJ6t0acO3dOGzduVGRkpCIiIoyICKCccqgQvvzyy9d9Jd2WLVs0b968mwoF/FnNmjUVEhIii8WiAQMGFJg1AcqD690aUbVqVVWtWlWS1KhRo1LPB6D8KvaScUREhLZt25Zv21dffaVjx44VenxWVpZ+/PFHXl0HlwgLC9OJEyeYHUS5db1bIypUqKCpU6cqKSlJderUMSglgPKo2EL4wAMP6H//93915coVSdfeVXzq1CmdOnWqyDHe3t565plnnJsS0LVZwiVLlhgdA3CZsLCwvEvBRd0aUaFCBcogAKcrthAGBgZq69atSk9Pl91uV5cuXTR8+HA9+eSTBY61WCzy9PRU1apV5eXl5bLAAFBe5d4a8fnnn3NrBIBSdd2njKtVq5b357ffflvNmjXjt1MAcBFujQBgBIeWnenfv3+h20+cOKGDBw8qKChIHTt2dEowADAjbo0AYASH1yEMDw/XsmXLtHXrVvn6+urrr7/W888/n7dUQtu2bTVv3jz5+Pg4PSwAAACcz6FlZ5YvX65Zs2bJYrEoOTlZdrtd77zzjjw8PDRhwgT1799fP/74oz777DNX5QUAAICTOVQI16xZo1tvvVWbNm1SrVq1dODAAcXFxalXr14KCwvTjBkz1L59e3311VeuygsT4x2vAAC4hkOF8OTJk3rooYdUqVIlSdL3338vi8Wihx56KO+Yli1b6ty5c85N+ScfffSRmjRpUuh/Jk6ceN3xly5d0rRp0/TQQw+pVatWCgkJ0caNG12aGTePd7wCAOAaDt1D6OXlJbvdnvf3HTt2yMPDQ+3bt8/blpycrMqVKzsvYSFyX583ZsyYAvtuv/32YsdeuXJFo0aN0rFjx9S9e3fVrl1bmzdv1sSJE5WUlKShQ4e6KjZKKHdR3v9Wkne8AgCAG+NQIWzUqJG+++47Pfvss4qKilJUVJTatWsnPz8/SdKZM2e0adMm3XHHHS4Jm+v48eO67bbbNGHCBIfHLlq0SEePHtWUKVM0ZMgQSdeWeQgNDdX777+vRx99VNWrV3d2ZJTQ119/rbVr16pp06Z69tln895fXNg7XqdOnWpkVAAAyg2HLhkPGTJEp0+fVseOHTVkyBBZLBYNGzZMkrRw4UL169dPly9f1ujRo10SVpLS0tJ09uxZNWnS5IbGL1u2TDVq1FBoaGjeNj8/P40bN07p6elav369s6LiBuzdu1fStVnglJSUvO3Xe8crAAC4cQ4Vwl69eumDDz5QcHCwmjRpojfeeENdunSRJF29elW1a9fWnDlz1KFDB5eEla4VBUk3VAhjYmIUFxenNm3ayMPDI9++du3aSZL27dt38yFxwx555BFVqVJF999/f753Yvfu3TvvMnJh73gFAAA3zuF1CHv27KmePXsW2P7UU09p3LhxTglVnKioKElSUlKSRo4cqSNHjkiSOnTooOeee04NGzYscmxMTIwkqX79+gX2BQYGysfHR6dPn3Z+aJRYhw4dCv2FoiTveAUAADfGoRnC4pTW+4tzC+H8+fPl5+engQMH6s4779Q333yjxx9/XMeOHSty7KVLlyRJ/v7+he738/NTamqq0zPj5uW+49VisfCOVwAAnKzYGcK2bdtqzJgx+utf/5r395KwWCz68ccfbz5dITw8PFSnTh29/fbbeZd5JWndunV64YUX9Oqrr+qLL74odGx2drYkydvbu9D93t7eSk9Pd35oOAXveAUAwDWKLYR+fn75ylPu08RGKurJ0j59+mjlypXat2+fTp06Veil49zX6WVmZhb6GZmZmapYsaLzwsKpeMcrAACuUWwh3LZtW7F/L2uaN2+uffv2KTY2ttBCWKVKFUnXnlQuTFpaGkvOAAAA03H4oRIjZWdn69dff5XdblerVq0K7L969aqk/5sJ/LPg4GBJUmxsbIF98fHxysjIUIMGDZwXGAAAwA04/FBJSkqKli1blvf35ORkTZo0SQ8++KCeeOIJ7d6926kB/5vNZtPgwYM1evRo5eTk5Ntnt9t18OBBeXp6qlmzZoWODwoKUlBQkA4cOJC3yHGu3PXvWrdu7ZrwAAAAZZRDhTAmJkbdu3fX9OnTFRcXJ0maMmWKNm7cqLS0NB0+fFijR4/Wzz//7Iqs8vb2VufOnZWcnKx58+bl2zd//nwdP35cvXr1KvIpYunavYYXLlzIdy9aWlqa5s6dK19fX/Xt29cl2QEAAMoqhy4Zz5kzR8nJyXrhhRcUEBCgxMREbdmyRbfffrtWrVqlhIQEDRw4UHPnztXcuXNdEvill17SwYMH9dFHH2nv3r1q2rSpjhw5or179+q2227Tyy+/nHfs7NmzJSnfK+5Gjx6tTZs26a233tK+fftUr149bd68WWfOnNHrr7+uatWquSQ3AABAWeXQDOHu3bv1yCOPaNSoUfLx8dH27dtls9nUr18/+fr6ql69eurWrZsOHjzoqryqW7eu1qxZowEDBui3337T4sWLdfbsWY0aNUqff/65qlatmnfsnDlzNGfOnHzj/fz8tHTpUg0YMED79+/XsmXL5O/vr5kzZ2ro0KEuyw0AAFBWOTRDmJycnO8tHz/88IMsFovuv//+vG1+fn5FLuviLLVq1dKMGTOue1zuItZ/VqNGjRKNBwAAMAOHZghvueUWnTlzRtK1Nft27dqlwMDAfO8V/vnnn1W7dm3npgQAAIDLODRDeM8992jdunWaM2eOoqKidPnyZQ0YMECSdObMGS1YsEA//fSTRo8e7ZKwAAAAcD6HCuHkyZN17NixvPvy6tWrp3HjxkmSFi1apGXLlql169YUQgAAADfiUCGsXr26VqxYoV27dslms+m+++6Tr6+vJKlbt266++671aVLF3l5ebkkLAAAAJzP4TeVeHt7q1OnTgW233PPPc7IAwAAgFJ2Q6+u27FjhyIiInTs2DGlpKRo9+7dWrdunWJiYvTUU0+pQoUKzs4JAAAAF3G4EE6ZMkWrVq2S3W6Xh4dH3ivgjhw5okWLFumHH37Q/PnzValSJaeHBQAAgPM5tOzM559/rpUrV+qRRx7R5s2b8x4okaSnn35aAwYM0KFDh7RgwQKnBwUAAIBrOFwImzRpon/84x+qX7++LBZL3r4qVarorbfe0p133qmvv/7a6UEBAADgGg4VwujoaD3wwAPFHnPvvffq7NmzNxUKAAAApcehQujr66uLFy8We0x8fHzeUjQAAAAo+xwqhG3atNGWLVt0/vz5QvefPn1aW7du1d133+2UcAAAAHA9hwrh008/rczMTA0cOFALFixQdHS0JGnv3r3617/+pdDQUGVlZWns2LEuCQsAAADnc2jZmRYtWmjOnDl66aWX9Pe//z1v+/Dhw2W32+Xn56f3339frVq1cnpQAAAAuIZDhXDixIm65557tH37dn377bc6evSoUlNTVbFiRTVp0kRdu3ZV5cqVXZUVJhcfH69Jkybpww8/VGBgoNFxAAAoNxwqhNu3b1fVqlXl6+urnj17qmfPnq7KBRQQHh6u/fv3Kzw8XFOnTjU6DgAA5YZDhbBatWpKS0tzVRagSPHx8YqIiJDdbtfGjRs1YMAAtWzZ0uhYAOAynikpCtizx+gYLmHNyJAk2Xx8DE7iGp4pKUZHcJhDhXDq1KmaNGmS3n33XT3yyCOqW7dukUvM+Pn5OSUgIF2bHbTZbAoICFCrVq00e/ZsjR8/XnfccYfR0QDA6Zo2bWp0BJc6duyYJKnZbbcZnMR13O3/Q4cK4Ztvvim73a4FCxYU+3o6i8WiX3/99abDAbnWr1+vrKwsVapUKe8NOefOnaMQAiiXXnvtNaMjuNSwYcMkSYsXLzY4CXI5VAjr1KmjOnXquCoLUKTevXtr9erVOn/+vPz8/NSoUSP95S9/MToWAADlgkOFkCYPo4SFhSkiIkJZWVk6ffq0/vWvf/FGHAAAnMShhakBo9SsWVMhISGyWCwaMGAAy84AAOBEDs0QAkYKCwvTiRMnFBYWZnQUAADKFQoh3EbNmjW1ZMkSo2MAAFDucMkYAADA5CiEAAAAJkchBAAAMDkKIQAAgMlRCAEAAEyOQggAAGByFEIAAACToxACAACYHIUQAADA5CiEAAAAJkchBAAAMDkKIQAAgMlRCAEAAEyOQggAAGByFEIAAACToxACAACYHIUQAADA5CiEAAAAJkchBAAAMDkKIQAAgMlRCAEAAEyOQggAAGByFEIAAACToxACAACYHIUQAADA5CiEAAAAJkchBAAAMDkKIQAAgMlRCAEAAEyOQggAAGByFEIAAACToxACAACYHIUQAADA5CiEAAAAJudpdABHJSQkaPbs2fr+++918eJFValSRR06dNCzzz6revXqXXf84MGDdeDAgUL3vfHGG3riiSecHRkAAKBMc6tCmJCQoIEDB+r8+fPq2LGjevTooejoaG3YsEE//PCDVqxYoeDg4GI/IyoqSg0aNFDPnj0L7GvZsqWLkgMAAJRdblUIZ8+erfPnz+vll1/WyJEj87Z/+eWXevHFF/XOO+9o7ty5RY6PjY1VWlqaHnvsMU2YMKE0IgMAAJR5bnUP4datW1WtWjUNHz483/a+ffuqfv362rlzp2w2W5Hjo6KiJElNmjRxaU4AAAB34jYzhDk5ORo7dqw8PT1ltRbssd7e3srKylJ2dra8vb0L/QwKIQAAQEFuUwg9PDwKzAzmOnnypE6dOqX69esXWQala4XQYrHowIED+tvf/qbo6Gj5+/urW7dueuaZZ1S5cmVXxQcAACiz3OqScWFsNpumT58um82mxx9/vNhjo6KiZLfbNWvWLDVv3lwDBw5UtWrVtGjRIg0ePFhpaWmllBoAAKDscJsZwsLY7XZNmTJFu3fvVsuWLYucQZSuFUd/f381a9ZMn376qWrVqpW3/Y033tCKFSs0e/ZsvfLKK6UVHwAAoExw2xnC7Oxsvfrqq1q1apXq1aun8PDwYi8XW61WrVy5UmvXrs0rg7nbX3rpJVWoUEFfffVVaUQHgDxpaWlcnQBgOLecIUxPT9ezzz6r77//XsHBwVqwYEG+kueoSpUqKTg4WMeOHVNGRoZ8fHycmBaAO1u7dq3WrFnjks+2Wq15/95kZGTIZrMpMTFRklSjRg2XfM3CDBgwQP369Su1rweg7HG7QpicnKzRo0fr0KFDat68uf75z3+qevXq1x2XkpKiEydOqGrVqmrQoEGB/VevXpXVapWnp9v9TwLATVmtVlkslrw/22w2JSQkSCrdQggAbtV+MjIyNHbsWB06dEht27bVJ598Ij8/vxKNPXr0qEaMGKHOnTsXWLw6Pj5esbGxatasmTw8PFwRHYCb6tevn8tmz1JSUrRw4UJJ0siRI1W5cmUNGzZMkrR48WKXfE0AKIxbFcKZM2fq4MGDat26tT777DP5+vqWeGybNm0UGBioHTt2aN++fbr33nslSZmZmZo+fbqysrI0ZMgQV0UHgAL8/f31zDPPGB0DANynECYkJGjp0qWSpIYNG+qzzz4r9LgxY8bIx8dHs2fPlqS8V9R5e3tr+vTpGj9+vEaOHKnu3bsrICBAu3bt0smTJ9WzZ0+FhISUzjcDAABQhrhNITx06JCysrIkqdgbvIcPHy4fHx/NmTNHkvK9s7hz585aunSpwsPD9d133ykjI0MNGjTQ66+/rsGDB+fdywMAAGAmblMIu3TpkvfquZIo6ti77rpL8+bNc1YsAAAAt+e26xACgNnk5OTo6tWrRscAUA5RCAHADSQnJ+u1117TpEmT9PPPPxsdB0A5QyEEADcQHR2tP/74Qzk5ORRCAE7nNvcQAoCZNWvWTC1bttTFixfVuXNno+MAKGcohADgBnx8fPKtmgAAzsQlYwAAAJOjEAIAAJgchRAAAMDkKIRwO2lpabLZbEbHAACg3OChEtywtWvXFvsaQWdLTExUQECA/P39lZOTo4yMDJd/zQEDBqhfv34u/zoAABiJGUK4jYSEBPn4+EiSPDw8DE4DAED5wQwhbli/fv1KdfZs2LBhkqSaNWuqTZs2zNwBAOAkFEK4lZycHE2fPt3oGAAAlCtcMgYAADA5CiEA3KScnBwdOnRIiYmJRkcBypwrV66wMoQb4JIxANykZcuWaefOnapYsaKmT58uPz8/oyMBDnHVqhFeXl7y8vIqsDLEsWPHJP3fveGlgVUjikchBICblJSUJOnaTEh6ejqFEPiP3BUh/rwyRGBgoBFxUAwKIQC38tZbbykyMtLoGPlYLJa8WZBJkybd1GcZMXNS2po2barXXnvN6Bj4L65aNWLv3r1at26d7rjjDg0aNMjpnw/noRDCdHJycljH0I1FRkbql737Vd/iY3QUl6hkz5YkJe/7xeAkrhFjd/2C8ig72rZtq7Zt2xodAyVAIYSpLFiwQHv27FHXrl312GOPGR0HN6i+xUcvetc1OgZuwLuZsUZHAFAInjKGadhsNu3Zs0eStHv3boPTAABQdlAIYRpWq1Vdu3aVn5+funbtanQcoIDL3lblWIxOAcCMuGQMU3nssce4VIwy6UiQnw7eWkWV07PV83C8vGx2oyMBMBFmCAGgDDgfcO0hmdQKnkrz5aEnAKWLGcJypCwux+FMhS3HYbFYZLeXn5kUluMwrzvPpCrTw6rA1EwFXMk2Og4Ak6EQliORkZH6ac9P8s/2NzqKS9is1159dGLnCXl4eqjxfY3l5euluJNxijsZl+9Y7wreCmoapMwrmToXdc6IuA5L8UwxOgIMVCs1Uz1/STA6BgCTohCWM/7Z/mp/qb3RMVzOVsWmq75XJUm1K9ZWg0sN8u3PuD1DOYE5kqQ6p+vII67sX4LbE7DH6AgAAJPiHkK4JWuyVZ5HPWU9b5X3L94F9yf959TOlCypPLYJAEBxmCGE2/I+WrAI5vI66SWPeA9ZMi2yZFAIAQAoDjOEcBs2X5vsXvkfIMmpnqOc2jmFHm9JsyizVabSu6Urp2bhxwAAAGYIUYbZrXbJIllyLMquk63MDplStuS71VfWNKtyquco46EMySJ5/eQlrxNe+cbbAmzKCb5WBLOaZMkjvuzfRwg4Q1StSjpZs6KaXLisRglXjI4DwA1QCMuRxMREpXimlIuHE7wreuu2trfJ6mHVqQOnFFArQDWsNSRvKapulJIvJMu/ur+CLcGSpNiAWMUF5H/S2Gq16ra02+RTyUdnLp3RxYCLBnwnJZfimaLExESjY6CMuepp1fFalVQjLVNByRnXPd4uaV9wFdmtFu0P9qQQAigRCiHKpLot6srT+9rp6V/DX4kxifKt7KvsjGylxF9bniUlPkXnos7J09tTCdEFl+uw5dh0fPdxeXh4KCebS8ZwTz82DFBM9Qqy2O3qdzBOfhnFn8sWSbekZOh8gK9uKUGBBACJQliu1KhRQ5ciL7n9sjM5VXOUUfU/P8iypPqR9RWcFiydv7YpSEH/d/Cla/8VrOBSTOgaewL2qEaNGkbHQBlj/c8r7Cx2yVLCRdgfOnZRl308VOk65REAclEIUeZY06yypFpkr2yX1xEvWdN49gnm1f7UJdVMzVS1y1mqlGkr0RirpMqUQQAOoBCizLFkWeT7ja/kLVmusmQMzM3LZleTuMtGxwBQzlEIUSZZbBbpqtEpAAAwB67FwVRs/jZlNcwqsJ4hAABmxgwhTMPuadfVh65K3lJOnRz5/uBrdCQAAMoECiHMwyIpd21qzny3lZiYqPP2DL2bGWt0FNyAGHuGarPeJlDm8GMRpmHJssjnBx/l1MyR5ylOfQAAcvFTEabiEe/BK+zcXI0aNeQVfV4vetc1OgpuwLuZsarCeptAmcNDJQAAACZHIQQAADA5CiEAlIJUHw9lePJPLoCyiXsIAcDJ4ip7yyfbpoD0bEnSycCK2nVbVXln29TzcLz8HHytXJbVol+D/OSXkaNGCVdcERmAyVEIUebYfG2yVbfJ44KHLDm8ug7uJapWJe1tGCCLza5HjySo+uUsxVf2liRlelp1qYKXw4Xw5/r+iqztJ0nyu5qtWqmZTs8NwNwohChT7Ba7MrpkyF7RLut5K4tHw+2k+l77Z9Vuteiyt4eqX85Si3OpuuzjoUoZOQpKdvydjF45tmt/sNvlaeMtOwCcj0KIssVDsvtc+4Fnr8APPrifO86mKttqUYWsHNX741r587+aoy7HLt7wZ955JlX+6dmqlJmj6peznBUVAPJQCOFSdtllq26TNc0qS8b1L/9asi3y3u2tnKAceZ3wKoWEgHP5ZNvUPvqSUz/TKqlhYrpTPxMA/huFsJxJ8UzRnoA9RsfIE9QkSDVuraGsjCxF/TtKtmzb9QddkXTiP38O+L/NVRtUlU8FHyWeSFR2ZrYL0horxTPF6AgAAJOiEJYjTZs2NTpCAT4+PpIkLx8vNerQSHb7jV0Gtlqt8vW9dj9hjaAaunrV8fuw3EFZ/P8QAFD+UQjLkddee83oCAXExsZqw4YNuu2229SlS5cb/py4uDi9/vrrslgsslqtmjx5su666y7nBQUAwMQohHCpunXraty4cTf9ObVq1VJWVpa8vb1ltVpVvXp1J6QDAAAShRBuJDs7WzabTW+//baCgoKMjgMAQLnBe5TgVmw2G2UQAAAnoxACAACYHJeMAbidGHuG3s2MNTqGSyTbry2pVMVSPv95jrFn6A6jQwAooHz+iwOg3CrvS/OcO3ZMklS/WTODk7jGHSr//x8C7sgtC2F2draWLFmilStXKjY2VoGBgQoJCdGYMWPk5XX9t1tcunRJs2bN0nfffaeLFy+qUaNG+utf/6oePXqUQnoAN6MsLq/kTMOGDZMkLV682OAkAMzELe8hnDZtmt5++20FBAToySefVK1atTRr1ixNnjz5umOvXLmiUaNGafny5WrVqpWGDBmilJQUTZw4UUuWLCmF9ABQ0Pnz55WSwttqABjD7WYIf/rpJ61YsULdunXTP/7xD1ksFtntdr388stau3attm/frs6dOxc5ftGiRTp69KimTJmiIUOGSJLCwsIUGhqq999/X48++ihr3BkoJSVFJ06cULNmzVShQgWj4wClYseOHVq6dKl8fX3z/k0DgNLkdoVw6dKlkqTx48fLYrFIkiwWiyZNmqQvv/xSq1atKrYQLlu2TDVq1FBoaGjeNj8/P40bN06TJ0/W+vXrNWLECJd+D+XF2rVrtWbNGqd+pq+vr6xWq3JycpSRkZFv37H/3FuVe0mtNAwYMED9+vUrta8Hczp9+rQk6erVqxRCAIZwu0vG+/fvV9WqVdW4ceN822vVqqXg4GDt27evyLExMTGKi4tTmzZt5OHhkW9fu3btJKnY8XC9/y75fxYYGKjAwMDSjgS43KOPPqo77rhDnTp1ks1mMzoOABNyqxnCzMxMXbhwQa1atSp0f506dRQdHa2kpCRVq1atwP6YmBhJUv369QvsCwwMlI+PT95v6ri+fv36OX327MiRI/rpp5/0wAMPqEGDBk79bKCs2bJli2JiYtS7d2+NHz9ekrRx40aDUwEwI7cqhJcuXZIkVa5cudD9udtTU1MLLYS54/39/Qsd7+fnp9TU1JsPihvWsmVLtWzZ0ugYQB5X3BohXZsFz71PdteuXcrMzJTErREAjOFWhTA7+9qCrd7e3oXuz93+53vPHBmfnp5+szEB4LrsdrvsdnuBewa5LQKAEdyqEPr6+kqSsrKyCt2f+xt2UU+n+vj45DuusPEVK1a82ZgAyhFX3BqRKykpSQkJCWrcuHGh980CQGlxq0Lo5+cnq9WqtLS0QvfnXu4t6pJylSpVJKnI8WlpaSw5A6DUVKtWrdDbWwCgtLnVU8be3t4KCgpSbGzh7zCNjY1VtWrVFBAQUOj+4ODgvOP+LD4+XhkZGTzIAAAATMetCqEktWnTRgkJCYqOjs63PS4uTqdPny7yCWRJCgoKUlBQkA4cOFBgaYe9e/dKklq3bu380AAAAGWY2xXC3Ht5Pvzww7xSZ7fbNXPmTEnSoEGDih3fp08fXbhwId9r6tLS0jR37lz5+vqqb9++rgkOAABQRrnVPYSSdN9996lHjx7auHGjBg0apHbt2ungwYPav3+/unXrpk6dOuUdO3v2bEnShAkT8raNHj1amzZt0ltvvaV9+/apXr162rx5s86cOaPXX3+d+3kAAIDpWOxu+I6krKwszZs3T1988YXi4uIUFBSkPn36aPTo0fmWlGnSpIkkKSoqKt/4xMREzZw5U9u3b1d6eroaNmyop556Sj179ixxhtjYWD388MP69ttvVbduXed8YwAAAC5wvd7iloWwLKAQAgAAd3G93uJ29xACAADAuSiEAAAAJkchBAAAMDkKIQAAgMlRCAEAAEyOQggAAGByFEIAAACToxACAACYHIUQAADA5CiEAAAAJkchBAAAMDkKIQAAgMlRCAEAAEyOQggAAGByFEIAAACToxACAACYHIUQAADA5CiEAAAAJkchBAAAMDkKIQAAgMlRCAEAAEyOQggAAGByFEK4jfj4eA0dOlQJCQlGRwEAoFyhEMJthIeHa//+/QoPDzc6CgAA5QqFEG4hPj5eERERstvtWrNmzQ3NEsbExCgqKsoF6QAAcG8UQriF8PBw2Ww2SZLNZnN4lvDEiROaMWOGZs6cqZ07d7oiIgAAbotCCLewfv16ZWVlSZKysrK0bt06h8b/8ccfstvtkqTExESn5wMAwJ15Gh0AKInevXtr9erVysrKkpeXl/r06ePQ+DZt2ujChQu6cuWKunXr5qKUAAC4Jwoh3EJYWJgiIiIkSVarVWFhYQ6Nt1qt6t27tyuiAQDg9rhkDLdQs2ZNhYSEyGKxaMCAAQoMDDQ6EgAA5QYzhHAbYWFhOnHihMOzgwAAoHgUQriNmjVrasmSJUbHAACg3OGSMQAAgMlRCAEAAEyOQggAAGByFEIAAACToxACAACYHIUQAADA5CiEAAAAJkchBAAAMDkKIQAAgMlRCAEAAEyOQggAAGByFEIAAACToxACAACYHIUQAADA5CiEAAAAJkchBAAAMDkKIQAAgMlRCAEAAEyOQggAAGByFEIAKEPi4+M1dOhQJSQkGB0FgIlQCAGgDAkPD9f+/fsVHh5udBQAJkIhBAADxcTEaPny5YqKilJ8fLwiIiJkt9u1Zs0aZgkBlBpPowMAgJl9+umnSkxM1O7du1W1alXZbDZJks1mU3h4uKZOnWpwQgBmwAwhABiocuXKkqRKlSppw4YNysrKkiRlZWVp3bp1RkYDYCLMEAKAgcaPH6+jR4+qcePG8vHx0erVq5WVlSUvLy/16dPH6HgATIIZQgAwkJ+fn9q1a6eqVasqLCxMVuu1f5atVqvCwsIMTgfALCiEAFBG1KxZUyEhIbJYLBowYIACAwONjgTAJNzqkvGuXbv02Wef6ZdfftHVq1dVv3599evXT6NGjZKn5/W/lZiYGHXt2rXI/YcPH5aPj48zIwOAQ8LCwnTixAlmBwGUKrcphF9++aVeeuklVapUSY888oj8/Pz073//Wx988IEOHjyo8PBwWSyWYj8jMjJSktSjRw81bNiwwH4PDw+XZAeAkqpZs6aWLFlidAwAJuMWhfDq1auaMWOG/Pz89MUXX6hevXqSrj2FFxYWpm3btmnLli165JFHiv2cqKgoSdLYsWPVtGlTl+cGAABwB25xD+GPP/6oS5cuaeDAgXllUJK8vLw0duxYSdKOHTuu+zlRUVHy8vJSo0aNXJYVAADA3bjFDGHdunU1adIk3XvvvQX2eXt7S5KuXLly3c+JiopSgwYN5OXl5fSMAAAA7sotCmGjRo2KnNXbunWrJOm2224r9jOuXLmiM2fOqG3btnrzzTf1/fffKzExUY0aNdLIkSNZ7wsAAJiWWxTCopw8eVKLFi2St7e3+vfvX+yxx48fl91uz7v83K1bN/3xxx/atm2bXnjhBZ0+fVrPPPNMKSUHAAAoOwwthA899JDOnj1b7DFDhgzRlClTCmy/cOGCRo8erfT0dL3yyiuqXbt2sZ+TmpqqBg0aqGPHjnrttdfyFn+Ni4vTE088ofDwcD3yyCM8bAIAAEzH0ELYpUsXJSUlFXvMnXfeWWDb77//rpEjR+rs2bMKDQ3ViBEjrvu1HnjgAW3atKnA9lq1aunpp5/Wq6++qq+++opCCAAATMfQQvjqq686PObw4cMaO3askpKSFBoaqjfeeOOmc7Ro0UKSFBsbe9OfBQAA4G7cYtmZXP/+9781fPhwJSUlady4cXrzzTevuxh1rpiYGO3evVvp6ekF9l29elWSeEsJAMPFx8dr6NChSkhIMDoKABNxm0L4888/6+mnn1Z6erpeffVVTZw40aHxc+bM0YgRI/TDDz8U2HfgwAFJUsuWLZ2SFa7BD0qYQXh4uPbv36/w8HCjowAwEbcohJcvX9bEiROVnp6ul19+WcOHD3f4M7p37y5J+vjjj/OtWXjq1CnNmzdPVapUUa9evZyWGc7HD0qUd/Hx8YqIiJDdbteaNWvy/fJz6NAhPffcc3rvvfeUmZlpYEoA5ZFbLDuzcuVKnTt3TgEBAUpNTdXs2bMLHNOwYUP17NlTknTs2DFt3bpVzZo1U5cuXSRde6K5V69e2rBhg3r16qWHHnpIKSkp2rJlizIzMzV79mwFBASU5rdlGna7Xbt27ZIk3XfffSW+zP/f/vyDMiwsTIGBgc6OChgqPDxcNptNkmSz2RQeHq6pU6dKunbLTHp6uk6cOKHff/9dt99+u5FRAZQzblEI9+3bJ0m6dOmS5syZU+gxDz/8cL5COGfOHPXv3z+vEErSe++9p1atWmnVqlX6/PPPVaFCBbVt21ZPP/10oU8zwzn27NmjRYsWSbpWDu+//36HP6O4H5RAebF+/XplZWVJuvau9nXr1uWd5/fdd58iIyNVt25d1a9f38iYAMohtyiEjl4iDAkJUUhISIHtVqtVTz75pJ588klnRYODbmR2UCr+ByVQXvTu3VurV69WVlaWvLy88r1B6a677tKsWbMMTAegPHOLQgj31r59e0nXZgc7dOhwQ59R3A9KoLwICwtTRESEpGu/wIaFhRmcCIBZuMVDJXBvFotFHTp0uOH7B6VrPyhz3y7DD0qUVzVr1lRISIgsFosGDBjAfbIASg2FEG6BH5Qwi7CwMN1zzz380gOgVHHJGG4jLCxMJ06c4AclyrWaNWtqyZIlRscAYDIUQrgNflACAOAaXDIGAAAwOQohAACAyVEIAQAATI5CCAAAYHIUQgAAAJOjEAIAAJgchRAAAMDkKIQAAAAmRyEEAAAwOQohAACAyVEIAQAATI5CCAAAYHIUQgAAAJOjEAIAAJicp9EB3FVOTo4k6cKFCwYnAQAAKF5uX8ntL39GIbxBCQkJkqQhQ4YYnAQAAKBkEhISdOuttxbYbrHb7XYD8ri9q1ev6siRIwoMDJSHh4fRcQAAAIqUk5OjhIQEtWzZUr6+vgX2UwgBAABMjodKAAAATI5CCAAAYHIUQgAAAJOjEAIAAJgchRAAAMDkKIQAAAAmRyEEAAAwOQohDJedna2FCxeqR48euvPOO/Xwww/r448/VlZWVonGX7p0SdOmTdNDDz2kVq1aKSQkRBs3bnRxauDGxMXFqU2bNlq4cGGJx3COw10kJCRoypQp+stf/qKWLVuqY8eOev7553XmzJkSjedcNw6vroPhpk2bphUrVqhNmzZ66KGH9NNPP2nWrFmKiorSrFmzih175coVjRo1SseOHVP37t1Vu3Ztbd68WRMnTlRSUpKGDh1aSt8FcH2XL1/WhAkTlJaWVuIxnONwFwkJCRo4cKDOnz+vjh07qkePHoqOjtaGDRv0ww8/aMWKFQoODi5yPOe6weyAgQ4cOGBv3LixfcKECXabzWa32+12m81mf/HFF+2NGze2b9u2rdjxn3zyib1x48b2JUuW5G1LTU219+zZ096qVSt7YmKiS/MDJRUbG2vv37+/vXHjxvbGjRvbFyxYUKJxnONwF6+//rq9cePG9vnz5+fbvnbtWnvjxo3tY8eOLXY857qxuGQMQy1dulSSNH78eFksFkmSxWLRpEmTZLFYtGrVqmLHL1u2TDVq1FBoaGjeNj8/P40bN07p6elav36968IDJbRw4UL17t1bkZGRat++vUNjOcfhLrZu3apq1app+PDh+bb37dtX9evX186dO2Wz2Yocz7luLAohDLV//35VrVpVjRs3zre9Vq1aCg4O1r59+4ocGxMTk3c/loeHR7597dq1k6RixwOlZdGiRapTp46WLFmivn37lngc5zjcRU5OjsaOHavx48fLai1YLby9vZWVlaXs7OxCx3OuG497CGGYzMxMXbhwQa1atSp0f506dRQdHa2kpCRVq1atwP6YmBhJUv369QvsCwwMlI+Pj06fPu3UzMCNePPNN3XffffJw8PDoXOScxzuwsPDo8DMYK6TJ0/q1KlTql+/vry9vQs9hnPdeMwQwjCXLl2SJFWuXLnQ/bnbU1NTix3v7+9f6H4/P78ixwKl6YEHHigw61ESnONwdzabTdOnT5fNZtPjjz9e5HGc68ajEMIwuZcOivqNMXd7RkbGDY8vaizgDjjH4c7sdrumTJmi3bt3q2XLlkXOIEqc62UBhRCG8fX1laQi1xvMzMyUJFWoUKHQ/T4+PvmOK2x8xYoVbzYmYBjOcbir7Oxsvfrqq1q1apXq1aun8PDwIsuexLleFlAIYRg/Pz9ZrdYi12TLvTxQ1CXlKlWqSFKR49PS0uTn5+eEpIAxOMfhjtLT0xUWFqaIiAgFBwdr0aJFqlWrVrFjONeNx0MlMIy3t7eCgoIUGxtb6P7Y2FhVq1ZNAQEBhe7PXeC0sPHx8fHKyMhQgwYNnBUXKHWc43A3ycnJGj16tA4dOqTmzZvrn//8p6pXr37dcZzrxmOGEIZq06aNEhISFB0dnW97XFycTp8+XeQTyJIUFBSkoKAgHThwoMDaVnv37pUktW7d2vmhgVLCOQ53kpGRobFjx+rQoUNq27atFi9eXKIyKHGulwUUQhiqX79+kqQPP/ww7x8Bu92umTNnSpIGDRpU7Pg+ffrowoULWrJkSd62tLQ0zZ07V76+vg6t+QaURZzjcBczZ87UwYMH1bp1a3322WcOX+LlXDcWl4xhqPvuu089evTQxo0bNWjQILVr104HDx7U/v371a1bN3Xq1Cnv2NmzZ0uSJkyYkLdt9OjR2rRpk9566y3t27dP9erV0+bNm3XmzBm9/vrrha5fCJRVnONwVwkJCXlvnmrYsKE+++yzQo8bM2aMfHx8ONfLIIvdbrcbHQLmlpWVpXnz5umLL75QXFycgoKC1KdPH40ePTrfU2lNmjSRJEVFReUbn5iYqJkzZ2r79u1KT09Xw4YN9dRTT6lnz56l+n0AJREREaFXXnlFr7zyikaMGJFvH+c43NXWrVv19NNPX/e4ffv2yd/fn3O9DKIQAgAAmBz3EAIAAJgchRAAAMDkKIQAAAAmRyEEAAAwOQohAACAyVEIAQAATI5CCAAAYHIUQgBwsWHDhqlJkyZKSUkxOgoAFIpCCAAAYHIUQgAAAJOjEAIAAJicp9EBAMDdJSUlae7cudq6dasSExNVu3Ztde/eXWPGjFGlSpUKHZOVlaVly5bpq6++0smTJ5WRkaHAwEA98MADeu6551StWrW8Y3///XfNnDlThw8fVkJCgmrWrKkHH3xQTz/9tAIDAx0+DgD+zGK32+1GhwAAd5WQkKBBgwbp7NmzateunVq0aKFff/1Ve/bsUYcOHfTPf/5TI0eO1N69e7Vv3z75+/tLkp555hl98803atOmjVq1aqXMzEzt3LlTp0+fVsuWLbVmzRpJ18rmgAED9Mcff6hbt26qWbOmoqKi9P3336thw4Zat26dvLy8SnwcABSGGUIAuAnvvfeezp49q1deeUUjRozI2z5lyhStWLFC27ZtKzDm559/1jfffKPevXvr/fffz9uenZ2t/v3768iRI4qOjlaDBg20ceNGnTt3TjNmzNCAAQPyjp02bZqWLl2qf//73+rUqVOJjwOAwnAPIQDcoMzMTG3ZskXBwcH5yqAkjR07VuPGjSv0Uu0tt9yid955R88++2y+7Z6enmrTpo0k6eLFi5Ikm80mSTp69KhycnLyjp04caJ27tyZV/JKehwAFIZLxgBwg06cOKGePXuqX79++vvf/17kccOGDStwyVi6NiMYFRWl6OhoxcTE6NixY9q1a5fS0tK0ePFitW3bVnFxcerTp48uXbqkqlWr6v7779eDDz6ov/zlL6pSpUreZ5X0OAAoDDOEAHCDkpOTJUl+fn4Oj/3888/VuXNnhYSEaPLkyVqwYIHS09PVqFEjSVLu7+q1atXS6tWrNWDAANntdq1fv14vvPCCOnbsqClTpigzM9Oh4wCgMNxDCAA3KPcJ4suXLxe6/8qVK6pYsWKB7V9//bWmTp2qJk2aaOrUqWrRooVq164tSZo6daoOHTqU7/h69eppxowZysnJ0ZEjR/TDDz8oIiJCK1asUOXKlfXCCy84dBwA/BkzhABwgxo0aCAvLy8dPny4wL64uDi1bt1ar7/+eoF9GzZskCR98MEH6tKlS14ZlKRTp05J+r8Zwm+//VZvvPGG0tLS5OHhoVatWmn8+PFaunSpJOnAgQMOHQcAhaEQAsAN8vHxUbdu3XTy5EmtXLky3765c+dKkjp06FDoOElKTEzMt33t2rXau3evpGv3F0rXCuLy5cu1fPnyfMeePXtWkhQUFOTQcQBQGB4qAYCbEBcXp0GDBun8+fN64IEHdPvtt+uXX37Rvn371KVLF3388ccFHir57rvvNHbsWPn5+alnz57y8/PTL7/8or1796p69eq6ePGiPvjgA/Xq1UvJycl67LHHFBMTowcffFBNmjTRxYsXtWnTJknS8uXL1bRp0xIfBwCFoRACwE1KSEjQ7NmztX37diUlJalWrVrq06ePwsLC5O3tXehTxhs3btRnn32m06dPy9fXV/Xq1VPfvn3VunVr9e/fX3369NF7770n6Vrp/OSTT/Tvf/9bFy5ckJ+fn9q2bavx48fr9ttvz8tR0uMA4M8ohAAAACbHPYQAAAAmRyEEAAAwOQohAACAyVEIAQAATI5CCAAAYHIUQgAAAJOjEAIAAJgchRAAAMDkKIQAAAAmRyEEAAAwuf8PD7WeKmREai8AAAAASUVORK5CYII=", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + }, + { + "name": "stdout", + "output_type": "stream", + "text": [ "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -4505,9 +5038,9 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "1.0_acsf vs. 1.0_d2: t-test independent samples, P_val:5.907e-01 t=-5.404e-01\n", - "0.0_acsf vs. 0.0_d2: t-test independent samples, P_val:7.328e-01 t=3.425e-01\n", - "2.0_acsf vs. 2.0_d2: t-test independent samples, P_val:nan t=nan\n", + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.363e-02 U_stat=6.900e+01\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.207e-02 U_stat=2.800e+01\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.231e-01 U_stat=3.400e+01\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -4515,29 +5048,36 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "1.0_acsf vs. 1.0_d2: t-test independent samples, P_val:2.319e-01 t=1.206e+00\n", - "0.0_acsf vs. 0.0_d2: t-test independent samples, P_val:1.473e-02 t=-2.490e+00\n", - "2.0_acsf vs. 2.0_d2: t-test independent samples, P_val:nan t=nan\n" + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.498e-06 U_stat=2.780e+02\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.207e-02 U_stat=0.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.917e-01 U_stat=1.200e+01\n" ] }, { "data": { - "image/png": "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", + "image/png": "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", "text/plain": [ - "
" + "
" ] }, - "metadata": { - "needs_background": "light" + "metadata": {}, + "output_type": "display_data" + }, + { + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] }, + "metadata": {}, "output_type": "display_data" }, { "name": "stderr", "output_type": "stream", "text": [ - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\fromnumeric.py:3702: RuntimeWarning: Degrees of freedom <= 0 for slice\n", - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_methods.py:253: RuntimeWarning: invalid value encountered in double_scalars\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\statannotations\\_Plotter.py:337: UserWarning: Invalid x-position found. Are the same parameters passed to seaborn and statannotations calls? or are there few data points?\n" ] }, { @@ -4551,41 +5091,26 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "1.0_acsf vs. 1.0_dopamine: t-test independent samples, P_val:4.849e-01 t=7.019e-01\n", - "0.0_acsf vs. 0.0_dopamine: t-test independent samples, P_val:5.823e-01 t=5.523e-01\n", - "2.0_acsf vs. 2.0_dopamine: t-test independent samples, P_val:nan t=nan\n" - ] - }, - { - "name": "stderr", - "output_type": "stream", - "text": [ - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\fromnumeric.py:3702: RuntimeWarning: Degrees of freedom <= 0 for slice\n", - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_methods.py:253: RuntimeWarning: invalid value encountered in double_scalars\n" + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:2.471e-03 U_stat=2.270e+02\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.207e-02 U_stat=0.000e+00\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:1.231e-01 U_stat=6.000e+00\n" ] }, { - "name": "stdout", - "output_type": "stream", - "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_dopamine: t-test independent samples, P_val:4.758e-01 t=7.166e-01\n", - "0.0_acsf vs. 0.0_dopamine: t-test independent samples, P_val:8.563e-01 t=1.817e-01\n", - "2.0_acsf vs. 2.0_dopamine: t-test independent samples, P_val:nan t=nan\n" - ] + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" }, { "name": "stderr", "output_type": "stream", "text": [ - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\fromnumeric.py:3702: RuntimeWarning: Degrees of freedom <= 0 for slice\n", - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_methods.py:253: RuntimeWarning: invalid value encountered in double_scalars\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\statannotations\\_Plotter.py:337: UserWarning: Invalid x-position found. Are the same parameters passed to seaborn and statannotations calls? or are there few data points?\n" ] }, { @@ -4599,23 +5124,25 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "1.0_acsf vs. 1.0_dopamine: t-test independent samples, P_val:5.415e-01 t=6.133e-01\n", - "0.0_acsf vs. 0.0_dopamine: t-test independent samples, P_val:9.703e-01 t=-3.740e-02\n" + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.201e-01 U_stat=1.330e+02\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:8.118e-01 U_stat=1.200e+01\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.579e-01 U_stat=1.300e+01\n" ] }, { - "name": "stderr", - "output_type": "stream", - "text": [ - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\fromnumeric.py:3702: RuntimeWarning: Degrees of freedom <= 0 for slice\n", - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_methods.py:253: RuntimeWarning: invalid value encountered in double_scalars\n" - ] + "data": { + "image/png": "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", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ - "2.0_acsf vs. 2.0_dopamine: t-test independent samples, P_val:nan t=nan\n", "p-value annotation legend:\n", " ns: p <= 1.00e+00\n", " *: 1.00e-02 < p <= 5.00e-02\n", @@ -4623,315 +5150,2425 @@ " ***: 1.00e-04 < p <= 1.00e-03\n", " ****: p <= 1.00e-04\n", "\n", - "1.0_acsf vs. 1.0_dopamine: t-test independent samples, P_val:4.253e-01 t=8.015e-01\n", - "0.0_acsf vs. 0.0_dopamine: t-test independent samples, P_val:5.322e-01 t=6.275e-01\n", - "2.0_acsf vs. 2.0_dopamine: t-test independent samples, P_val:nan t=nan\n" + "0.0 vs. 1.0: Mann-Whitney-Wilcoxon test two-sided, P_val:4.346e-03 U_stat=2.220e+02\n", + "1.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:6.914e-01 U_stat=1.100e+01\n", + "0.0 vs. 2.0: Mann-Whitney-Wilcoxon test two-sided, P_val:3.317e-01 U_stat=2.900e+01\n" ] }, + { + "data": { + "image/png": "iVBORw0KGgoAAAANSUhEUgAAApoAAAJhCAYAAADhWRAlAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjcuMSwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy/bCgiHAAAACXBIWXMAAAsTAAALEwEAmpwYAABc0klEQVR4nO3de1yUZf7/8fcwnLRRESETxfCwaGahUVpp5WG/aVhIWkp5WLVAMw+ltdVW9vvat7W1wkQzN9syUfOwmmVrruWhtLXAw1qZYhosYoEogiAIDDO/P1xmFxlA1Nubw+v5eOxj7TrMfIYmfXtd933dFqfT6RQAAABwmXmYXQAAAADqJ4ImAAAADEHQBAAAgCEImgAAADAEQRMAAACG8DS7AJR39uxZ/fDDDwoMDJTVajW7HAAAgEqVlpYqKytLXbt2la+vb4V+gmYt88MPP2jEiBFmlwEAAHDBli1bpptvvrlCO0GzlgkMDJR07l/YNddcY3I1AAAAlcvIyNCIESNc+eV8BM1apmy7/JprrlGbNm1MrgYAAKB6lV3ux81AAAAAMARBEwAAAIYgaAIAAMAQBE0AAAAYgqAJAAAAQxA0AQAAYAiCJgAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBAETQAAABiCoAkAAABDEDQBAABgCIImAAAADEHQBAAAgCEImgAAADAEQRMAAACG8DS7AADA5fXtt99qzpw5Cg4O1k8//aTi4mLNmDFDnp6eevXVV+VwOCRJ48eP14ABA0yuFkB9RtAEgHrou+++00svvaTrrrtO7733nubPny+r1aqxY8dq0KBBOnjwoFauXEnQBGAots4BoB4KCgrSddddJ0nq0qWLcnNzdc8992jmzJmaPn269u/fr2nTpplcJYD6jqAJAPWQr6+v69cWi0VOp1PR0dH65JNP1KtXL+3YsUORkZHKy8szsUoA9R1BEwAaiOjoaB04cEBDhgzRyy+/rNOnTys3N9fssgDUY1yjCQANxFNPPaU//vGPevPNN+Xh4aFJkyapTZs2ZpcFoB4jaAJAPdOzZ099+umnbv957dq1ZpUFoAFi6xwAAACGYEUTDd7atWu1ceNGs8sAAFwGAwcO1JAhQ8wuA//GiiYavI0bN+rQoUNmlwEAuESHDh1i4aCWYUUTkBQaGqp33nnH7DIAAJcgNjbW7BJwHlY0AQAAYAiCJgAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBAcb4QGLzIy0uwSAACXAb+f1z4ETTR49957r9klAAAuA34/r33YOgcAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBAETQAAABiCoAkAAABDEDQBAABgCIImAAAADMGB7QAAXKJvv/1Wc+bMUXBwsH766ScVFxdrxowZ8vT01KuvviqHwyFJGj9+vAYMGGBytcCVQ9AEAOAy+O677/TSSy/puuuu03vvvaf58+fLarVq7NixGjRokA4ePKiVK1cSNNGgsHUOAMBlEBQUpOuuu06S1KVLF+Xm5uqee+7RzJkzNX36dO3fv1/Tpk0zuUrgyiJoAgBwGfj6+rp+bbFY5HQ6FR0drU8++US9evXSjh07FBkZqby8PBOrBK4sgiYAAAaJjo7WgQMHNGTIEL388ss6ffq0cnNzzS4LuGK4RhMAAIM89dRT+uMf/6g333xTHh4emjRpktq0aWN2WcAVQ9AEAOAS9ezZU59++qnbf167dq1ZZQGmI2gCQAOwdu1abdy40ewyAMMNHDhQQ4YMMbsM/FutCJp2u11Lly7VqlWrlJ6ersDAQA0ZMkSxsbHy8vKqdn5OTo7i4+O1bds2nTx5Uh06dNCjjz6qiIiICmN/+eUXzZ07V99++61OnTql9u3b6+GHH9YDDzwgi8VSbmxWVpbmzZunL7/8UidPnlSzZs102223aerUqQoODi43dvXq1XrhhRfc1hcWFqZVq1bV4CcCAJfXxo0bdejQIYWGhppdCmCYQ4cOSRJBsxapFUFz5syZWrlypcLDw9WvXz/t2bNH8fHxSk5OVnx8fJVzCwoKNG7cOB04cEADBw5Uq1attGnTJj355JPKzs7WyJEjXWMzMjL04IMPKicnRwMGDFDLli21Y8cOvfDCC/rxxx/10ksvucZmZWXpwQcf1K+//qpevXopIiJCKSkp+vTTT7V9+3atXLlSISEhrvHJycmSpJiYGPn4+JSr8ZprrrkMPyUAuDShoaF65513zC4DMExsbKzZJeA8pgfNPXv2uA6wnTt3rutIiGeffVbr1q3T1q1b1bdv30rnL1myRPv379eMGTM0YsQISdLEiRMVHR2t119/Xffcc49atGghSXrttdd04sQJLViwQP3795ckTZ8+XaNHj9by5cv10EMPuf62P2/ePP3666969tlnNXbsWNf7ffzxx/r973+vV199VQsXLnS1Jycny8/PT0899dRl/xkBAADURaYfb7Rs2TJJ0qRJk1xb1xaLRdOmTZPFYtHq1aurnL98+XIFBAQoOjra1Waz2TRhwgQVFhZq/fr1kiSn06nMzEx17drVFTIlydPTUwMHDpQk7du3z9X+xRdfyN/fX7/73e/Kvd/gwYPVtm1b7dixw/VIMUlsSQEAAJzH9BXNXbt2qXnz5hVCWsuWLRUSEqKkpKRK56alpSkzM1MDBgyQ1Wot19ezZ09JUlJSksaMGSOLxaKlS5e6fZ2ff/5Zklwrn6WlpRo/frw8PT3l4VExi3t7e6ukpER2u13e3t7KyMhQTk6OOnXqdOEfHAAAoJ4zNWgWFxcrIyNDYWFhbvtbt26tlJQUZWdny9/fv0J/WlqaJKlt27YV+gIDA+Xj46PU1FS3r+1wOHT8+HGtWbNGK1euVJcuXXTnnXdKkqxWa4WVzDJHjhzRzz//rLZt28rb21vSf67PLCkp0cSJE7V3716dPXtWN910k6ZOnaobb7yx6h8EAABAPWTq1nlOTo4kqUmTJm77y9ore1xX2fymTZu67bfZbJXOfeaZZ3TXXXcpPj5e1157rRYtWiRPz6pzt8Ph0MsvvyyHw6Fhw4a52suC5ooVK1RUVKQhQ4aoV69e2rlzpx5++GFt3769ytcFAACoj0xd0bTb7ZLkWhk8X1l7UVHRRc8vLCx029elSxddffXVOnjwoHbs2KGHHnpI77//fqVPbHA6nZoxY4Z27typrl27llvxdDgcat26tZ544glFRka62hMTEzVmzBg999xz2rx5c4W70QEAAOozU1c0fX19JZ3bcnanuLhYktSoUSO3/WXBrWycu/mNGzd22zd27Fg9/fTT+stf/qIZM2YoLS1NM2fOdDvWbrfrD3/4g1avXq3g4GAtWLCgXLidMGGCtmzZUi5kSlKPHj103333KSsrS4mJiW5fGwAAoL4ydUXTZrPJw8ND+fn5bvvLtr0r21pv1qyZJFU6Pz8/33WDT1VGjBihDz74QNu3b1dxcXG5EFlYWKipU6fqyy+/VEhIiN5//321bNmy2tcs06VLF61bt07p6ekXPAcALrfz/yIM1Ed8z2sfU4Omt7e3goKCKg1h6enp8vf3l5+fn9v+sgPT3c0/fvy4ioqK1K5dO0nnDnZPSkpSkyZNdNNNN1UYHxQUpH/961/Kzc1VYGCgJCk3N1cxMTHat2+funTponfffddtcN2/f78KCgp0yy23VOgr2/Zn2xyAme69916zSwAMx/e89jH9HM3w8HBlZWUpJSWlXHtmZqZSU1MrvSNdOhcOg4KCtHv37nJnWkpybVV3795d0rnV0djYWP3f//1fhdex2+06fPiwbDabmjdvLulcQBw/frz27dunHj16KCEhodLV0ccff1yjR49WdnZ2hb7du3dLkrp27Vrp5wAAAKiPTA+aUVFRkqQ5c+a4wqLT6VRcXJwkafjw4VXOj4yMVEZGRrkzMvPz87Vw4UL5+vpq8ODBks6dy9m9e3ft379ff/vb31xjnU6n3nzzTWVlZSkqKsp153lcXJz27t2r7t27a9GiRbLZbJXWMHDgQDkcDs2ZM0dOp9PV/tlnn2nbtm265ZZbOMwdAAA0OBbnfycjkzz55JPasGGDbrzxRvXs2VN79+7Vrl27yj2WUjr3WEhJmjx5smtufn6+hg4dqtTUVN19990KDg7Wpk2bdPToUb344ovlnnWenJysESNG6MyZM/rtb3+r1q1ba8+ePdq3b5+6du2qJUuW6KqrrlJWVpb69u2rkpISDR06VK1atXJbd2xsrHx8fHT69GlFR0fryJEjCgsLU3h4uFJSUrRt2zYFBAToww8/VHBw8AX9LNLT09W/f39t3ry50jvgAQAAaoPqckutCJolJSV655139NFHHykzM1NBQUGKjIxUTExMuRtzyp68U3ZuZZkTJ04oLi5OW7duVWFhodq3b69HHnlEgwYNqvBeqampio+P19dff60zZ86odevWGjRokGJiYlx3t3/xxRd6/PHHq607KSnJdYbn6dOnNX/+fH3++efKysqSn5+f+vTpoylTpujqq6++4J8FQRMAANQVdSJo4j8ImgAAoK6oLreYfo0mAAAA6ieCJoAr4uzZszp58qQmTJigoqIinT17VosWLdKmTZt09uxZs8sDABjA1HM0ATQcGzdu1Keffqqff/5ZEydOVElJifLy8tSoUSPt3r1bzz33nNklAgAuM1Y0AVwR9957r7y9vdW1a1cNHjxYM2bMUIsWLWS1WjVu3DizywMAGIAVTQBXhNVq1fjx49WpUyelpKSoefPmeumll1RYWKirrrrK7PIAAAYgaAK4IiwWi+tJX9ddd50kVfq0LQBA/cDWOQAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhuBmIDR4a9eu1caNG80uAzDcwIEDNWTIELPLANCAsKKJBm/jxo06dOiQ2WUAhjp06BB/oQJwxbGiCUgKDQ3VO++8Y3YZgGFiY2PNLgFAA8SKJgAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBAETQAAABiCoAkAAABDcI4mGrzIyEizSwAMx/ccgBkImmjw7r33XrNLAAzH9xyAGdg6BwAAgCEImgAAADAEQRMAAACGIGgCAADAEARNAAAAGIKgCQAAAEMQNAEAAGAIgiYAAAAMQdAEAACAIQiaAAAAMARBEwAAAIYgaAIAAMAQBE0AAAAYgqAJAAAAQxA0AQAAYAiCJgAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBAETQAAABiCoAkAAABDEDQBAABgCIImUAOnT5/WunXrtG/fPrNLAQCg1vM0uwDgfOvWrdOaNWuu2PudOHFCkhQQEFDtWG9vb3l6esrpdOrs2bNyOp0X9Z5Dhw5VVFTURc0FAKCuIGiiwcvKypJ0YUETAABcOIImap2oqKgruto3atQoSVJCQkK1Y4uLi5WYmKjg4GBde+21RpcGAECdRtAEasDb21u9e/c2uwwAAOoEbgYCAACAIQiaAAAAMARBEwAAAIYgaAIAAMAQBE2gCtnZ2crJyTG7DAAA6iTuOgcq8eOPP2revHny8PDQ9OnT1b59e7NLAgCgTqkVK5p2u12LFy9WRESEbrzxRvXv319vvfWWSkpKLmh+Tk6OZs6cqX79+iksLExDhgzRhg0b3I795Zdf9Mwzz6hPnz4KCwvT/fffr9WrV7t9wktN61q3bp2ioqLUrVs33XnnnZo1a5bOnDlz4T8I1CopKSlyOByy2+3617/+ZXY5AADUObUiaM6cOVOzZs2Sn5+fRo8erZYtWyo+Pl7Tp0+vdm5BQYHGjRunDz/8UGFhYRoxYoROnz6tJ598UkuXLi03NiMjQw8++KA+/fRT3XTTTXr44Ydlt9v1wgsvaObMmZdU15///Gc988wzcjgcGjlypDp37qzFixfrkUceUXFx8cX/cGCaO++8U926ddPNN9+sW2+91exyAACoe5wm2717tzM0NNQ5efJkp8PhcDqdTqfD4XD+/ve/d4aGhjq3bNlS5fy3337bGRoa6ly6dKmrLS8vzzlo0CBnWFiY88SJE672adOmOUNDQ51ffPGFq62kpMT50EMPOUNDQ53JyckXVVd6erqzS5cuzuHDhzuLi4td7W+++aYzNDTUmZCQcME/j6NHjzpDQ0OdR48eveA5uDQjR450jhw50uwyAACoc6rLLaavaC5btkySNGnSJFksFkmSxWLRtGnTZLFYtHr16irnL1++XAEBAYqOjna12Ww2TZgwQYWFhVq/fr0kyel0KjMzU127dlX//v1dYz09PTVw4EBJ0r59+y6qrlWrVslut2v8+PHy8vJytU+YMEE2m63azwAAAFAfmR40d+3apebNmys0NLRce8uWLRUSEqKkpKRK56alpSkzM1Ph4eGyWq3l+nr27ClJrvkWi0VLly7VmjVrKrzOzz//LElq0aLFRdVV9usePXqUG+vj46Nu3brp4MGDysvLq/RzAAAA1EemBs3i4mJlZGSobdu2bvtbt26t06dPKzs7221/WlqaJLmdHxgYKB8fH6Wmprqd63A4lJGRobfeeksrV65Uly5ddOedd15UXWlpaQoICNBVV13ldqx07sYSAACAhsTU443Kzids0qSJ2/6y9ry8PPn7+1c6v2nTpm7n22y2SlcSn3nmGX3yySeSpHbt2mnRokXy9PS8qLpycnLUpk2bKsfm5+e77QcAAKivTF3RtNvtkiRvb2+3/WXtRUVFFz2/srldunTRo48+qt69eyslJUUPPfSQ0tPTL6ouu91+0Z8BAACgvjJ1RdPX11eSKj2XsuxYoEaNGrnt9/HxKTfO3fzGjRu77Rs7dqzr18uWLdPMmTM1c+ZMvfPOOzWuy9fX96I/AwAAQH1l6oqmzWaTh4dHpdvKZdvelW1hN2vWTFLl29L5+fmy2WzV1jFixAhde+212r59u4qLi2tcV9OmTSvdoq/uMwAAANRXpgZNb29vBQUFubasz5eeni5/f3/5+fm57Q8JCXGNO9/x48dVVFSkdu3aSTp3sPuXX36pPXv2uH2toKAgORwO5ebm1riukJAQnTx5UmfPnq0w9tixY/Lw8NC1117r9rUAAADqK9OPNwoPD1dWVlaFu7IzMzOVmpqqsLCwSucGBQUpKChIu3fvlsPhKNeXmJgoSerevbukcyuLsbGx+r//+78Kr2O323X48GHZbDY1b968xnWFh4fL4XBo165d5cYWFRXpn//8pzp27HhBK6sAAAD1ielBMyoqSpI0Z84cV1h0Op2Ki4uTJA0fPrzK+ZGRkcrIyCj3uMn8/HwtXLhQvr6+Gjx4sKRz5192795d+/fv19/+9jfXWKfTqTfffFNZWVmKiopy3Xlek7ruvfdeWa1WzZ8/v9z1ogsXLlR+fn61nwEAAKA+MvVmIEm6/fbbFRERoQ0bNmj48OHq2bOn9u7dq127dmnAgAHq06ePa+y8efMkSZMnT3a1xcTEaOPGjXrllVeUlJSk4OBgbdq0SUePHtWLL75Y7lik//3f/9WIESP01FNPaePGjWrdurX27Nmjffv2qWvXrpo2bdpF1dWhQweNGzdOixYtUlRUlPr27avDhw9r27ZtuummmzRs2DDjfoAAAAC1lMXpdDrNLqKkpETvvPOOPvroI2VmZiooKEiRkZGKiYkpd2xQp06dJEnJycnl5p84cUJxcXHaunWrCgsL1b59ez3yyCMaNGhQhfdKTU1VfHy8vv76a505c0atW7fWoEGDFBMTU+HO8AutSzq32rl8+XItX75caWlpCgwM1P/8z/9o0qRJNboRKD09Xf3799fmzZsrPZsTl9eoUaMkSQkJCSZXAgBA3VJdbqkVQRP/QdC88giaAABcnOpyi+nXaAIAAKB+ImgCAADAEARNAAAAGIKgCQAAAEMQNAEAAGAIgiYAAAAMQdAEAACAIQiaAAAAMARBEwAAAIYgaAIAAMAQBE0AAAAYgqAJAAAAQxA0AQAAYAiCJgAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBAETdR5DofD7BIAAIAbBE3UaX/5y180ceJErVmzxuxSAADAeQiaqLNKS0uVmJgop9OpnTt3ml0OAAA4D0ETdZbValX//v1ls9n0P//zP2aXAwAAzuNpdgHApRg2bJiGDRtmdhkAAMANVjQBAABgCIImAAAADEHQBAAAgCEImgAAADAEQRMAAACGIGgCAADAEARNAAAAGIKgCQAAAEMQNAEAAGAIgiYAAAAMQdAEAACAIQiaAAAAMARBEwAAAIYgaAIAAMAQBE0AAAAYgqCJOsvhcOgvf/mLnn32We3du9fscgAAwHkImqizMjMzlZiYqFOnTunzzz83uxwAAHAegibqrICAALVr104Wi0U333yz2eUAAIDzeJpdAHCxvLy89Mwzz6ikpETe3t5mlwMAAM7DiibqNIvFQsgEAKCWImgCAADAEARNAAAAGIKgCQAAAEMQNAEAAGAIgiYAAAAMQdAEAACAIQiaqJd27typadOm6d1335XD4TC7HAAAGiSCJuqlLVu26MyZM0pKSlJ2drbZ5QAA0CDxZCBU65VXXtHBgwfNLqNGPD095eXlJYfDoenTp1e5qnngwAFJ0qhRo65UeVdc586d9fzzz5tdBgCggSFooloHDx7Unm/2qKm9qdml1EiTgCZqd1M7Wa1WHf3hqE79csrtOIfHuRB6eMfhK1neFXPa87TZJQAAGiiCJi5IU3tT3Zpzq9llVMkeZJe9vV2eKZ7yPOYpezO7ilUsSWrvaC+vHC+TKzTHN37fmF0CAKCBImii3ijuUSx5S8WBxfL8yFPWNKu8GnnJ6emU50981QEAuNL40xf1hkeOhxxXO+SRc+4eN4vTIq+DDXMVEwCA2qBWBE273a6lS5dq1apVSk9PV2BgoIYMGaLY2Fh5eVUfFHJychQfH69t27bp5MmT6tChgx599FFFRERUGJuamqr58+dr586dys3NVYsWLdSnTx9NnTpV/v7+rnGdOnWq9n2XLFminj17SpJWr16tF154we24sLAwrVq1qtrXw6Xx2e4jh99/giYAADBXrQiaM2fO1MqVKxUeHq5+/fppz549io+PV3JysuLj46ucW1BQoHHjxunAgQMaOHCgWrVqpU2bNunJJ59Udna2Ro4c6Rp7+PBhRUdH68yZM+rXr5/atm2r/fv3a8WKFdqxY4dWr17tCpuTJk1y+34nT57Uhx9+qBYtWqh9+/au9uTkZElSTEyMfHx8ys255pprLurngpqxlFpkPWk1uwwAAPBvpgfNPXv2aOXKlRowYIDmzp0ri8Uip9OpZ599VuvWrdPWrVvVt2/fSucvWbJE+/fv14wZMzRixAhJ0sSJExUdHa3XX39d99xzj1q0aCFJmjVrlvLy8jRv3jzdfffdrtdYsGCB5s6dqwULFrhWJSdPnuz2/R577DFZLBa99tprCgwMdLUnJyfLz89PTz311CX/TAAAAOoD0/cYly1bJuncCqLFYpEkWSwWTZs2TRaLRatXr65y/vLlyxUQEKDo6GhXm81m04QJE1RYWKj169dLkvLz87Vz505df/315UKmJMXGxsrHx0dfffVVle/1ySefaMuWLXrwwQfVq1evcn2HDh1SaGjohX1oAACABsD0oLlr1y41b968Qkhr2bKlQkJClJSUVOnctLQ0ZWZmKjw8XFZr+S3Tsmsny+Y7nU49/fTTGjt2bIXXsVqtslqtKigoqPS9ioqKNGfOHDVp0kTTpk0r15eRkaGcnJwLuq4TAACgoTA1aBYXFysjI0Nt27Z129+6dWudPn260kcIpqWlSZLb+YGBgfLx8VFqaqokqUmTJho7dqzuu+++CmO//vprFRQUqGPHjpXWunz5cv3yyy969NFH1bx583J9ZddnlpSUaOLEibrtttvUvXt3PfLII/ruu+8qfU0AAID6zNSgmZOTI+lcCHSnrD0vL6/K+U2bun9ijc1mq3RumcLCQr366quSpGHDhrkdU1paqiVLluiqq67Sww8/XKG/LGiuWLFCRUVFGjJkiHr16qWdO3fq4Ycf1vbt26usAZdfqX+pim4tkj3YbnYpAAA0WKbeDGS3nwsB3t7ebvvL2ouKii56fmFhYaXvX1xcrKlTp+qnn35S//793R6HJElbtmzRL7/8orFjx7oNtQ6HQ61bt9YTTzyhyMhIV3tiYqLGjBmj5557Tps3b65wNzqMU3xLsZzNnCptUyrrr1ZZ7BazSwIAoMExdUXT19dX0rktZ3eKi889PrBRo0Zu+8uCW9k4d/MbN27stq+goECPPfaYvvzyS91www2aPXt2pXWuW7dOUuUrnhMmTNCWLVvKhUxJ6tGjh+677z5lZWUpMTGx0tfH5eeR/+9D2wssUqnJxQAA0ECZGjRtNps8PDyUn5/vtr9s27uyrfVmzZpJUqXz8/PzZbPZKrRnZ2dr9OjR2rFjh7p166b33nvP7Tjp3GrqP/7xD4WGhpY7N/NCdenSRZKUnp5e47m4eN47veXzpY98v/CVxclqJgAAZjA1aHp7eysoKKjSEJaeni5/f3/5+fm57Q8JCXGNO9/x48dVVFSkdu3alWs/duyYHnroIX3//ffq3bu33n///Uqv8ZTObX8XFBRowIABlY7Zv39/pXfHl237s21+ZVkcFlkzrbIUEzIBADCL6ccbhYeHKysrSykpKeXaMzMzlZqaqrCwsErnBgUFKSgoSLt375bD4SjXV7ZV3b17d1dbdna2xo0bp9TUVEVERGjhwoWVbq2X2bdvn6vOyjz++OMaPXq027vjd+/eLUnq2rVrle+D2slpcaq4a7GKbyqW08tpdjkAANQppgfNqKgoSdKcOXNcYdHpdCouLk6SNHz48CrnR0ZGKiMjQ0uXLnW15efna+HChfL19dXgwYNd7TNmzFBqaqruvvtuvfHGGxf0HPUff/xRknT99ddXOmbgwIFyOByaM2eOnM7/hJHPPvtM27Zt0y233MJh7nVUaZtS2bvYZe9oV0ln99cSAwAA90x/BOXtt9+uiIgIbdiwQcOHD1fPnj21d+9e7dq1SwMGDFCfPn1cY+fNmyep/OMhY2JitHHjRr3yyitKSkpScHCwNm3apKNHj+rFF190Pbt8//79+vzzz2WxWBQUFKS33nqrQi0+Pj6KjY0t13b06FH5+vpWub0+ceJEffXVV1q1apWSk5MVHh6ulJQUbdu2TYGBgZo1a9al/IhgIssZi+SQ5PGfG4wAAMCFMT1oStLs2bPVsWNHffTRR/rggw8UFBSkKVOmKCYmxvVYSkmaP3++pPJB02azadmyZYqLi9PWrVu1fft2tW/fXnFxcRo0aJBr3H8/IWjx4sVu62jSpEmFoHnq1KlKb0Yq07RpU61YsULz58/X559/roSEBPn5+emBBx7QlClTdPXVV9fo54Haw5ptle/nvnJ6OWU9Ya1+AgAAcLE4/3uvF6ZLT09X//79tXnzZrVp08bsciRJo0aN0uEdh3Vrzq1ml4KL8I3fN+rYu6MSEhLMLgUAUM9Ul1vYCwQAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBAETQAAABiCoAkAAABDEDQBAABgCIImAAAADEHQBAAAgCFqxSMogdrEfq1dxTcVy3rcKu9/eMvitFQ/CQAAVMCKJnAeewe75CWVti6V08YTWgEAuFgETeA8nimeUqnk8auHLPmsZgIAcLHYOgfO45nieS5sAgCAS8KfpgCAC1JcXKw///nPOnnypMaMGaOQkBCzSwJQyxE0AcAE69at05o1a67Y+504cUKSFBAQcNGvYbVa5ePjI0l6+eWXVVxcXOX4oUOHKioq6qLfD0DdR9AEgAYgKytL0qUFzdLSUjkcDlksFpWWll6u0gDUYwRNADBBVFTUFV3tGzVqlCQpISHhkl6ntLRUJSUl8vX1vRxlAajnCJoAgAtmtVpltVrNLgNAHcHxRgAAADAEQRMAAACGYOsc1Tpx4oROe57WN37fmF0KLsJpz9OuO44BALiSWNEEAACAIVjRRLUCAgKUczBHt+bcanYpuAjf+H1zSUfaAABwsVjRBAAAgCEImgAAADAEQRMAAACGIGgCAADAEARNAAAAGIKgCQAAAENwvBFwCUpblKokrEQeJzzk/Z232eUAAFCrsKIJXIKSG0rkCHDI3tkuR1OH2eUAAFCrEDSBS2A9bpUkWfItshRYTK4GAIDaha1z4BJ4/egla5pVlkKLLKUETQAA/htBE7hEHvlsDAAA4A5/QgIAAMAQBE0AAAAYgqAJAAAAQxA0AQAAYAiCJgAAAAxB0ASABmjLli169tlntW7dOrNLAVCPETQBoAHasGGDTp06pc8++0ylpaVmlwOgniJoAkADdPPNN0uSunfvLqvVanI1AOorDmwHgAYoOjpaUVFR8vX1NbsUAPXYRQfN/Px8bdu2TQcPHlReXp6aN2+usLAw9erVS97e3pezRgCAAQiZAIx2UUHzo48+0qxZs5SXlyen0+lqt1gsuuaaa/Tyyy+rd+/el61IAAAA1D01Dprbtm3TH/7wBzVp0kQTJkzQjTfeqICAAOXl5WnPnj1aunSpJk6cqKVLl+rGG280omYAAADUATUOmgsXLlSzZs20evVqBQcHl+u7/fbbNWjQIA0bNkzz58/XO++8c9kKBS6W08sp2SWL02J2KQAANCg1vus8OTlZAwYMqBAyy7Rr105333239u7de8nFAZeqpFOJCu8v1NnfnpXTw1n9BAAAcNnUOGg2adJEDoejyjEWi0U+Pj4XXRRwuZS2Pnc+oLO5U86rCJoAAFxJNQ6aw4YN0/r16/Xdd9+57f/555+1ceNG3X///ZdcHHCpvA54yXLaIusRqyx5bJ0DAHAl1fgazW7duql9+/Z6+OGHNWjQIN18881q2bKlioqK9P3332vlypXy8PCQn5+flixZUm7u6NGjL1vhwIWw/mpVo18bmV0GAAANUo2D5qOPPur69ccff6yPP/5YFsu5laL/Puro9ddfr3D0EUET9UWpf6kc1zhkTbXKo4AHbAEA4E6Ng+asWbOMqAOoM5xWp4ruKpK8pNJWpfLdzKHXAAC4U+OgybWXgKSyxfqq74sDAKBB41nnQA1ZSi3y3eqr0pal8kzjPyEAACpT4z8lHQ6Hli1bpk8//VTHjh1TcXGx23EWi0XffvvtJRcI1EYeuR7yyOXaTAAAqlLjoLlgwQK99dZbcjqdCggIkM1mu+Qi7Ha7li5dqlWrVik9PV2BgYEaMmSIYmNj5eXlVe38nJwcxcfHa9u2bTp58qQ6dOigRx99VBERERXGpqamav78+dq5c6dyc3PVokUL9enTR1OnTpW/v3+5sW+++abefvttt+8ZERGhOXPmlGtbt26dFi9erNTUVDVt2lT33HOPpkyZoquuuqoGPw0AAID6ocZB86OPPlKrVq2UkJCg1q1bX5YiZs6cqZUrVyo8PFz9+vXTnj17FB8fr+TkZMXHx1c5t6CgQOPGjdOBAwc0cOBAtWrVSps2bdKTTz6p7OxsjRw50jX28OHDio6O1pkzZ9SvXz+1bdtW+/fv14oVK7Rjxw6tXr26XNg8ePCgvL29FRsbW+F9f/Ob35T75z//+c+Ki4tTp06dNHLkSB06dEiLFy/Wvn37tGTJEnl7e1/iTwkAAKBuqXHQzM7O1sMPP3zZQuaePXu0cuVKDRgwQHPnzpXFYpHT6dSzzz6rdevWaevWrerbt2+l85csWaL9+/drxowZGjFihCRp4sSJio6O1uuvv6577rlHLVq0kHTujvm8vDzNmzdPd999t+s1FixYoLlz52rBggV64YUXXO2HDh1Sx44dNXny5Co/w7FjxxQfH6/u3bsrISHBtQpb9pqrVq0qF3gBAAAaghpfZNalSxelpaVdtgKWLVsmSZo0aZLrPE6LxaJp06bJYrFo9erVVc5fvny5AgICFB0d7Wqz2WyaMGGCCgsLtX79eklSfn6+du7cqeuvv75cyJSk2NhY+fj46KuvvnK15efn69ixY+rUqVO1n2HVqlWy2+0aP358ua3+CRMmyGazVfsZAAAA6qMaB81p06bpyy+/1IcffljuQPaLtWvXLjVv3lyhoaHl2lu2bKmQkBAlJSVVOjctLU2ZmZkKDw+X1Wot19ezZ09Jcs13Op16+umnNXbs2AqvY7VaZbVaVVBQ4Go7ePCgJF1Q0Cx7jx49epRr9/HxUbdu3XTw4EHl5eVV+zoAAAD1SY23zsPDwzV8+HDNnDlTr732mlq1auX2+kOLxaK1a9dW+VrFxcXKyMhQWFiY2/7WrVsrJSVF2dnZFW7UkeRaWW3btm2FvsDAQPn4+Cg1NVWS1KRJE7chU5K+/vprFRQUlKsjOTlZ0rlLBcaOHasffvhBknTbbbfpiSeeUPv27cvVERAQ4Pamn7JLDFJSUnTjjTe6fX8AAID6qMZBc/HixVq6dKmcTqcKCgp05MgRt+PKtsGrkpOTI+lcCHSnrD0vL89t0Cyb37RpU7fzbTZbtSuJhYWFevXVVyVJw4YNc7WXBc333ntP/fr104MPPqjk5GT9/e9/1z/+8Q8lJCTouuuuc9XRpk2bKj9Dfn5+lXWgdioNKJXD3yHPFE9ZSqr/TgMAgP+ocdBcsmSJ/Pz89Prrr+umm25So0aNLvrN7Xa7JFV6R3ZZe1FR0UXPLywsrPT9i4uLNXXqVP3000/q379/ueOQrFarWrdurVmzZrm24SXpk08+0dNPP60//OEP+uijj1x1XOxnQO3laOQ496hJq+Twd8jnGx+zSwIAoE6pcdA8efKkoqOj1atXr0t+c1/fc8+ILikpcdtfdhh8ZWHWx8en3Dh38xs3buy2r6CgQJMnT9aOHTt0ww03aPbs2eX6X3rpJbfzIiMjtWrVKiUlJennn39W+/bt5evre9GfAbWc5bz/BwAAF6zGNwN16NBBp06duixvbrPZ5OHhUem2ctm2d2Vb682aNZNU+bZ0fn6+2wPls7OzNXr0aO3YsUPdunXTe++9V6OD57t06SJJSk9Pl3Ru676yLfrqPgNqL49CD/l86SOv77zkvZtzUAEAqKkaB83HHntMGzdu1JYtWy75zb29vRUUFOQKbOdLT0+Xv7+//Pz83PaHhIS4xp3v+PHjKioqUrt27cq1Hzt2TA899JC+//579e7dW++//36Fazztdru+++477du3z+37nj17VtJ/VlRDQkJ08uRJV/v57+fh4aFrr73W7WuhdrNmWeV10EuWYpY0AQCoqRpvnR85ckQdOnTQ448/rtatW+vaa691uy1ssVg0b968al8vPDxcH3/8sVJSUsqFwszMTKWmplZ5WHtQUJCCgoK0e/duORwOeXj8JzcnJiZKkrp37+5qy87O1rhx45SamqqIiAjNnj3b7SMuHQ6HHn74YTVu3Fg7d+4sd3SS0+nU3r175enp6boZKDw8XN9++6127dql3r17u8YWFRXpn//8pzp27HhZHtUJAABQl9R4RfPNN9/UgQMH5HQ6lZ6erq+//lpffPGF2/9diKioKEnSnDlz5HA4JJ0Lc3FxcZKk4cOHVzk/MjJSGRkZWrp0qastPz9fCxculK+vrwYPHuxqnzFjhlJTU3X33XfrjTfeqPQ56t7e3urbt69yc3P1zjvvlOt77733dOjQId17772uldB7771XVqtV8+fPL3e96MKFC5Wfn1/tZwAAAKiParyiuXnz5stawO23366IiAht2LBBw4cPV8+ePbV3717t2rVLAwYMUJ8+fVxjy1ZI//uRkDExMdq4caNeeeUVJSUlKTg4WJs2bdLRo0f14osvuo5F2r9/vz7//HNZLBYFBQXprbfeqlCLj4+P67nmzzzzjPbu3as333xTiYmJ6ty5s3744QclJiaqY8eOevbZZ13zOnTooHHjxmnRokWKiopS3759dfjwYW3btk033XRTuWOTAAAAGopqg+bBgwcVGBjoel64EU+4mT17tjp27KiPPvpIH3zwgYKCgjRlyhTFxMSUO49z/vz5ksoHTZvNpmXLlikuLk5bt27V9u3b1b59e8XFxWnQoEGucf/9hKDFixe7raNJkyauoNmmTRutWbNGc+fO1VdffaWkpCRdffXVGjdunCZOnFjh5p7p06erVatWWr58uZYsWaLAwECNGTNGkyZNqvToIwAAgPrM4qzmOZKdO3fWpEmTNGnSJNc/X8hh7JJ04MCBS6+wgUlPT1f//v21efPmSg+Bv9JGjRqlwzsO69acW80uBRfhG79v1LF3RyUkJJhdCkw0atQoSeJ7AOCyqi63VLuief/997tuepHOXVN5oUETAAAADVe1QXPWrFnl/rnscY0AAABAVWp81zkAAABwIQiaAFCHlB0DBwB1AUETAOqI5ORkPfHEE3r++eeVm5trdjkAUC2CJgDUEbt371ZRUZFOnDihQ4cOmV0OAFSLoAkAdcTtt98uf39/hYSEqEuXLmaXAwDVqvGTgQAA5ggJCalwEggA1GasaAIAAMAQBE0AAAAYgqAJAAAAQ3CNJgDUUSdPntQHH3ygRo0aaezYsfL19TW7JAAohxVNAKijtm3bpuTkZP3zn//U7t27zS4HACogaAJAHdWpUyd5eHjI19dX7dq1M7scAKiArXMAqKO6du2qP/3pT/L09FTjxo3NLgcAKiBoAkAd1rRpU7NLAIBKETQBQNIrr7yigwcPml2GYQ4cOCBJGjVqlMmVGKdz5856/vnnzS4DwH8haAKApIMHD+r7xF1qa/ExuxRDXOW0S5Jyk743uRJjpDmLzC4BgBsETQD4t7YWH/3eu43ZZeAizC5ON7sEAG5w1zkAAAAMQdAEAACAIdg6BwAA9cKRI0e0atUqhYSEKDo6WhaLxeySGjyCJgAAMMS6deu0Zs2aK/Z+FotFjRo1UmpqqjZu3CiHw2H4ew4dOlRRUVGGv09dxdY5AACoF06cOCFJcjgcVyRkonqsaAI14PRwqvjWYjn8HPJO8pY1y2p2SQBQa0VFRV3R1b5Ro0apsLBQ7777rry9va/Y+6JyrGgCNeDwd6i0TamcNqfsoXazywEAnMfpdBIyaxGCJlADHrkespy2SA7Jms5qJgAAVWHrHKgBS4lFvht9JatkKeVuRgAAqsKKJlBDFlkImWhQ+LoDuFisaAIAKrW1k7/S/Rupa3qeuh89bXY5AOoYgiYAwK0SD4vS/RtJklICGhE064FXXnlFBw8eNLsMwxw4cEDSubvP66vOnTvr+eefN7uMC0bQBAC45eVwqvOv+fpXi0a6/pd8s8vBZXDw4EF9s2eP7E2bml2KITz+fXbmjsOHTa7EGJ6n695f9giaAIBK3ZKaq1tSc80uA5eRvWlT5dx6q9ll4CL4ffON2SXUGDcDAQAAwBCsaOKCnPY8rW/86t7fpC5EkUeRJMnH4WNyJcY47Vn3tloAAPUDQRPV6ty5s9klGKrs4vGO13U0uRLj1Pd/hwCA2omgiWrVpbvbLkbZ3YkJCQkmVwIAQP3CNZoAAAAwBEETAAAAhmDrHACABuLEiRPyPH26Th6Tg3PnaJ44ccLsMmqEFU0AAAAYghVNAAAaiICAAB3MyeHA9jrK75tvFBAQYHYZNcKKJgAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBDcdQ4AQANSn8/R9CgqkiQ5fHxMrsQYnqdPm11CjRE0AaCBK/Ty0MFrbArIL1bwqbNmlwMDde7c2ewSDHXgwAFJ0nUdO5pciXHq2r9DgiYANHDftvfTUf9GsjidGrw3U02KSs0uCQZ5/vnnzS7BUKNGjZIkJSQkmFwJynCNJgA0cF6lTkmSh1OyOpwmVwOgPmFFEwAauB4/5+jq00XyP1OixiUOs8sBUI8QNAGggfNyOPWb4wVmlwGgHmLrHAAAAIYgaAJAA+WQlNvIU6UWsysBUF+xdQ4ADdT2UH+ltWiklrlFuvvHE2aXA0iSjh49qvnz58vHx0dPPPGE/P39zS4Jl6BWBE273a6lS5dq1apVSk9PV2BgoIYMGaLY2Fh5eXlVOz8nJ0fx8fHatm2bTp48qQ4dOujRRx9VREREhbGpqamaP3++du7cqdzcXLVo0UJ9+vTR1KlTK3yZs7KyNG/ePH355Zc6efKkmjVrpttuu01Tp05VcHBwubGrV6/WCy+84La+sLAwrVq1qgY/EQAwXmZTb0nS8abeckpiYROX27p167RmzZoazfHy8nL92T99+nTZ7fYLnlt2jmbZMUdXwtChQxUVFXXF3q+uqRVBc+bMmVq5cqXCw8PVr18/7dmzR/Hx8UpOTlZ8fHyVcwsKCjRu3DgdOHBAAwcOVKtWrbRp0yY9+eSTys7O1siRI11jDx8+rOjoaJ05c0b9+vVT27ZttX//fq1YsUI7duzQ6tWrXWEzKytLDz74oH799Vf16tVLERERSklJ0aeffqrt27dr5cqVCgkJcb12cnKyJCkmJkY+5z2R4JprrrlMPykAuHxuSclV8jVXqX1WASETtYbdbpenp6ecTqdKS2t2pmtgYKBBVeFimR409+zZo5UrV2rAgAGaO3euLBaLnE6nnn32Wa1bt05bt25V3759K52/ZMkS7d+/XzNmzNCIESMkSRMnTlR0dLRef/113XPPPWrRooUkadasWcrLy9O8efN09913u15jwYIFmjt3rhYsWOBalZw3b55+/fVXPfvssxo7dqxr7Mcff6zf//73evXVV7Vw4UJXe3Jysvz8/PTUU09d1p8PABil3clCtTtZaHYZqMeioqJY7WvgTL8ZaNmyZZKkSZMmyWI593dqi8WiadOmyWKxaPXq1VXOX758uQICAhQdHe1qs9lsmjBhggoLC7V+/XpJUn5+vnbu3Knrr7++XMiUpNjYWPn4+Oirr75ytX3xxRfy9/fX7373u3JjBw8erLZt22rHjh1yOP5z3tyhQ4cUGhp6ET8BAACA+sn0Fc1du3apefPmFUJay5YtFRISoqSkpErnpqWlKTMzUwMGDJDVai3X17NnT0lSUlKSxowZI6fTqaeffloBAQEVXsdqtcpqtaqg4Nw5cqWlpRo/frw8PT3l4VExi3t7e6ukpER2u13e3t7KyMhQTk6OOnXqVOPPD3OkpKRo9erV5S5/QMN24sQJ/eos0uzidLNLwUVIcxap1QluaAJqG1ODZnFxsTIyMhQWFua2v3Xr1kpJSVF2drbbu87S0tIkSW3btq3QFxgYKB8fH6WmpkqSmjRpUm4L/L99/fXXKigocNVhtVorrGSWOXLkiH7++We1bdtW3t7nLqQvuz6zpKREEydO1N69e3X27FnddNNNmjp1qm688cYqfgowwyeffKIjR47oyJEjrss1AADA5WVq0MzJyZF0LgS6U9ael5fnNmiWzW/atKnb+TabTXl5eVXWUFhYqFdffVWSNGzYsCrHOhwOvfzyy3I4HOXGlgXNFStWqHfv3hoyZIj+9a9/acuWLfr222/19ttv64477qjytXFlderUST/++KNatGiho0ePml0OaoGAgAB5pfyq33u3MbsUXITZxelq5mbHCoC5TA2aZUcWlK0Mnq+svaio6KLnFxZWfqF7cXGxpk6dqp9++kn9+/d3exxSGafTqRkzZmjnzp3q2rVruRVPh8Oh1q1b64knnlBkZKSrPTExUWPGjNFzzz2nzZs3V7gbHeYZOHCgwsPD1aRJE8XExEiSDh48qPXr1+v666+v8rsAAAAujKk3A/n6+ko6t+XsTnFxsSSpUaNGbvvLglvZOHfzGzdu7LavoKBAjz32mL788kvdcMMNmj17dqV12u12/eEPf9Dq1asVHBysBQsWlAu3EyZM0JYtW8qFTEnq0aOH7rvvPmVlZSkxMbHS14c5AgMDXd9BSfrrX/+qw4cP6+OPP9apU6dMrAyoHX5p5qNNXQJ04JqrzC4FQB1latC02Wzy8PBQfn6+2/6ybe/KttabNWsmSZXOz8/Pl81mq9CenZ2t0aNHa8eOHerWrZvee+89t+Okc1vrEydO1Nq1axUSEqIlS5aoZcuW1X62Ml26dJEkpadzg0Ft95vf/EaS1KpVq0q/D0BDktSumTKb+WhXOz8VWzlpE0DNmbp17u3traCgoEpDWHp6uvz9/eXn5+e2v+yOYXfzjx8/rqKiIrVr165c+7FjxzRu3Dilpqaqd+/emjdvXqWrnrm5uYqJidG+ffvUpUsXvfvuu64zOf/b/v37VVBQoFtuuaVCX9m2P9vmtd/w4cN1xx13qEWLFhf0RCqgvgvMK9bpRl7yO1Miz1JumANQc6afoxkeHq6srCylpKSUa8/MzFRqamqld6RLUlBQkIKCgrR79+5yZ1pKcm1Vd+/e3dWWnZ3tCpkRERFauHBhpSGzqKhI48eP1759+9SjRw8lJCS4DZmS9Pjjj2v06NHKzs6u0Ld7925JUteuXSv9HKg9goKC+EsB8G+3HcnRvfsydc8PWeb/YQGgTjL9946yJwbMmTPHFRadTqfi4uIknVtlqkpkZKQyMjK0dOlSV1t+fr4WLlwoX19fDR482NU+Y8YMpaam6u6779Ybb7xR5apVXFyc9u7dq+7du2vRokVVbqUOHDhQDodDc+bMKXdMzmeffaZt27bplltu4TB3AHWORVLzArs8HaxmArg4ph/YfvvttysiIkIbNmzQ8OHD1bNnT+3du1e7du3SgAED1KdPH9fYefPmSZImT57saouJidHGjRv1yiuvKCkpScHBwdq0aZOOHj2qF1980XUs0v79+/X555/LYrEoKChIb731VoVafHx8FBsbq6ysLNcTi9q3b69Fixa5rb3siUITJ07UV199pVWrVik5OVnh4eFKSUnRtm3bFBgYqFmzZl2uHxcAAECdYXrQlKTZs2erY8eO+uijj/TBBx8oKChIU6ZMUUxMjOuxlJI0f/58SeWDps1m07JlyxQXF6etW7dq+/btat++veLi4jRo0CDXuLInDDmdTi1evNhtHU2aNFFsbKz27dvnuhN+zZo1ldb9u9/9Tj4+PmratKlWrFih+fPn6/PPP1dCQoL8/Pz0wAMPaMqUKbr66qsv+mcDAABQV1mcPBKlVklPT1f//v21efNmtWnDwdFXwqhRoyRJCQkJJlcCM40aNUq5Sd9zYHsdNbs4Xc1uuYH/joErrLrcYvo1mgAAAKifCJoAAAAwBEETAOo457//BwC1Ta24GQgAcHFyfT216foAOS3S//x4Qs0L7GaXBAAurGgCQB12rLmvznpbVeRlVXpz3wuak2XzUr631eDKAIAVTQCo09pmF+rw1Y3ltEjXniysdvyPrWzaHdJM1lKH7tt3XE2KSq9AlQAaKoImANRhtqJSRe47fsHjcxqf+22/1OqhfF9PgiYAQxE0AaABCTuap1IPi5qcteua3CKzywFQzxE0AaABuaq4VHf8dMrsMgA0ENwMBAAAAEMQNAEAAGAIgiYAAAAMQdAEAACAIQiawL9t2bJFjz/+uBYsWCCHw2F2OQAA1HkETeDfduzYIbvdrn379ik3N9fscgAAqPMImsC/3XXXXfL19dXNN98sPz8/s8sBAKDO4xxN4N/uuusu3XXXXWaXAQBAvcGKJgAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBAETQAAABiCoAkAAABDEDQBAABgCIImAAAADEHQBAAAgCEImgAAADAEzzoHgH9LcxZpdnG62WUYItdplyQ1s9TP3/bTnEW6wewiAFRQP3/HAYAa6ty5s9klGOqXAwckSW2vu87kSoxxg+r/v0OgLiJoAoCk559/3uwSDDVq1ChJUkJCgsmVAGhIuEYTAAAAhiBoAgAAwBAETQAAABiCoAkADYTFYjG7BAANDEETAOq5/Px8NWrUSI0aNdLHH39sdjkAGhCCJgDUc6dOnXKtZqalpZlcDYCGhKAJAPVccHCwiouLVVpaqiFDhphdDoAGhHM0AaABsNvtstvtat26tdmlAGhAWNEEAACAIQiaAAAAMARBEwAAAIYgaAIAAMAQBE0AAAAYgqAJAAAAQxA00WA5nU6zSwAAoF7jHE00OIWFhXrjjTeUkZGhRx55xOxyAACotwiaaHDS0tJ09OhRSVJiYqLJ1QAAUH+xdY4Gp127durUqZOaNGmiO+64w+xygGo5HA4lJSXpwIEDZpcCADXCiiYaHG9vb02bNs3sMoALtnnzZv31r3+VJE2fPl2hoaEmVwQAF4YVTQCo5QoLC93+GgBqO1Y0AaCWGzhwoKxWq2w2m8LCwswuBwAuGEETAGo5b29vDRo0yOwyAKDG2DoHAACAIQiaAAAAMARBEwAAAIaoFUHTbrdr8eLFioiI0I033qj+/fvrrbfeUklJyQXNz8nJ0cyZM9WvXz+FhYVpyJAh2rBhg9uxqampeuqpp9SrVy917dpVd911l1566SVlZ2dfcl3r1q1TVFSUunXrpjvvvFOzZs3SmTNnLvwHAQAAUI/UiqA5c+ZMzZo1S35+fho9erRatmyp+Ph4TZ8+vdq5BQUFGjdunD788EOFhYVpxIgROn36tJ588kktXbq03NjDhw/rgQce0N/+9jd169ZNo0aN0rXXXqsVK1bowQcfrBA2a1LXn//8Zz3zzDNyOBwaOXKkOnfurMWLF+uRRx5RcXHxpf2AAAAA6iDT7zrfs2ePVq5cqQEDBmju3LmyWCxyOp169tlntW7dOm3dulV9+/atdP6SJUu0f/9+zZgxQyNGjJAkTZw4UdHR0Xr99dd1zz33qEWLFpKkWbNmKS8vT/PmzdPdd9/teo0FCxZo7ty5WrBggV544YUa13Xs2DHFx8ere/fuSkhIkJeXlyS5XnPVqlUaOXKkIT8/AACA2sr0Fc1ly5ZJkiZNmiSLxSJJslgsmjZtmiwWi1avXl3l/OXLlysgIEDR0dGuNpvNpgkTJqiwsFDr16+XJOXn52vnzp26/vrry4VMSYqNjZWPj4+++uqri6pr1apVstvtGj9+vCtkStKECRNks9mq/QwAAAD1kelBc9euXWrevHmFR6q1bNlSISEhSkpKqnRuWlqaMjMzFR4eLqvVWq6vZ8+ekuSa73Q69fTTT2vs2LEVXsdqtcpqtaqgoOCi6ir7dY8ePcqN9fHxUbdu3XTw4EHl5eVV+jkAAADqI1ODZnFxsTIyMtS2bVu3/a1bt9bp06fd3qgjnQuaktzODwwMlI+Pj1JTUyVJTZo00dixY3XfffdVGPv111+roKBAHTt2vKi60tLSFBAQoKuuusrtWElKSUlx+1oAAAD1lalBMycnR9K5EOhOWXtlq4Fl85s2beq232azVbuSWFhYqFdffVWSNGzYsIuqKycnp9qx+fn5VdYBAABQ35gaNO12u6Rzj1dzp6y9qKjooudXNlc6t3I5depU/fTTT+rfv78iIiIuqi673X7RnwEAAKC+MjVo+vr6SlKl51KWHQvUqFEjt/0+Pj7lxrmb37hxY7d9BQUFeuyxx/Tll1/qhhtu0OzZsy+6Ll9f34v+DAAAAPWVqUHTZrPJw8Oj0m3lsq3pyralmzVrJqnyben8/HzZbLYK7dnZ2Ro9erR27Nihbt266b333is3rqZ1NW3atNIt+uo+AwAAQH1latD09vZWUFCQ0tPT3fanp6fL399ffn5+bvtDQkJc4853/PhxFRUVqV27duXajx07poceekjff/+9evfurffff7/CNZ41rSskJEQnT57U2bNnK4w9duyYPDw8dO2117p9LQAAgPrK9OONwsPDlZWVVeGu7MzMTKWmpiosLKzSuUFBQQoKCtLu3bvlcDjK9SUmJkqSunfv7mrLzs7WuHHjlJqaqoiICC1cuLDSrfWa1BUeHi6Hw6Fdu3aVG1tUVKR//vOf6tixo9uVVQAAgPrM9KAZFRUlSZozZ44rLDqdTsXFxUmShg8fXuX8yMhIZWRklHvcZH5+vhYuXChfX18NHjzY1T5jxgylpqbq7rvv1htvvFHucPVLqevee++V1WrV/Pnzy10vunDhQuXn51f7GQAAAOoj0x9BefvttysiIkIbNmzQ8OHD1bNnT+3du1e7du3SgAED1KdPH9fYefPmSZImT57saouJidHGjRv1yiuvKCkpScHBwdq0aZOOHj2qF198Uf7+/pKk/fv36/PPP5fFYlFQUJDeeuutCrX4+PgoNja2xnV16NBB48aN06JFixQVFaW+ffvq8OHD2rZtm2666SbXsUkAAAANielBU5Jmz56tjh076qOPPtIHH3ygoKAgTZkyRTExMa7HP0rS/PnzJZUPmjabTcuWLVNcXJy2bt2q7du3q3379oqLi9OgQYNc4/77CUGLFy92W0eTJk1cQbMmdUnS9OnT1apVKy1fvlxLlixRYGCgxowZo0mTJlV69BEAAEB9ZnE6nU6zi8B/pKenq3///tq8ebPatGljdjkNwqhRoyRJCQkJJlcCGIfvOQAjVJdbTL9GEwAAAPUTQRMAAACGIGgCAADAEARNAAAAGIKgCQAAAEMQNAEAAGAIgiYAAAAMQdAEAACAIQiaAAAAMARBEwAAAIYgaAIAAMAQBE0AAAAYgqAJAAAAQxA0AQAAYAiCJnABcnNz5XA4zC4DAIA6xdPsAoDzrVu3TmvWrLli73fgwAFJ0qhRo9z2e3l5ycvLS6WlpSoqKros7zl06FBFRUVdltcCAKC2ImiiwQsMDKyy32q1lvt/AABwYQiaqHWioqJq1Wrf7t27tX79eoWFhen+++83uxwAAOoMgiZQjfDwcIWHh5tdBgAAdQ43AwEAAMAQBE0AAAAYgqAJAAAAQxA0AQAAYAiCJgAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBAETQAAABiCoAkAAABDEDQBAABgCIImAAAADEHQBAAAgCEImgAAADAEQRMAAACGIGgCAADAEARNAAAAGIKgCQAAAEMQNAEAAGAIgiYAAAAMQdAEAACAIQiaAAAAMARBEwAAAIYgaAIAAMAQBE0AAAAYgqAJAAAAQxA0AQAAYAiCJgAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBAETQAAABiCoAkAAABDEDQBAABgCIImAAAADEHQBAAAgCE8zS5Akux2u5YuXapVq1YpPT1dgYGBGjJkiGJjY+Xl5VXt/JycHMXHx2vbtm06efKkOnTooEcffVQRERFVzjtw4IAeeOABzZ07V7/97W/L9XXq1Kna912yZIl69uwpSVq9erVeeOEFt+PCwsK0atWqal8PAACgPqkVQXPmzJlauXKlwsPD1a9fP+3Zs0fx8fFKTk5WfHx8lXMLCgo0btw4HThwQAMHDlSrVq20adMmPfnkk8rOztbIkSPdzsvKytKUKVNkt9vd9k+aNMlt+8mTJ/Xhhx+qRYsWat++vas9OTlZkhQTEyMfH59yc6655poqPwMAAEB9ZHrQ3LNnj1auXKkBAwZo7ty5slgscjqdevbZZ7Vu3Tpt3bpVffv2rXT+kiVLtH//fs2YMUMjRoyQJE2cOFHR0dF6/fXXdc8996hFixbl5hw8eFCTJk3S0aNHK33dyZMnu21/7LHHZLFY9NprrykwMNDVnpycLD8/Pz311FM1+fgAAAD1lunXaC5btkzSuRVEi8UiSbJYLJo2bZosFotWr15d5fzly5crICBA0dHRrjabzaYJEyaosLBQ69evLzd+9uzZeuCBB5SVlaXw8PAa1frJJ59oy5YtevDBB9WrV69yfYcOHVJoaGiNXg8AAKA+Mz1o7tq1S82bN68Q0lq2bKmQkBAlJSVVOjctLU2ZmZkKDw+X1Wot11d27eT58//yl7/ohhtu0Nq1a3XbbbddcJ1FRUWaM2eOmjRpomnTppXry8jIUE5OzgVd1wkAANBQmBo0i4uLlZGRobZt27rtb926tU6fPq3s7Gy3/WlpaZLkdn5gYKB8fHyUmpparv2dd97Rhx9+qA4dOtSo1uXLl+uXX37Ro48+qubNm5frK7s+s6SkRBMnTtRtt92m7t2765FHHtF3331Xo/cBAACoL0wNmjk5OZKkJk2auO0va8/Ly6tyftOmTd3222y2CnPvuuuuGtdZWlqqJUuW6KqrrtLDDz9cob8saK5YsUJFRUUaMmSIevXqpZ07d+rhhx/W9u3ba/yeAAAAdZ2pNwOV3fHt7e3ttr+svaio6KLnFxYWXmqZ2rJli3755ReNHTvWbah1OBxq3bq1nnjiCUVGRrraExMTNWbMGD333HPavHlzhbvRAQAA6jNTVzR9fX0lndtydqe4uFiS1KhRI7f9ZcGtbJy7+Y0bN77UMrVu3TpJ0rBhw9z2T5gwQVu2bCkXMiWpR48euu+++5SVlaXExMRLrgMAAKAuMTVo2mw2eXh4KD8/321/2bZ3ZVvrzZo1k6RK5+fn58tms11SjUVFRfrHP/6h0NDQcudmXqguXbpIktLT0y+pDgAAgLrG1KDp7e2toKCgSkNYenq6/P395efn57Y/JCTENe58x48fV1FRkdq1a3dJNSYmJqqgoEADBgyodMz+/fsrvTu+bNufbXMAANDQmH68UXh4uLKyspSSklKuPTMzU6mpqQoLC6t0blBQkIKCgrR79245HI5yfWVb1d27d7+k+vbt2+eqszKPP/64Ro8e7fbu+N27d0uSunbtekl1AAAA1DWmB82oqChJ0pw5c1xh0el0Ki4uTpI0fPjwKudHRkYqIyNDS5cudbXl5+dr4cKF8vX11eDBgy+pvh9//FGSdP3111c6ZuDAgXI4HJozZ46cTqer/bPPPtO2bdt0yy23cJg7AABocEx/BOXtt9+uiIgIbdiwQcOHD1fPnj21d+9e7dq1SwMGDFCfPn1cY+fNmyep/OMhY2JitHHjRr3yyitKSkpScHCwNm3apKNHj+rFF1+Uv7//JdV39OhR+fr6VnqEknTukZdfffWVVq1apeTkZIWHhyslJUXbtm1TYGCgZs2adUk1AAAA1EWmr2hK5x4LOWXKFJ06dUoffPCBTpw4oSlTpuj11193PZZSkubPn6/58+eXm2uz2bRs2TINHTpUu3bt0vLly9W0aVPFxcVp5MiRl1zbqVOnKr0ZqUzTpk21YsUK/e53v1NWVpYSEhL0ww8/6IEHHtDatWsVHBx8yXUAAADUNRbnf+/1wnTp6enq37+/Nm/erDZt2phdDoB6YtSoUZKkhIQEkysBUJ9Ul1tqxYomAAAA6h+CJgAAAAxB0AQA6OjRo26PigOAS2H6XecA0BCtW7dOa9asuWLvd+DAAUn/uVbzv1ksFvn6+spisaikpKTSxwLX1NChQ11H2AFomAiaANAABAYGVtpnsVhcJ3z890kfAHCpCJoAYIKoqKhatdq3Y8cO/fLLLxo4cGCV5wYDQE0QNAEA6t27t9klAKiHuBkIAAAAhiBoAgAAwBAETQAAABiCoAkAAABDEDQBAABgCIImAAAADEHQBAAAgCEImgDQABw/flwjR45UVlaW2aUAaEAImgDQACxYsEC7du3SggULzC4FQANC0ASAOq6goEA7d+6sdLXy+PHjWrt2rZxOp9asWcOqJoArhqAJAHXcn//8Zy1evFh/+tOfVFJSUqF/wYIFcjgckiSHw8GqJoArhqAJAHXcmTNnJEmFhYUqLS2t0L9+/XpXAC0pKdEnn3xyResD0HARNAGgjnv00UfVv39/TZo0Sb6+vhX677vvPnl5eUmSvLy8FBkZeaVLBNBAETQBoI675pprNGzYMF133XVu+ydOnCgPj3O/3Xt4eGjixIlXsjwADRhBEwDquauvvlpDhgyRxWLR0KFDFRgYaHZJABoIT7MLAAAYb+LEiTp8+DCrmQCuKIImADQAV199tZYuXWp2GQAaGLbOAQAAYAiCJgAAAAxB0AQAAIAhCJoAAAAwBEETAAAAhiBoAgAAwBAETQAAABiCoAkAAABDEDQBAABgCIImAAAADEHQBAAAgCEImgAAADAEQRMAAACG8DS7AJRXWloqScrIyDC5EgAAgKqV5ZWy/HI+gmYtk5WVJUkaMWKEyZUAAABcmKysLF177bUV2i1Op9NpQj2oxNmzZ/XDDz8oMDBQVqvV7HIAAAAqVVpaqqysLHXt2lW+vr4V+gmaAAAAMAQ3AwEAAMAQBE0AAAAYgqAJAAAAQxA0AQAAYAiCJgAAAAxB0AQAAIAhCJoAAAAwBEET9ZbdbtfixYsVERGhG2+8Uf3799dbb72lkpKSC5qfk5OjmTNnql+/fgoLC9OQIUO0YcMGg6sGLk5mZqbCw8O1ePHiC57Ddxx1RVZWlmbMmKG77rpLXbt2Va9evfTUU0/p6NGjFzSf77p5eAQl6q2ZM2dq5cqVCg8PV79+/bRnzx7Fx8crOTlZ8fHxVc4tKCjQuHHjdODAAQ0cOFCtWrXSpk2b9OSTTyo7O1sjR468Qp8CqN6ZM2c0efJk5efnX/AcvuOoK7KysvTggw/q119/Va9evRQREaGUlBR9+umn2r59u1auXKmQkJBK5/NdN5kTqId2797tDA0NdU6ePNnpcDicTqfT6XA4nL///e+doaGhzi1btlQ5/+2333aGhoY6ly5d6mrLy8tzDho0yBkWFuY8ceKEofUDFyo9Pd15//33O0NDQ52hoaHO999//4Lm8R1HXfHiiy86Q0NDne+991659nXr1jlDQ0Od48ePr3I+33VzsXWOemnZsmWSpEmTJslisUiSLBaLpk2bJovFotWrV1c5f/ny5QoICFB0dLSrzWazacKECSosLNT69euNKx64QIsXL9Z9992ngwcP6tZbb63RXL7jqCu++OIL+fv763e/+1259sGDB6tt27basWOHHA5HpfP5rpuLoIl6adeuXWrevLlCQ0PLtbds2VIhISFKSkqqdG5aWprrejer1Vqur2fPnpJU5XzgSlmyZIlat26tpUuXavDgwRc8j+846orS0lKNHz9ekyZNkodHxcji7e2tkpIS2e12t/P5rpuPazRR7xQXFysjI0NhYWFu+1u3bq2UlBRlZ2fL39+/Qn9aWpokqW3bthX6AgMD5ePjo9TU1MtaM3Ax/vd//1e33367rFZrjb6TfMdRV1it1gormWWOHDmin3/+WW3btpW3t7fbMXzXzceKJuqdnJwcSVKTJk3c9pe15+XlVTm/adOmbvttNlulc4Er6Y477qiwSnMh+I6jrnM4HHr55ZflcDg0bNiwSsfxXTcfQRP1TtkWSmV/wy1rLyoquuj5lc0F6gK+46jLnE6nZsyYoZ07d6pr166VrnhKfNdrA4Im6h1fX19JqvS8zOLiYklSo0aN3Pb7+PiUG+dufuPGjS+1TMA0fMdRV9ntdv3hD3/Q6tWrFRwcrAULFlQaIiW+67UBQRP1js1mk4eHR6VnCpZtk1S2td6sWTNJqnR+fn6+bDbbZagUMAffcdRFhYWFmjhxotauXauQkBAtWbJELVu2rHIO33XzcTMQ6h1vb28FBQUpPT3dbX96err8/f3l5+fntr/s4F93848fP66ioiK1a9fucpULXHF8x1HX5ObmKiYmRvv27VOXLl307rvvqkWLFtXO47tuPlY0US+Fh4crKytLKSkp5dozMzOVmppa6R3pkhQUFKSgoCDt3r27wtlsiYmJkqTu3btf/qKBK4TvOOqSoqIijR8/Xvv27VOPHj2UkJBwQSFT4rteGxA0US9FRUVJkubMmeP6zcXpdCouLk6SNHz48CrnR0ZGKiMjQ0uXLnW15efna+HChfL19a3RmYVAbcR3HHVFXFyc9u7dq+7du2vRokU13urmu24uts5RL91+++2KiIjQhg0bNHz4cPXs2VN79+7Vrl27NGDAAPXp08c1dt68eZKkyZMnu9piYmK0ceNGvfLKK0pKSlJwcLA2bdqko0eP6sUXX3R7/iZQW/EdR12VlZXletJb+/bttWjRIrfjYmNj5ePjw3e9FrI4nU6n2UUARigpKdE777yjjz76SJmZmQoKClJkZKRiYmLK3aXYqVMnSVJycnK5+SdOnFBcXJy2bt2qwsJCtW/fXo888ogGDRp0RT8HcCHWrl2r5557Ts8995zGjBlTro/vOOqqL774Qo8//ni145KSktS0aVO+67UQQRMAAACG4BpNAAAAGIKgCQAAAEMQNAEAAGAIgiYAAAAMQdAEAACAIQiaAAAAMARBEwAAAIYgaAJAHTVq1Ch16tRJp0+fNrsUAHCLoAkAAABDEDQBAABgCIImAAAADOFpdgEAAPeys7O1cOFCffHFFzpx4oRatWqlgQMHKjY2VldddZXbOSUlJVq+fLn+9re/6ciRIyoqKlJgYKDuuOMOPfHEE/L393eN/de//qW4uDh99913ysrK0tVXX60777xTjz/+uAIDA2s8DgDOZ3E6nU6ziwAAlJeVlaXhw4fr2LFj6tmzp66//nr9+OOP+uabb3Tbbbfp3Xff1dixY5WYmKikpCQ1bdpUkjRlyhT9/e9/V3h4uMLCwlRcXKwdO3YoNTVVXbt21Zo1aySdC7FDhw7VqVOnNGDAAF199dVKTk7Wl19+qfbt2+uTTz6Rl5fXBY8DAHdY0QSAWui1117TsWPH9Nxzz2nMmDGu9hkzZmjlypXasmVLhTn//Oc/9fe//1333XefXn/9dVe73W7X/fffrx9++EEpKSlq166dNmzYoF9++UV//OMfNXToUNfYmTNnatmyZfr666/Vp0+fCx4HAO5wjSYA1DLFxcX6/PPPFRISUi5kStL48eM1YcIEt1vW11xzjV599VVNnTq1XLunp6fCw8MlSSdPnpQkORwOSdL+/ftVWlrqGvvkk09qx44drvB4oeMAwB22zgGgljl8+LAGDRqkqKgo/elPf6p03KhRoypsnUvnVjCTk5OVkpKitLQ0HThwQP/4xz+Un5+vhIQE9ejRQ5mZmYqMjFROTo6aN2+u3r17684779Rdd92lZs2auV7rQscBgDusaAJALZObmytJstlsNZ67YsUK9e3bV0OGDNH06dP1/vvvq7CwUB06dJAkla0ttGzZUn/96181dOhQOZ1OrV+/Xk8//bR69eqlGTNmqLi4uEbjAMAdrtEEgFqm7I7yM2fOuO0vKChQ48aNK7R/9tlneumll9SpUye99NJLuv7669WqVStJ0ksvvaR9+/aVGx8cHKw//vGPKi0t1Q8//KDt27dr7dq1WrlypZo0aaKnn366RuMA4HysaAJALdOuXTt5eXnpu+++q9CXmZmp7t2768UXX6zQ9+mnn0qS3njjDf32t791hUxJ+vnnnyX9Z0Vz8+bN+n//7/8pPz9fVqtVYWFhmjRpkpYtWyZJ2r17d43GAYA7BE0AqGV8fHw0YMAAHTlyRKtWrSrXt3DhQknSbbfd5naeJJ04caJc+7p165SYmCjp3PWb0rng+eGHH+rDDz8sN/bYsWOSpKCgoBqNAwB3uBkIAGqhzMxMDR8+XL/++qvuuOMO/eY3v9H333+vpKQk/fa3v9Vbb71V4Wagbdu2afz48bLZbBo0aJBsNpu+//57JSYmqkWLFjp58qTeeOMN3XvvvcrNzdUDDzygtLQ03XnnnerUqZNOnjypjRs3SpI+/PBDde7c+YLHAYA7BE0AqKWysrI0b948bd26VdnZ2WrZsqUiIyM1ceJEeXt7u73rfMOGDVq0aJFSU1Pl6+ur4OBgDR48WN27d9f999+vyMhIvfbaa5LOhdm3335bX3/9tTIyMmSz2dSjRw9NmjRJv/nNb1x1XOg4ADgfQRMAAACG4BpNAAAAGIKgCQAAAEMQNAEAAGAIgiYAAAAMQdAEAACAIQiaAAAAMARBEwAAAIYgaAIAAMAQBE0AAAAYgqAJAAAAQ/x/XHkg+e3gIGYAAAAASUVORK5CYII=", + "text/plain": [ + "
" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "for val in ['Vm_avg', 'resistance','mi', 'thr', 'isi', 'sub_thr','imp']:\n", + "\n", + " fig,ax = plt.subplots(1,1,figsize=[10,10])\n", + "\n", + " plot_significance_new(data = df_inh_for_sig[df_inh_for_sig.cond=='m1'],var = val,hue = 'class',ax=ax,palette=['purple','crimson','teal'])\n", + " if val =='mi':\n", + " plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/MI_acsf_dist.png',dpi=200)\n", + " else:\n", + " plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": 34, + "metadata": {}, + "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\fromnumeric.py:3702: RuntimeWarning: Degrees of freedom <= 0 for slice\n", - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_methods.py:253: RuntimeWarning: invalid value encountered in double_scalars\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\paxplot\\core.py:842: Warning: The function you have called (set_figheight) is not officially supported by Paxplot, but it may still work. Report issues to https://github.com/kravitsjacob/paxplot/issues\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\paxplot\\core.py:842: Warning: The function you have called (set_figwidth) is not officially supported by Paxplot, but it may still work. Report issues to https://github.com/kravitsjacob/paxplot/issues\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\paxplot\\core.py:842: Warning: The function you have called (legend) is not officially supported by Paxplot, but it may still work. Report issues to https://github.com/kravitsjacob/paxplot/issues\n", + "No artists with labels found to put in legend. Note that artists whose label start with an underscore are ignored when legend() is called with no argument.\n" ] }, { - "name": "stdout", - "output_type": "stream", - "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_dopamine: t-test independent samples, P_val:8.049e-01 t=2.479e-01\n", - "0.0_acsf vs. 0.0_dopamine: t-test independent samples, P_val:9.933e-01 t=8.392e-03\n", - "2.0_acsf vs. 2.0_dopamine: t-test independent samples, P_val:nan t=nan\n" - ] - }, + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": { + "needs_background": "light" + }, + "output_type": "display_data" + } + ], + "source": [ + "import pandas as pd\n", + "import matplotlib.pyplot as plt\n", + "import paxplot\n", + "# Import data\n", + "df = df_inh_for_sig[['Vm_avg', 'resistance','mi', 'thr', 'isi', 'sub_thr','imp','class','cond']]\n", + "cols = df.columns[:-2]\n", + "\n", + "# Split data\n", + "df_d1 = df_inh_for_sig[df_inh_for_sig.cond=='d1ago']\n", + "exps_d1 = df_d1[df_d1.cond=='d1ago']['exp_name'].to_numpy()\n", + "df_acsf_temp = df_inh_for_sig[df_inh_for_sig.cond=='acsf']\n", + "df_acsf_d1s = df_acsf_temp[df_acsf_temp.exp_name.isin(exps_d1)]\n", + "\n", + "# Create figure\n", + "paxfig = paxplot.pax_parallel(n_axes=len(cols))\n", + "paxfig.set_figheight(10)\n", + "paxfig.set_figwidth(25)\n", + "\n", + "paxfig.plot(\n", + " normalize(df_d1[['Vm_avg', 'resistance','mi', 'thr', 'isi', 'sub_thr','imp']].to_numpy()), #stats.zscore(df_d1[['Vm_avg', 'resistance','mi', 'thr', 'isi', 'sub_thr','imp']].to_numpy())\n", + " line_kwargs={'alpha': 0.5, 'color': 'blue', 'zorder': 1}\n", + ")\n", + "\n", + "# Add grey data\n", + "paxfig.plot(\n", + " normalize(df_acsf_d1s[['Vm_avg', 'resistance','mi', 'thr', 'isi', 'sub_thr','imp']].to_numpy()),\n", + " line_kwargs={'alpha': 0.5, 'color': 'grey', 'zorder': 0}\n", + ")\n", + "\n", + "# Add labels\n", + "paxfig.set_labels(cols)\n", + "paxfig.legend()\n", + "plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": 193, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "188" + ] + }, + "execution_count": 193, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "len(np.unique(df_exc_for_sig.exp_name))" + ] + }, + { + "cell_type": "code", + "execution_count": 186, + "metadata": {}, + "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\fromnumeric.py:3702: RuntimeWarning: Degrees of freedom <= 0 for slice\n", - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_methods.py:253: RuntimeWarning: invalid value encountered in double_scalars\n" + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\paxplot\\core.py:842: Warning: The function you have called (set_figheight) is not officially supported by Paxplot, but it may still work. Report issues to https://github.com/kravitsjacob/paxplot/issues\n", + "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\paxplot\\core.py:842: Warning: The function you have called (set_figwidth) is not officially supported by Paxplot, but it may still work. Report issues to https://github.com/kravitsjacob/paxplot/issues\n" ] }, { - "name": "stdout", - "output_type": "stream", - "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_dopamine: t-test independent samples, P_val:1.053e-01 t=-1.639e+00\n", - "0.0_acsf vs. 0.0_dopamine: t-test independent samples, P_val:8.687e-01 t=1.658e-01\n", - "2.0_acsf vs. 2.0_dopamine: t-test independent samples, P_val:nan t=nan\n" + "ename": "ValueError", + "evalue": "Found array with 0 sample(s) (shape=(0, 2)) while a minimum of 1 is required by the normalize function.", + "output_type": "error", + "traceback": [ + "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[1;31mValueError\u001b[0m Traceback (most recent call last)", + "\u001b[1;32m\u001b[0m in \u001b[0;36m\u001b[1;34m\u001b[0m\n\u001b[0;32m 43\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 44\u001b[0m \u001b[1;31m# Add grey data\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m---> 45\u001b[1;33m paxfig.plot(normalize(df_3.to_numpy()), #stats.zscore(df_1.to_numpy())\n\u001b[0m\u001b[0;32m 46\u001b[0m line_kwargs={'alpha': 0.5, 'color': 'teal', 'zorder': 0})\n\u001b[0;32m 47\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\preprocessing\\_data.py\u001b[0m in \u001b[0;36mnormalize\u001b[1;34m(X, norm, axis, copy, return_norm)\u001b[0m\n\u001b[0;32m 1790\u001b[0m \u001b[1;32mraise\u001b[0m \u001b[0mValueError\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m\"'%d' is not a supported axis\"\u001b[0m \u001b[1;33m%\u001b[0m \u001b[0maxis\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1791\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 1792\u001b[1;33m X = check_array(\n\u001b[0m\u001b[0;32m 1793\u001b[0m \u001b[0mX\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 1794\u001b[0m \u001b[0maccept_sparse\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0msparse_format\u001b[0m\u001b[1;33m,\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\sklearn\\utils\\validation.py\u001b[0m in \u001b[0;36mcheck_array\u001b[1;34m(array, accept_sparse, accept_large_sparse, dtype, order, copy, force_all_finite, ensure_2d, allow_nd, ensure_min_samples, ensure_min_features, estimator)\u001b[0m\n\u001b[0;32m 803\u001b[0m \u001b[0mn_samples\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0m_num_samples\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0marray\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 804\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mn_samples\u001b[0m \u001b[1;33m<\u001b[0m \u001b[0mensure_min_samples\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 805\u001b[1;33m raise ValueError(\n\u001b[0m\u001b[0;32m 806\u001b[0m \u001b[1;34m\"Found array with %d sample(s) (shape=%s) while a\"\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 807\u001b[0m \u001b[1;34m\" minimum of %d is required%s.\"\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;31mValueError\u001b[0m: Found array with 0 sample(s) (shape=(0, 2)) while a minimum of 1 is required by the normalize function." ] }, { - "name": "stderr", - "output_type": "stream", - "text": [ - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\fromnumeric.py:3702: RuntimeWarning: Degrees of freedom <= 0 for slice\n", - "c:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\numpy\\core\\_methods.py:253: RuntimeWarning: invalid value encountered in double_scalars\n" + "data": { + "image/png": "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", + "text/plain": [ + "" + ] + }, + "metadata": {}, + "output_type": "display_data" + } + ], + "source": [ + "df = df_inh_for_sig[['AP_avg', 'resistance','mi', 'thr', 'isi', 'sub_thr','imp','class','cond']]\n", + "cols = df.columns[:-2]\n", + "from sklearn.preprocessing import StandardScaler, normalize\n", + "\n", + "# Split data\n", + "cond_ = 'sag'\n", + "# for cond_ in np.unique(df_inh_for_sig.cond[1:]):\n", + "df_d1 = df_inh_for_sig[df_inh_for_sig.cond==cond_].drop_duplicates(subset='exp_name')\n", + "exps_d1 = df_d1[df_d1.cond==cond_]['exp_name'].to_numpy()\n", + "df_acsf_temp = df_inh_for_sig[df_inh_for_sig.cond=='acsf']\n", + "df_acsf_d1s = df_acsf_temp[df_acsf_temp.exp_name.isin(exps_d1)].drop_duplicates(subset='exp_name')\n", + "\n", + "\n", + "for i in ['AP_avg', 'resistance','mi', 'thr', 'isi', 'sub_thr','imp']:\n", + "# i = 'AP_avg'\n", + " v_d1 = df_d1[[i,'class']]\n", + " v_d1_acsf = df_acsf_d1s[[i,'class']]\n", + "\n", + "\n", + " df_all = normalize(pd.DataFrame({i+'_acsf':v_d1_acsf[i].to_numpy(),i+'_'+cond_:v_d1[i].to_numpy()}).to_numpy()) \n", + " # df_all = pd.DataFrame({i+'_acsf':v_d1_acsf[i].to_numpy(),i+'_'+cond_:v_d1[i].to_numpy()}).to_numpy()\n", + "\n", + " df_1 = pd.DataFrame({i+'_acsf':v_d1_acsf[v_d1_acsf['class']==0][i].to_numpy(),i+'_'+cond_:v_d1[v_d1['class']==0][i].to_numpy()})\n", + " df_2 = pd.DataFrame({i+'_acsf':v_d1_acsf[v_d1_acsf['class']==1][i].to_numpy(),i+'_'+cond_:v_d1[v_d1['class']==1][i].to_numpy()})\n", + " df_3 = pd.DataFrame({i+'_acsf':v_d1_acsf[v_d1_acsf['class']==2][i].to_numpy(),i+'_'+cond_:v_d1[v_d1['class']==2][i].to_numpy()})\n", + "\n", + " cols = df_1.columns\n", + " # ['purple','crimson','teal','teal']\n", + " # Create figure\n", + " paxfig = paxplot.pax_parallel(n_axes=len(cols))\n", + " paxfig.set_figheight(5)\n", + " paxfig.set_figwidth(8)\n", + "\n", + " # Add grey data\n", + " paxfig.plot(normalize(df_1.to_numpy()), #stats.zscore(df_1.to_numpy())\n", + " line_kwargs={'alpha': 0.3, 'color': 'blue', 'zorder': 0})\n", + " \n", + "\n", + " # Add grey data\n", + " paxfig.plot(normalize(df_2.to_numpy()), #stats.zscore(df_1.to_numpy())\n", + " line_kwargs={'alpha': 0.3, 'color': 'crimson', 'zorder': 0})\n", + " \n", + "\n", + " # Add grey data\n", + " paxfig.plot(normalize(df_3.to_numpy()), #stats.zscore(df_1.to_numpy())\n", + " line_kwargs={'alpha': 0.5, 'color': 'teal', 'zorder': 0})\n", + "\n", + " max_i = np.max(df_all.max())\n", + " min_i = np.min(df_all.min())\n", + " paxfig.set_lim(ax_idx=0, bottom=min_i, top=max_i)\n", + " paxfig.set_lim(ax_idx=1, bottom=min_i, top=max_i)\n", + "\n", + " # paxfig.set_lim(ax_idx=0, bottom=-0.6, top=-0.8)\n", + " # paxfig.set_lim(ax_idx=1, bottom=-0.6, top=-0.8)\n", + "\n", + " # Add labels\n", + " paxfig.set_labels(cols)\n", + " for ax in paxfig.axes: \n", + " ax.tick_params(axis='x', labelsize=20)\n", + " ax.tick_params(axis='y', labelsize=20)\n", + "\n", + " \n", + " # plt.show()\n", + " plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/'+i+'_'+cond+'_paxplot.png',dpi=200)" + ] + }, + { + "cell_type": "code", + "execution_count": 235, + "metadata": {}, + "outputs": [ + { + "ename": "NameError", + "evalue": "name 'paxplot' is not defined", + "output_type": "error", + "traceback": [ + "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[1;31mNameError\u001b[0m Traceback (most recent call last)", + "\u001b[1;32m\u001b[0m in \u001b[0;36m\u001b[1;34m\u001b[0m\n\u001b[0;32m 19\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 20\u001b[0m \u001b[1;31m# Create figure\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m---> 21\u001b[1;33m \u001b[0mpaxfig\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mpaxplot\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mpax_parallel\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mn_axes\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mlen\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mcols\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 22\u001b[0m \u001b[0mpaxfig\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mset_figheight\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m3\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 23\u001b[0m \u001b[0mpaxfig\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mset_figwidth\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m5\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;31mNameError\u001b[0m: name 'paxplot' is not defined" ] - }, + } + ], + "source": [ + "\n", + "df = df_inh_for_sig[['Vm_avg', 'resistance','mi', 'thr', 'isi', 'sub_thr','imp','class','cond']]\n", + "cols = df.columns[:-2]\n", + "\n", + "# Split data\n", + "df_m1 = df_inh_for_sig[df_inh_for_sig.cond=='m1']\n", + "exps_m1 = df_m1[df_m1.cond=='m1']['exp_name'].to_numpy()\n", + "df_acsf_temp = df_inh_for_sig[df_inh_for_sig.cond=='acsf']\n", + "df_acsf_m1s = df_acsf_temp[df_acsf_temp.exp_name.isin(exps_m1)]\n", + "\n", + "from sklearn.preprocessing import StandardScaler, normalize\n", + "\n", + "for i in ['Vm_avg', 'resistance','mi', 'thr', 'isi', 'sub_thr','imp']:\n", + " \n", + " v_m1 = df_m1[i].to_numpy()\n", + " v_m1_acsf = df_acsf_m1s[i].to_numpy()\n", + "\n", + " df_1 = pd.DataFrame({i+'_acsf':pd.Series(v_m1_acsf),i+'_m1':pd.Series(v_m1)})\n", + " cols = df_1.columns\n", + "\n", + " # Create figure\n", + " paxfig = paxplot.pax_parallel(n_axes=len(cols))\n", + " paxfig.set_figheight(3)\n", + " paxfig.set_figwidth(5)\n", + "\n", + " cols = df_1.columns\n", + "\n", + " # Add grey data\n", + " paxfig.plot(\n", + " normalize(df_1.to_numpy()), #stats.zscore(df_1.to_numpy())\n", + " line_kwargs={'alpha': 0.5, 'color': 'grey', 'zorder': 0}\n", + " )\n", + " # Add labels\n", + " paxfig.set_labels(cols)\n", + " plt.show()\n", + " " + ] + }, + { + "cell_type": "code", + "execution_count": 172, + "metadata": {}, + "outputs": [ { - "name": "stdout", + "name": "stderr", "output_type": "stream", "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", + ":17: SettingWithCopyWarning: \n", + "A value is trying to be set on a copy of a slice from a DataFrame.\n", + "Try using .loc[row_indexer,col_indexer] = value instead\n", "\n", - "1.0_acsf vs. 1.0_dopamine: t-test independent samples, P_val:9.683e-01 t=3.991e-02\n", - "0.0_acsf vs. 0.0_dopamine: t-test independent samples, P_val:1.851e-02 t=-2.406e+00\n", - "2.0_acsf vs. 2.0_dopamine: t-test independent samples, P_val:nan t=nan\n" + "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n" ] }, { "data": { - "image/png": "iVBORw0KGgoAAAANSUhEUgAABKcAAAHjCAYAAAD2cXygAAAAOXRFWHRTb2Z0d2FyZQBNYXRwbG90bGliIHZlcnNpb24zLjMuNCwgaHR0cHM6Ly9tYXRwbG90bGliLm9yZy8QVMy6AAAACXBIWXMAAAsTAAALEwEAmpwYAACrh0lEQVR4nOzdeXxU9dn//9eVgCxuQESqQUosgkJBkFS91SIIaOwiyI9arUosvU3t7YJU63q3buX+olLvAraVYFFoVfRWrKgYJW5oq1VwAxQwlSiJFDAIsgQkyfX7YyZxiJOQZWbOzOT9fDzyyJxzPud8rhzxM2eu+Szm7oiIiIiIiIiIiAQhI+gARERERERERESk7VJySkREREREREREAqPklIiIiIiIiIiIBEbJKRERERERERERCYySUyIiIiIiIiIiEhglp0REREREREREJDBKTomINIOZzTGzjWa2ImLfzWZWbmbvhH++F2SMIiIiIiIiqUTJKRGR5rkfyIuy/3/dfXD4Z1GCYxIREREREUlZSk6JiDSDuy8BNgcdh4iIiIiISLpoF3QAiXbIIYd47969gw5DRFpp2bJln7l796DjiHCZmU0AlgJXufvnjRVWWySSHpKwLWoWtUUi6UFtkYgkg9a0RW0uOdW7d2+WLl0adBgi0kpm9nHQMUT4E3Ab4OHfvwMm1i9kZgVAAUCvXr3UFomkgSRri5pNz0Ui6UFtkYgkg9a0RRrWJyLSSu6+wd2r3b0GmA0c30C5QnfPdffc7t1T9stNERERERGRmFJySkSklczssIjNs4EVDZUVERERERGRvbW5YX0iIq1hZg8Bw4FDzKwMuAkYbmaDCQ3rKwV+HlR8IiIiIiIiqUbJKZEY2rNnD2VlZezatSvoUNJGx44d6dmzJ+3btw86FADc/bwou/+c8EBEREREJDClpaWceeaZnHLKKfzjH/8gOzubJ554gtmzZ3PPPffQrl07+vfvz/z584MOtc3TZ7TYi8dnNCWnRGKorKyMAw88kN69e2NmQYeT8tydiooKysrKyMnJCTocEREREZE6H374IQ899BCzZ8/mnHPO4bHHHmPq1KmsXbuWDh06sGXLlqBDFPQZLdbi9RlNySmRGNq1a5cavRgyM7Kysti0aVPQoYiIiEiSWrBgAUVFRQmtMy8vj3HjxiW0Tkk+OTk5DB48GIChQ4dSWlrKoEGDOP/88xk7dixjx44NND4J0We02IrXZzRNiC4SY2r0Ykv3U0RERBpTVFTEmjVrElbfmjVrEp4Mk+TUoUOHuteZmZlUVVXx9NNPc+mll7Js2TKGDh1KVVVVgBFKLX2miK143E/1nEoBGs8sIslAbZGIiCSrvn37UlhYmJC6CgoKElKPpJ6amhrWrVvHiBEjOOWUU3jwwQfZvn07Xbp0CTo0kaSXEj2nzKyjmb1hZu+a2UozuyW8v5uZLTazD8O/uwYda7x8+OGHXHrppaxcuZIuXbrUjWd+++23ee+997jnnnuCDlHS0EUXXcSjjz4adBiSRNQWiYiIiERXXV3NBRdcwMCBAxkyZAiTJ09WYkriIh0/p6VKz6ndwGnuvt3M2gOvmtkzwDjgeXefambXAdcB1wYZaLxoPLOIJAO1RSIiIiLQu3dvVqxYUbd99dVXBxiNSOpLieSUuzuwPbzZPvzjwBhgeHj/XOAl0jQ5VX88c2VlJU8//TRLlixh4cKF3HbbbaxcuZJ27VLiP6nEybx585g2bRpmxqBBg/jtb3/LxIkT2bRpE927d+e+++6jV69eXHTRRRx00EEsXbqUf//739xxxx2MHz8ed+fyyy/nhRdeICcnh9D/eiJfUVskIiLJpl+/fmldnyRWEBPsgybZT3f6nLZvKfPpwcwygWVAH+AP7v5PM+vh7usB3H29mR3awLkFQAFAr169EhVyXGk8s9S3cuVKpkyZwt///ncOOeQQNm/eTH5+PhMmTCA/P585c+ZwxRVX8Le//Q2A9evX8+qrr7Jq1SrOOussxo8fz+OPP87q1atZvnw5GzZsoH///kycODHYP0ySmtoiEREJ2lVXXZXW9Uli1U6w37dv34TVWTuhv5JT6Umf05omZZJT7l4NDDazLsDjZvbtZpxbCBQC5ObmpkWKsXY889atW3F3jWcWXnjhBcaPH88hhxwCQLdu3XjttddYsGABABdeeCHXXHNNXfmxY8eSkZFB//792bBhAwBLlizhvPPOIzMzk8MPP5zTTjst8X+IpBS1RSIiIpJuEjnBPmiS/XSnz2lNkzLJqVruvsXMXgLygA1mdli419RhwMZgo4sPjWeWpnD3fS7pGXk8cnhWZLdQLbMqDVFbJCIiIiLSPPqc1jQpkZwys+7AnnBiqhMwCrgdWAjkA1PDv58ILsrmC2I8s8Yyp6+RI0dy9tlnM3nyZLKysti8eTMnnXQS8+fP58ILL+SBBx7glFNOafQaw4YNY9asWUyYMIGNGzfy4osv8pOf/CRBf4EERW2RiIiISEgQc4ppHrP0ps9pTZMSySngMGBueN6pDOARd3/KzF4DHjGznwGfAD8KMsjmSvR4Zo1lTm8DBgzgxhtv5NRTTyUzM5MhQ4YwY8YMJk6cyJ133lk30V5jzj77bF544QUGDhxI3759OfXUUxMUvQRJbVFslJaWcuaZZ3LKKafwj3/8g+zsbJ544glmz57NPffcQ7t27ejfvz/z588POlQRERFpQBBzimkes/Smz2lNkxLJKXd/DxgSZX8FMDLxEcVOIsczayxz+svPzyc/P3+vfS+88MLXyt1///17bW/fHloM08y4++674xafJC+1RbHx4Ycf8tBDDzF79mzOOeccHnvsMaZOncratWvp0KEDW7ZsCTpEEREREUkwfU7bt4ygAxAREUkXOTk5DB48GIChQ4dSWlrKoEGDOP/88/nrX/9Ku3Yp8Z2QiIhIq5lZnpmtNrMSM7su6HhEJLkpOSUi0gxmNsfMNprZioh93cxssZl9GP7dNcgYJTiRE1hmZmZSVVXF008/zaWXXsqyZcsYOnQoVVVVAUYoIiISf+HpWP4AnAn0B84zs/7BRiUiyUzJqQD169cvoZPfJbo+kTR1P6HVQiNdBzzv7kcBz4e3U4baovipqalh3bp1jBgxgjvuuIMtW7bUdc8Waa599UIws4PN7Ekze9fMVprZT4OIM95KS0s55phjuPjiixkwYACnn346lZWVzJgxg/79+zNo0CDOPffcoMMUaeuOB0rc/SN3/xKYD4wJOCYRSWIaXxCgRE98p4n2RFrP3ZeYWe96u8cAw8Ov5wIvAdcmLqrWUVsUP9XV1VxwwQVs3boVd2fy5Ml06dIl6LAkBUX0QhgNlAFvmtlCd38/otilwPvu/sPwSserzeyB8AfDtKL53dqemTNnUlJSEvVYeXk5ANnZ2VGP9+nTh8svvzxusUlU2cC6iO0y4ITIAmZWABQA9OrVK3GRiUhSUnJKRKT1erj7egB3X29mh0YrpIew9Na7d29WrKgb7cnVV18dYDSShup6IQCYWW0vhMjklAMHmpkBBwCbgbQcR9rY/G5jx45l7NixgcYniVVZWRl0CPJ1FmWf77XhXggUAuTm5nqU8iLShig5JSKSIHoISz0LFiygqKgo4fXm5eUxbty4hNcrSW2fvRCAu4GFwKfAgcCP3b0mMeElVv353SorK3n66adZsmQJCxcu5LbbbmPlypVahCCNNNbzadKkSQBMnz49UeHIvpUBR0Rs9yTUNomIRKV3bJE4uva6G/g8hkMLunbpwu1T/ydm12vIr371KxYtWsT3vvc97rzzzrjXlwY2mNlh4V5ThwEbgw5IYqOoqIg1a9bQt2/fhNW5Zs0aACWnpL599kIAzgDeAU4DvgUsNrNX3P2LvS6Uhr04I+d3O+WUU3jwwQfZvn17mxxGW1payplnnskpp5zCP/7xD7Kzs3niiSeYPXs299xzD+3ataN///7Mnz8/6FAlvb0JHGVmOUA5cC7wk2BDEgnRZ7TkpOSUSBx9vmUL4ydcGbPrPTrv9zG7VmNmzZrFpk2b9vpmWhq1EMgHpoZ/PxFsOBJLffv2pbCwMGH1FRQUJKwuSSlN6YXwU2CquztQYmZrgaOBNyILpWMvTs3vtjfNySVBc/cqM7sMeBbIBOa4+8qAwxIB9BktWWm1PpE0NHbsWIYOHcqAAQPqPlQXFRVx3HHHceyxxzJy5EgAXn75ZQYPHszgwYMZMmQI27Zt46yzzmLHjh2ccMIJPPzww0H+GUnJzB4CXgP6mVmZmf2MUFJqtJl9SGiy4qlBxigiaamuF4KZ7UeoF8LCemU+AUYCmFkPoB/wUUKjTIBo87tNmTKFV199leXLl7NixQquuy6lFk2Nucbm5PrrX/+q4Y6SEO6+yN37uvu33H1K0PGIBE2f0RqndyaRNDRnzhy6detGZWUl3/nOdxgzZgwXX3wxS5YsIScnh82bNwMwbdo0/vCHP3DyySezfft2OnbsyMKFCznggAN45513gv0jkpS7n9fAoZEJDUQSol+/fm2iTkl+DfVCMLNLwsfvAW4D7jez5YSGAV7r7p8FFnQzaY632NGcXCIiyUef0RqndySRNDRjxgwef/xxANatW0dhYSHDhg0jJycHgG7dugFw8skn88tf/pLzzz+fcePG0bNnz8BiluBUVFRwyy23cNNNN5GVlRV0OEnlqquuahN1Smpw90XAonr77ol4/SlweqLjihXN8RY/mpNLRCR4+ozWOCWn4mzmzJmUlJREPVZeXg5AdnZ21ON9+vRpdGUSkWheeukliouLee211+jcuTPDhw/n2GOPZfXq1V8re9111/H973+fRYsWceKJJ1JcXMzRRx8dQNQSpLlz57J8+XLmzZvH5MmTgw5HRNowzfEWH5qTS0QkWPqMtm9KTgWosrIy6BAkDW3dupWuXbvSuXNnVq1axeuvv87u3bt5+eWXWbt2bV2X0W7duvGvf/2LgQMHMnDgQF577TVWrVrVJho++UpFRQVFRUW4O0VFRUyYMEG9p0REUli0OblERCRY+oy2b0pOxVljPZ8mTZoEwPTp0xMVjiRY1y5dYrp6Q9cmfMuZl5fHPffcw6BBg+jXrx8nnngi3bt3p7CwkHHjxlFTU8Ohhx7K4sWL+f3vf8+LL75IZmYm/fv358wzz4xZrJIa5s6dS01NDRD6Zl29p0REko/m4xIRiR19RktOKZGcMrMjgHnAN4AaoNDdp5tZN+BhoDdQCpzj7p8HFadIfbdP/Z+E19mhQweeeeaZqMfqN2wzZ86MWm779u0xj0uSU3FxMVVVVQBUVVWxePFiJadEJBBagKBhmo9LRCR29BktOaVEcgqoAq5y97fM7EBgmZktBi4Cnnf3qWZ2HXAdcG2AcYqIpJRRo0axaNEiqqqqaNeuHaNHjw46JBFpo7QAQeM0H5eIiKSzjKADaAp3X+/ub4VfbwM+ALKBMcDccLG5wNhAAhQRSVH5+flkZITeCjIzM5kwYULAEYmIiIiISFuTEsmpSGbWGxgC/BPo4e7rIZTAAg5t4JwCM1tqZks3bdqUsFhFRJJdVlYWeXl5mBl5eXmaDF1ERERERBIupZJTZnYA8Bhwpbt/0dTz3L3Q3XPdPbd79+7xC1BEJAUNHjwYd2fw4MFBh5J0KioquOKKK6ioqAg6FBFpw/r165fw+bGCqFNERNquVJlzCjNrTygx9YC7Lwjv3mBmh7n7ejM7DNgYXIQiIqnprrvuAuB3v/sdI0aMCDia5DJr1izee+89CgsLuf7664MOR0TaKM3HJSIi6S4lek6ZmQF/Bj5w97siDi0E8sOv84EnEh2biEgqW7p0ad3KH9u3b2fZsmUBR5Q8KioqKC4uBmDx4sXqPSUiIiIiEiep0nPqZOBCYLmZvRPedwMwFXjEzH4GfAL8KJjwRKK7/KpfsaFic8yu1yOrGzN/d2eTy998880ccMABXH311TGLoSl+85vfMGzYMEaNGpXQeqX5br755r22b7rpJp566qlggkkys2bNoqamBoCamhr1nhIRERFJA0F/RgN9TosmJZJT7v4qYA0cHpnIWESaY0PFZj7uc3LsLljy99hdK45uvfXWoEOQJqrtNdXQdlv2/PPP77VdXFys5JSIiIhIimurn9EguT+npcSwPhFpuilTptCvXz9GjRrF6tWrAXjnnXc48cQTGTRoEGeffTaff/45AMOHD+fKK6/kpJNO4tvf/jZvvPEGAG+88QYnnXQSQ4YM4aSTTqq7zv3338/YsWP54Q9/SE5ODnfffTd33XUXQ4YM4cQTT2Tz5tA3EBdddBGPPvooAL179+amm27iuOOOY+DAgaxatQqAHTt2MHHiRL7zne8wZMgQnngi9UflmlmpmS03s3fMbGnQ8TTFAQcc0Oi2iIiIiIi0nj6nNS4lek6JSNMsW7aM+fPn8/bbb1NVVcVxxx3H0KFDmTBhAjNnzuTUU0/lN7/5Dbfccgu///3vgVDj849//IMlS5YwceJEVqxYwdFHH82SJUto164dxcXF3HDDDTz22GMArFixgrfffptdu3bRp08fbr/9dt5++20mT57MvHnzuPLKK78W1yGHHMJbb73FH//4R6ZNm8a9997LlClTOO2005gzZw5btmzh+OOPZ9SoUey///4JvGNxMcLdPws6iKa6+eab9+pOfMsttwQYTXI5/PDDWbdu3V7bItI6M2fOpKSkJOqx8vJyALKzs6Me79OnD5dffnncYhMREYkXfU7bNyWnRNLIK6+8wtlnn03nzp0BOOuss9ixYwdbtmzh1FNPBSA/P58f/eir6dnOO+88AIYNG8YXX3zBli1b2LZtG/n5+Xz44YeYGXv27KkrP2LECA488EAOPPBADj74YH74wx8CMHDgQN57772ocY0bNw6AoUOHsmBBaLHN5557joULFzJt2jQAdu3axSeffMIxxxwTy1si+5CTk7PXdu/evYMJJAl99tlnjW6LSGxVVlYGHYKIiEhc6HPavik5JZJmQotbtry8mfHrX/+aESNG8Pjjj1NaWsrw4cPrjnfo0KHudUZGRt12RkYGVVVVUeuoLZOZmVlXxt157LHH6NevX7PiTXIOPGdmDsxy98LIg2ZWABQA9OrVK4Dwvq6wsPBr25pXKWT06NEsXLiwbvv0008PMBqR9NBYz6dJkyYBMH369ESFIyIikjD6nNY4zTklkkaGDRvG448/TmVlJdu2bePJJ59k//33p2vXrrzyyisA/OUvf6nLzgM8/PDDALz66qscfPDBHHzwwWzdurVuWMX9998fl1jPOOMMZs6cibsD8Pbbb8elngQ72d2PA84ELjWzYZEH3b3Q3XPdPbd79+7BRFhP/Um/62+3ZWedddZe27XfPomIiIiINIc+p+2bek6JxFGPrG4xXb2hR1a3Ro8fd9xx/PjHP2bw4MF885vf5Lvf/S4Ac+fO5ZJLLmHnzp0ceeSR3HfffXXndO3alZNOOokvvviCOXPmAHDNNdeQn5/PXXfdxWmnnRaz+CP9+te/5sorr2TQoEG4O7179+app56KS12J4u6fhn9vNLPHgeOBJcFG1bjaN52GttuyRx55ZK/t//u//0tIr7KKigpuueUWbrrpJrKysuJen4gkB83HlXgzZ86kqKgo6rGdO3e2+D3RzOqG7tSXl5en/1YiAUv0ZzTQ57SmsLb2QSQ3N9eXLk2ORbTUfT39fPDBByk1Z9Lw4cOZNm0aubm5QYfSqGj31cyWuXvSBG5m+wMZ7r4t/HoxcKu7R33qTZa26H/+53947rnn6rbPOOMMDesLGzlyJNXV1XXbmZmZCelZdtddd/Hkk09y1llnMXny5LjXJ62TbG1RcyVLWwR6LmosOVW7v0+fPlGPp0tyqrF70Jh93Z+GlJeXs2XLlr2GwtRKteSU2iKRhqXaZzRIjc9psf6Mpp5TIiKx0QN4PDw2vB3wYEOJqWTy85//nMWLF+PuZGRkUFBQEHRIbVpFRQVFRUW4O0VFRUyYMCGpe08tWLCgwV4H8ZKXl1c3eadIOtF8XKEk06rVa+hx2BHNOi8jsz0An3/RvEn1t23fwYABA9L+voqIpAIlp0TasJdeeinoENKGu38EHBt0HM2VlZXFN77xDdavX883vvGNpE6EJNrhhx/OunXr9tqOt7lz51JTUwNAdXU18+bNS+reU0VFRaxZs4a+ffsmpL41a9YAKDklksZ6HHYEF1x8dULq+uvsaQmpJx2Z2Y+Am4FjgOPdfWnEseuBnwHVwBXu/mwgQYqksLb4OU3JKZEYc/dmr8QgDWtrQ48TraKign//+98ArF+/noqKCiWowj777LNGt+OhuLi4bqWUqqoqFi9enNTJKYC+fft+bdXHeFHPPhGRpLECGAfMitxpZv2Bc4EBwOFAsZn1dffqr19CJHH0GS224vEZTckpkRjq2LFj3Yd7NX6t5+5UVFTQsWPHoENJW4WFhXVvLu5OYWGh5pwKGz16NE8++WTdw8zpp58e9zpHjRrFokWLqKqqol27dowePTrudYpI26VJ2KWl3P0D+PpS98AYYL677wbWmlkJoQViXktshCJf0We02IrXZzQlp0RiqGfPnpSVlbFp06agQ0kbHTt2pGfPnkGHkbaKi4u/tq3kVEh+fj5PPfVUXXJqwoQJCamzdg6njIyMhNQpIhJNZWXz5m8SCcsGXo/YLgvv+xozKwAKAHr16hX/yKTN0me02IvHZzQlp0RiqH379uTk5AQdhkiTRa5GF21bEisrK4sePXqwbt06evTokfRDLPv165fW9YmkO03CLo0xs2LgG1EO3ejuTzR0WpR9Ucf/uHshUAih1fpaFKRIE+gzWmpIieSUmc0BfgBsdPdvh/d1Ax4GegOlwDnu/nlQMYqIpKLMzMy9ElKZmZkBRpNc5s6dW9f128wSMjl5RUUFZWVlAJSVlSX9HGBXXXVVWtcnItKWufuoFpxWBkQut9gT+DQ2EYlIOkuJ5BRwP3A3MC9i33XA8+4+1cyuC29fG0BsIiIpa9SoUTz77LN7bbc1Dc25snz58r1WznvyyScpLS3dq0ys51zRHGAiIpLiFgIPmtldhCZEPwp4I9iQRCQVpERyyt2XmFnvervHAMPDr+cCL6HklIhIVA0lYPbs2bPX9rp16+qGctRqq5Pedu3alYqKir224+3555//2raSUyIikmzM7GxgJtAdeNrM3nH3M9x9pZk9ArwPVAGXaqU+EWmKlEhONaCHu68HcPf1ZnZo0AGJiKSa9u3b1w3t69q1K+3btw86pIRrKPFWUVHB+PHjcXc6dOhAYWFh3IfY1V+WNx7L9IqIJKvy8nK2bd/BX2dPS0h9G9avY+e2/RNSV7px98eBxxs4NgWYktiIRCTVpXJyqsm0EoSItHWN9Xz6r//6Lz7++GPuvffepJ7fKNGysrLo1q0bFRUV5OXlJeTejBw5kueee65uuy0OsxQRERGRtieVk1MbzOywcK+pw4CNDRXUShAiIg1r3749ffr0UWIqih49erBr1y4mTJiQkPp+/vOfU1xcTE1NDRkZGRQUFCSkXhGRZJCdnc3nX1RywcVXJ6S+v86eRteDOiWkLhERaVwqJ6cWAvnA1PDvhpYzFRFpExqaV2pfas+pP9dUU6TKfFQtvTcff/wxALfeemuzz23JvcnKymLUqFE899xzjB49WglDEREREWkTUiI5ZWYPEZr8/BAzKwNuIpSUesTMfgZ8AvwouAhFRIJXUlLCqtVr6HHYEfsuHCEjMzTP1OdfVDbrvPJP/kVJSUnUpE95eTmVlc27Xq1OnTqRnZ0d9VhLk2GJvjcb1q9rVvlIP//5z/n3v/+tXlPyNWaWB0wHMoF73X1qlDLDgd8D7YHP3P3UBIYoIiIi0iIpkZxy9/MaODQyoYGIiCSx8vLyFp3XNatl60lUV1ezY8cO3n333Rad35AdO3bw2WefRT1WXl7eouRUou8NwOrVqxvsjdaU5F1DQwnjkbxrTGO9zmrvayLjaavMLBP4AzAaKAPeNLOF7v5+RJkuwB+BPHf/RIvFiIiISKpIieSUiEiya0qPhkT4cvfuZvfaqdrzJQDt2u/Xojr33//rKx3t3r2bmpqaqOVr92dkZEQ9npGRQYcOHaIe69KlS4tiDMLu3bt55933IDPKW21NNbRwJb7tOyvZ9PnWrx+ormpx8q6lWto7TlrkeKDE3T8CMLP5wBhCy7XX+gmwwN0/AXD3BufjFBEREUkmSk6JiLRSU3o0JMKpp57aqjmn+vTp0+xzG+oZk2y9bbKzs1m1ek2zz/u8IvTZviU9qDIzM6lxo+bAbs0+tyUytm2Oy3Ub+29R2zNs+vTpcalb9pINRGaey4AT6pXpC7Q3s5eAA4Hp7j6v/oW0inFwNDegiIhIdEpOiYi0XlN6NMRdSz98xCPBkGwfhFqSeAOo2LgHoNmrOXU9qC/l5eVs2hKlhxNgO7dh1XtaFJNntsc7Hxjlog0n/CQtWJR99bvftQOGEpr2oBPwmpm97u57ZWa1inFwUmn+OxERkURSckpEpPWa0qNBAhRE4q7x3mPVrZww/htRjnyjxUk4SQllQGRGoyfwaZQyn7n7DmCHmS0BjgWa321Q4qbHYUdwwcVXJ6Suv86elpB6REREWkvJKRGR1mtKj4akHUqzZ88ePv74YyoqKsjKygo6nKSyc+dO/vWv0KqEzU38JFvvMUl5bwJHmVkOUA6cS2iOqUhPAHebWTtgP0JJ8v9NaJQiIiIiLaDklIhI6zWlR0OgQ2ka68Xz/vuh0YcTJkyImoBpy/OVlJaWUlNTw4033sjDDz8cdDjShrl7lZldBjxLaOGFOe6+0swuCR+/x90/MLMi4D2ghtDiDCuCi1pERESkaZScEhFpvab0aEhKe/Z8Ne/Rjh072LNnD+3btw8wosRrKHG3c+fOuvuzYcMGCgoK6NRp77mn4pG4q6io4JZbbuGmm25STzbZi7svAhbV23dPve07gTsTGZeIiIhIayk5JSLSSg31aAg4rL00lED55S9/udd2p06d+N3vfpeIkJJeaWnpXttr166lf//+ca931qxZvPfeexQWFnL99dfHvT4RERERkaApOSUiEgPRejSkgrfeemuv7WXLlgUUSXAaStwNHz58r+09e/bEdEXDaCoqKiguLgZg8eLFFBQUpGXvqZkzZ1JUVBT12M6dO3Fv2ahXM6Nz585Rj+Xl5bXZ4amJ1tgw4sbUnlO7EEFztOXhxyIiIulAySkREZEkMWvWLGpqagCoqalR7ylJSSUlJaxavYYehx2x78IRMjJDQ4o//6J5K1luWL9u34VEREQkqSk5JSLShmVmZlJdXb3XtoR06tSJysrKvbbj7fnnn99ru7i4OC2TU5dffrl6uaS5HocdwQUXX52Quv46e1pC6hEREZH4yQg6ABERCc6xxx671/bgwYODCSQJ3XbbbXtt//a3v417nWbW6LaIiIiISDpSckpE0pKZ9TCzP5vZM+Ht/mb2s6DjSjarVq3aa/uDDz4IKJLkk5ubW9dbqlOnTgwdOjTudY4cObLRbRERERGRdKTklIikq/sJrZ53eHh7DXBlUMEkq1GjRu21PXr06IAiSU633XYbGRkZCek1BVBQUEBGRuitOSMjg4KCgoTUKyIiIiISpJRPTplZnpmtNrMSM7su6HhEJGkc4u6PADUA7l4FVDd+StuTn5/PfvvtB0CHDh2YMGFCwBEll9zcXF544YWE9JoCyMrKYtiwYQAMGzYsLVfqExERERGpL6WTU2aWCfwBOBPoD5xnZv2DjUoSqbS0lGOOOYaLL76YAQMGcPrpp1NZWcmMGTPo378/gwYN4txzzw06TAnGDjPLAhzAzE4EtgYbUvLJyspixIgRAAwfPlzJkCQQmSwUEREREWkLUn21vuOBEnf/CMDM5gNjgPcTGcTMmTMpKSlp9nm150yaNKnZ5/bp00crHYV9+OGHPPTQQ8yePZtzzjmHxx57jKlTp7J27Vo6dOjAli1bgg5RgvFLYCHwLTP7O9AdGB9sSMlp165dAOzevTvgSKSiooKXXnoJgJdeeomCggIlDEVaqbHntPLycgCys7OjHtfzVuJtWL+u2Sswfl6xEYCuWYc2u66uB/Vt1jkSYmZ3Aj8EvgT+BfzU3beEj10P/IxQj/Ur3P3ZoOIUkdSR6smpbGBdxHYZcEL9QmZWABQA9OrVK+ZBlJSUsGr1GnocdkSzzsvIbA/A519U7qPk3jasX7fvQm1ITk5O3QpjQ4cOpbS0lEGDBnH++eczduxYxo4dG2h8Egx3f8vMTgX6AQasdvc9AYeVdCoqKnjllVcAWLJkCRUVFUqGBGju3LnU1NQAUF1dzbx585g8eXLAUYmkr8rK5j2DSXz16dOnRedVbAy9vXc9qFOzzut6UN8W1yksBq539yozux24Hrg2PIrlXGAAoXk/i82sr7tragURaVRMk1Nmto3wEJoIW4GlwFW1PZxiWWWUffXrx90LgUKA3Nzcrx2PhR6HHcEFF18dj0t/TXO/TUp3kUNfMjMzqays5Omnn2bJkiUsXLiQ2267jZUrV9KuXarnYqU5zOxS4AF3Xxne7mpm57n7HwMOLanMmjWrLhlSU1NDYWEh119/fcBRtV3FxcVUVVUBUFVVxeLFi5WcEmmlxno+1fZenz59eqLCkUa0tJea/jsmnrs/F7H5Ol/1Th8DzHf33cBaMyshNNrltQSHKCIpJtZzTt0F/IpQj6aewNXAbGA+MCfGdUGop1Rkd6WewKdxqEdSSE1NDevWrWPEiBHccccdbNmyhe3btwcdliTexbXdywHc/XPg4uDCSU7PP//8XtvFxcUBRSIQWj2xNpHerl07rZ4oIiKpYCLwTPh1tJEt0cfNiohEiHVXkjx3jxxWV2hmr7v7rWZ2Q4zrAngTOMrMcoByQl1IfxKHeiSFVFdXc8EFF7B161bcncmTJ9OlS5egw5LEyzAzc/faCdEzgf0CjinpmFmj25JY+fn5FBUVAaGeoFo9UUREgmJmxcA3ohy60d2fCJe5EagCHqg9LUr5qCNX4j31ioikllgnp2rM7Bzg0fB25OTDMR9OFx7jfBnwLJAJzKkdwiNtQ+/evVmxYkXd9tVXJ2ZopaSEZ4FHzOweQu3PJUBRsCEln5EjR/Lss8/utS3BycrKIi8vjyeffJK8vDzN/yUiIoFx91GNHTezfOAHwMjaLwNpxsiWREy9IiKpI9bJqfOB6cAfCX0YfB24wMw6AZfFuC4A3H0RsCge1xaRlHYt8HPgF4S+xXsOuDceFZnZzYSGDG4K77oh3DYlvYKCAhYvXkxNTQ0ZGRkUFBQEHVKbl5+fT2lpqXpNiUhMlJeXt2hlaK0qLY0xszxCz1qnuvvOiEMLgQfN7C5CE6IfBbwRQIgikmJinZza5u4/bODYqzGuS9LYggUL6oa2JFJeXh7jxo1LeL0Se+5eA/wp/JMI/+vuKbdaQVZWFqNHj+bZZ59l9OjR6qmTBLKyspgxY0bQYYhImqisrOSdle9Tc0C3Zp1ne0IdWd76+N/NOi9j++ZmlZeUdTfQAVgcnhLgdXe/xN1XmtkjwPuEhvtdqpX6RKQpYp2c+oeZrQUeBh6LnIxYpDmKiopYs2YNffv2TVida9asAVByKk2Y2cnAzcA3CbV1Bri7HxlkXMmooKCA9evXq9eUiEiaqjmgG1/mnpGQuvZb+uy+C0nKc/c+jRybAkxJYDgikgZimpxy96PM7HhCE5PfaGbvE1pK9K+xrEfahr59+1JYWJiw+vTBPO38GZgMLAMS8Y3dZWY2AVgKXBVeHTAlqKeOiIiIiIgEKSPWF3T3N9z9l8DxwGZgbqzrEBFpgq3u/oy7b3T3itqfll7MzIrNbEWUnzGEhg5+CxgMrAd+18A1CsxsqZkt3bRpU7QiIiIiIiIibU5Me06Z2UHA2YR6Tn0LeJxQkkqkWfr169cm6pS4etHM7gQWALtrd7r7Wy252L5WrKllZrOBpxq4hlalSTEVFRXccsst3HTTTZqPS0REREQkTmI959S7wN+AW939tRhfW9qQq666qk3UKXF1Qvh3bsQ+B06LdUVmdpi7rw9vng2siHUdEoy5c+eyfPly5s2bx+TJk4MOR0REROJMX0yJBCPWw/qOdPfJSkyJSNDcfUSUn5gnpsLuMLPlZvYeMILQXFeS4ioqKigqKsLdKSoqoqKixaNCRUREJEXMmjWL9957L6Fz34pI7HtOHWJm1wADgI61O+P4gVBEpEFm9n2+3h7dGut63P3CWF9Tgjd37lyqq0Nz6VdVVaV176mZM2dSUlLS7PNqz5k0aVKzz+3Tpw+XX355s88Tiaa8vLxF/w71b1jaAjPLAMa7+yNBx5LsKioqKC4uBmDx4sUUFBSo95RIgsQ6OfUA8DDwA+ASIB/QrL8iknBmdg/QmVBPpnuB8cAbgQYlKaW4uLguOVVdXc3ixYvTNjlVUlLCqtVr6HHYEc06LyOzPQCff1HZrPM2rF/XrPIi+1JZWck7K9+n5oBuzTrP9oSm/3vr438367yM7ZubVV4kSO5eY2aXAUpO7cOsWbOoqakBoKamhsLCQq6//vqAoxJpG2KdnMpy9z+b2SR3fxl42cxejnEdIiJNcZK7DzKz99z9FjP7HaHJ0UWa5JRTTuG5556r2/7ud78bYDTx1+OwI7jg4qsTUtdfZ09LSD3Jxsyucfc7zGwmoTnw9uLuVwQQVtqoOaAbX+aekZC69lv6bIvOKy8vZ9v2HQn7f2DD+nVUV+2B9gckpD5JaovN7GpCHQl21O50d2VaIzz//PN7bRcXFys5JZIgsU5O7Qn/Xh8eTvMp0DPGdUgjGhuaUV5eDkB2dnbU4+qeLmmmtivHTjM7HKgAcgKMR1KMmQUdgqSfD8K/lxIlOSUiEkcTw78vjdjnwJEBxJK0antNNbQtIvET6+TUb83sYOAqYCZwEJoYOGlUVjZv2IUkpwULFlBUVJTwevPy8hg3blzC622Fp8ysC3An8BahB7B7A41IUsorr7zytW19eyqt4e5Phl++D9wA9OarZzEH5gUQliRQdnY2n39RmdBeihUby9mdkNokmbm7vqBrgoyMjLoh/bXbIpIYMU1OuftT4ZdbCc3zshczu97d/18s65S9NdbzqXayz+nTpycqHImDoqIi1qxZQ9++fRNW55o1awBSLTl1h7vvBh4zs6cITYq+K+CYJIWMGjWKRYsWUVVVRbt27Rg9enTQIUn6+CvwK2A5oK/lRSQhzOwk9k6K4+5KikcYOXLkXkP6R40aFWA0Im1LrHtO7cuPgGYlp8zsR8DNwDHA8e6+NOLY9cDPgGrgCndv2QQAknQqKiq45ZZbuOmmm7RCRhR9+/ZN6PK2BQUFCasrhl4DjgMIJ6l2m9lbtftE9iU/P7+ul2JmZiYTJkwIOCJJI5vcfWHQQYhI22FmfwG+BbxD6LMTqMfm1/z85z9n8eLFuDsZGRmp+gwskpISnZxqyQQeK4BxwKy9LmTWHziX0DLxhwPFZtbX3au/fglJNXPnzmX58uVpvXS7xIeZfQPIBjqZ2RC+ancOIrR6n0iTZGVlkZeXx5NPPkleXp4S5RJLN5nZvcDz8NWIK3fXog0iEi+5QH9313x3jcjKymL06NE899xzjB49Wu/9IgmU6ORUsxtDd/8Aok5MOwaYH+4RsdbMSoDjCfWWkBRWUVFBUVER7k5RURETJkzQG4M0xxnARYQWY/gdXyWnthGa40WkyfLz8yktLVWvKYm1nwJHA+35alifs48VRc0sD5gOZAL3uvvUBsp9B3gd+LG7PxqroEUkpa0AvgGsDzqQZNDYIlLr1q2jXbt2rFu3rm5alEhaREokPlKh51RDsgk9eNUqC++TFDd37ty6lTGqq6vVe6qefv36tYk6W8rd5wJzzez/c/fHgo5HUltWVhYzZswIOoy4C2J5+53b9k9IXUnqWHcf2JwTzCwT+AMwmtAzz5tmttDd349S7nZAUx2ICGb2JKHk94HA+2b2Bnv32DwrqNiS1e7du+nQoQPt27cPOhSRNiXRyan/i7bTzIoJZfLru9Hdn2jgWtESXVF7ZplZAVAA0KtXryaEKUEqLi6mqqoKgKqqKhYvXqzkVISrrrqqTdQZAz3N7CBCPaZmE5pr6jp3f67x00RE4u51M+tfP7G0D8cDJe7+EYCZzSfUi7z+NS4HHgO+E5NIRSTVTSP0uel2YGzE/tp9bZIWkRJJPjFNTplZDqGHot7svQrEWeHf/xPtPHdvyTIIZcAREds9gU8buH4hUAiQm5urcdZJTitkSYxMdPfpZnYGcCihYTT3AUpOidQTxPL2XQ/qlJC6ktQpQL6ZrSXUg8EAd/dBjZyTDayL2C4DTogsYGbZwNnAaSg5JSKAu78MYGbta1/XMrM23RCLSHKJdc+pvwF/Bp4k/ksjLwQeNLO7CE2IfhTwRpzrlATQClkSI7W9K78H3Ofu71qUyetERAKQ14JzmtJj/PfAte5e3Vhzpx7lbcvu3bvJ2PUl+y1NzEjPjG2bKS/X+kTJwsx+AfwXcKSZvRdx6EDg78FEJSLydbFOTu1y95hOzmFmZwMzge7A02b2jruf4e4rzewRQt3Zq4BLtVJfetAKWRIjy8zsOSAHuN7MDiT+SXMRkX1y949bcFpTeoznAvPDialDgO+ZWZW7/61e/epRLtJ2PAg8A/w/4LqI/dvcfXMwIYmIfF2sk1PTzewmQsNmIifae6ulF3T3x4HHGzg2BZjS0munqs8rNlKxcU/U1SMaU7siRXPPg8SvSqEVsiQGfgYMBj5y951mlkVoaJ+ISCp6EzgqPIVCOXAu8JPIAu6eU/vazO4HnqqfmJK2p0OHDuxufwBf5p6RkPr2W/os2dnRppKVILj7VmArcF7QsYiINCbWyamBwIWE5jqIXBr5tBjX06Z9+eVudlVV8dbH/27WebYn9OVoc8/L2J74L1XaygpZEntmdrS7ryKUmIJQN/ZYXPdHwM3AMcDx7r404tj1hJJh1cAV7q5VskQkpty9yswuI7QKXyYwJ9yL/JLw8XsCDTBgGromIpJcSktLOfPMMznllFP4xz/+QXZ2Nk888QSzZ8/mnnvuoV27dvTv35/58+cHHaokiVgnp84GjnT3L2N8Xamn5oBuCf0GTCSF/JLQXCq/i3KsNcnyFcA4YFbkTjPrT6gHwwBC898Vm1lfDTMWkVhz90XAonr7oial3P2iRMQkIiLSkA8//JCHHnqI2bNnc8455/DYY48xdepU1q5dS4cOHdiyZUvQIUoSiXVy6l2gC7AxxtcVEWkSdy8I/x4R4+t+ABClF9YYYL677wbWmlkJoSXfX4tl/SIi0jANXRNJLDO7jdAzUA2hz34Xufun4WPqUS4A5OTkMHjwYACGDh1KaWkpgwYN4vzzz2fs2LGMHTs20PgkucQ6OdUDWGVmb7L3nFNnxbgekbQ2c+bMujnC6isvLwdCS79Hk+j5wZJVeBhekbtvM7P/Bo4DbnP3t2NcVTbwesR2WXhftJi0QpaIiIikgzvd/dcAZnYF8BvgEvUol0gdOnSoe52ZmUllZSVPP/00S5YsYeHChdx2222sXLmSdu1inZaQVBSTfwVmdjfwEHBTLK4nIg2rrKwMOoRU8Wt3/z8zOwU4A5gG3AOc0NAJZlYMRPsq/EZ3f6Kh06Lsi7r6lVbIEpG2oLy8nG3bd/DX2dMSUt+G9euortoD7Q9ISH0iAu7+RcTm/nz17KMe5dKgmpoa1q1bx4gRIzjllFN48MEH2b59O126dAk6NEkCsUpRfkjog99hwMPAQ+7+ToyunfQS/RD25e7dWM22hNQlwWis51PtaovTp09PVDipqvYbuu8Df3L3J8zs5sZOcPdRLainKcu7i4iIiKQVM5sCTCC0GmDtdApN7lEubU91dTUXXHABW7duxd2ZPHlyWiWmFixYQFFRUULrzMvLY9y4cQmtM15ikpxy9+nAdDP7JqFunPeZWUdCvanmu/uaWNQjItIM5WY2CxgF3G5mHYCMONSzEHjQzO4i1H39KOCNONQjIpISsrOz+fyLSi64+OqE1PfX2dOo2Fj+1XwSIhIT++pR7u43AjeG55i6jNAomib3KNd0B+mtd+/erFixom776qsT854QpKKiItasWUPfvn0TUt+aNaE0i5JTUbj7x8DthD4IDgHmEGqkMmNZT7JJ9EPY726dxM6OByakLpEUdg6QB0xz9y1mdhjwq5ZezMzOBmYC3YGnzewddz8jvJT7I8D7QBVwqeZVEBERkVTXjB7lDwJPE/rc1+Qe5ZruIPUE0TMIUqt3UN++fSksLExIXQUFBQmpJ1Fi2ovAzNqb2Q/N7AHgGWAN8P/Fsg6ReKmoqOCKK66goqIi6FAkBtx9J6HVY04J76oiNAS5pdd73N17unsHd+/h7mdEHJvi7t9y937u/kzrIhcRERFJbmZ2VMTmWcCq8OuFwLlm1sHMclCP8rRS2zMokdasWRNIQqwl+vXrR79+/dK2vniL1YToo4HzCM3t8gYwHyhw9x2xuL5IIsydO5fly5czb948Jk+eHHQ40kpmdhOQC/QD7gPaA38FTg4yLhEREZE0MNXM+gE1wMfAJQDqUZ7+EtkzCFKrd9BVV12V1vXFW6yG9d1AqDvn1e6+OUbXlAZU7fmSjD2b2W/pswmpL2PbZsrL0/s9paKigqKiItydoqIiJkyYQFZWVtBhSeucDQwB3gJw90/NTONhRURERFrJ3RscHePuU4ApCQxHEiSIXjrp1DNIGherCdFH7LuUSPKaO3cuNTU1QGgVCfWeSgtfurubmQOY2f5BByQiIiIikqqC6KmTbr2DpGExnRBdEqNd+/34suNBfJl7xr4Lx8B+S58lOzvaQh3po7i4mKqqKgCqqqpYvHixklMpzMwMeCq8Wl8XM7sYmAjMDjYyERERERFJRxUVFdxyyy3cdNNNGoXTAkpOiQCjRo1i0aJFVFVV0a5dO0aPHh10SNIK4R5TY4FrgS8IzTv1G3dfHGhgIiIiIpIQM2fOpKSkpNnn1Z4zadKkZp/bp08fLr/88mafJ+lBcxi3TtInp8zsTuCHwJfAv4CfuvuW8LHrgZ8B1cAV7p6YSZgk7eTn59etApGZmcmECRMCjkhi4DVgi7v/KuhAREQk/jK2N38+Ttu5DQDv3LwpCTO2bwbSu1e5SKorKSlh1eo19DjsiGadl5HZHoDPv6hs1nkb1q9rVnlpmlTpjaQ5jFsv6ZNTwGLgenevMrPbgeuBa82sP3AuMAA4HCg2s75aDUJaIisri7y8PJ588kny8vLUkKSHEcDPzexjoG7lUHcfFFxIIiISD506daJPnz7NPq+kZDsAfb7Z3ETTN1pUn4gkVo/DjuCCi69OSF1/nT0tIfW0NanSG0lzGLde0ien3P25iM3XgfHh12OA+e6+G1hrZiXA8YR6S4g0W35+PqWlpeo1lT7ODDoAERFJjOzsbKZPn97s82qH7bTkXBERia9U6o2kOYxbLyPoAJppIvBM+HU2ENl3siy872vMrMDMlprZ0k2bNsU5RElVWVlZzJgxI2kbPGked/842k/QcYmIiIiIyL5F642UrEaNGkW7dqG+P5rDuGWSoueUmRUTfeD+je7+RLjMjUAV8EDtaVHKe7Tru3shUAiQm5sbtYwkXmOTFJaXlwOhb0Kj0WSDIiIiIm1HY8+N+5rAWs+NIs3T0P9vif6Mlkq9kTSHceslRc8pdx/l7t+O8lObmMoHfgCc7+61yaUyIHJ2u57Ap4mNXOKlsrKSysrmTULYWhUVFVxxxRVUVFQktF4RERERablOnTrRqVOnoMMQSXuJ/oyWSr2RaucwNjPNYdxCSdFzqjFmlkdoOfhT3X1nxKGFwINmdhehCdGPAt4IIMRApMOKNI1l1YOYAyJVJtsTERGR1LVh/bpmT5z8ecVGALpmHdrsutplJsV30a2mnk/SXOXl5WzbviNhE5VvWL+Ondv2T0hd8dbQ/2+J/oyWar2RNIdx6yR9cgq4G+gALDYzgNfd/RJ3X2lmjwDvExrud2lbWalvv/060C4zo9kry2hFmoal0mR7IiIikppa+jxVsXEPAF0Pal7voK4H9aW8vJztW1L/S00RaXtSbUX12jmMpWWSPjnl7g2+i7v7FGBKAsNJCl2zDqXrQZ2anbHWijQNC2Lpz8bmTmjMvuZVaIzmXGg5M/sRcDNwDHC8uy8N7+8NfACsDhd93d0vCSJGERFJbi19D27NM1zLnzf0paaktuzsbD7/opILLr46IfX9dfa0ZieQZd/UG6ntSPrkVKpIdBftrgf1bdY50rggJtsrKSlh1eo19DjsiH0XjpCR2R6Az79o3njvDevX7buQNGYFMA6YFeXYv9x9cGLDERER2bcgEmIiIrGi3khth5JTMRBEF219IxVbo0aNYtGiRVRVVSV0sr0ehx2R0G9zpOXc/QOA8PBiERERERFpY7TifPwoORUD+kYq9aXaZHuSdHLM7G3gC+C/3f2VaIXMrAAoAOjVq1cCwxMRERGReCsvL+f73/9+1GO7d++um0akvtr9GRnRFzDIyMigQ4cOUY/l5eUp4ZEkEr3afLpRckqE1JtsT+LDzIqJPovrje7+RAOnrQd6uXuFmQ0F/mZmA9z9i/oF3b0QKATIzc31WMUtIiIiIsmturoa98Yf/xpKXu3rPEmcZFtxPp0oOSUSpsn2xN1HteCc3cDu8OtlZvYvoC+wNMbhiYiIiEgSy87ObjAxoeFgIo1TckokTJPtSUuYWXdgs7tXm9mRwFHARwGHJSIiYY19INzXCrj6QCiS2pJp0Sq1JSKNU3JKJCDl5eVs274jYROVb1i/jp3b9k9IXenIzM4GZgLdgafN7B13PwMYBtxqZlVANXCJu28OMFQREWmiTp207LtIutKiVSKpRckpEZEmcPfHgcej7H8MeCzxEYmISFOot4JI26RFq0RSi5JTaUZd11NHdnY2n39RyQUXX52Q+v46e1qzvwESERERERERiTclp9oQdV0XERGRREimeV6kYfpSU0REkoWSU2lGDwnSmPLycr7//e9HPbZz584WL1NrZnTu3Dnqsby8PP27FBFpQzTPS3rQl5rSFGZ2NXAn0N3dPwvvux74GaG5OK9w92cDDFFEUoSSUyIiIiIpwMzygOlAJnCvu0+td/x84Nrw5nbgF+7+bmKj1DwvqURfHklrmNkRwGjgk4h9/YFzgQHA4UCxmfV19+pgopRU0FgvzvLyciA0JUo06sWZPpScEmlDsrOz9dAvIpKCzCwT+AOhD4JlwJtmttDd348othY41d0/N7MzgULghMRHKyJtxP8C1wBPROwbA8x3993AWjMrAY4HXgsgPmlEYwmhhuxruG9jWppEqqysbPY5rdWSewPB3J90ouSUiEiS2LNnD2VlZezatSvoUNJGx44d6dmzJ+3btw86FJHWOh4ocfePAMxsPqEPgXXJKXf/R0T514GeCY1QpB69r8VesryvmdlZQLm7v2tmkYeyCbU/tcrC+yTJlJSUsGr1GnocdkSTz8nIDP27+/yL5iWMNqxf1+jxxpIyQfSqbcm9gfjdn7Yi6ZNTZnYboYevGmAjcJG7fxo+pvHMktI0YaxEKisr48ADD6R3797Ue9CTFnB3KioqKCsrIycnJ+hwRForG4h8ei2j8V5RPwOeiXbAzAqAAoBevXrFKj6Rr9H7Wmwl+n3NzIqBb0Q5dCNwA3B6tNOi7Is6qanaouD1OOyIhKwc3tzPO8kgUfcGUvP+xEPSJ6eAO9391wBmdgXwG+ASjWdODeoS2TBNGCv17dq1Sw/wMWRmZGVlsWnTpqBDEYmF5nzgG0EoOXVKtOPuXkhoyB+5ubktWwlDpAn0vhZbiX5fc/dRDcQxEMgBantN9QTeMrPjCSXOI7ub9AQ+beD6aotEpE7SJ6fc/YuIzf356kFM45lTgLpENkwTxko0eoCPLd1PSSNN+sBnZoOAe4Ez3b0iQbGJNEjtcGwlw/109+VAXRd+MysFct39MzNbCDxoZncR6kBwFPBGIIGKSEpJ+uQUgJlNASYAW4ER4d1NHs+sLqPBUpdIkbbpoosu4gc/+AHjx48POhSRdPAmcJSZ5QDlhHqP/ySygJn1AhYAF7r7msSHKJLe9L62b+6+0sweITQfXhVwqUa2iEhTJEVyqrHxzO7+hLvfCNwYnmPqMuAmmtG9XV1GRUREJJW5e5WZXQY8C2QCc8IfAi8JH7+H0NQHWcAfw70rqtw9N6iYRaRtcPfe9banAFOCiUak9crLy9m2fUfCOj5sWL+Ondv2T0hdySwpklMNjWeO4kHgaULJqSaPZxZJNY3N1bWv+bhSZc4tST7z5s1j2rRpmBmDBg3it7/9LRMnTmTTpk10796d++67j169enHRRRdx0EEHsXTpUv79739zxx13MH78eNydyy+/nBdeeIGcnBzc9V2ASCy5+yJgUb1990S8/k/gPxMdl0iy0vuaNETP2iLJJymSU40xs6Pc/cPw5lnAqvBrjWeWZmvsjai8vByA7Ozoq90myxtRp07NmwhdpClWrlzJlClT+Pvf/84hhxzC5s2byc/PZ8KECeTn5zNnzhyuuOIK/va3vwGwfv16Xn31VVatWsVZZ53F+PHjefzxx1m9ejXLly9nw4YN9O/fn4kTJwb7h4mISJuk9zVpqbbyrJ3I3kEb1q9j43pv0WJXQSyUlZ2dzedfVCZ0aprmLnaVjpI+OQVMNbN+QA3wMVDbfV3jmSWmKiubN/l6PCVDEkz2ZmZ3Aj8EvgT+BfzU3beEj11PaGWsauAKd382qDhb6oUXXmD8+PEccsghAHTr1o3XXnuNBQsWAHDhhRdyzTXX1JUfO3YsGRkZ9O/fnw0bNgCwZMkSzjvvPDIzMzn88MM57bTTEv+HiIiIoPc1aZyetROvqqqKd1a+T80B3Zp1nu0J9Vh86+N/N+u8jO2bm1W+vg3r1zU7cfd5xUYAumYduo+SX6+r60F9m3VOOkr65JS7/3+NHNN4ZmmWxt6ItAqe7MNi4PrwvC+3A9cD15pZf0ITEw8g1Iuz2Mz6plqy3N33uQJQ5PEOHTrsdW60MiIiIkHR+5pI4xLZO+ivs6dRsbGc3e0P4MvcM+JeH8B+S1v+XXGfPn1adF7Fxj0Aze4F1fWgvi2uM50kfXJKRCQZuPtzEZuvA7VL9YwB5rv7bmCtmZUAxwOvJTjEVhk5ciRnn302kydPJisri82bN3PSSScxf/58LrzwQh544AFOOeWURq8xbNgwZs2axYQJE9i4cSMvvvgiP/nJTxo9R0REJB70viYiLdXSnnXq7NA6Sk6JiDTfRODh8OtsQsmqWmXhfSllwIAB3HjjjZx66qlkZmYyZMgQZsyYwcSJE7nzzjvrJo5tzNlnn80LL7zAwIED6du3L6eeemqCohcREdmb3tdEksvu3bvJ2PVlq3o0NUfGts2Ul6fUQIY2T8kpSTvl5eUpM9meJBczKwa+EeXQje7+RLjMjYTmuXug9rQo5aMu52NmBUABQK9evVodb6zl5+eTn5+/174XXnjha+Xuv//+vba3b98OhIY+3H333XGLT0REpDn0viYikjqUnJK4SuQqEBCaTK66ag+btmxNmcn2JHm4+6jGjptZPvADYKR/NSFFGXBERLGewKcNXL8QKATIzc3VetQiIiIi0iZ06NAh4XNOZWdH+85ZkpWSU5KWag7olhKT7UnqMLM84FrgVHffGXFoIfCgmd1FaEL0o4A3AghRREREREQkJSk5JXGVyFUgIGIliITUJm3M3UAHYHF45Z7X3f0Sd19pZo8A7xMa7ndpqq3UJyIiIiJtz4b165o1wuXzio0AdM06tNn1tMvMaNY5yWrmzJl108HUt69pYhqaCmbmzJkUFRVFPWfnzp17rSDaHGZG586dox7Ly8tLumlplJyKs3j84xWRxHP3Btd3dfcpwJQEhiMSc819QIXWPaR2Pahvs84RERGR2OnTp8FH2wZVbNwDQNeDOjXrvK4H9aW8vJwvqppdZUrp1Kl590X2puRUgPSPV0REkkFLHlChdQ+pLa1TREREWq8lnSBqO1VMnz69ReduWvl+s6dEsZ3bAPDOBzbrvNC8wLGfcyoenUcuv/xydUpByam40z+yxNMypSIizdPS96rWPKSKiIhI29HSL6VKSkKrZ/b5ZnMTTd/QF2EpRskpSU/VVWRsa+YqejXhBFNGZrPrEpGv/OpXv2LRokV873vf48477ww6HBERkVbR+5pI6+mLMNkXJack7hI9j0mXLl3Izs5u1nnw1RxgLcmwKysv8XDtdTfw+ZYtMbte1y5duH3q/8Tseg2ZNWsWmzZtokOHDnGvS0REUofe10REpCFKTklcBTWPSaLHUIvEw+dbtjB+wpUxu96j837fpHJjx45l3bp17Nq1i0mTJlFQUEBRURE33HAD1dXVHHLIITz//PO8/PLLdf/fmBlLlizh/PPPZ8eOHZxwwglcf/31/PjHP45Z/CIiktr0viYiIg1RckriSt03RVLPnDlz6NatG5WVlXznO99hzJgxXHzxxSxZsoScnBw2bw4NmZ02bRp/+MMfOPnkk9m+fTsdO3Zk4cKFHHDAAbzzzjvB/hEiIiJhel8TEUl+GUEH0FRmdrWZuZkdErHvejMrMbPVZnZGkPGJiKSLGTNmcOyxx3LiiSeybt06CgsLGTZsGDk5OQB069YNgJNPPplf/vKXzJgxgy1bttCunb7vEBGR5KP3NRGR5JcSySkzOwIYDXwSsa8/cC4wAMgD/mhmzZzJWkREIr300ksUFxfz2muv8e677zJkyBCOPfZYzOxrZa+77jruvfdeKisrOfHEE1m1alUAEYuIiDRM72siIqkhJZJTwP8C1wAesW8MMN/dd7v7WqAEOD6I4ERE0sXWrVvp2rUrnTt3ZtWqVbz++uvs3r2bl19+mbVr1wLUDX/417/+xcCBA7n22mvJzc3VQ7yIiCQdva+JiKSGpO+ramZnAeXu/m69bziygdcjtsvC+0QaNHPmzLpV+eqr3V8731V9LZ1oXSSV5OXlcc899zBo0CD69evHiSeeSPfu3SksLGTcuHHU1NRw6KGHsnjxYn7/+9/z4osvkpmZSf/+/TnzzDODDl9ERGQvel8TSX76jCaQJMkpMysGvhHl0I3ADcDp0U6Lss+j7MPMCoACgF69erUwSkl3nTo1b2VAkXjr2qVLk1ciaur19qVDhw4888wzUY/Vf0ifOXNm1HLbt29vdmwiIpL+9L4mIs2lz2htR1Ikp9x9VLT9ZjYQyAFqe031BN4ys+MJ9ZQ6IqJ4T+DTBq5fCBQC5ObmRk1gSdugrLqkktun/k/QIYiIiMSM3tfSh5ndDFwMbArvusHdF4WPXQ/8DKgGrnD3ZwMJUlKGPqMJJPmcU+6+3N0Pdffe7t6bUELqOHf/N7AQONfMOphZDnAU8EaA4YqIiIiIiLQV/+vug8M/tYkpLVolMVVRUcEVV1xBRUVF0KFInCV1cqox7r4SeAR4HygCLnX36mCjklSmhk9EREREpFW0aJXE1Ny5c1m+fDnz5s0LOhSJs5RKToV7UH0WsT3F3b/l7v3cPfpgcpEmUsMnjTGzO81slZm9Z2aPm1mX8P7eZlZpZu+Ef+4JOFQRERGRRLgs/Fw0x8y6hvdlA+siyjS4aJWZFZjZUjNbumnTpmhFpI2rqKigqKgId6eoqEidCNJcSiWnROJFDZ80wWLg2+4+CFgDXB9x7F8R3dovCSY8ERERkdgxs2IzWxHlZwzwJ+BbwGBgPfC72tOiXCrqnL/uXujuue6e271793j8CZLi5s6dS01NDQDV1dXqRJDmlJwSQQ2f7Ju7P+fuVeHN1wktwiAiIiKSltx9lLt/O8rPE+6+wd2r3b0GmM1XQ/eavGiVyL4UFxdTVRV6/K6qqmLx4sUBRyTxpOSUCGr4pNkmApFDiXPM7G0ze9nMvtvQSanYff3mm29m2rRpCa/3N7/5DcXFxQmvV0RE0pve12LDzA6L2DwbWBF+rUWrJGZGjRpFu3btAGjXrh2jR48OOCKJp3ZBByCSDEaNGsWiRYuoqqpSw9eGmVkx8I0oh2509yfCZW4EqoAHwsfWA73cvcLMhgJ/M7MB7v5F/Yu4eyFQCJCbmxu1i3uky6/6FRsqNrfsj4miR1Y3Zv7uzphdL55uvfXWoEMQEZEY0/taWrnDzAYTGrJXCvwcQotWmVntolVVaNEqaYX8/HyKiooAyMzMZMKECQFHJPGk5JQIavgkxN1HNXbczPKBHwAj3d3D5+wGdodfLzOzfwF9gaWtjWdDxWY+7nNyay/zlZK/N6nYlClTmDdvHkcccQTdu3dn6NChvPPOO1xyySXs3LmTb33rW8yZM4euXbsyfPhwBg8ezBtvvMEXX3zBnDlzOP7443njjTe48sorqayspFOnTtx3333069eP+++/n7/97W9UV1ezYsUKrrrqKr788kv+8pe/0KFDBxYtWkS3bt246KKL+MEPfsD48ePp3bs3+fn5PPnkk+zZs4f/+7//4+ijj2bHjh1cfvnlLF++nKqqKm6++WbGjBkTu/slIiIxpfe19Hlfc/cLGzk2BZiSwHAkTWVlZZGXl8eTTz5JXl4eWVlZQYckcaRhfSJ81fCZmRo+icrM8oBrgbPcfWfE/u5mlhl+fSSh7usfBRNl6y1btoz58+fz9ttvs2DBAt58800AJkyYwO233857773HwIEDueWWW+rO2bFjB//4xz/44x//yMSJEwE4+uijWbJkCW+//Ta33norN9xwQ135FStW8OCDD/LGG29w44030rlzZ95++23+4z/+o8H53g455BDeeustfvGLX9QNx5gyZQqnnXYab775Ji+++CK/+tWv2LFjR7xujYiIpCC9r4mktvz8fAYOHKjOA22Aek6JhOXn51NaWqqGTxpyN9ABWGxmAK+HV+YbBtxqZlVANXCJu8duzEKCvfLKK5x99tl07twZgLPOOosdO3awZcsWTj31VCD0/8qPfvSjunPOO+88AIYNG8YXX3zBli1b2LZtG/n5+Xz44YeYGXv27KkrP2LECA488EAOPPBADj74YH74wx8CMHDgQN57772ocY0bNw6AoUOHsmDBAgCee+45Fi5cWPdQv2vXLj755BOOOeaYWN4SkaQRTpJPBzKBe919ar3jFj7+PWAncJG7v5XwQEWSiN7XRJpv5syZlJSUfG1/7b5JkyZFPa9Pnz5cfvnlMY0lKyuLGTNmxPSakpyUnBIJU8MnjXH3Pg3sfwx4LMHhxFU4+dbi8mbGr3/9a0aMGMHjjz9OaWkpw4cPrzveoUOHutcZGRl12xkZGXULE9RXWyYzM7OujLvz2GOP0a9fv2bFK5KKwj00/wCMJrQa1ptmttDd348odiah3ptHAScQWur9hETHKpJs9L4mEhudOnUKOgRJY0pOiYhInWHDhnHRRRdx3XXXUVVVxZNPPsnPf/5zunbtyiuvvMJ3v/td/vKXv9R92wzw8MMPM2LECF599VUOPvhgDj74YLZu3Up2djYA999/f1xiPeOMM5g5cyYzZ87EzHj77bcZMmRIXOoSSQLHAyXu/hGAmc0HxhCadLjWGGBeeE68182si5kd5u7rEx+uSHLQ+5pI88W695NIUyg5JSIidY477jh+/OMfM3jwYL75zW/y3e9+F4C5c+fWTRx75JFHct9999Wd07VrV0466aS6iWMBrrnmGvLz87nrrrs47bTT4hLrr3/9a6688koGDRqEu9O7d2+eeuqpuNQlkgSygXUR22V8vVdUtDLZhFYVFWmT9L4mIpIaLLzgVJuRm5vrS5e2ehEtibPacczTp08POBJJVma2zN1zg46jpaK1RR988MFe80qkwpLbw4cPZ9q0aeTmJu9/ivr3VWJHbXXi2iIz+xFwhrv/Z3j7QuB4d788oszTwP9z91fD288D17j7snrXKgAKAHr16jX0448/jnf4TdLW/z01NMcLfDXPS58+UUeYx2Wel1jQ+1p8RHtfS8fnIhFJPa1pi9RzSkQkScX6gVtEUloZcETEdk/g0xaUwd0LgUIIfSCMbZiNa0oCJpET7aaKdJnnRe9rIiLSECWnJDB6QBVJfS+99FLQIUicqa1OGm8CR5lZDlAOnAv8pF6ZhcBl4fmoTgC2ptJ8U+mSgGkp/b+SHPS+JtKwiooKbrnlFm666SaysrKCDkfSjJJTkpTa+gOqiEgqUFudOO5eZWaXAc8CmcAcd19pZpeEj98DLAK+B5QAO4GfBhVvQ5SAERFJXXPnzmX58uXMmzePyZMnBx2OpJmkT06Z2c3AxcCm8K4b3H1R+Nj1wM+AauAKd382kCClRfSAKvJ17t7sJa+lYW1tXsV4UFudPMLPP4vq7bsn4rUDlyY6LpHG6H0ttvS+JkGpqKigqKgId6eoqIgJEyao95TEVEbQATTR/7r74PBPbWKqP6Eu7QOAPOCPZpYZZJAiIq3RsWNHKioq9OAZI+5ORUUFHTt2DDoUEZE2Se9rsaX3NQnS3LlzqampAaC6upp58+YFHJGkm6TvOdWIMcB8d98NrDWzEuB44LVgwxIRaZmePXtSVlbGpk2b9l1YmqRjx4707Nkz6DBERNokva/Fnt7XJCjFxcVUVVUBUFVVxeLFizW0T2IqVZJTl5nZBGApcJW7fw5kA69HlCkL7/uaeksmxzlUEZGWad++PTk5OUGHISIiEhN6XxNJH6NGjWLRokVUVVXRrl07Ro8eHXRIkmaSYlifmRWb2YooP2OAPwHfAgYD64Hf1Z4W5VJR+wy7e6G757p7bvfu3ePxJ4iIiIiIiIikpfz8fDIyQumDzMxMJkyYEHBEkm6SoueUu49qSjkzmw08Fd4sA46IONwT+DTGoYmIiIiIiIi0aVlZWeTl5fHkk0+Sl5enydAl5pKi51RjzOywiM2zgRXh1wuBc82sg5nlAEcBbyQ6PhEREREREZF0l5+fz8CBA9VrSuLCkn31DDP7C6EhfQ6UAj939/XhYzcCE4Eq4Ep3f6YJ19sEfByveBPoEOCzoINIUro3jUuX+/NNd0/Zcbpqi9oM3Z+Gpcu9UVuUHNLl31O86P40LF3ujdqi5JAu/57iRfenYelyb1rcFiV9ckqiM7Ol7p4bdBzJSPemcbo/Ekv699Q43Z+G6d5ILOnfU+N0fxqmeyOxpH9PjdP9aZjuTQoM6xMRERERERERkfSl5JSIiIiIiIiIiARGyanUVRh0AElM96Zxuj8SS/r31Djdn4bp3kgs6d9T43R/GqZ7I7Gkf0+N0/1pWJu/N5pzSkREREREREREAqOeUyIiIiIiIiIiEhglp5KcmeWZ2WozKzGz66IcNzObET7+npkdF0ScQTCzOWa20cxWNHC8Td4bMzvCzF40sw/MbKWZTYpSpk3eG2k5tUUNU1vUMLVHEmtqixqmtqhhaosk1tQWNUxtUcPUFjVOyakkZmaZwB+AM4H+wHlm1r9esTOBo8I/BcCfEhpksO4H8ho53lbvTRVwlbsfA5wIXKp/N9Iaaov26X7UFjVE7ZHEjNqifboftUUNUVskMaO2aJ/uR21RQ9QWNULJqeR2PFDi7h+5+5fAfGBMvTJjgHke8jrQxcwOS3SgQXD3JcDmRoq0yXvj7uvd/a3w623AB0B2vWJt8t5Ii6ktaoTaooapPZIYU1vUCLVFDVNbJDGmtqgRaosapraocUpOJbdsYF3Edhlf/8fblDJtVZu/N2bWGxgC/LPeoTZ/b6RZ1Ba1ju4Nao8kJtQWtY7uDWqLJCbUFrWO7g1qi6JRciq5WZR99ZdXbEqZtqpN3xszOwB4DLjS3b+ofzjKKW3m3kizqS1qnTZ/b9QeSYyoLWqdNn9v1BZJjKgtap02f2/UFkWn5FRyKwOOiNjuCXzagjJtVZu9N2bWnlCD94C7L4hSpM3eG2kRtUWt06bvjdojiSG1Ra3Tpu+N2iKJIbVFrdOm743aooYpOZXc3gSOMrMcM9sPOBdYWK/MQmBCeFb/E4Gt7r4+0YEmqTZ5b8zMgD8DH7j7XQ0Ua5P3RlpMbVHrtNl7o/ZIYkxtUeu02XujtkhiTG1R67TZe6O2qHHtgg5AGubuVWZ2GfAskAnMcfeVZnZJ+Pg9wCLge0AJsBP4aVDxJpqZPQQMBw4xszLgJqA9tPl7czJwIbDczN4J77sB6AVt/t5IC6gtapzaokapPZKYUVvUOLVFjVJbJDGjtqhxaosapbaoEebeJoYvioiIiIiIiIhIEtKwPhERERERERERCYySUyIiIiIiIiIiEhglp0REREREREREJDBKTomIiIiIiIiISGCUnBIRERERERERkcAoOSUpycxuNrOrg45DRETtkYgkA7VFIpIM1BZJSyk5JSIiIiIiIiIigVFySlKCmU0ws/fM7F0z+0u9Yxeb2ZvhY4+ZWefw/h+Z2Yrw/iXhfQPM7A0zeyd8vaOC+HtEJHWpPRKRZKC2SESSgdoiiRVz96BjEGmUmQ0AFgAnu/tnZtYNuALY7u7TzCzL3SvCZX8LbHD3mWa2HMhz93Iz6+LuW8xsJvC6uz9gZvsBme5eGdTfJiKpRe2RiCQDtUUikgzUFkksqeeUpILTgEfd/TMAd99c7/i3zeyVcCN3PjAgvP/vwP1mdjGQGd73GnCDmV0LfFMNnog0k9ojEUkGaotEJBmoLZKYUXJKUoEBjXXxux+4zN0HArcAHQHc/RLgv4EjgHfCmfsHgbOASuBZMzstnoGLSNpReyQiyUBtkYgkA7VFEjNKTkkqeB44x8yyAMLdRSMdCKw3s/aEMvKEy33L3f/p7r8BPgOOMLMjgY/cfQawEBiUkL9ARNKF2iMRSQZqi0QkGagtkphpF3QAIvvi7ivNbArwsplVA28DpRFFfg38E/gYWE6oEQS4MzyRnhFqON8FrgMuMLM9wL+BWxPyR4hIWlB7JCLJQG2RiCQDtUUSS5oQXUREREREREREAqNhfSIiIiIiIiIiEhglp0REREREREREJDBKTomIiIiIiIiISGCUnBIRERERERERkcAoOSUiIiIiIiIiIoFRckpERERERERERAKj5JSIiIiIiIg0iZnNMbONZraigeNmZjPMrMTM3jOz4xIdo4ikHiWnREREREREpKnuB/IaOX4mcFT4pwD4UwJiEpEUp+SUiIiIiIiINIm7LwE2N1JkDDDPQ14HupjZYYmJTkRSVbugA0i0Qw45xHv37h10GCLSSsuWLfvM3bsHHUdLqS0SSQ9qi0QkGSRZW5QNrIvYLgvvWx9ZyMwKCPWsYv/99x969NFHJyxAEYmP1rRFbS451bt3b5YuXRp0GCLSSmb2cdAxtIbaIpH0oLZIRJJBkrVFFmWff22HeyFQCJCbm+tqi0RSX2vaIg3rExERERERkVgpA46I2O4JfBpQLCKSIpScEhERERERkVhZCEwIr9p3IrDV3dfv6yQRaduUnBIRkSYpLS3lmGOO4eKLL2bAgAGcfvrpVFZWMmPGDPr378+gQYM499xzgw5TJOWZWZ6ZrQ4vw35dlOMNLtNuZl3M7FEzW2VmH5jZfyQ2ehFJd2b2EPAa0M/MyszsZ2Z2iZldEi6yCPgIKAFmA/8VUKgikkLiNueUmfUDHo7YdSTwG2BeeH9voBQ4x90/D59zPfAzoBq4wt2fDe8fSmjJ0k6EGrtJ7u5m1iF8vaFABfBjdy+N198ksi979uyhrKyMXbt2BR1K2ujYsSM9e/akffv2QYciwIcffshDDz3E7NmzOeecc3jssceYOnUqa9eupUOHDmzZsiXoEAW1RfGQqLbIzDKBPwCjCQ2NedPMFrr7+xHFIpdpP4HQMu0nhI9NB4rcfbyZ7Qd0jmvAIo1QWxR7yfBc5O7n7eO4A5cmKBwRSRNxS065+2pgMNQ9aJUDjwPXAc+7+9Twt4HXAdeaWX/gXGAAcDhQbGZ93b2a0ENXAfA6oeRUHvAMoUTW5+7ex8zOBW4Hfhyvv0lkX8rKyjjwwAPp3bs3ZtHmgpTmcHcqKiooKysjJycn6HAEyMnJYfDgwQAMHTqU0tJSBg0axPnnn8/YsWMZO3ZsoPFJiNqi2EpwW3Q8UOLuHwGY2XxCy7JHJqfqlmkHXg/3ljoM2AEMAy4Kx/0l8GW8AxZpiNqi2NJzkYiks0QN6xsJ/MvdPyb0QDU3vH8uMDb8egww3913u/taQt1Ajw8/bB3k7q+FH8Lm1Tun9lqPAiNN73wSoF27dpGVlaUHsBgxM7KysvSNaxLp0KFD3evMzEyqqqp4+umnufTSS1m2bBlDhw6lqqoqwAgF1BbFWoLbooaWYG9KmSOBTcB9Zva2md1rZvvHM9ighR4N4eabb95rW5KD2qLY0nORiKSzRCWnzgUeCr/uUTshXvj3oeH9DT1oZYdf19+/1znuXgVsBbLiEL9Ik+kBLLZ0P5NbTU0N69atY8SIEdxxxx1s2bKF7du3Bx2WoP93Yi2B97MpS7A3VKYdcBzwJ3cfQqgnVbQ5qwrMbKmZLd20aVNr4w3UAw88wB133MGuXbu44447eOCBB4IOSepRWxRbup8ikq7inpwKz3dwFvB/+yoaZZ83sr+xc+rHkNIPYZqEWESSVXV1NRdccAEDBw5kyJAhTJ48mS5dugQdlkgqa8oS7A2VKQPK3P2f4f2PEkpW7cXdC909191zu3fvHrPAg3DBBRdwxBFHcMcdd9CrVy8uuOCCoEMSERGRFojbnFMRzgTecvcN4e0NZnaYu68PD9nbGN7f2INWzyj7I88pM7N2wMHA5voBuHshUAiQm5ubkv29NQmxBOGiiy7iBz/4AePHjw86FEkCvXv3ZsWKFXXbV199dYDRSFvSxtqiN4GjzCyH0Hyd5wI/qVdmIXBZeD6qE4hYpt3M1plZv/DcnyPZe66qtPPggw9SVlbGNddcwyeffMKDDz7IT35S/3aJxEYba4tERBIqEcmp8/hqSB+EHqjyganh309E7H/QzO4iNCH6UcAb7l5tZtvM7ETgn8AEYGa9a70GjAde8DSdbECTEItIvCxYsICioqKE1pmXl8e4ceMSWqdIKnD3KjO7DHgWyATmuPvK2iXa3f0eQovDfI/Q/Jw7gZ9GXOJy4IFwz/WP6h1LO+eddx5mxs0338w111yjOadERERSVFyH9ZlZZ0JLIS+I2D0VGG1mH4aPTQVw95XAI4S+4SsCLg2v1AfwC+BeQg9h/yK0Uh/An4EsMysBfkmUeRXShSYhlqaYN28egwYN4thjj+XCCy/k448/ZuTIkQwaNIiRI0fyySefAKFv/q644gpOOukkjjzySB599FEgNJHsZZddRv/+/fn+97/Pxo0bG6tO0kRRURFr1qxJWH1r1qxJeDJMEkttUeu4+yJ37+vu33L3KeF994QTU3jIpeHjA919acS574SH7A1y97Hu/nlQf0ci1M6/UzshuubjkUhqi0REUkdce065+07qTVDu7hWEuplHKz8FmBJl/1Lg21H27wJ+FJNgU0zkJMSnnHIKDz74INu3b9dcL23YypUrmTJlCn//+9855JBD2Lx5M/n5+UyYMIH8/HzmzJnDFVdcwd/+9jcA1q9fz6uvvsqqVas466yzGD9+PI8//jirV69m+fLlbNiwgf79+zNx4sRg/zBJiL59+1JYWJiQugoKChJSjwRDbZGIJAO1RSIiqSURw/okDmonId66dSvurkmIhRdeeIHx48dzyCGHANCtWzdee+01FiwIdVy88MILueaaa+rKjx07loyMDPr378+GDaEp4ZYsWcJ5551HZmYmhx9+OKeddlri/xBJuH79+qV1fZJYaotEJBmoLRIRSS1KTqUATUIsTeHu+xzOEHk8cqho5BwdGhLRMmaWB0wnNEfMve4+NeCQmuyqq65K6/oksdQWiUgyUFskIpJalJwKkCYhllgaOXIkZ599NpMnTyYrK4vNmzdz0kknMX/+fC688EIeeOABTjnllEavMWzYMGbNmsWECRPYuHEjL774olY9agIzywT+QGgevTLgTTNb6O5pvUqWSDRqi6SlgnguAj0bpSu1RSIiqUXJqQDVTkLct2/fhNRXO+GxHsDS04ABA7jxxhs59dRTyczMZMiQIcyYMYOJEydy55130r17d+67775Gr3H22WfzwgsvMHDgQPr27cupp56aoOhT3vFAibt/BBBe3n0Mab6Eu0g0aoukpRL9XAR6NkpnaotERFKLklMB0yTEEkv5+fnk5+fvte+FF174Wrn7779/r+3t27cDoa7rd999d9ziS2PZwLqI7TLghPqFzKwAKADo1atXYiITCYDaImmpRD4XgZ6N0p3aIhGR1JERdAAiImkg2oQU/rUd7oXhJd5zu3fvnoCwREREREREkp+SUyIirVcGHBGx3RP4NKBYREREREREUoqG9QVIy7eLpI03gaPMLAcoB84FNGOqiEgzBPGcomcjERGR5KDkVIC0fLtIenD3KjO7DHgWyATmuPvKgMMSEUkpQTyn6NlIREQkOSg5JSISA+6+CFgUdBwiIiIiIiKpRnNOiYiIiIikidLSUo455hguvvhiBgwYwOmnn05lZSUzZsygf//+DBo0iHPPPTfoMEVERPainlMicXTtdTfw+ZYtMbte1y5duH3q/8Tseg351a9+xaJFi/je977HnXfeGff6RCS+1BaJtC0ffvghDz30ELNnz+acc87hscceY+rUqaxdu5YOHTqwJYbtQXOoLRIRkYYoOSUSR59v2cL4CVfG7HqPzvt9zK7VmFmzZrFp0yY6dOiQkPpEJL7UFom0LTk5OQwePBiAoUOHUlpayqBBgzj//PMZO3YsY8eODSQutUUiItIQDesTSUNjx45l6NChDBgwgMLCQgCKioo47rjjOPbYYxk5ciQAL7/8MoMHD2bw4MEMGTKEbdu2cdZZZ7Fjxw5OOOEEHn744SD/DBFJcWqLRIIRmUTJzMykqqqKp59+mksvvZRly5YxdOhQqqqqAowwsdQWiYgkP/WcEklDc+bMoVu3blRWVvKd73yHMWPGcPHFF7NkyRJycnLYvHkzANOmTeMPf/gDJ598Mtu3b6djx44sXLiQAw44gHfeeSfYP0JEUp7aIpHkUFNTw7p16xgxYgSnnHIKDz74INu3b6dLly5Bh5YQaotERJKfek4FqKKigiuuuIKKioqgQ5E0M2PGDI499lhOPPFE1q1bR2FhIcOGDSMnJweAbt26AXDyySfzy1/+khkzZrBlyxbatVO+WkRiR22RNIeei+KnurqaCy64gIEDBzJkyBAmT57cZhJToLZIRCQVKDkVoLlz57J8+XLmzZsXdCiSRl566SWKi4t57bXXePfddxkyZAjHHnssZva1stdddx333nsvlZWVnHjiiaxatSqAiEUkHaktkubSc1Fs9O7dmxUrVtRtX3311UyZMoVXX32V5cuXs2LFCq677roAI0wstUUiIqkhrskpM+tiZo+a2Soz+8DM/sPMupnZYjP7MPy7a0T5682sxMxWm9kZEfuHmtny8LEZFn43MbMOZvZweP8/zax3PP+eWKqoqKCoqAh3p6ioSN8SSsxs3bqVrl270rlzZ1atWsXrr7/O7t27efnll1m7di1AXff1f/3rXwwcOJBrr72W3NxcPYSJSMyoLZLm0HORxIvaIhGR1BDvvqrTgSJ3H29m+wGdgRuA5919qpldB1wHXGtm/YFzgQHA4UCxmfV192rgT0AB8DqwCMgDngF+Bnzu7n3M7FzgduDHcf6bYmLu3LnU1NQAoa7W8+bNY/LkyQFHJbHWtUuXmK4k07UJXfDz8vK45557GDRoEP369ePEE0+ke/fuFBYWMm7cOGpqajj00ENZvHgxv//973nxxRfJzMykf//+nHnmmTGLVUSSh9oiSXZ6LmrcggULKCoqSni9eXl5jBs3LmbXU1skIiINMXePz4XNDgLeBY70iErMbDUw3N3Xm9lhwEvu3s/Mrgdw9/8XLvcscDNQCrzo7keH958XPv/ntWXc/TUzawf8G+jujfxRubm5vnTp0jj8xc3zve99j507d9Ztd+7cmUWLFgUYkcTCBx98wDHHHBN0GGkn2n01s2XunhtQSK2WLG2RpCe1RfGhtih+9FzUuIKCAtasWUPfvn0TVmdtfbWr27WE2qL4UFskIsmqNW1RPHtOHQlsAu4zs2OBZcAkoIe7rwcIJ6gODZfPJtQzqlZZeN+e8Ov6+2vPWRe+VpWZbQWygM/i8hfF0KhRo1i0aBFVVVW0a9eO0aNHBx2SiIiISCDi+Vw0c+ZMSkpKoh4rLy8HIDs7O+rxPn36cPnll8csltZobaKouQoKChJWl4iISDznnGoHHAf8yd2HADsIDeFryNdnJQRvZH9j5+x9YbMCM1tqZks3bdrUeNQJkp+fT0ZG6PZnZmYyYcKEgCMSERERCUZQz0WVlZVUVlYmpC4RERFpWDx7TpUBZe7+z/D2o4SSUxvM7LCIYX0bI8ofEXF+T+DT8P6eUfZHnlMWHtZ3MLC5fiDuXggUQqjLaAz+tlbLysoiLy+PJ598kry8PLKysoIOSURERCQQ8Xwuaqzn06RJkwCYPn16zOqLh379+rWJOiU1mFkeobmFM4F73X1qveMHA38FehH6vDnN3e9LeKAiklLilpxy93+b2Toz6+fuq4GRwPvhn3xgavj3E+FTFgIPmtldhCZEPwp4w92rzWybmZ0I/BOYAMyMOCcfeA0YD7zQ2HxTySY/P5/S0lL1mhIREZE2T89FDbvqqqvaRJ2S/MwsE/gDMJpQR4E3zWyhu78fUexS4H13/6GZdQdWm9kD7v5lACGLSIqI92p9lwMPhFfq+wj4KaGhhI+Y2c+AT4AfAbj7SjN7hFDyqgq4NLxSH8AvgPuBToRW6XsmvP/PwF/MrIRQj6lz4/z3xFRWVhYzZswIOgwRERGRwOm5SCQlHA+UuPtHAGY2HxhD6DNcLQcONDMDDiD0Oa0q0YGKSGqJa3LK3d8Bos3UPrKB8lOAKVH2LwW+HWX/LsLJLREREREREYmrugWpwsqAE+qVuZvQCJdPgQOBH7t7TWLCE5FUFe+eUyJt2uVX/YoNFV+bBq3FemR1Y+bv7mxy+ZtvvpkDDjiAq6++OmYxNMVvfvMbhg0bxqhRoxJar4hEF3RbBGqPRERtUZq0RU1ZkOoM4B3gNOBbwGIze8Xdv9jrQmYFQAFAr169Yh+piKQUJadE4mhDxWY+7nNy7C5Y8vfYXSuObr311qBDEJEIbbUtArVHIslEbVFaaGgRq0g/BaaG5wIuMbO1wNHAG5GFknHRKhEJTkbQAYhIbE2ZMoV+/foxatQoVq9eDcA777zDiSeeyKBBgzj77LP5/PPPARg+fDhXXnklJ510Et/+9rd5443QM8Mbb7zBSSedxJAhQzjppJPqrnP//fczduxYfvjDH5KTk8Pdd9/NXXfdxZAhQzjxxBPZvDn0behFF13Eo48+CkDv3r256aabOO644xg4cCCrVq0CYMeOHUycOJHvfOc7DBkyhCeeeAIRSS9qj1rGzPLMbLWZlZjZdVGOm5nNCB9/z8yOizhWambLzewdM1ua2MhFkpPaoph6EzjKzHLC8wqfS2gIX6RPCE/jYmY9gH6E5h8WEWmQklMiaWTZsmXMnz+ft99+mwULFvDmm28CMGHCBG6//Xbee+89Bg4cyC233FJ3zo4dO/jHP/7BH//4RyZOnAjA0UcfzZIlS3j77be59dZbueGGG+rKr1ixggcffJA33niDG2+8kc6dO/P222/zH//xH8ybNy9qXIcccghvvfUWv/jFL5g2bRoQelA87bTTePPNN3nxxRf51a9+xY4dO+J1a0QkwdQetUzESlhnAv2B88ysf71iZxJa1fgoQkNi/lTv+Ah3H+zu0eb9FGlT1BbFlrtXAZcBzwIfAI+EF7a6xMwuCRe7DTjJzJYDzwPXuvtnwUQsIqlCw/pE0sgrr7zC2WefTefOnQE466yz2LFjB1u2bOHUU08FQkt1/+hHX60jcN555wEwbNgwvvjiC7Zs2cK2bdvIz8/nww8/xMzYs2dPXfkRI0Zw4IEHcuCBB3LwwQfzwx/+EICBAwfy3nvvRY1r3LhxAAwdOpQFCxYA8Nxzz7Fw4cK6B7Jdu3bxySefcMwxx8TylohIQNQetVhTVsIaA8wLD5l53cy6mNlh7r4+8eGKJDe1RbHn7ouARfX23RPx+lPg9ETHJSKpTckpkTQTWrW35eXNjF//+teMGDGCxx9/nNLSUoYPH153vEOHDnWvMzIy6rYzMjKoqoq+SnBtmczMzLoy7s5jjz1Gv379mhWviKQOtUct0pSVsKKVyQbWE5qY+Dkzc2BWeE6XvWgSYmmKiooKbrnlFm666SaysrKCDqdV1BaJiCQ/DesTSSPDhg3j8ccfp7Kykm3btvHkk0+y//7707VrV1555RUA/vKXv9R9Uwjw8MMPA/Dqq69y8MEHc/DBB7N161ays7OB0FwK8XDGGWcwc+ZMQl/8w9tvvx2XekQkGGqPWqwpK2E1VuZkdz+O0NC/S81s2NcKuhe6e66753bv3r110Uramjt3LsuXL29wWFqqUFskIpIa1HNKJI56ZHWL6UoyPbK6NXr8uOOO48c//jGDBw/mm9/8Jt/97neB0APmJZdcws6dOznyyCO577776s7p2rUrJ510El988QVz5swB4JprriE/P5+77rqL0047LWbxR/r1r3/NlVdeyaBBg3B3evfuzVNPPRWXukTaukS3RaD2qBWashJWg2XCw2lw941m9jihYYJL4hatpKWKigqKiopwd4qKipgwYUJMek+pLWpckrVFIiIJZbWZ+bYiNzfXly7V4jUSHx988EHSzQvQmOHDhzNt2jRyc5N7ztxo99XMlqXyZL9qiySeUq0tgtRojxLRFplZO2ANoZWuygmtjPUTd18ZUeb7hCYk/h6hIX8z3P14M9sfyHD3beHXi4Fb3b2oofraels0adIkAKZPnx5wJMnlrrvuYtGiRVRVVdGuXTu+//3vM3ny5GZfR21RfOi5SESSVWvaIg3rExEREUkSTVwJaxGhZdlLgNnAf4X39wBeNbN3gTeApxtLTIk0pLi4uG4epKqqKhYvXhxwRCIiku40rE+kDXvppZeCDkFEBFB7FKkJK2E5cGmU8z4Cjo17gJL2Ro0atVfPqdGjRwcdUsKoLRIRCYZ6TgWooqKCK664goqKiqBDkRhqa0Nl4033U6Rl9P9ObOl+SluSn59PRkboY0JmZiYTJkxo8bX0/05s6X6KSLpScipA6bIKinylY8eOVFRU6MEhRtydiooKOnbsGHQomNmdZrbKzN4zs8fNrEvEsevNrMTMVpvZGQGGKQKoLYq1ZGqLRBIhKyuLvLw8zIy8vLwWT4autii21BaJSDrTsL6AxGsVFAlWz549KSsrY9OmTUGHkjY6duxIz549gw4DQhMLX+/uVWZ2O3A9cK2Z9QfOBQYAhwPFZtbX3asDjFXaOLVFsZdEbZFIQuTn51NaWtqqXlNqi2JPbZGIpCslpwIyd+5campqAKiurmbevHktWgVFkkv79u3JyckJOgyJA3d/LmLzdWB8+PUYYL677wbWmlkJoaXbX0twiCJ11BaJSGtlZWUxY8aMVl1DbZGIiDSVhvUFRKugiKS0icAz4dfZwLqIY2XhfV9jZgVmttTMlupbZBERERERkRAlpwIyatQo2rULdVxra6ugiCQrMys2sxVRfsZElLkRqAIeqN0V5VJRJ9dw90J3z3X33O7du8f+DxAREREREUlBGtYXkPz8fIqKioDWr4IiIrHh7qMaO25m+cAPgJH+1eyuZcAREcV6Ap/GJ0IREREREZH0E9eeU2ZWambLzewdM1sa3tfNzBab2Yfh310jykdd8crMhoavU2JmM8zMwvs7mNnD4f3/NLPe8fx7YilWq6CISGKYWR5wLXCWu++MOLQQODfcHuUARwFvBBGjiIiIiIhIKkrEsL4R7j7Y3XPD29cBz7v7UcDz4W3qrXiVB/zRzDLD5/wJKCD0oe+o8HGAnwGfu3sf4H+B2xPw98RMfn4+AwcOVK8pkdRwN3AgsDiccL8HwN1XAo8A7wNFwKVaqU9ERERERKTpghjWNwYYHn49F3iJUG+EqCtemVkpcJC7vwZgZvOAsYQmIx4D3By+1qPA3WZmEcNtklosVkERkcQIJ8EbOjYFmJLAcEREJMLMmTMpKSlp9nm150yaNKnZ5/bp04fLL7+82eeJiIjI18U7OeXAc2bmwCx3LwR6uPt6AHdfb2aHhstmE1qevVbtild7wq/r7689Z134WlVmthXIAj6LDMLMCgj1vKJXr16x++tEREREJHAlJSW8s/J9ag7o1qzzbE/o+8y3Pv53s87L2L65WeVFRESkcfFOTp3s7p+GE1CLzWxVI2UbWvGqsZWwmrRKVjgpVgiQm5ubEr2qRERERKTpag7oxpe5Z+y7YAzst/TZhNQjIiLSVsR1zil3/zT8eyPwOHA8sMHMDgMI/94YLt7Qildl4df19+91jpm1Aw4G9FWWiIiIiIiIiEiKiFtyysz2N7MDa18DpwMrCK1slR8ulg88EX4ddcWr8BDAbWZ2YniVvgn1zqm91njghVSZb0pEREREREREROI7rK8H8Hgon0Q74EF3LzKzN4FHzOxnwCfAjyC04pWZ1a54VcXeK179Argf6ERoIvRnwvv/DPwlPHn6ZkKr/YmIiIiIiIiISIqIW3LK3T8Cjo2yvwIY2cA5UVe8cvelwLej7N9FOLklIiIiIiIiIiKpJ65zTomIiIiIiIiIiDRGySkREREREREREQmMklMiIiIiIiIiIhIYJadERERERERERCQwSk6JiIiIiIiIiEhglJwSEREREREREZHAKDklIiIiIiIiIiKBUXJKREREREREREQCo+SUiIiIiIiIiIgERskpERERERERaRIzyzOz1WZWYmbXNVBmuJm9Y2YrzezlRMcoIqmnXdABiIiIiIiISPIzs0zgD8BooAx408wWuvv7EWW6AH8E8tz9EzM7NJBgRSSlqOeUiIiIiIiINMXxQIm7f+TuXwLzgTH1yvwEWODunwC4+8YExygiKUjJKREREZEksq8hMxYyI3z8PTM7rt7xTDN728yeSlzUItJGZAPrIrbLwvsi9QW6mtlLZrbMzCZEu5CZFZjZUjNbumnTpjiFKyKpQskpERERkSQRMWTmTKA/cJ6Z9a9X7EzgqPBPAfCnescnAR/EOVQRaZssyj6vt90OGAp8HzgD+LWZ9f3aSe6F7p7r7rndu3ePfaQiklKUnBIRERFJHk0ZMjMGmOchrwNdzOwwADPrSegD4b2JDFpE2owy4IiI7Z7Ap1HKFLn7Dnf/DFgCHJug+EQkRSk5JSJpx8wyzOykoOMQEWmBpgyZaazM74FrgJqGKtBQGhFphTeBo8wsx8z2A84FFtYr8wTwXTNrZ2adgRNQb04R2Qet1iciacfda8zsd8B/BB2LiEgzNWXITNQyZvYDYKO7LzOz4Q1V4O6FQCFAbm5u/WvH1cyZMykpKYl6rLy8HIDs7Pq5uJA+ffpw+eWXxy02Edk3d68ys8uAZ4FMYI67rzSzS8LH73H3D8ysCHiPUKL8XndfEVzUIpIK4t5zqv6knGbWzcwWm9mH4d9dI8peH57cc7WZnRGxf6iZLQ8fm2FmFt7fwcweDu//p5n1jvffIyIp4zkz+/9q2wsRkRTR1CEz0cqcDJxlZqWEhgOeZmZ/jV+osVVZWUllZWXQYYjIPrj7Infv6+7fcvcp4X33uPs9EWXudPf+7v5td/99YMGKSMpIRM+p2kk5DwpvXwc87+5TwyvQXAdcG57s81xgAHA4UGxmfd29mtBEnwXA68AiIA94BvgZ8Lm79zGzc4HbgR8n4G8SkeT3S2B/oMrMdhHqaeDuflDjp4mIBKpuyAxQTujZ6Cf1yiwELjOz+YSGy2x19/XA9eEfwj2nrnb3CxIUd5M01vNp0qRJAEyfPj1R4YiIiEiSiGtyKmJSzimEPihCaBLP4eHXc4GXgGvD++e7+25grZmVAMeHv/07yN1fC19zHjCWUHJqDHBz+FqPAnebmbl7Qruoi0jycfcDg45BRKS5mjJkhtAXdd8DSoCdwE+DijdZlJeXk7FtK/stfTYh9WVs20x5eXVC6hIREWkL4t1z6veEJuWM/JDYI/ztHu6+3swODe/PJtQzqlbt5J57wq/r7689Z134WlVmthXIAj6LDMLMCgj1vKJXr16t/qNipaSkhEmTJjF9+nT69OkTdDgiacfMsoFvEtHWufuS4CISEdk3d19EKAEVuS9yuIwDl+7jGi8R+gJQREREJOnFLTnV1Ek5I0+Jss8b2d/YOXvvCHDiz8b89re/ZceOHfz2t7/l/vvvDzockbRiZrXDfN8Har/edkLLGYuISBrJzs5mQ1UmX+aese/CMbDf0mfJzv5GQuoSERFpC+I5IXpDk3JuMLPDAMK/N4bLNzS5Z1n4df39e51jZu2Ag4HN8fhjYq2kpITS0lIASktLG1y5RkRabCzQz92/5+4/DP+c1dqLmtnVZuZmdkjEvqiLOYiIiIiIiMi+xS055e7Xu3tPd+9NaDLPF8KTci4E8sPF8oEnwq8XAueGV+DLAY4C3ggPAdxmZieGV92aUO+c2muND9eRND2jGvPb3/620W0RabWPgPaxvKCZHQGMBj6J2Be5mEMe8Eczy4xlvSIiIiIiIuksEav11TcVeMTMfkboA96PAMKTfT5CaAhOFXBpeKU+gF8A9wOdCE2E/kx4/5+Bv4QnT99M6ANiSqjtNdXQtoi0jJnNJDR8byfwjpk9D+yuPe7uV7Ti8v9LaB69JyL2RV3MAXitFfWIiIiIiIi0GQlJTkVOyunuFcDIBspNIbSyX/39S4FvR9m/i3ByK9X07t17r4RU7969A4tFJM0sDf9eRqh3ZaQW96w0s7OAcnd/N9SJs05DizlEu0ZSLs4gIvFjZvsBRxNqf1a7+5cBhyQiIiKSdILoOSXAf//3f/Of//mfe22LSOu5+1wAM5vk7tMjj5nZpMbONbNiINoMtzcCNwCnRzstWhgNxJaUizOISHyY2feBe4B/EWorcszs5+7+TONnioiIiLQt8ZwQXRrRp0+fut5SvXv3pk+fPsEGJJJ+8qPsu6ixE9x9lLt/u/4PofmrcoB3w4s89ATeMrNv0PBiDiIivwNGuPtwdz8VGEFoeLCIiIiIRFByKkD//d//zf77769eUyIxZGbnmdmThHooLIz4eRGoaMk13X25ux/q7r3DizyUAce5+79pYDGHGP05IpLaNrp75HK8H/HVKsUiIiIiEtbosD4zO8jdvzCzbv9/e/cfJVdd5nn8/aQ7xESICYWE2CQGp+ns8kswEdkRdwSTsQKuMIwiCnbrQXNUiDHCDOA4ZjLCOeiw7iTRkU2AQ0eEDAtZSUwoTHQUGX7jIiH8aFppSJqYSCWQhIQk1f3sH/d2qDRV3VWVqnvrx+d1Tp+uuj/qPvXt7m9XPfX9Pt9c+919W2XCagytra2sXr067jBE6s2DwGbgKIJRCwN2Ak+V+2LDLOYgIo1tg5mtAe4kmO77aeAxM7sAwN1XxBmciIiISLUYrubU7cAnCAoLOwfXVnHgfRWKS0SkJO7+EvAS8N+GOs7MHnL3IY8Z4hpTBt3PuZiDiDS8dwBbgL8K7/8ZOBL4HwSvo5ScEhEREWGY5JS7fyL8flw04YiIROYdcQcgIvXN3b8YdwwiIiIitaCg1frM7MPAk+7+hpldAnwA+Fd3f7mi0YmIVI5WyxORigrr0M0BppD1msvdPxlXTCIiIiLVqKDkFPBj4P1m9n7g74GbgZ/w1jB1ERERETnYzwheM60C+uMNRURERKR6FZqcyri7m9l5wEJ3v9nMci3TLiJSK2z4Q0REDsmb7r4o7iBEREREql2hyamdZnYNcAnw382sCRhZubBERA6dmR0DnE4whe8xd/9T1u7PxxOViDSQhWY2H/gFsHdgo7v/Lr6QRERERKpPocmpzwCfAy519z+Z2WTgXyoXlojIoTGzLwHfAX5FMEpqsZn9s7vfAuDuT8cZn4g0hJMJEuFn89a0Pg/vi4iIiEiooORUONrgB1n3XwaWVSqoRpFOp1mwYAHz588nkUjEHY5Ivfk74DR3TwOYWQJ4ELgl1qhEpJH8DfA+d98XdyAiIiIi1WzEUDvN7IHw+04z25H1tdPMdkQTYv3q7Oxk/fr1LFumPJ9IBWwCdmbd3wlsjCkWEWlMvwfGxR2EiIiISLUbcuSUu58Zfj8imnAaRzqdJpVK4e6kUina29s1ekqkDMzsm+HNXuARM7uHYBrNecCjsQUmIo1oAvCcmT3GwTWnPhlfSCIiIiLVp9CaU1JmnZ2d9PcH5Sf6+vpYtmwZ8+bNizkqkbowkEz/Q/g14J4YYhGRxjY/7gCkPqgUxNBWrFhBKpWK9JrJZJILLrgg0muKiNQzJadism7dOjKZDACZTIa1a9cqOSVSBu6+IO4YREQA3P03cccg9SG7FIReL75dKpWiq6uLtra2SK7X1dUFoOSUiEgZKTkVkxkzZrBmzRoymQzNzc3MnDkz7pBE6oqZ/QfBdL6DuLtWyRKRijKzB9z9TDPbycH9kAHu7mNjCk1qkEpBFKatrY0lS5ZEcq3Zs2dHch0RkUYyZEH0Q2Fm7zCzR83s92a2wcwWhNuPNLO1ZvZC+H181jnXmFm3mT1vZh/P2j7NzNaH+xaZmYXbR5nZv4fbHzGzKZV6PuXW0dHBiBFB8zc1NdHe3h5zRCJ150qCFfv+DvhH4Eng8TgDEpHGkF2z093HZn0docSUFCtXKQgREZF6U7HkFEHhz7Pd/f3AqUDSzM4ArgZ+6e7HA78M72NmJwAXAScCSeDfzKwpfKwfA7OB48OvZLj9UmC7u7cC/wv4XgWfT1klEgmSySRmRjKZ1CdgImXm7k9kff2nu38T+FDccYmIiBQjVykIOdjUqVOZOnVq3V5PRKQRVGxan7s7sCu8OzL8Glgx66Ph9k7g18BV4fbl7r4XeNHMuoHTzawHGOvuDwGY2TLgfODe8Jx/Ch/rLuCHZmbhtateR0cHPT09GjUlUgFmdmTW3RHAdOCYmMIREZEKG7FrG4c9fl9R59junQD4mOIWph6xaxtR/UtRKYjhXXHFFXV9PRGRRlDRmlPhyKcngFbgR+7+iJlNcPfNAO6+2cyODg9vAR7OOn1TuG1/eHvw9oFzNoaPlTGz14EE8OqgOGYTjLxi8uTJ5XuChyiRSLBo0aK4w6hpPT09zJo1izPPPJMHH3yQlpYW7rnnHpYuXcqNN95Ic3MzJ5xwAsuXL487VIneEwQJcSPoR3oIRluKiEidaW1tLem87u7gc9TW9xabaDqm5GsWq6Oj48BKdCoFISIi9aqiySl37wNONbNxwP81s5OGONxyPcQQ24c6Z3AcS4AlANOnT6+JUVVSuBdeeIE77riDpUuXcuGFF3L33Xdz/fXX8+KLLzJq1Chee+21uEOUeFwFpNx9h5n9I/ABYHfMMYmISAXMmTOnpPPmzp0LwMKFC8sZTlkNlIJYtWqVSkGIiEjdqmTNqQPc/TWC6XtJYIuZTQQIv28ND9sETMo67VjglXD7sTm2H3SOmTUD7wK2VeI5SPU67rjjOPXUUwGYNm0aPT09nHLKKVx88cXcdtttNDdrUcoG9e0wMXUmMBO4laB+nYiISE3p6Ojg5JNP1qgpqQpmlgwXsOo2s6uHOO6DZtZnZp+KMj4RqU2VXK3v3eGIKcxsNDADeA5YCXSEh3UA94S3VwIXhSvwHUdQ+PzRcArgTjM7I1ylr33QOQOP9SngV7VSb0rKZ9SoUQduNzU1kclkWL16NZdddhlPPPEE06ZNO1BIVBpKX/j9XOBGd78HOCzGeERECjLcGz8LLAr3P2VmHwi351wpWWrfQCkIjZqSuIVlW34EzAJOAD4bLmyV67jvAcUVghORhlXJkVMTgf8ws6eAx4C17v5z4Hpgppm9QDCa4XoAd98A3Ak8A6SAy8JpgQBfBW4CuoE/EBRDB7gZSITF079JuPKfNLb+/n42btzIWWedxfe//31ee+01du3aNfyJUm96zex/AxcCa8xsFBGNFhURKVWBb/xm8dYKxrN5a1RovpWSRUTK5XSg293/6O77gOUEi1QNNge4m7dmyYiIDKmSq/U9BZyWY3sa+Fiec64Drsux/XHgbfWq3P1N4NOHHKzUlb6+Pi655BJef/113J158+Yxbty4uMOS6F1IMJX4Bnd/LZxG/HcxxyQiMpwDb/wAzGzgjd8zWcecBywLR4s/bGbjzGxiONo810rJIiLlcmBBqtAm4EPZB5hZC/A3wNnAB6MLTURqmYrxSE2bMmUKTz/99IH7V155ZYzRSDVx993Aiqz7m4HN8UUkIlKQYd/45TmmBdica6XkCsYqIo2nkAWp/hW4yt37gqoseR6oSldUF5F4KDklItLg0uk0CxYsYP78+apnIhK/Qt745T0m10rJ7v70QSdX+A3h4sWL6e7uLvq8gXMGVtArRmtra8kr9lWLodqtt7cXgJaWlpz76+H5D0ftUzXyLWKVbTqwPExMHQWcY2YZd/9Z9kFaUV1Esik5JVVpxYoVpFKpyK+bTCa54IILIr+uSJw6OztZv349y5YtY968eXGHI9LoCnnjN+wx4XTmXxNMb3560L6KviHs7u7muee7mDBx0vAHZxnRNBKA7Tv2FHXels0bhz+oxu3ZU1ybNBq1T6QeA44PF7DqBS4CPpd9gLsfN3DbzG4Ffj44MSUiMpiSU1KVUqkUXV1dtLW1RXbNrq4uACWnpKGk02lSqRTuTiqVor29XaOnROI17Bs/gtWKLw/rUX0IeN3dN5vZu4H9YWJqYKXk70UY+wETJk7iki9HM9X+tqU3RHKdShtqZM/AaLKFCxdGFU7VUftUB3fPmNnlBKvwNQG3uPsGM/tKuP/GWAMUkZql5JRUrba2NpYsWRLZ9WbPnh3ZtUSqRWdnJ/39/UCwmIBGT4nEq8A3fmuAcwhWMd4NfDE8fSLQGdadGgHcGa6ULCJSNu6+hqAfyt6WMynl7l+IIiYRqX1KTomINLB169aRyWQAyGQyrF27VskpkZgN98YvXKXvshzn5VwpWURERKTaKTklVWnq1KkNcU2RuM2YMYM1a9aQyWRobm5m5syZcYckIiINSsX0RUQal5JTUpWuuOKKhrim1BYzmwNcDmSA1e7+9+H2a4BLgT7g6+5+X3xRFqejo+PA4gNNTU20t7fHHJGIiDQqFdMXEWlcSk5VmJa9FakPZnYWcB5wirvvNbOjw+0nEBQsPhF4D7DOzNrC5dyrXiKRIJlMsmrVKpLJpIqhi4hIrFRMX0SkMSk5FSMteytSU74KXO/uewHcfWu4/Txgebj9RTPrBk4HHoonzOJ1dHTQ09OjUVMiIiIiIhILJacqTMveitSNNuAjZnYd8CZwpbs/BrQAD2cdtync9jZmNhuYDTB58uTKRluERCLBokWL4g5DREREREQalJJTIiIhM1sHHJNj1z8Q9JfjgTOADwJ3mtn7AMtxvOd6fHdfAiwBmD59es5jpHb19PQwa9YszjzzTB588EFaWlq45557WLp0KTfeeCPNzc2ccMIJLF++PO5QRUQE2J7eyqaXdnDuuee+bd/u3bsJFsYsnpkxZsyYnPuSyaTKdoiI5KDklIhIyN1n5NtnZl8FVoRLuD9qZv3AUQQjpbIrtx4LvFLRQKVqvfDCC9xxxx0sXbqUCy+8kLvvvpvrr7+eF198kVGjRvHaa6/FHaKISNXq7e1l5643IqsFtfuNnfT390dyLRERGZqSUyIihfkZcDbwazNrAw4DXgVWAreb2Q8ICqIfDzwaV5ASr+OOO45TTz0VgGnTptHT08Mpp5zCxRdfzPnnn8/5558fa3wiIvKW5pGHMX78O7nrrrviDkVEpOEpOSVVKZ1Os2DBAubPn6/Vw6Ra3ALcYmZPA/uAjnAU1QYzuxN4BsgAl9XKSn1SfqNGjTpwu6mpiT179rB69Wruv/9+Vq5cyXe/+102bNhAc7P+/YqIDNbS0sL2HXsiXa1v/NjRkVxLRESGplfHUpU6OztZv349y5YtY968eXGHI4K77wMuybPvOuC6aCOSWtDf38/GjRs566yzOPPMM7n99tvZtWsX48aNizs0kYqJemrWls0b2b3znZFcS0RERCpjRNwBiAyWTqdJpVK4O6lUinQ6HXdIIiIl6evr45JLLuHkk0/mtNNOY968eUpMiYiIiIgMUrGRU2Y2CVhGsPJVP7DE3Rea2ZHAvwNTgB7gQnffHp5zDXAp0Ad83d3vC7dPA24FRgNrgLnu7mY2KrzGNCANfMbdeyr1nCQanZ2dB4pT9vX1afSUSIVpGm15TJkyhaeffvrA/SuvjGZaiki10dQsERERKVYlp/VlgCvc/XdmdgTwhJmtBb4A/NLdrzezq4GrgavM7ATgIuBEgqLC68ysLazd8mNgNvAwQXIqCdxLkMja7u6tZnYR8D3gMxV8ThKBdevWkclkAMhkMqxdu1bJKZEK0jTa/FasWEEqlYr8uslkkgsuuCDy64qIiIiIxKFiySl33wxsDm/vNLNngRbgPOCj4WGdwK+Bq8Lty919L/CimXUDp5tZDzDW3R8CMLNlwPkEyanzgH8KH+su4IdmZmGRYqlRM2bMYM2aNWQyGZqbm5k5c2bcIYnUrcHTaNvb2zV6KksqlaKrq4u2trbIrtnV1QWg5JRImSxevJju7u6c+wa2z507N+f+1tZW5syZU7HYREREJBBJQXQzmwKcBjwCTAgTV7j7ZjM7OjyshWBk1IBN4bb94e3B2wfO2Rg+VsbMXgcSBMu7S43q6Og4MFKhqamJ9vb2mCMSqV+aRju8trY2lixZEtn1Zs+eHdm1RBrd6NGaDigiIlINKp6cMrPDgbuBb7j7DjPLe2iObT7E9qHOGRzDbIJpgUyePHm4kCVmiUSCZDLJqlWrSCaTGsUhUkGaRju0qVOnNsQ1SxXHtEdNeZRiaeRTfkONKuvt7QWCGmK5aFSZiIiUU0WTU2Y2kiAx9VN3XxFu3mJmE8NRUxOBreH2TcCkrNOPBV4Jtx+bY3v2OZvMrBl4F7BtcBzuvgRYAjB9+nRN+asBHR0d9PT0aNSUSJnkewMyevRodu/efdD9wdNbGvkNyBVXXNEQ1yxV1NMeNeVRJDp79uyJOwQREWkglVytz4CbgWfd/QdZu1YCHcD14fd7srbfbmY/ICiIfjzwqLv3mdlOMzuDYFpgO7B40GM9BHwK+JXqTdWHRCLBokWL4g5DpO5NmDCBdDoNgJkxYcKEmCOSWhPltEdNeRQpr6E+eBj4oGLhwoVRhQPAls0buW3pDUWdsz0dfNY9PnH0MEe+/Vrjx0ZXU1BERPKr5MipDwOfB9ab2ZPhtm8RJKXuNLNLgZeBTwO4+wYzuxN4hmClv8vClfoAvgrcCowmKIR+b7j9ZuAnYfH0bQSr/YmIyCBDvQH527/9W9LpNJ/85CcbckqfprWULuopiLU05VFEitfa2lrSeemt+wEYP7a4GmLjx7aVfE0RESmvSq7W9wC5a0IBfCzPOdcB1+XY/jhwUo7tbxImt6S+pNNpFixYwPz581VzSqTCJkyYwJtvvqlptDloWsvQop6CWEtTHkWkeKUm++Ma5SUiIuUTyWp9IsXq7Oxk/fr1WjlMJAIjR46ktbW1YRPB1TitRUTq01AjNYcycM7gmoCFaPQRniIiUhuUnJKqk06nSaVSuDupVIr29vaGfdMsIvWplqYS1lKsItWuu7ub557vYsLEScMfnGVE00gAtu8objTnls0bizpeREQkLkpOSdXp7Oykv78fgL6+Po2eEpGaNFxSJ9+UwYHt+fb39vbmfdyok0Ga9ihSvAkTJ3HJl6+M5FrFFhYXERGJi5JTUnXWrVtHJpMBIJPJsHbtWiWnRAqg6SLVpbu7myc3PEP/4Ufm2NsEIw/PeZ7tDxad3ZFn/44MbHnpT2/bPmLXtpJjHYqmPYqIiIhIpSk5VWfqYfrFjBkzWLNmDZlMhubmZmbOnBl3SCI1QdNFqktvby948ef5mCNKu6C/1c+LiIiIiNQSJacaSK1Mv+jo6ODnP/85AP39/VpBTKQImi6SWxyjynbt2lX0OXHRqDspty2bNxbdR2xPbwVgfOLooq81fmxbUeeIiIhIdVFyqs7Uy/QL9xKGG4g0uN7eXnbueiOypFHvy3/g5b4+zj333Lft2717d8l/x2bGmDFjcu5LJpMlJTTiGFXW3NRE/zvexb7pHy/q3FId9vh9tLQcU9K5GnUn5dTa2lrSeemt+wEYP3Z0UeeNH9tW8jWldgyVRB8uUa5kuIhI9VNySqpOZ2fngTe1/f39KoguIoes1OluxY7gyLZ3715G7N3HYY/fV9R5tnsnUPz0vqDmVGnJqTjaR1MQ61epSYBa+hBNqsvo0cUlNEVEpPooOSVVZ+3atQfd/8UvfqHklEgBWlpaeO75rqLPK3UqTfPIwzjppDa9kcyjubmZE6dOLfq87u5gOmDre4tNNB2j0SMiUrc08klEpL4pOSVVZ8KECfT09Bx0X0SGp6k0+bW0tLB9x55I63GNHzu6pMRdHKNHok5sDlxTcjOzJLAQaAJucvfrB+23cP85wG7gC+7+OzObBCwjGELXDyxxd2WPG1xvb29JdeFUU05ERKKk5JRUnS1btgx5X0Ry01QaKZUSm9XDzJqAHwEzgU3AY2a20t2fyTpsFnB8+PUh4Mfh9wxwRZioOgJ4wszWDjpXGsyePXt4csMz9B9+ZFHn2f6gxMLvXvpTUecFU4ylnhWQQL8YuCq8uwv4qrv/PtooRaTWKDklVWfmzJmsWrUKd8fM+Ou//uu4QxKROqDVw/JTYrOqnA50u/sfAcxsOXAekJ1gOg9Y5kGBxofNbJyZTXT3zcBmAHffaWbPAi2DzpUG1H/4kZEuziD1q8AE+ovAX7n7djObBSwhSKCLiOSl5JRUnY6ODlKpFPv27WPkyJG0t7fHHZKI1LhqGxnU6KtOLV68mFQqlXNfta30GIMWIHs5w028/U1drmNaCBNTAGY2BTgNeGTwBcxsNjAbYPLkyeWIWQoU9aqqWzZvpC+zH0YeHsn1pCEMm0B39wezjn8YODbSCEWkJik5VYOGelMzlFqpHZBIJEgmk6xatYpZs2aRSCQiuW6tWLFiRd43dZWUTCa54IILIr+uSDnU0sggrTrV8CzHtsHZuiGPMbPDgbuBb7j7jrcd6L6EYCQD06dPLy0TKCKNqpAEerZLgXtz7VCiXESyKTlVg7q7u+u+dkBHRwc9PT0aNZVDKpWiq6uLtrbopgx1dQWFkpWcEimPGhnBUzFz5sxp+DYYwiZgUtb9Y4FXCj3GzEYSJKZ+6u4rKhinlCCOxRnSW3vZG8nVpEEUkkAPDjQ7iyA5dWau/UqUi0g2JadqVL3XDkgkEixatCjy69aKtrY2lixZEtn1Zs+eHdm1pDIafRqZlE6/O5F7DDjezI4DeoGLgM8NOmYlcHk4neZDwOvuvjlcxe9m4Fl3/0GUQYtIwygkgY6ZnQLcBMxy93REsYlIDVNyqgyinmbX3d2t2gEiUjaaRial0u9O+bl7xswuB+4jWAnrFnffYGZfCfffCKwBzgG6gd3AF8PTPwx8HlhvZk+G277l7msifApSZfbu3cuIN/dF9mHjiJ3b6O3ti+RaEothE+hmNhlYAXze3buiD1FEalHFklNmdgvwCWCru58UbjsS+HdgCtADXOju28N91xAM++wDvu7u94XbpwG3AqMJXozNdXc3s1HAMmAakAY+4+49lXo+Q+nu7ua557uYMHHS8AdnGdE0EoDtO/YUdd7u3XvgXUpONaqpU6c2xDWlvDR6RUql353ohcmkNYO23Zh124HLcpz3ALmn3IiIlEWBCfTvAAng34IBnWTcfXpcMYtIbajkyKlbgR8SJJAGXA380t2vN7Orw/tXmdkJBFn3E4H3AOvMrM3d+4AfExTKe5jghVqSoKjepcB2d281s4uA7wGfqeDzGdKEiZMiqx/wP/95LplIriTV6IorrmiIa1YbMzsVuBF4B5ABvubuj4b7cibXRURE4jZq1Cj2jjw80nIQLS3HRHItiUcBCfQvAV+KOi4RqW0VS065+/3hMsbZzgM+Gt7uBH4NXBVuX+7ue4EXzawbON3MeoCx7v4QgJktA84nSE6dB/xT+Fh3AT80M/NS158WERna94EF7n6vmZ0T3v/oMMn1mpBOp1mwYAHz589vyNUxh5qa3dXVxd69e/na177GyJEj37ZfNZVEpFhbNm/ktqU3FHXO9vRWAMYnji76Ws1NI4o6R0REJA5R15ya4O6bAcLCnQP/YVsIRkYN2BRu2x/eHrx94JyN4WNlzOx1guGjr1YufBFpYA6MDW+/i7eKf+ZMrgMPRR9iaTo7O1m/fj3Lli1j3rx5cYdTVfr7++nv72fLli0ce+yxkVyz0ZOFIvWstbW1pPPSW/cDMH5scXXexo9to7e3lx0aci8iIlWuWgqi51uSdKilSotZxnQ2wdRAJk+eXEp8UgFDjVbo7e0FgiWXc9FoBYnBN4D7zOwGYATwl+H2fMn1t6nGviidTpNKpXB3UqkU7e3tDZcQydeXpNNpPvvZzwKwa9cuvvOd70TSNkoWitSvUl+7DCyes3DhwpLO3fLSn0q6roiISFSiTk5tMbOJ4aipicDWcHu+JUk3hbcHb88+Z5OZNROMZNiW66LuvgRYAjB9+vSan/aX2b+PEfu31fWqK3v2FFckXqQczGwdkKtQxj8AHwPmufvdZnYhwXLtMygiUV6NfVFnZyf9/f0A9PX1KSGSJY62UbJQRERERBpR1MmplUAHcH34/Z6s7beb2Q8IarYcDzzq7n1mttPMzgAeAdqBxYMe6yHgU8CvVG+qtgz16eGhfEI4FI3WkqG4+4x8+8Kad3PDu/8HuCm8nS+5XhPWrVtHJhPM98hkMqxdu1bJqVAcbaNkoYiIiIg0ooolp8zsDoLi50eZ2SZgPkFS6k4zuxR4Gfg0QLj86J3AMwSrYF2WVUz4qwQr/40mKIR+b7j9ZuAnYX2XbQQFiRtC88jD2PeOsVp1pcw0WkuG8QrwVwQLOZwNvBBuz5lcjyPAUsyYMYM1a9aQyWRobm5m5syZcYdUNeJoGyULRURERKQRVXK1vs/m2fWxPMdfB1yXY/vjwEk5tr9JmNwSKVQco7WkbnwZWBhOI36TsHbUMMn1qtfR0UEqlQKgqamJ9vb2mCOqHh0dHdx7b/B5yIgRIyJpGyULRURERKQRVUtBdBEpUHd3N3PnzmXhwoUlr/ojxXP3B4BpefblTK7XgkQiQTKZZNWqVSSTSdU3ypJIJGhpaaGnp4f3vOc9kbSNkoUiUgkjdhVfq9R27wTAxxxR9LVyl28UERHJT8kpkRpz7bXX8sYbb3Dttddy6623xh2O1IGOjg56enqUCBkknU7zyitB+bBXXnmFdDpd8QSVkoUiUm6lfpDV3b0rOP+9xSaajtGHZyIiUjQlp6TuDFX0fCgD5wxM7ytGVMXSu7u76enpAaCnp4fu7m69AJRDlkgkWLRoUdxhVJ3s4uT9/f2RFSdXslBEyqnU1ycqdyAiIlFSckoqKo5EUW9vL39+7XX6Dz+yqPNsf7DY4+9e+lNR5wXD16Nx7bXXvu2+Rk+JVEZcxcmVLBQRERGRRqPkVI2qldoB3d3dPPd8FxMmTirumk0jAdi+o7gV9LZs3khz0wj6Dz8y0tUMozIwairffREpn4985CPcd999B90XEREREZHyU3KqBh122Ciam0YUXQMgrtoBEyZO4pIvX1ny+cW4bekNpLf2RnKtOEyZMuWghNSUKVNii0Wk3rl73CGIiIiIiDQEJadq0PjE0YwfO7roGgCqHVD7vv3tb/OlL33poPsiUhkPPPDAQfd/+9vfcs0118QUjYiIiIhI/VJyqgx6e3vZuesNblt6QyTX27J5I7t3vjOSa0l1aW1tPTB6asqUKSqGLlJBM2bMYPXq1fT19dHU1MTMmTPjDklEpKyGqg06XP3PqBaDERGRxqDklEiN+fa3v83cuXM1akqkwjo6OkilUvT19dHc3KzV80SkoqotUTR69OiyPp6IiMhQlJwqg5aWFrbv2BNpXaXxY2vjBUMco8r27X2TESP2RVaofMTObfT29kVyLQhegK5evTqy64k0qkQiQTKZZNWqVSSTSRKJRNwhiUiDqlSiSCOfRESkWig5JSIikkdHRwc9PT0aNSUiFadEkYiINDIlp6Si4hhVlt7ay46Rh7Nv+scjueZhj99HS0uxKyCKSC1IJBIsWrQo7jBEREREROraiLgDEBERERERERGRxqWRU3Wm2oppxmXErm1F15yy3TsB8DFHFH0tKO/IqaF+jr29vUAwKi2Xevo5ioiIiIiISP1TcqpMtmzeWHTR7+3prQCMTxxd9LXGj20r6hyIb9WVqNvmiMPfSWtra1HnAXR37wKg9b3FJpqOKel6wyWg9uzZk3PfwPZ8+3t7e/M+rhJXIiIiIiIiUm2UnCqDUhITAOmt+wGKXnlv/Ni2vNestsRDXG1TSjsMjChbuHBh0eeWoru7m+ee72LCxElv2zfmiCPJN4CrkMTd9h1vT1xt2byxtEBFREREREREKkjJqTIoNSEUdTIkDmqb/Hp7e9m3d2/RSaPM/n0A7Nu3t6jz9u3de2BKoIiIiIiIiEi1UHKqwlQDqrpU089j3LhxeafmDWX/Pgeguam49Qyax4xm//79nHvuuTn37969G3cvOh4AM2PMmDE59yWTSf0ei4iIiIiISF41n5wysySwEGgCbnL362MOqWBx1YCqFtWUKILofx433XRT3n2FtE2+KZNDtc3ixYtJpVJFRioiIlIe1fa/X0RERKpDTSenzKwJ+BEwE9gEPGZmK939mXgje4teRJWmUomievh5HErbzJkzpy7aQCQq6XSaBQsWMH/+fBKJRNzhiNS1Rv/QTkREpJHVdHIKOB3odvc/ApjZcuA8oGqSU5KfkiT5qW1EqkNnZyfr169n2bJlzJs3L+5wpEEMNyrczCzcfw6wG/iCu/8u3HcL8Algq7ufFGngBdD/NxEREcmluKI11acFyK4mvSncdhAzm21mj5vZ43/+858jC05ERGpXOp0mlUrh7qRSKdLpdNwhSQPIGhU+CzgB+KyZnTDosFnA8eHXbODHWftuBZKVj1RERESkfGo9OWU5tr2torO7L3H36e4+/d3vfncEYYmISK3r7Oykv78fgL6+PpYtWxZzRNIgDowKd/d9wMCo8GznAcs88DAwzswmArj7/cC2SCMWEREROUS1npzaBEzKun8s8EpMsYiISB1Zt24dmUwGgEwmw9q1a2OOSBpEIaPCCxo5no9GlIvIoTCzpJk9b2bdZnZ1jv1mZovC/U+Z2QfiiFNEakutJ6ceA443s+PM7DDgImBlzDGJiEgdmDFjBs3NQWnG5uZmZs6cGXNE0iAKGRVe0MjxfDSiXERKVYapxyIiOdV0csrdM8DlwH3As8Cd7r4h3qhERKQedHR0MGJE8G+yqamJ9vb2mCOSBlHIqHCNHBeRuBzS1GMRkXxqOjkF4O5r3L3N3f/C3a+LOx4REakPiUSCZDKJmZFMJkkkEnGHJI2hkFHhK4H2cOrMGcDr7r456kBFpCFVfOqxiDSm5rgDiNoTTzzxqpm9FHccZXAU8GrcQVQptc3Q6qV93ht3AIdCfVFtMLORo0ePft9vfvObP3zzm9/MlPAQdd0+h6he2qasfZG7Z8xsYFR4E3CLu28ws6+E+28E1gDnAN3AbuCLA+eb2R3AR4GjzGwTMN/db853PfVFDUPtk1+9tE1Ur4vKNvXYzGYTTPsD2GtmTx9ibHGrh9+lWn8OtR4/1P5zmFrqiQ2XnHL3uiiuYGaPu/v0uOOoRmqboal9qoP6osag9slPbZOfu68hSEBlb7sx67YDl+U597NFXkt9UQNQ++Sntila2aYeu/sSYAnUx89BzyF+tR4/1P5zMLPHSz235qf1iYiIiIiISCQ09VhEKqLhRk6JiIiIiIhI8Q516rGISD5KTtWuJXEHUMXUNkNT+0g56fdpaGqf/NQ2Uk76fRqa2ic/tU2RDmXq8RDq4eeg5xC/Wo8fav85lBy/BX2HiIiIiIiIiIhI9FRzSkREREREREREYqPkVJUzs6SZPW9m3WZ2dY79ZmaLwv1PmdkH4ogzDmZ2i5ltzbfsbKO2jZlNMrP/MLNnzWyDmc3NcUxDto2UTn1RfuqL8lN/JOWmvig/9UX5qS+qHvXwN1zAc7g4jP0pM3vQzN4fR5z5DBd/1nEfNLM+M/tUlPEVopDnYGYfNbMnw7/530Qd41AK+B16l5mtMrPfh/FXVd22iv2/cXd9VekXQZHBPwDvAw4Dfg+cMOiYc4B7AQPOAB6JO+4I2+e/Ax8Ans6zvyHbBpgIfCC8fQTQpd8bfR3Kl/qiYdtHfVH+tlF/pK+yfakvGrZ91Bflbxv1RVXwVQ9/wwU+h78Exoe3Z1XTcygk/qzjfkVQW+xTccddws9gHPAMMDm8f3TccRcZ/7eA74W33w1sAw6LO/as+Cry/0Yjp6rb6UC3u//R3fcBy4HzBh1zHrDMAw8D48xsYtSBxsHd7yf4Q82nIdvG3Te7++/C2zuBZ4GWQYc1ZNtIydQXDUF9UX7qj6TM1BcNQX1RfuqLqkY9/A0P+xzc/UF33x7efRg4NuIYh1LIzwBgDnA3sDXK4ApUyHP4HLDC3V8GcPdqeh6FxO/AEWZmwOEEfXsm2jDzq9T/GyWnqlsLsDHr/ibe/o+0kGMaVcO3jZlNAU4DHhm0q+HbRoqivujQqG1QfyRlob7o0KhtUF8Us3r4Gy42vksJRpBUi2HjN7MW4G+AG6lOhfwM2oDxZvZrM3vCzNoji254hcT/Q+C/Aq8A64G57t4fTXhlUdLfcXPFwpFysBzbBi+vWMgxjaqh28bMDif4xOMb7r5j8O4cpzRM20jR1BcdmoZvG/VHUibqiw5Nw7eN+qLY1cPfcMHxmdlZBMmpMysaUXEKif9fgavcvS8YuFN1CnkOzcA04GPAaOAhM3vY3bsqHVwBCon/48CTwNnAXwBrzey3OfqtalXS37GSU9VtEzAp6/6xBNnTYo9pVA3bNmY2kuDF10/dfUWOQxq2baQk6osOTUO3jfojKSP1RYemodtGfVFVqIe/4YLiM7NTgJuAWe6ejii2QhQS/3RgeZiYOgo4x8wy7v6zSCIcXqG/R6+6+xvAG2Z2P/B+gnpzcSsk/i8C13tQwKnbzF4E/gvwaDQhHrKS/o41ra+6PQYcb2bHmdlhwEXAykHHrATaw4r4ZwCvu/vmqAOtUg3ZNuHc5JuBZ939B3kOa8i2kZKpLzo0Dds26o+kzNQXHZqGbRv1RVWjHv6Gh30OZjYZWAF8vkpG6mQbNn53P87dp7j7FOAu4GtVlJiCwn6P7gE+YmbNZjYG+BBBrblqUEj8LxOM+sLMJgBTgT9GGuWhKenvWCOnqpi7Z8zscuA+gqr+t7j7BjP7Srj/RoIVFM4BuoHdBFnWhmBmdwAfBY4ys03AfGAkNHzbfBj4PLDezJ4Mt30LmAwN3zZSAvVFQ1NfNCT1R1I26ouGpr5oSOqLqkA9/A0X+By+AySAfwtHH2XcfXpcMWcrMP6qVshzcPdnzSwFPAX0Aze5+9PxRf2WAn8G3wVuNbP1BFPkrnL3V2MLepBK/b+xYKSYiIiIiIiIiIhI9DStT0REREREREREYqPklIiIiIiIiIiIxEbJKRERERERERERiY2SUyIiIiIiIiIiEhslp0REREREREREJDZKTklNMrN/MrMr445DRET9kYhUA/VFIiJSy5ScEhERERERERGR2Cg5JTXBzNrN7Ckz+72Z/WTQvi+b2WPhvrvNbEy4/dNm9nS4/f5w24lm9qiZPRk+3vFxPB8RqV3qj0SkGqgvEhGRemLuHncMIkMysxOBFcCH3f1VMzsS+Dqwy91vMLOEu6fDY68Ftrj7YjNbDyTdvdfMxrn7a2a2GHjY3X9qZocBTe6+J67nJiK1Rf2RiFQD9UUiIlJvNHJKasHZwF3u/iqAu28btP8kM/tt+ILrYuDEcPt/Area2ZeBpnDbQ8C3zOwq4L168SUiRVJ/JCLVQH2RiIjUFSWnpBYYMNQQv1uBy939ZGAB8A4Ad/8K8G1gEvBk+Cni7cAngT3AfWZ2diUDF5G6o/5IRKqB+iIREakrSk5JLfglcKGZJQDCoevZjgA2m9lIgk8HCY/7C3d/xN2/A7wKTDKz9wF/dPdFwErglEiegYjUC/VHIlIN1BeJiEhdaY47AJHhuPsGM7sO+I2Z9QH/D+jJOuQfgUeAl4D1BC/IAP4lLOppBC/ifg9cDVxiZvuBPwH/HMmTEJG6oP5IRKqB+iIREak3KoguIiIiIiIiIiKx0bQ+ERERERERERGJjZJTIiIiIiIiIiISGyWnREREREREREQkNkpOiYiIiIiIiIhIbJScEhERERERERGR2Cg5JSIiIiIiIiIisVFySkREREREREREYqPklIiIiIiIiIiIxOb/A/5HFqIj1rdBAAAAAElFTkSuQmCC", + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
Vm_avgdvdt_pdvdt_navg_Vresistancethradaptationisipeakpeak_adaptation...int_frfrsub_thrmispk_fr_adpimpexp_namecondclasstrial
813.9748706.995019-1.779385-66.343561-1.008059-33.3281590.0070655210.93333344.7555670.001540...0.0012854.370000-66.6872290.546286-0.1736110.016732NC_170713_aCSF_DopD2D1_E4acsf0.01
1116.3985726.905606-1.747365-71.6545213.413336-35.5434060.00496411986.30267647.520138-0.003972...0.0009141.923333-71.8090810.374625-0.0277780.015554NC_170714_aCSF_DopD2D1_E5acsf0.01
2422.2462656.035204-1.417083-71.5154414.770026-29.6772830.0009413735.98961647.317997-0.000454...0.0011736.190000-71.9692520.601341-0.1875000.032077NC_170720_aCSF_DopD2D1_E5acsf0.01
2913.3049246.222883-1.655890-70.356546-6.548021-35.1076820.01334917562.39753144.129387-0.004012...0.0017061.206667-70.4529090.2993740.0000000.015421NC_170724_aCSF_DopD2D1_E2acsf0.01
3316.6978817.182001-1.678495-71.2531243.545891-34.5891540.01120829956.42616047.3126310.006329...0.0011170.750000-71.3113590.2448810.0000000.014282NC_170724_aCSF_DopD2D1_E4acsf0.01
4712.8852608.512073-2.069221-71.2991439.883182-37.2077800.00397916570.54629651.880196-0.008391...0.0007821.230000-71.4122850.237510-0.0069440.016270NC_170815_aCSF_D1ago_E4acsf0.01
5624.2296217.711830-2.109016-72.639684-0.848413-30.3293920.04659163521.87272761.6970720.042614...0.0003650.383333-72.6755950.1285940.0000000.017517NC_170821_aCSF_D1ago_E3acsf0.01
5726.0902327.827818-1.975980-66.7376620.653336-26.6380210.090572118430.72881462.4671880.176377...0.0001720.276667-66.7619790.0888380.0000000.019114NC_170821_aCSF_D1ago_E3acsf0.02
604.7636244.169893-2.647946-73.0887981.421700-50.668883-0.930027148620.26087054.938830-2.231658...0.0021340.253333-73.1139590.0221220.0000000.014941NC_170821_aCSF_D1ago_E4acsf0.01
651.4086997.824863-1.965491-74.658042-1.777763-38.8085740.01686412271.24655239.6706110.009537...0.0028181.836667-74.7946500.256958-0.0347220.016704NC_170830_aCSF_D1ago_E3acsf0.01
661.7853408.813808-2.255571-72.8671910.158294-40.6105490.00851420749.29855146.321893-0.006159...0.0004820.996667-72.9579330.208748-0.0069440.016244NC_170830_aCSF_D1ago_E3acsf0.02
9318.3261213.638008-1.403824-56.8422101.678314-26.2125970.0258129332.93766239.5406410.003166...0.0009445.150000-57.2169960.636368-0.2222220.028305NC_171011_aCSF_D1ago_E1acsf0.01
9419.7962994.288160-1.652975-63.5676160.994613-27.5965710.00740412363.30662045.012261-0.019599...0.0007272.330000-63.7765740.450733-0.0416670.024615NC_171011_aCSF_D1ago_E1acsf0.02
9520.0282815.041309-1.792544-71.555095-6.511981-27.7536960.06555737592.48913048.6176080.041101...0.0005010.800000-71.632164-0.7738350.0000000.019857NC_171011_aCSF_D1ago_E1acsf0.03
9619.9157104.933565-1.782274-72.585975-2.233746-26.1614580.004310115351.75862148.282291-0.015086...0.0004030.450000-72.626632-0.2412490.0000000.019842NC_171011_aCSF_D1ago_E1acsf0.04
10411.7320696.385547-1.818149-74.332771-1.555163-31.7740200.0011289145.31701045.043863-0.079494...0.0030952.520000-74.5429480.351019-0.0694440.020210NC_171011_aCSF_D1ago_E3acsf0.01
10512.1567097.302002-1.863008-74.631465-1.660087-32.9286180.02112712489.77112747.8063600.003081...0.0022891.800000-74.7793860.280526-0.0416670.019708NC_171011_aCSF_D1ago_E3acsf0.02
10617.5852207.576056-2.142917-72.935826-0.782863-27.641927-0.11835171462.42553257.360677-0.094415...0.0010510.570000-72.9855800.1471730.0000000.020689NC_171011_aCSF_D1ago_E3acsf0.03
10714.8683087.646932-2.041343-73.608132-0.778521-30.233750-0.03969645387.82432453.118750-0.021115...0.0012090.550000-73.6600970.1185670.0000000.019277NC_171011_aCSF_D1ago_E3acsf0.04
11321.8168397.664896-1.766859-72.621026-4.717918-32.2953430.02232117096.49261161.132047-0.019551...0.0021652.470000-72.8358160.527798-0.0416670.037751NC_171011_aCSF_D1ago_E5acsf0.01
11420.6386147.105432-1.712782-71.785357-4.618247-32.889525-0.03699916370.93867956.964055-0.027270...0.0020862.750000-72.0134890.545463-0.0416670.036968NC_171011_aCSF_D1ago_E5acsf0.02
11523.6773998.094794-1.965968-73.018948-9.059471-28.969548-0.00160315158.77777862.458909-0.033921...0.0014132.270000-73.2227460.576737-0.0416670.031361NC_171011_aCSF_D1ago_E5acsf0.03
11622.5032027.706464-1.917752-73.364243-9.158367-29.562178-0.01894418379.07253961.040432-0.064119...0.0014152.170000-73.5456010.503868-0.0277780.031269NC_171011_aCSF_D1ago_E5acsf0.04
1266.4191348.138964-2.008140-72.772891-3.129549-35.4174440.01198326683.33082746.905084-0.134399...0.0031140.740000-72.8375430.142337-0.0416670.016650NC_171012_aCSF_D1ago_E6acsf0.01
1274.4331238.385283-1.972621-72.631427-4.462853-38.6031800.02678621124.67857144.752034-0.130208...0.0033280.980000-72.7103290.203946-0.0555560.015424NC_171012_aCSF_D1ago_E6acsf0.02
14714.8528319.605606-2.426257-71.013770-2.728904-32.6064520.14975623309.37662363.2360890.111607...0.0013510.920000-71.1070280.241010-0.0555560.020771NC_171017_aCSF_D1ago_E2acsf0.01
14817.0720398.453741-2.280349-71.24244157.374470-28.7891730.25223249516.40000060.9735910.160268...0.0009960.560000-71.2969150.117093-0.0416670.021181NC_171017_aCSF_D1ago_E2acsf0.02
16111.4490479.729209-2.250594-70.402128-2.777263-39.5065970.03439220054.06703955.2744790.023394...0.0005051.040000-70.4991180.344163-0.0555560.015673NC_171017_aCSF_D1ago_E4acsf0.01
16211.2846779.893552-2.228782-70.682254-2.761174-38.7750000.03733224091.70469855.5104170.033138...0.0005200.860000-70.7617780.335586-0.0555560.015820NC_171017_aCSF_D1ago_E4acsf0.02
16310.18239810.326256-2.205043-71.710294-2.714767-40.0083660.04836328489.06349254.9864670.043899...0.0003570.730000-71.7765620.262913-0.0555560.015777NC_171017_aCSF_D1ago_E4acsf0.03
22922.7446897.389425-2.399182-70.2979763.517453-24.9006350.05339656227.26771765.7570800.011319...0.0005441.070000-70.3985200.2421170.0000000.025466NC_171220_aCSF_D1ago_E4acsf0.01
23118.4090515.775591-2.034877-69.7615652.551259-26.380841-0.04422266309.82075551.594334-0.102594...0.0016800.686667-69.8265130.1433320.0000000.021211NC_171220_aCSF_D1ago_E5acsf0.01
23318.0668017.259396-1.716721-70.013869-4.069244-31.6486550.00452118053.11675150.490665-0.015070...0.0012211.233333-70.1084170.3216740.0000000.014835NC_171222_aCSF_D1ago_E1acsf0.01
23515.0854038.639374-2.296306-70.833475-4.754573-30.1203700.01277424184.11486559.1946550.003167...0.0006491.050000-70.9250720.208510-0.0069440.018585NC_171222_aCSF_D1ago_E2acsf0.01
23617.7010577.671765-2.292330-70.319288-4.655733-26.3483890.06299254935.66929160.7546390.021900...0.0005340.843333-70.3909530.166698-0.0069440.021156NC_171222_aCSF_D1ago_E2acsf0.02
23817.4882193.798869-1.017267-65.1462761.149043-28.4341770.0026557008.23828134.220915-0.025299...0.0010775.683333-65.5265770.485736-0.0347220.037821NC_171222_aCSF_D1ago_E3acsf0.01
23920.2614665.228574-1.526699-69.1965031.096137-27.0538790.01974848618.83333344.868319-0.037760...0.0003320.713333-69.2596940.1263090.0000000.024900NC_171222_aCSF_D1ago_E3acsf0.02
420.1773374.413538-1.718369-75.7239652.903311-27.0235750.00386042075.68823546.052266-0.014522...0.0016101.870000-75.8736480.361758-0.0069440.023991NC_170712_aCSF_D1ago_E2acsf1.01
40-9.2652037.310535-2.363548-76.9446841.642489-34.2610470.00343911467.45933039.959893-0.004835...0.0007631.900000-77.0978900.322099-0.0625000.018075NC_170811_aCSF_D1ago_E2acsf1.01
62-34.3814553.810139-2.910631-71.8133730.996142-39.125866-0.0016482769.25789131.243964-0.004896...0.0018147.473333-72.1336290.634430-0.0833330.021306NC_170830_aCSF_D1ago_E1acsf1.01
63-36.1889673.640791-2.926581-72.6998551.002726-38.9197400.0005793333.90268829.6208310.000188...0.0016396.356667-72.9551220.598316-0.0763890.020904NC_170830_aCSF_D1ago_E1acsf1.02
71-5.7750637.172380-2.358833-70.6286951.775405-31.285505-0.0007215027.67155844.219339-0.005001...0.0013254.513333-70.9948590.604787-0.0625000.020286NC_170913_aCSF_D1ago_E2acsf1.01
72-7.5593676.749813-2.293834-72.5775781.157637-32.3808920.0002245161.10114840.313172-0.004663...0.0011964.200000-72.9125640.600621-0.0555560.020566NC_170913_aCSF_D1ago_E2acsf1.02
772.0809056.487649-2.265337-76.3326360.997413-31.5444880.00743410846.19697046.450974-0.001515...0.0007632.373333-76.5157050.385975-0.0208330.031259NC_171006_aCSF_D1ago_E7acsf1.01
78-2.5238157.005741-2.162362-72.5713451.456984-37.7502280.0092756566.26118741.1707350.004880...0.0007983.116667-72.8184410.460740-0.0416670.025984NC_171006_aCSF_D1ago_E7acsf1.02
11321.8168397.664896-1.766859-72.621026-4.717918-32.2953430.02232117096.49261161.132047-0.019551...0.0021652.470000-72.8358160.527798-0.0416670.037751NC_171011_aCSF_D1ago_E5acsf1.01
11420.6386147.105432-1.712782-71.785357-4.618247-32.889525-0.03699916370.93867956.964055-0.027270...0.0020862.750000-72.0134890.545463-0.0416670.036968NC_171011_aCSF_D1ago_E5acsf1.02
11523.6773998.094794-1.965968-73.018948-9.059471-28.969548-0.00160315158.77777862.458909-0.033921...0.0014132.270000-73.2227460.576737-0.0416670.031361NC_171011_aCSF_D1ago_E5acsf1.03
11622.5032027.706464-1.917752-73.364243-9.158367-29.562178-0.01894418379.07253961.040432-0.064119...0.0014152.170000-73.5456010.503868-0.0277780.031269NC_171011_aCSF_D1ago_E5acsf1.04
13615.2173757.178667-1.875317-71.758549-3.153208-40.7912620.32904432869.53921655.8774270.674939...0.0027931.050000-71.8330480.285444-0.0416670.039002NC_171012_aCSF_D1ago_E8acsf1.01
13715.6043797.388181-1.922892-71.880610-0.468336-39.9059680.23437531511.04545557.5526460.890057...0.0029881.130000-71.9596120.265425-0.0694440.039445NC_171012_aCSF_D1ago_E8acsf1.02
13819.0282988.117718-1.846773-72.504595-3.159606-37.058928-0.17908732887.38461560.3946430.157452...0.0028021.060000-72.5817160.255872-0.0277780.037114NC_171012_aCSF_D1ago_E8acsf1.03
13917.2932528.993135-1.957381-72.264841-3.205973-35.224407-0.17907629974.77391360.0837820.187228...0.0024781.120000-72.3493360.307471-0.0277780.037066NC_171012_aCSF_D1ago_E8acsf1.04
14714.8528319.605606-2.426257-71.013770-2.728904-32.6064520.14975623309.37662363.2360890.111607...0.0013510.920000-71.1070280.241010-0.0555560.020771NC_171017_aCSF_D1ago_E2acsf1.01
14817.0720398.453741-2.280349-71.24244157.374470-28.7891730.25223249516.40000060.9735910.160268...0.0009960.560000-71.2969150.117093-0.0416670.021181NC_171017_aCSF_D1ago_E2acsf1.02
\n", + "

55 rows × 27 columns

\n", + "
" + ], "text/plain": [ - "
" + " Vm_avg dvdt_p dvdt_n avg_V resistance thr \\\n", + "8 13.974870 6.995019 -1.779385 -66.343561 -1.008059 -33.328159 \n", + "11 16.398572 6.905606 -1.747365 -71.654521 3.413336 -35.543406 \n", + "24 22.246265 6.035204 -1.417083 -71.515441 4.770026 -29.677283 \n", + "29 13.304924 6.222883 -1.655890 -70.356546 -6.548021 -35.107682 \n", + "33 16.697881 7.182001 -1.678495 -71.253124 3.545891 -34.589154 \n", + "47 12.885260 8.512073 -2.069221 -71.299143 9.883182 -37.207780 \n", + "56 24.229621 7.711830 -2.109016 -72.639684 -0.848413 -30.329392 \n", + "57 26.090232 7.827818 -1.975980 -66.737662 0.653336 -26.638021 \n", + "60 4.763624 4.169893 -2.647946 -73.088798 1.421700 -50.668883 \n", + "65 1.408699 7.824863 -1.965491 -74.658042 -1.777763 -38.808574 \n", + "66 1.785340 8.813808 -2.255571 -72.867191 0.158294 -40.610549 \n", + "93 18.326121 3.638008 -1.403824 -56.842210 1.678314 -26.212597 \n", + "94 19.796299 4.288160 -1.652975 -63.567616 0.994613 -27.596571 \n", + "95 20.028281 5.041309 -1.792544 -71.555095 -6.511981 -27.753696 \n", + "96 19.915710 4.933565 -1.782274 -72.585975 -2.233746 -26.161458 \n", + "104 11.732069 6.385547 -1.818149 -74.332771 -1.555163 -31.774020 \n", + "105 12.156709 7.302002 -1.863008 -74.631465 -1.660087 -32.928618 \n", + "106 17.585220 7.576056 -2.142917 -72.935826 -0.782863 -27.641927 \n", + "107 14.868308 7.646932 -2.041343 -73.608132 -0.778521 -30.233750 \n", + "113 21.816839 7.664896 -1.766859 -72.621026 -4.717918 -32.295343 \n", + "114 20.638614 7.105432 -1.712782 -71.785357 -4.618247 -32.889525 \n", + "115 23.677399 8.094794 -1.965968 -73.018948 -9.059471 -28.969548 \n", + "116 22.503202 7.706464 -1.917752 -73.364243 -9.158367 -29.562178 \n", + "126 6.419134 8.138964 -2.008140 -72.772891 -3.129549 -35.417444 \n", + "127 4.433123 8.385283 -1.972621 -72.631427 -4.462853 -38.603180 \n", + "147 14.852831 9.605606 -2.426257 -71.013770 -2.728904 -32.606452 \n", + "148 17.072039 8.453741 -2.280349 -71.242441 57.374470 -28.789173 \n", + "161 11.449047 9.729209 -2.250594 -70.402128 -2.777263 -39.506597 \n", + "162 11.284677 9.893552 -2.228782 -70.682254 -2.761174 -38.775000 \n", + "163 10.182398 10.326256 -2.205043 -71.710294 -2.714767 -40.008366 \n", + "229 22.744689 7.389425 -2.399182 -70.297976 3.517453 -24.900635 \n", + "231 18.409051 5.775591 -2.034877 -69.761565 2.551259 -26.380841 \n", + "233 18.066801 7.259396 -1.716721 -70.013869 -4.069244 -31.648655 \n", + "235 15.085403 8.639374 -2.296306 -70.833475 -4.754573 -30.120370 \n", + "236 17.701057 7.671765 -2.292330 -70.319288 -4.655733 -26.348389 \n", + "238 17.488219 3.798869 -1.017267 -65.146276 1.149043 -28.434177 \n", + "239 20.261466 5.228574 -1.526699 -69.196503 1.096137 -27.053879 \n", + "4 20.177337 4.413538 -1.718369 -75.723965 2.903311 -27.023575 \n", + "40 -9.265203 7.310535 -2.363548 -76.944684 1.642489 -34.261047 \n", + "62 -34.381455 3.810139 -2.910631 -71.813373 0.996142 -39.125866 \n", + "63 -36.188967 3.640791 -2.926581 -72.699855 1.002726 -38.919740 \n", + "71 -5.775063 7.172380 -2.358833 -70.628695 1.775405 -31.285505 \n", + "72 -7.559367 6.749813 -2.293834 -72.577578 1.157637 -32.380892 \n", + "77 2.080905 6.487649 -2.265337 -76.332636 0.997413 -31.544488 \n", + "78 -2.523815 7.005741 -2.162362 -72.571345 1.456984 -37.750228 \n", + "113 21.816839 7.664896 -1.766859 -72.621026 -4.717918 -32.295343 \n", + "114 20.638614 7.105432 -1.712782 -71.785357 -4.618247 -32.889525 \n", + "115 23.677399 8.094794 -1.965968 -73.018948 -9.059471 -28.969548 \n", + "116 22.503202 7.706464 -1.917752 -73.364243 -9.158367 -29.562178 \n", + "136 15.217375 7.178667 -1.875317 -71.758549 -3.153208 -40.791262 \n", + "137 15.604379 7.388181 -1.922892 -71.880610 -0.468336 -39.905968 \n", + "138 19.028298 8.117718 -1.846773 -72.504595 -3.159606 -37.058928 \n", + "139 17.293252 8.993135 -1.957381 -72.264841 -3.205973 -35.224407 \n", + "147 14.852831 9.605606 -2.426257 -71.013770 -2.728904 -32.606452 \n", + "148 17.072039 8.453741 -2.280349 -71.242441 57.374470 -28.789173 \n", + "\n", + " adaptation isi peak peak_adaptation ... int_fr \\\n", + "8 0.007065 5210.933333 44.755567 0.001540 ... 0.001285 \n", + "11 0.004964 11986.302676 47.520138 -0.003972 ... 0.000914 \n", + "24 0.000941 3735.989616 47.317997 -0.000454 ... 0.001173 \n", + "29 0.013349 17562.397531 44.129387 -0.004012 ... 0.001706 \n", + "33 0.011208 29956.426160 47.312631 0.006329 ... 0.001117 \n", + "47 0.003979 16570.546296 51.880196 -0.008391 ... 0.000782 \n", + "56 0.046591 63521.872727 61.697072 0.042614 ... 0.000365 \n", + "57 0.090572 118430.728814 62.467188 0.176377 ... 0.000172 \n", + "60 -0.930027 148620.260870 54.938830 -2.231658 ... 0.002134 \n", + "65 0.016864 12271.246552 39.670611 0.009537 ... 0.002818 \n", + "66 0.008514 20749.298551 46.321893 -0.006159 ... 0.000482 \n", + "93 0.025812 9332.937662 39.540641 0.003166 ... 0.000944 \n", + "94 0.007404 12363.306620 45.012261 -0.019599 ... 0.000727 \n", + "95 0.065557 37592.489130 48.617608 0.041101 ... 0.000501 \n", + "96 0.004310 115351.758621 48.282291 -0.015086 ... 0.000403 \n", + "104 0.001128 9145.317010 45.043863 -0.079494 ... 0.003095 \n", + "105 0.021127 12489.771127 47.806360 0.003081 ... 0.002289 \n", + "106 -0.118351 71462.425532 57.360677 -0.094415 ... 0.001051 \n", + "107 -0.039696 45387.824324 53.118750 -0.021115 ... 0.001209 \n", + "113 0.022321 17096.492611 61.132047 -0.019551 ... 0.002165 \n", + "114 -0.036999 16370.938679 56.964055 -0.027270 ... 0.002086 \n", + "115 -0.001603 15158.777778 62.458909 -0.033921 ... 0.001413 \n", + "116 -0.018944 18379.072539 61.040432 -0.064119 ... 0.001415 \n", + "126 0.011983 26683.330827 46.905084 -0.134399 ... 0.003114 \n", + "127 0.026786 21124.678571 44.752034 -0.130208 ... 0.003328 \n", + "147 0.149756 23309.376623 63.236089 0.111607 ... 0.001351 \n", + "148 0.252232 49516.400000 60.973591 0.160268 ... 0.000996 \n", + "161 0.034392 20054.067039 55.274479 0.023394 ... 0.000505 \n", + "162 0.037332 24091.704698 55.510417 0.033138 ... 0.000520 \n", + "163 0.048363 28489.063492 54.986467 0.043899 ... 0.000357 \n", + "229 0.053396 56227.267717 65.757080 0.011319 ... 0.000544 \n", + "231 -0.044222 66309.820755 51.594334 -0.102594 ... 0.001680 \n", + "233 0.004521 18053.116751 50.490665 -0.015070 ... 0.001221 \n", + "235 0.012774 24184.114865 59.194655 0.003167 ... 0.000649 \n", + "236 0.062992 54935.669291 60.754639 0.021900 ... 0.000534 \n", + "238 0.002655 7008.238281 34.220915 -0.025299 ... 0.001077 \n", + "239 0.019748 48618.833333 44.868319 -0.037760 ... 0.000332 \n", + "4 0.003860 42075.688235 46.052266 -0.014522 ... 0.001610 \n", + "40 0.003439 11467.459330 39.959893 -0.004835 ... 0.000763 \n", + "62 -0.001648 2769.257891 31.243964 -0.004896 ... 0.001814 \n", + "63 0.000579 3333.902688 29.620831 0.000188 ... 0.001639 \n", + "71 -0.000721 5027.671558 44.219339 -0.005001 ... 0.001325 \n", + "72 0.000224 5161.101148 40.313172 -0.004663 ... 0.001196 \n", + "77 0.007434 10846.196970 46.450974 -0.001515 ... 0.000763 \n", + "78 0.009275 6566.261187 41.170735 0.004880 ... 0.000798 \n", + "113 0.022321 17096.492611 61.132047 -0.019551 ... 0.002165 \n", + "114 -0.036999 16370.938679 56.964055 -0.027270 ... 0.002086 \n", + "115 -0.001603 15158.777778 62.458909 -0.033921 ... 0.001413 \n", + "116 -0.018944 18379.072539 61.040432 -0.064119 ... 0.001415 \n", + "136 0.329044 32869.539216 55.877427 0.674939 ... 0.002793 \n", + "137 0.234375 31511.045455 57.552646 0.890057 ... 0.002988 \n", + "138 -0.179087 32887.384615 60.394643 0.157452 ... 0.002802 \n", + "139 -0.179076 29974.773913 60.083782 0.187228 ... 0.002478 \n", + "147 0.149756 23309.376623 63.236089 0.111607 ... 0.001351 \n", + "148 0.252232 49516.400000 60.973591 0.160268 ... 0.000996 \n", + "\n", + " fr sub_thr mi spk_fr_adp imp \\\n", + "8 4.370000 -66.687229 0.546286 -0.173611 0.016732 \n", + "11 1.923333 -71.809081 0.374625 -0.027778 0.015554 \n", + "24 6.190000 -71.969252 0.601341 -0.187500 0.032077 \n", + "29 1.206667 -70.452909 0.299374 0.000000 0.015421 \n", + "33 0.750000 -71.311359 0.244881 0.000000 0.014282 \n", + "47 1.230000 -71.412285 0.237510 -0.006944 0.016270 \n", + "56 0.383333 -72.675595 0.128594 0.000000 0.017517 \n", + "57 0.276667 -66.761979 0.088838 0.000000 0.019114 \n", + "60 0.253333 -73.113959 0.022122 0.000000 0.014941 \n", + "65 1.836667 -74.794650 0.256958 -0.034722 0.016704 \n", + "66 0.996667 -72.957933 0.208748 -0.006944 0.016244 \n", + "93 5.150000 -57.216996 0.636368 -0.222222 0.028305 \n", + "94 2.330000 -63.776574 0.450733 -0.041667 0.024615 \n", + "95 0.800000 -71.632164 -0.773835 0.000000 0.019857 \n", + "96 0.450000 -72.626632 -0.241249 0.000000 0.019842 \n", + "104 2.520000 -74.542948 0.351019 -0.069444 0.020210 \n", + "105 1.800000 -74.779386 0.280526 -0.041667 0.019708 \n", + "106 0.570000 -72.985580 0.147173 0.000000 0.020689 \n", + "107 0.550000 -73.660097 0.118567 0.000000 0.019277 \n", + "113 2.470000 -72.835816 0.527798 -0.041667 0.037751 \n", + "114 2.750000 -72.013489 0.545463 -0.041667 0.036968 \n", + "115 2.270000 -73.222746 0.576737 -0.041667 0.031361 \n", + "116 2.170000 -73.545601 0.503868 -0.027778 0.031269 \n", + "126 0.740000 -72.837543 0.142337 -0.041667 0.016650 \n", + "127 0.980000 -72.710329 0.203946 -0.055556 0.015424 \n", + "147 0.920000 -71.107028 0.241010 -0.055556 0.020771 \n", + "148 0.560000 -71.296915 0.117093 -0.041667 0.021181 \n", + "161 1.040000 -70.499118 0.344163 -0.055556 0.015673 \n", + "162 0.860000 -70.761778 0.335586 -0.055556 0.015820 \n", + "163 0.730000 -71.776562 0.262913 -0.055556 0.015777 \n", + "229 1.070000 -70.398520 0.242117 0.000000 0.025466 \n", + "231 0.686667 -69.826513 0.143332 0.000000 0.021211 \n", + "233 1.233333 -70.108417 0.321674 0.000000 0.014835 \n", + "235 1.050000 -70.925072 0.208510 -0.006944 0.018585 \n", + "236 0.843333 -70.390953 0.166698 -0.006944 0.021156 \n", + "238 5.683333 -65.526577 0.485736 -0.034722 0.037821 \n", + "239 0.713333 -69.259694 0.126309 0.000000 0.024900 \n", + "4 1.870000 -75.873648 0.361758 -0.006944 0.023991 \n", + "40 1.900000 -77.097890 0.322099 -0.062500 0.018075 \n", + "62 7.473333 -72.133629 0.634430 -0.083333 0.021306 \n", + "63 6.356667 -72.955122 0.598316 -0.076389 0.020904 \n", + "71 4.513333 -70.994859 0.604787 -0.062500 0.020286 \n", + "72 4.200000 -72.912564 0.600621 -0.055556 0.020566 \n", + "77 2.373333 -76.515705 0.385975 -0.020833 0.031259 \n", + "78 3.116667 -72.818441 0.460740 -0.041667 0.025984 \n", + "113 2.470000 -72.835816 0.527798 -0.041667 0.037751 \n", + "114 2.750000 -72.013489 0.545463 -0.041667 0.036968 \n", + "115 2.270000 -73.222746 0.576737 -0.041667 0.031361 \n", + "116 2.170000 -73.545601 0.503868 -0.027778 0.031269 \n", + "136 1.050000 -71.833048 0.285444 -0.041667 0.039002 \n", + "137 1.130000 -71.959612 0.265425 -0.069444 0.039445 \n", + "138 1.060000 -72.581716 0.255872 -0.027778 0.037114 \n", + "139 1.120000 -72.349336 0.307471 -0.027778 0.037066 \n", + "147 0.920000 -71.107028 0.241010 -0.055556 0.020771 \n", + "148 0.560000 -71.296915 0.117093 -0.041667 0.021181 \n", + "\n", + " exp_name cond class trial \n", + "8 NC_170713_aCSF_DopD2D1_E4 acsf 0.0 1 \n", + "11 NC_170714_aCSF_DopD2D1_E5 acsf 0.0 1 \n", + "24 NC_170720_aCSF_DopD2D1_E5 acsf 0.0 1 \n", + "29 NC_170724_aCSF_DopD2D1_E2 acsf 0.0 1 \n", + "33 NC_170724_aCSF_DopD2D1_E4 acsf 0.0 1 \n", + "47 NC_170815_aCSF_D1ago_E4 acsf 0.0 1 \n", + "56 NC_170821_aCSF_D1ago_E3 acsf 0.0 1 \n", + "57 NC_170821_aCSF_D1ago_E3 acsf 0.0 2 \n", + "60 NC_170821_aCSF_D1ago_E4 acsf 0.0 1 \n", + "65 NC_170830_aCSF_D1ago_E3 acsf 0.0 1 \n", + "66 NC_170830_aCSF_D1ago_E3 acsf 0.0 2 \n", + "93 NC_171011_aCSF_D1ago_E1 acsf 0.0 1 \n", + "94 NC_171011_aCSF_D1ago_E1 acsf 0.0 2 \n", + "95 NC_171011_aCSF_D1ago_E1 acsf 0.0 3 \n", + "96 NC_171011_aCSF_D1ago_E1 acsf 0.0 4 \n", + "104 NC_171011_aCSF_D1ago_E3 acsf 0.0 1 \n", + "105 NC_171011_aCSF_D1ago_E3 acsf 0.0 2 \n", + "106 NC_171011_aCSF_D1ago_E3 acsf 0.0 3 \n", + "107 NC_171011_aCSF_D1ago_E3 acsf 0.0 4 \n", + "113 NC_171011_aCSF_D1ago_E5 acsf 0.0 1 \n", + "114 NC_171011_aCSF_D1ago_E5 acsf 0.0 2 \n", + "115 NC_171011_aCSF_D1ago_E5 acsf 0.0 3 \n", + "116 NC_171011_aCSF_D1ago_E5 acsf 0.0 4 \n", + "126 NC_171012_aCSF_D1ago_E6 acsf 0.0 1 \n", + "127 NC_171012_aCSF_D1ago_E6 acsf 0.0 2 \n", + "147 NC_171017_aCSF_D1ago_E2 acsf 0.0 1 \n", + "148 NC_171017_aCSF_D1ago_E2 acsf 0.0 2 \n", + "161 NC_171017_aCSF_D1ago_E4 acsf 0.0 1 \n", + "162 NC_171017_aCSF_D1ago_E4 acsf 0.0 2 \n", + "163 NC_171017_aCSF_D1ago_E4 acsf 0.0 3 \n", + "229 NC_171220_aCSF_D1ago_E4 acsf 0.0 1 \n", + "231 NC_171220_aCSF_D1ago_E5 acsf 0.0 1 \n", + "233 NC_171222_aCSF_D1ago_E1 acsf 0.0 1 \n", + "235 NC_171222_aCSF_D1ago_E2 acsf 0.0 1 \n", + "236 NC_171222_aCSF_D1ago_E2 acsf 0.0 2 \n", + "238 NC_171222_aCSF_D1ago_E3 acsf 0.0 1 \n", + "239 NC_171222_aCSF_D1ago_E3 acsf 0.0 2 \n", + "4 NC_170712_aCSF_D1ago_E2 acsf 1.0 1 \n", + "40 NC_170811_aCSF_D1ago_E2 acsf 1.0 1 \n", + "62 NC_170830_aCSF_D1ago_E1 acsf 1.0 1 \n", + "63 NC_170830_aCSF_D1ago_E1 acsf 1.0 2 \n", + "71 NC_170913_aCSF_D1ago_E2 acsf 1.0 1 \n", + "72 NC_170913_aCSF_D1ago_E2 acsf 1.0 2 \n", + "77 NC_171006_aCSF_D1ago_E7 acsf 1.0 1 \n", + "78 NC_171006_aCSF_D1ago_E7 acsf 1.0 2 \n", + "113 NC_171011_aCSF_D1ago_E5 acsf 1.0 1 \n", + "114 NC_171011_aCSF_D1ago_E5 acsf 1.0 2 \n", + "115 NC_171011_aCSF_D1ago_E5 acsf 1.0 3 \n", + "116 NC_171011_aCSF_D1ago_E5 acsf 1.0 4 \n", + "136 NC_171012_aCSF_D1ago_E8 acsf 1.0 1 \n", + "137 NC_171012_aCSF_D1ago_E8 acsf 1.0 2 \n", + "138 NC_171012_aCSF_D1ago_E8 acsf 1.0 3 \n", + "139 NC_171012_aCSF_D1ago_E8 acsf 1.0 4 \n", + "147 NC_171017_aCSF_D1ago_E2 acsf 1.0 1 \n", + "148 NC_171017_aCSF_D1ago_E2 acsf 1.0 2 \n", + "\n", + "[55 rows x 27 columns]" ] }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - }, - { - "name": "stdout", - "output_type": "stream", - "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_m1: t-test independent samples, P_val:1.154e-04 t=4.067e+00\n", - "0.0_acsf vs. 0.0_m1: t-test independent samples, P_val:2.378e-01 t=1.188e+00\n", - "2.0_acsf vs. 2.0_m1: t-test independent samples, P_val:6.697e-01 t=4.373e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_m1: t-test independent samples, P_val:9.125e-01 t=-1.102e-01\n", - "0.0_acsf vs. 0.0_m1: t-test independent samples, P_val:5.964e-01 t=-5.314e-01\n", - "2.0_acsf vs. 2.0_m1: t-test independent samples, P_val:2.418e-02 t=-2.578e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_m1: t-test independent samples, P_val:4.147e-02 t=-2.074e+00\n", - "0.0_acsf vs. 0.0_m1: t-test independent samples, P_val:9.464e-01 t=-6.743e-02\n", - "2.0_acsf vs. 2.0_m1: t-test independent samples, P_val:4.809e-03 t=3.450e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_m1: t-test independent samples, P_val:1.887e-06 t=5.163e+00\n", - "0.0_acsf vs. 0.0_m1: t-test independent samples, P_val:6.679e-03 t=2.773e+00\n", - "2.0_acsf vs. 2.0_m1: t-test independent samples, P_val:1.580e-01 t=-1.506e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_m1: t-test independent samples, P_val:3.133e-02 t=2.193e+00\n", - "0.0_acsf vs. 0.0_m1: t-test independent samples, P_val:2.268e-01 t=1.217e+00\n", - "2.0_acsf vs. 2.0_m1: t-test independent samples, P_val:1.230e-04 t=-5.564e+00\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_m1: t-test independent samples, P_val:8.261e-01 t=-2.204e-01\n", - "0.0_acsf vs. 0.0_m1: t-test independent samples, P_val:8.313e-02 t=1.751e+00\n", - "2.0_acsf vs. 2.0_m1: t-test independent samples, P_val:5.019e-01 t=-6.924e-01\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_m1: t-test independent samples, P_val:1.511e-01 t=-1.450e+00\n", - "0.0_acsf vs. 0.0_m1: t-test independent samples, P_val:8.941e-01 t=1.334e-01\n", - "2.0_acsf vs. 2.0_m1: t-test independent samples, P_val:2.333e-01 t=1.255e+00\n" - ] - }, + "execution_count": 172, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "def add_trials(data):\n", + " exps = data.exp_name.to_numpy()\n", + " trials = []\n", + " last_exp = ''\n", + " count=1\n", + "\n", + " for exp in exps:\n", + " if exp != last_exp:\n", + " count=1\n", + " trials.append(count) \n", + " last_exp = exp\n", + " else:\n", + " count+=1\n", + " trials.append(count) \n", + " last_exp = exp\n", + "\n", + " data['trial'] = trials\n", + " return data\n", + "add_trials(df_acsf_d1s)\n" + ] + }, + { + "cell_type": "code", + "execution_count": 320, + "metadata": {}, + "outputs": [ { "data": { - "image/png": "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", "text/plain": [ - "
" + "59" ] }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" - }, + "execution_count": 320, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "temp = df_exc_for_sig[df_exc_for_sig.exp_name.isin(exps_d1)]\n", + "len(temp[temp.cond=='acsf'])\n", + "len(df_d1)\n" + ] + }, + { + "cell_type": "code", + "execution_count": 173, + "metadata": {}, + "outputs": [ { - "name": "stdout", + "name": "stderr", "output_type": "stream", "text": [ - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_sag: t-test independent samples, P_val:9.362e-01 t=-8.041e-02\n", - "0.0_acsf vs. 0.0_sag: t-test independent samples, P_val:1.014e-01 t=1.657e+00\n", - "2.0_acsf vs. 2.0_sag: t-test independent samples, P_val:nan t=nan\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_sag: t-test independent samples, P_val:3.302e-01 t=9.808e-01\n", - "0.0_acsf vs. 0.0_sag: t-test independent samples, P_val:3.277e-01 t=9.849e-01\n", - "2.0_acsf vs. 2.0_sag: t-test independent samples, P_val:nan t=nan\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_sag: t-test independent samples, P_val:2.858e-01 t=1.076e+00\n", - "0.0_acsf vs. 0.0_sag: t-test independent samples, P_val:3.791e-01 t=8.845e-01\n", - "2.0_acsf vs. 2.0_sag: t-test independent samples, P_val:nan t=nan\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_sag: t-test independent samples, P_val:9.312e-02 t=1.703e+00\n", - "0.0_acsf vs. 0.0_sag: t-test independent samples, P_val:4.746e-02 t=2.014e+00\n", - "2.0_acsf vs. 2.0_sag: t-test independent samples, P_val:nan t=nan\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_sag: t-test independent samples, P_val:5.280e-01 t=-6.343e-01\n", - "0.0_acsf vs. 0.0_sag: t-test independent samples, P_val:8.947e-01 t=-1.328e-01\n", - "2.0_acsf vs. 2.0_sag: t-test independent samples, P_val:nan t=nan\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", - "\n", - "1.0_acsf vs. 1.0_sag: t-test independent samples, P_val:6.535e-02 t=-1.874e+00\n", - "0.0_acsf vs. 0.0_sag: t-test independent samples, P_val:7.084e-01 t=-3.754e-01\n", - "2.0_acsf vs. 2.0_sag: t-test independent samples, P_val:nan t=nan\n", - "p-value annotation legend:\n", - " ns: p <= 1.00e+00\n", - " *: 1.00e-02 < p <= 5.00e-02\n", - " **: 1.00e-03 < p <= 1.00e-02\n", - " ***: 1.00e-04 < p <= 1.00e-03\n", - " ****: p <= 1.00e-04\n", + ":17: SettingWithCopyWarning: \n", + "A value is trying to be set on a copy of a slice from a DataFrame.\n", + "Try using .loc[row_indexer,col_indexer] = value instead\n", "\n", - "1.0_acsf vs. 1.0_sag: t-test independent samples, P_val:5.097e-01 t=-6.628e-01\n", - "0.0_acsf vs. 0.0_sag: t-test independent samples, P_val:7.253e-01 t=3.526e-01\n", - "2.0_acsf vs. 2.0_sag: t-test independent samples, P_val:nan t=nan\n" + "See the caveats in the documentation: https://pandas.pydata.org/pandas-docs/stable/user_guide/indexing.html#returning-a-view-versus-a-copy\n" ] }, + { + "ename": "ValueError", + "evalue": "arrays must all be same length", + "output_type": "error", + "traceback": [ + "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[1;31mValueError\u001b[0m Traceback (most recent call last)", + "\u001b[1;32m\u001b[0m in \u001b[0;36m\u001b[1;34m\u001b[0m\n\u001b[0;32m 17\u001b[0m \u001b[0mv_d1_acsf\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mdf_acsf_d1s_trial1\u001b[0m\u001b[1;33m[\u001b[0m\u001b[0mi\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mto_numpy\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 18\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m---> 19\u001b[1;33m \u001b[0mdf_1\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mpd\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mDataFrame\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m{\u001b[0m\u001b[0mi\u001b[0m\u001b[1;33m+\u001b[0m\u001b[1;34m'_acsf'\u001b[0m\u001b[1;33m:\u001b[0m\u001b[0mv_d1_acsf\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0mi\u001b[0m\u001b[1;33m+\u001b[0m\u001b[1;34m'_d1'\u001b[0m\u001b[1;33m:\u001b[0m\u001b[0mv_d1\u001b[0m\u001b[1;33m}\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 20\u001b[0m \u001b[0mcols\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mdf_1\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mcolumns\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 21\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\pandas\\core\\frame.py\u001b[0m in \u001b[0;36m__init__\u001b[1;34m(self, data, index, columns, dtype, copy)\u001b[0m\n\u001b[0;32m 527\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 528\u001b[0m \u001b[1;32melif\u001b[0m \u001b[0misinstance\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdata\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mdict\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 529\u001b[1;33m \u001b[0mmgr\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0minit_dict\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdata\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mindex\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcolumns\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mdtype\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mdtype\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 530\u001b[0m \u001b[1;32melif\u001b[0m \u001b[0misinstance\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdata\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mma\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mMaskedArray\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 531\u001b[0m \u001b[1;32mimport\u001b[0m \u001b[0mnumpy\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mma\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mmrecords\u001b[0m \u001b[1;32mas\u001b[0m \u001b[0mmrecords\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\pandas\\core\\internals\\construction.py\u001b[0m in \u001b[0;36minit_dict\u001b[1;34m(data, index, columns, dtype)\u001b[0m\n\u001b[0;32m 285\u001b[0m \u001b[0marr\u001b[0m \u001b[1;32mif\u001b[0m \u001b[1;32mnot\u001b[0m \u001b[0mis_datetime64tz_dtype\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0marr\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;32melse\u001b[0m \u001b[0marr\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mcopy\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;32mfor\u001b[0m \u001b[0marr\u001b[0m \u001b[1;32min\u001b[0m \u001b[0marrays\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 286\u001b[0m ]\n\u001b[1;32m--> 287\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0marrays_to_mgr\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0marrays\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mdata_names\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mindex\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcolumns\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mdtype\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0mdtype\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 288\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 289\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\pandas\\core\\internals\\construction.py\u001b[0m in \u001b[0;36marrays_to_mgr\u001b[1;34m(arrays, arr_names, index, columns, dtype, verify_integrity)\u001b[0m\n\u001b[0;32m 78\u001b[0m \u001b[1;31m# figure out the index, if necessary\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 79\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mindex\u001b[0m \u001b[1;32mis\u001b[0m \u001b[1;32mNone\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m---> 80\u001b[1;33m \u001b[0mindex\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mextract_index\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0marrays\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 81\u001b[0m \u001b[1;32melse\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 82\u001b[0m \u001b[0mindex\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mensure_index\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mindex\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;32mc:\\Users\\Nishant Joshi\\anaconda3\\lib\\site-packages\\pandas\\core\\internals\\construction.py\u001b[0m in \u001b[0;36mextract_index\u001b[1;34m(data)\u001b[0m\n\u001b[0;32m 399\u001b[0m \u001b[0mlengths\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mlist\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mset\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mraw_lengths\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 400\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mlen\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mlengths\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;33m>\u001b[0m \u001b[1;36m1\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 401\u001b[1;33m \u001b[1;32mraise\u001b[0m \u001b[0mValueError\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m\"arrays must all be same length\"\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 402\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 403\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mhave_dicts\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", + "\u001b[1;31mValueError\u001b[0m: arrays must all be same length" + ] + } + ], + "source": [ + "\n", + "# df = df_exc_for_sig[['Vm_avg', 'resistance','mi', 'thr', 'isi', 'sub_thr','imp','class','cond']]\n", + "# cols = df.columns[:-2]\n", + " \n", + "# Split data\n", + "df_d1 = df_exc_for_sig[df_exc_for_sig.cond=='d1ago']\n", + "exps_d1 = df_d1[df_d1.cond=='d1ago']['exp_name'].to_numpy()\n", + "df_acsf_temp = df_exc_for_sig[df_exc_for_sig.cond=='acsf']\n", + "df_acsf_d1s = df_acsf_temp[df_acsf_temp.exp_name.isin(exps_d1)]\n", + "df_acsf_d1s = add_trials(df_acsf_d1s)\n", + "df_acsf_d1s_trial1 = df_acsf_d1s[df_acsf_d1s.trial==1]\n", + "\n", + "from sklearn.preprocessing import StandardScaler, normalize\n", + "\n", + "for i in ['Vm_avg', 'resistance','mi', 'thr', 'isi', 'sub_thr','imp']:\n", + " \n", + " v_d1 = df_d1[i].to_numpy()\n", + " v_d1_acsf = df_acsf_d1s_trial1[i].to_numpy()\n", + "\n", + " df_1 = pd.DataFrame({i+'_acsf':v_d1_acsf,i+'_d1':v_d1})\n", + " cols = df_1.columns\n", + "\n", + " # Create figure\n", + " paxfig = paxplot.pax_parallel(n_axes=len(cols))\n", + " paxfig.set_figheight(3)\n", + " paxfig.set_figwidth(5)\n", + "\n", + " cols = df_1.columns\n", + "\n", + " # Add grey data\n", + " paxfig.plot(\n", + " normalize(df_1.to_numpy()), #stats.zscore(df_1.to_numpy())\n", + " line_kwargs={'alpha': 0.5, 'color': 'grey', 'zorder': 0}\n", + " )\n", + " # Add labels\n", + " paxfig.set_labels(cols)\n", + " plt.show()" + ] + }, + { + "cell_type": "code", + "execution_count": 327, + "metadata": {}, + "outputs": [ { "data": { - "image/png": "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", + "text/html": [ + "
\n", + "\n", + "\n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + " \n", + "
Vm_avgdvdt_pdvdt_navg_Vresistancethradaptationisipeakpeak_adaptation...psthint_frfrsub_thrmispk_fr_adpimpexp_namecondclass
14919.3217389.304025-2.407607-71.752231-9.636693-27.6325760.142578106825.12500066.9128790.249023...0.6164380.0006950.24-71.775797-0.0351840.0000000.020842NC_171017_aCSF_D1ago_E2d1ago0.0
15019.7156419.384179-2.465899-70.2613310.851617-26.9960940.406250133056.56521768.606771-0.040761...0.7808220.0008180.32-70.2916780.0572360.0000000.022848NC_171017_aCSF_D1ago_E2d1ago0.0
15119.7466528.555145-2.402569-70.952803-1.658940-26.0703120.724432283247.63636466.0520830.005682...0.6164380.0007660.26-70.976269-0.005629-0.0138890.023499NC_171017_aCSF_D1ago_E2d1ago0.0
15219.7667647.990508-2.306690-70.899499-1.648729-27.2633930.332812172059.85000066.9895830.362500...0.8630140.0007050.33-70.9316500.078499-0.0138890.024062NC_171017_aCSF_D1ago_E2d1ago0.0
15318.2671347.081217-2.148706-70.214420-1.665138-27.9083340.412946245801.21428658.135417-0.062500...0.7397260.0006010.32-70.2414500.044614-0.0138890.023715NC_171017_aCSF_D1ago_E2d1ago0.0
14919.3217389.304025-2.407607-71.752231-9.636693-27.6325760.142578106825.12500066.9128790.249023...0.6164380.0006950.24-71.775797-0.0351840.0000000.020842NC_171017_aCSF_D1ago_E2d1ago1.0
15019.7156419.384179-2.465899-70.2613310.851617-26.9960940.406250133056.56521768.606771-0.040761...0.7808220.0008180.32-70.2916780.0572360.0000000.022848NC_171017_aCSF_D1ago_E2d1ago1.0
15119.7466528.555145-2.402569-70.952803-1.658940-26.0703120.724432283247.63636466.0520830.005682...0.6164380.0007660.26-70.976269-0.005629-0.0138890.023499NC_171017_aCSF_D1ago_E2d1ago1.0
15219.7667647.990508-2.306690-70.899499-1.648729-27.2633930.332812172059.85000066.9895830.362500...0.8630140.0007050.33-70.9316500.078499-0.0138890.024062NC_171017_aCSF_D1ago_E2d1ago1.0
15318.2671347.081217-2.148706-70.214420-1.665138-27.9083340.412946245801.21428658.135417-0.062500...0.7397260.0006010.32-70.2414500.044614-0.0138890.023715NC_171017_aCSF_D1ago_E2d1ago1.0
\n", + "

10 rows × 26 columns

\n", + "
" + ], "text/plain": [ - "
" + " Vm_avg dvdt_p dvdt_n avg_V resistance thr \\\n", + "149 19.321738 9.304025 -2.407607 -71.752231 -9.636693 -27.632576 \n", + "150 19.715641 9.384179 -2.465899 -70.261331 0.851617 -26.996094 \n", + "151 19.746652 8.555145 -2.402569 -70.952803 -1.658940 -26.070312 \n", + "152 19.766764 7.990508 -2.306690 -70.899499 -1.648729 -27.263393 \n", + "153 18.267134 7.081217 -2.148706 -70.214420 -1.665138 -27.908334 \n", + "149 19.321738 9.304025 -2.407607 -71.752231 -9.636693 -27.632576 \n", + "150 19.715641 9.384179 -2.465899 -70.261331 0.851617 -26.996094 \n", + "151 19.746652 8.555145 -2.402569 -70.952803 -1.658940 -26.070312 \n", + "152 19.766764 7.990508 -2.306690 -70.899499 -1.648729 -27.263393 \n", + "153 18.267134 7.081217 -2.148706 -70.214420 -1.665138 -27.908334 \n", + "\n", + " adaptation isi peak peak_adaptation ... psth \\\n", + "149 0.142578 106825.125000 66.912879 0.249023 ... 0.616438 \n", + "150 0.406250 133056.565217 68.606771 -0.040761 ... 0.780822 \n", + "151 0.724432 283247.636364 66.052083 0.005682 ... 0.616438 \n", + "152 0.332812 172059.850000 66.989583 0.362500 ... 0.863014 \n", + "153 0.412946 245801.214286 58.135417 -0.062500 ... 0.739726 \n", + "149 0.142578 106825.125000 66.912879 0.249023 ... 0.616438 \n", + "150 0.406250 133056.565217 68.606771 -0.040761 ... 0.780822 \n", + "151 0.724432 283247.636364 66.052083 0.005682 ... 0.616438 \n", + "152 0.332812 172059.850000 66.989583 0.362500 ... 0.863014 \n", + "153 0.412946 245801.214286 58.135417 -0.062500 ... 0.739726 \n", + "\n", + " int_fr fr sub_thr mi spk_fr_adp imp \\\n", + "149 0.000695 0.24 -71.775797 -0.035184 0.000000 0.020842 \n", + "150 0.000818 0.32 -70.291678 0.057236 0.000000 0.022848 \n", + "151 0.000766 0.26 -70.976269 -0.005629 -0.013889 0.023499 \n", + "152 0.000705 0.33 -70.931650 0.078499 -0.013889 0.024062 \n", + "153 0.000601 0.32 -70.241450 0.044614 -0.013889 0.023715 \n", + "149 0.000695 0.24 -71.775797 -0.035184 0.000000 0.020842 \n", + "150 0.000818 0.32 -70.291678 0.057236 0.000000 0.022848 \n", + "151 0.000766 0.26 -70.976269 -0.005629 -0.013889 0.023499 \n", + "152 0.000705 0.33 -70.931650 0.078499 -0.013889 0.024062 \n", + "153 0.000601 0.32 -70.241450 0.044614 -0.013889 0.023715 \n", + "\n", + " exp_name cond class \n", + "149 NC_171017_aCSF_D1ago_E2 d1ago 0.0 \n", + "150 NC_171017_aCSF_D1ago_E2 d1ago 0.0 \n", + "151 NC_171017_aCSF_D1ago_E2 d1ago 0.0 \n", + "152 NC_171017_aCSF_D1ago_E2 d1ago 0.0 \n", + "153 NC_171017_aCSF_D1ago_E2 d1ago 0.0 \n", + "149 NC_171017_aCSF_D1ago_E2 d1ago 1.0 \n", + "150 NC_171017_aCSF_D1ago_E2 d1ago 1.0 \n", + "151 NC_171017_aCSF_D1ago_E2 d1ago 1.0 \n", + "152 NC_171017_aCSF_D1ago_E2 d1ago 1.0 \n", + "153 NC_171017_aCSF_D1ago_E2 d1ago 1.0 \n", + "\n", + "[10 rows x 26 columns]" ] }, - "metadata": { - "needs_background": "light" - }, - "output_type": "display_data" + "execution_count": 327, + "metadata": {}, + "output_type": "execute_result" } ], "source": [ - "test_type = 't-test_ind'\n", - "for cond_ in cond_inh[1:]:\n", - " fig,ax = plt.subplots(2,4,figsize= [20,8])\n", - " for i, var in enumerate(['Vm_avg', 'resistance','mi', 'thr', 'isi', 'sub_thr','imp']):\n", - " cond = cond_\n", - " data_temp = df_inh_for_sig[df_inh_for_sig.cond.isin(['acsf',cond])]\n", - " if i>=4:\n", + "np.unique(df_d1.exp_name,return_counts=True)\n", + "df_d1[df_d1.exp_name == 'NC_171017_aCSF_D1ago_E2']" + ] + }, + { + "cell_type": "code", + "execution_count": 174, + "metadata": {}, + "outputs": [], + "source": [ "\n", - " sns.boxplot(data=data_temp,\n", - " x='class',\n", - " y= var,\n", - " hue ='cond',ax=ax[1,i-4],palette='PuBuGn')\n", - " # boxprops={\"facecolor\": (.2, .6, .8, .5)},\n", - " # medianprops={\"color\": \"red\"}) \n", + "# df = df_exc_for_sig[['Vm_avg', 'resistance','mi', 'thr', 'isi', 'sub_thr','imp','class','cond']]\n", + "# cols = df.columns[:-2]\n", + "def add_trial(data):\n", + " files,nums = np.unique(data.exp_name.to_numpy(),return_counts=True)\n", + " trial_inds = []\n", + " for i in nums:\n", + " if i>1:\n", + " trial_inds.append(np.arange(1,i+1))\n", + " else:\n", + " trial_inds.append(i)\n", + " data['trials'] = np.hstack(trial_inds)\n", + " print()\n", + " return data \n", + "# Split data\n", + "df_d1 = df_exc_for_sig[df_exc_for_sig.cond=='d1ago']\n", + "exps_d1 = df_d1[df_d1.cond=='d1ago']['exp_name'].to_numpy()\n", + "df_acsf_temp = df_exc_for_sig[df_exc_for_sig.cond=='acsf']\n", + "df_acsf_d1s = df_acsf_temp[df_acsf_temp.exp_name.isin(exps_d1)]\n", "\n", - " pairs = np.unique(data_temp['class'])\n", - " pairs = [((0.0,\"acsf\"),(0.0,cond)),\n", - " ((1.0, \"acsf\" ),(1.0,cond)),\n", - " ((2.0, \"acsf\" ),(2.0,cond))]\n", + "# from sklearn.preprocessing import StandardScaler, normalize\n", "\n", + "# for i in ['Vm_avg', 'resistance','mi', 'thr', 'isi', 'sub_thr','imp']:\n", + " \n", + "# v_d1 = df_d1[i].to_numpy()\n", + "# v_d1_acsf = df_acsf_d1s[i].to_numpy()\n", "\n", - " annotator = Annotator(ax[1,i-4],pairs, data=data_temp, x='class', y=var,hue='cond')\n", - " annotator.configure(test=test_type, text_format='star', loc='inside')\n", - " annotator.apply_and_annotate() \n", - " if i<4:\n", + "# df_1 = pd.DataFrame({i+'_acsf':v_d1_acsf,i+'_d1':v_d1})\n", + "# cols = df_1.columns\n", "\n", - " sns.boxplot(data=data_temp,\n", - " x='class',\n", - " y= var,\n", - " hue ='cond',ax=ax[0,i],palette='PuBuGn')\n", - " # boxprops={\"facecolor\": (.2, .6, .8, .5)},\n", - " # medianprops={\"color\": \"red\"}) \n", + "# # Create figure\n", + "# paxfig = paxplot.pax_parallel(n_axes=len(cols))\n", + "# paxfig.set_figheight(3)\n", + "# paxfig.set_figwidth(5)\n", "\n", - " pairs = np.unique(data_temp['class'])\n", - " pairs = [((0.0,\"acsf\"),(0.0,cond)),\n", - " ((1.0, \"acsf\" ),(1.0,cond)),\n", - " ((2.0, \"acsf\" ),(2.0,cond))]\n", + "# cols = df_1.columns\n", "\n", - " annotator = Annotator(ax[0,i],pairs, data=data_temp, x='class', y=var,hue='cond')\n", - " annotator.configure(test=test_type, text_format='star', loc='inside')\n", - " annotator.apply_and_annotate() \n", - " plt.show()" + "# # Add grey data\n", + "# paxfig.plot(\n", + "# normalize(df_1.to_numpy()), #stats.zscore(df_1.to_numpy())\n", + "# line_kwargs={'alpha': 0.5, 'color': 'grey', 'zorder': 0}\n", + "# )\n", + "# # Add labels\n", + "# paxfig.set_labels(cols)\n", + "# plt.show()" ] } ], diff --git a/scripts/PCA.py b/scripts/PCA.py index c394660..cdad50e 100644 --- a/scripts/PCA.py +++ b/scripts/PCA.py @@ -7,8 +7,8 @@ import importlib.util import matplotlib.pyplot as plt from scipy.sparse import data -from plotnine import ggplot, geom_point, aes, stat_smooth, facet_wrap -from plotnine.data import mtcars +# from plotnine import ggplot, geom_point, aes, stat_smooth, facet_wrap +# from plotnine.data import mtcars import seaborn as sns import matplotlib.pyplot as plt import pandas as pd diff --git a/scripts/UMAP.py b/scripts/UMAP.py index 04155d9..95cbbdc 100644 --- a/scripts/UMAP.py +++ b/scripts/UMAP.py @@ -1,3 +1,4 @@ +#%% import sklearn.cluster as cluster from sklearn.metrics import adjusted_rand_score, adjusted_mutual_info_score from sklearn import manifold @@ -10,8 +11,6 @@ from scipy.io import loadmat, savemat import importlib.util from scipy.sparse import data -from plotnine import ggplot, geom_point, aes, stat_smooth, facet_wrap -from plotnine.data import mtcars import seaborn as sns import matplotlib.pyplot as plt import pandas as pd @@ -29,8 +28,8 @@ import pickle from PCA import * import umap.umap_ as umap - -def plot_UMAP(data_inh,data_exc,c_exc,c_inh,neighbours,distance,condition_inh,condition_exc,figsize=None,random_state=0): +#%% +def plot_UMAP(data_inh,data_exc,c_exc,c_inh,neighbours,distance,condition_inh,condition_exc,figsize=None,random_state=0,save=False): """plots UMAP for excitatory and inhibitory cells Args: @@ -86,8 +85,10 @@ def plot_UMAP(data_inh,data_exc,c_exc,c_inh,neighbours,distance,condition_inh,co sns.scatterplot(data=df_2d,x='Dim1',y='Dim2',hue='condition', cmap='gist_rainbow',ax=ax22d) ax22d.set_title('UMAP inhibitory') - - plt.show() + if save: + plt.savefig('C:/Users/Nishant Joshi/Documents/DNM/umap_20.png') + else: + plt.show() def plot_UMAP_clusters(data_inh,data_exc,neighbours,distance,condition_inh,condition_exc,k_exc,k_inh,random_state): """plots UMAP for excitatory and inhibitory cells @@ -180,3 +181,5 @@ def plot_UMAP_combined(data_exc,data_inh,neighbours,distance,labels,random_state ax12d.set_title('UMAP excitatory and Inhibitory') plt.show() + +# %% diff --git a/scripts/__pycache__/PCA.cpython-38.pyc b/scripts/__pycache__/PCA.cpython-38.pyc index 156d000..c49d9a9 100644 Binary files a/scripts/__pycache__/PCA.cpython-38.pyc and b/scripts/__pycache__/PCA.cpython-38.pyc differ diff --git a/scripts/__pycache__/UMAP.cpython-38.pyc b/scripts/__pycache__/UMAP.cpython-38.pyc index e304e89..3a39be0 100644 Binary files a/scripts/__pycache__/UMAP.cpython-38.pyc and b/scripts/__pycache__/UMAP.cpython-38.pyc differ diff --git a/scripts/__pycache__/analyze_single_cell.cpython-38.pyc b/scripts/__pycache__/analyze_single_cell.cpython-38.pyc index 75361c8..81747c2 100644 Binary files a/scripts/__pycache__/analyze_single_cell.cpython-38.pyc and b/scripts/__pycache__/analyze_single_cell.cpython-38.pyc differ diff --git a/scripts/__pycache__/ephys_set.cpython-38.pyc b/scripts/__pycache__/ephys_set.cpython-38.pyc index 598d70a..7bf920d 100644 Binary files a/scripts/__pycache__/ephys_set.cpython-38.pyc and b/scripts/__pycache__/ephys_set.cpython-38.pyc differ diff --git a/scripts/__pycache__/utils.cpython-38.pyc b/scripts/__pycache__/utils.cpython-38.pyc index f7e258b..0b352ed 100644 Binary files a/scripts/__pycache__/utils.cpython-38.pyc and b/scripts/__pycache__/utils.cpython-38.pyc differ diff --git a/scripts/analyze_single_cell.py b/scripts/analyze_single_cell.py index 7a58124..f5d7d99 100644 --- a/scripts/analyze_single_cell.py +++ b/scripts/analyze_single_cell.py @@ -10,8 +10,7 @@ from sklearn import datasets, linear_model import matplotlib.pyplot as plt from scipy.sparse import data -from plotnine import ggplot, geom_point, aes, stat_smooth, facet_wrap -from plotnine.data import mtcars + import pandas as pd import seaborn as sns import matplotlib.pyplot as plt diff --git a/scripts/ephys_set.py b/scripts/ephys_set.py index e55de3e..ff0afcf 100644 --- a/scripts/ephys_set.py +++ b/scripts/ephys_set.py @@ -1,3 +1,4 @@ +#%% import pandas as pd import numpy as np import seaborn as sns @@ -18,6 +19,7 @@ from utils import * from analyze_single_cell import collect_drug_and_acsf from impedance import * +from sklearn.linear_model import LinearRegression class EphysSet: @@ -28,7 +30,7 @@ def __init__(self,data,cond,exp_name): self.exp_name = exp_name self.V = self.data['membrane_potential'] - def remove_nan(self): + def remove_nan(self,data): """_summary_ Args: @@ -89,7 +91,7 @@ def get_Vm(self,return_mean=True): thr_ind = thr_ind[ind] for i, j in zip(thr_ind, thr): - Vm.append(V[int(i)+1:int(i)+50]) + Vm.append(V[int(i)-70:int(i)+100]) if return_mean: return np.mean(Vm), Vm, np.mean(V) else: @@ -130,11 +132,16 @@ def sub_threshold_resistance(self): Returns: _type_: _description_ """ - spikes = self.data['spikeindices'] - V = self.data['membrane_potential'][:spikes[0]] - I = self.data['input_current'][:spikes[0]] - R = np.nanmean(V/I) + spikes = self.remove_nan(self.data['thresholdindices']) + + V = self.data['membrane_potential'][:int(spikes[0])-100] + I = self.data['input_current'][:int(spikes[0])-100].reshape((-1, 1)) + model = LinearRegression() + model.fit(I, V) + + R = model.coef_ + return R def get_thresholds(self,return_mean=True): @@ -654,6 +661,14 @@ def return_all_ephys_dict_old(cond:list, experimenter:str=None)->dict: all_ephys_with_cond['cond'] = cond return all_ephys_with_cond +def load_single_cell_test(exp): + path_i = 'C:/Users/Nishant Joshi/Google Drive/Analyzed/' + try: + data = loadmatInPy(path_i + exp + '_analyzed.mat') + except: + data = loadmatInPy(path_i + 'Copy of ' + exp + '_analyzed.mat') + return data + def return_all_ephys_dict(): """returns a dictonary with all the ephys properties for each cell for the condition provided along with the aCSF counterpart. @@ -715,3 +730,74 @@ def return_all_ephys_dict(): all_ephys_with_cond['cond'] = cond return all_ephys_with_cond +def return_all_waveforms(): + """returns a dictonary with all the ephys properties for each cell for the + condition provided along with the aCSF counterpart. + Exc and inhibitory cells are segregated. + + Args: + cond (list): a list containing the condion to be analyzed + experimenter (str, optional): if a specific experimenter needs to aanlyzed seperately. Defaults to None. + + Raises: + ValueError: 'condition should be a list even if a single value is provided' + + Returns: + dict: dictionary containing all e-phys features for each cell + """ + + all_ephys_with_cond = {} + path_i = 'C:/Users/Nishant Joshi/Google Drive/Analyzed/' + + new_a = join_conditions() + + new_a_inh = new_a.groupby('tau').get_group(50) + new_a_exc = new_a.groupby('tau').get_group(250) + + exp_name_inh = np.unique(np.array(new_a_inh['experimentname'])) + exp_name_exc = np.unique(np.array(new_a_exc['experimentname'])) + all_ephys_data_inh = [] + all_ephys_data_exc = [] + problem_cell = [] + + count = 0 + for exp in exp_name_exc: + count += 1 + print(count,exp) + try: + data = loadmatInPy(path_i + exp + '_analyzed.mat') + except: + data = loadmatInPy(path_i + 'Copy of ' + exp + '_analyzed.mat') + for instance in data: + cond = instance['input_generation_settings']['condition'] + ephys_obj = EphysSet(data=instance,cond=cond,exp_name=exp) + waves_exc = list(np.mean(ephys_obj.get_Vm(return_mean=False),axis=0)) + waves_exc.append(ephys_obj.cond) + waves_exc.append(ephys_obj.exp_name) + all_ephys_data_exc.append(waves_exc) + + count = 0 + for exp in exp_name_inh: + count += 1 + print(count,exp) + try: + data = loadmatInPy(path_i + exp + '_analyzed.mat') + + except: + data = loadmatInPy(path_i + 'Copy of ' + exp + '_analyzed.mat') + for instance in data: + cond = instance['input_generation_settings']['condition'] + ephys_obj = EphysSet(data=instance,cond=cond,exp_name=exp) + waves_inh = list(np.mean(ephys_obj.get_Vm(return_mean=False),axis=0)) + waves_inh.append(ephys_obj.cond) + waves_inh.append(ephys_obj.exp_name) + + all_ephys_data_inh.append(waves_inh) + + all_ephys_with_cond['exc'] = all_ephys_data_exc + all_ephys_with_cond['inh'] = all_ephys_data_inh + return all_ephys_with_cond + + + +# %% diff --git a/scripts/utils.py b/scripts/utils.py index d37ec18..9831970 100644 --- a/scripts/utils.py +++ b/scripts/utils.py @@ -10,8 +10,6 @@ from sklearn import datasets, linear_model import matplotlib.pyplot as plt from scipy.sparse import data -from plotnine import ggplot, geom_point, aes, stat_smooth, facet_wrap -from plotnine.data import mtcars import pandas as pd import seaborn as sns import matplotlib.pyplot as plt