Skip to content

Commit c5d5842

Browse files
committed
docs(comp-debug): adjust comments to be in the expected format for Sphinx-VHDL
1 parent 5ef0e2a commit c5d5842

File tree

1 file changed

+19
-19
lines changed

1 file changed

+19
-19
lines changed

comp/debug/streaming_debug/streaming_debug_master.vhd

+19-19
Original file line numberDiff line numberDiff line change
@@ -14,43 +14,43 @@ use work.type_pack.all;
1414

1515
entity STREAMING_DEBUG_MASTER is
1616
generic (
17-
--! \brief Number of connected probes.
17+
-- Number of connected probes.
1818
CONNECTED_PROBES : integer := 4;
1919
-- Number of MFB regions.
2020
REGIONS : natural := 1;
21-
--! \brief Master debuging enable switch.
22-
--! \description True means full architecture implementation, false means empty architecture implementation.
21+
-- Master debuging enable switch.
22+
-- True means full architecture implementation, false means empty architecture implementation.
2323
DEBUG_ENABLED : boolean := false;
24-
--! \brief Selective enabling of monitoring of connected probes when master enable is true.
25-
--! \details Character 'E' or 'e' means enabled, each other character means disabled.
26-
--! String is red from left to right, one character for each interface numbered from 0.
24+
-- Selective enabling of monitoring of connected probes when master enable is true.
25+
-- Character 'E' or 'e' means enabled, each other character means disabled.
26+
-- String is red from left to right, one character for each interface numbered from 0.
2727
PROBE_ENABLED : string := "EEEE";
28-
--! \brief Should counter of data words be available?
28+
-- Should counter of data words be available?
2929
COUNTER_WORD : string := "EEEE";
30-
--! \brief Should counter of waiting cycles (not source nor destination ready) be available?
30+
-- Should counter of waiting cycles (not source nor destination ready) be available?
3131
COUNTER_WAIT : string := "EEEE";
32-
--! \brief Should counter of cycles when source is ready and destination is not be available?
32+
-- Should counter of cycles when source is ready and destination is not be available?
3333
COUNTER_DST_HOLD : string := "EEEE";
34-
--! \brief Should counter of cycles when destination is ready and source is not be available?
34+
-- Should counter of cycles when destination is ready and source is not be available?
3535
COUNTER_SRC_HOLD : string := "EEEE";
36-
--! \brief Should counter of started transactions be available?
36+
-- Should counter of started transactions be available?
3737
COUNTER_SOP : string := "EEEE";
38-
--! \brief Should counter of ended transactions be available?
38+
-- Should counter of ended transactions be available?
3939
COUNTER_EOP : string := "EEEE";
40-
--! \brief Should bus controll functionality be available?
40+
-- Should bus controll functionality be available?
4141
BUS_CONTROL : string := "EEEE";
42-
--! \brief Text identificators for connected probes.
43-
--! \details Each probe name has precisely 4 characters.
42+
-- Text identificators for connected probes.
43+
-- Each probe name has precisely 4 characters.
4444
PROBE_NAMES : string := "Int1Int2Int3Int4";
45-
--! \brief Use internal register on all DEBUG interface signals.
45+
-- Use internal register on all DEBUG interface signals.
4646
DEBUG_REG : boolean := false
4747
);
4848
port (
49-
--! \name CLOCK and RESET
49+
-- CLOCK and RESET
5050
CLK : in std_logic;
5151
RESET : in std_logic;
5252

53-
--! \name Input controll MI32 interface
53+
-- Input controll MI32 interface
5454
MI_DWR : in std_logic_vector(31 downto 0);
5555
MI_ADDR : in std_logic_vector(31 downto 0);
5656
MI_RD : in std_logic;
@@ -60,7 +60,7 @@ entity STREAMING_DEBUG_MASTER is
6060
MI_ARDY : out std_logic := '0';
6161
MI_DRDY : out std_logic := '0';
6262

63-
--! \name Multi-interface for connected streaming interfaces
63+
-- Multi-interface for connected streaming interfaces
6464
DEBUG_BLOCK : out std_logic_vector(CONNECTED_PROBES-1 downto 0) := (others => '0');
6565
DEBUG_DROP : out std_logic_vector(CONNECTED_PROBES-1 downto 0) := (others => '0');
6666
DEBUG_SRC_RDY : in std_logic_vector(CONNECTED_PROBES-1 downto 0) := (others => '0');

0 commit comments

Comments
 (0)